Entries |
Document | Title | Date |
20080197342 | Display device and method of manufacturing the same - A display device and its method of manufacture. The display device is formed to include a substrate having an upper surface, a recess region having a bottom surface and sidewalls, a light-emitting element and a switch element. The light-emitting element includes a first electrode disposed on the recess region, a light-emitting layer disposed on the first electrode, and a second electrode disposed on the light-emitting layer. The switch element is disposed on the substrate and electrically connected to the light-emitting element. The bottom surface of the recess region is lower than the bottom surface of the active layer. | 08-21-2008 |
20080197343 | Organic Field Effect Transistor Gate - An electronic device, in particular an RFID transponder, comprises at least one logic gate, in which the logic gate is formed from a plurality of layers, which are applied on a common substrate, which layers comprise at least two electrode layers and at least one of the layers, in particular an organic layer, forms a semiconductor layer which is applied as a liquid, and an insulator layer and wherein the logic gate comprises at least two differently constructed field effect transistors. The field effect transistors are formed from a plurality of functional layers applied to a carrier substrate by printing or blade coating. | 08-21-2008 |
20080197344 | Semiconductor, semiconductor device, complementary transistor circuit device - A semiconductor device including a semiconductor | 08-21-2008 |
20080197345 | Copolymer, organic insulating layer composition, and organic insulating layer and organic thin film transistor manufactured using the same - Disclosed herein is a copolymer, which may include side chains which may decrease the surface energy of an insulating layer, thereby improving the alignment of a semiconductor material, and side chains including photoreactive functional groups having an increased degree of cross-linking, thereby improving the characteristics of an organic thin film transistor manufactured using the same, an organic insulating layer composition including the copolymer, an organic insulating layer, an organic thin film transistor, an electronic device including the same and methods of fabricating the same. According to the copolymer of example embodiments, the surface energy of an insulating layer may be decreased, so that the alignment of a semiconductor material may be improved, thereby improving the threshold voltage and charge mobility and decreasing the generation of hysteresis at the time of driving the transistor. | 08-21-2008 |
20080197346 | Nitrogen-containing heteroaromatic ligand-transition metal complexes, buffer layer comprising the complexes and organic thin film transistor comprising the buffer layer - Example embodiments provide a nitrogen-containing heteroaromatic ligand-transition metal complex, a buffer layer including the complex, which may improve the injection and transport of electrical charges, an organic thin film transistor and an electronic device including the buffer layer, in which the injection of electrons or holes and the transport of charges between layers are accelerated, thereby improving the efficiency thereof, and methods of manufacturing the same. | 08-21-2008 |
20080197347 | Active organic semiconductor devices and methods for making the same - Techniques for disposing an organic semiconductor film on a receiver substrate, comprising the steps of: depositing an organic semiconductor film onto a donor substrate, the semiconductor film having a first surface facing the donor substrate and having an exposed second surface; bringing the exposed second surface adjacent a receiver substrate such that the semiconductor film is in contact with both substrates; and then, moving the donor and receiver substrates apart; and wherein a surface portion of the receiver substrate is maintained above its glass transition during the moving step. Active organic semiconductor devices. | 08-21-2008 |
20080197348 | Thin Film Transistor Array, Method for Manufacturing the Same, and Active Matrix Type Display Using the Same - One embodiment of the present invention is a thin film transistor array, having an insulating substrate and a stripe-shaped semiconductor layer for a plurality of transistors, the layer extending over the plurality of transistors. Another embodiment of the present invention is an active matrix type display, having the thin film transistor array of the one embodiment and an image display means. | 08-21-2008 |
20080197349 | Manufacturing method of thin-film transistor, thin film transistor sheet, and electric circuit - A thin-film transistor, a thin-film transistor sheet, an electric circuit, and a manufacturing method thereof are disclosed, the method comprising the steps of forming a semiconductor layer by providing a semiconductive material on a substrate, b) forming an insulating area, which is electrode material-repellent, by providing an electrode material-repellent material on the substrate, and c) forming a source electrode on one end of the insulating area and a drain electrode on the other end of the insulating area, by providing an electrode material. | 08-21-2008 |
20080203383 | Multi-Layer Composite Body Having an Electronic Function - The invention relates to a multilayer composite body having an electronic function, in particular an electronic subassembly comprising a plurality of organic electronic components. The invention provides, for the first time, a possibility for a structure of an entire subassembly such as an RFID tag, the entire tag with all of the components being able to be implemented in one production process. | 08-28-2008 |
20080203384 | Method of Manufacturing an Electrical Element - The element ( | 08-28-2008 |
20080203385 | Light emitting element and manufacturing method thereof, and light emitting device using the light emitting element - A light-emitting element has a layer including an organic material between a first electrode and a second electrode, and further has a layer including a metal oxide between the second electrode and the layer including the organic material, where these electrodes and layers are laminated so that the second electrode is formed later than the first electrode. The light-emitting element is suppressed damage caused to a layer including an organic material during deposition by sputtering and a phenomenon such as short circuit between electrodes. | 08-28-2008 |
20080210928 | Semiconductor Device - The present invention provides a semiconductor device which has a storage element having a simple structure in which an organic compound layer is sandwiched between a pair of conductive layers and a manufacturing method of such a semiconductor device. With this characteristic, a semiconductor device having a storage circuit which is nonvolatile, additionally recordable, and easily manufactured and a manufacturing method of such a semiconductor device are provided. A semiconductor device according to the present invention has a plurality of field-effect transistors provided over an insulating layer and a plurality of storage elements provided over the plurality of field-effect transistors. Each of the plurality of field-effect transistors uses a single-crystal semiconductor layer as a channel portion and each of the plurality of storage elements is an element in which a first conductive layer, an organic compound layer, and a second conductive layer are stacked in order. | 09-04-2008 |
20080210929 | Organic Thin Film Transistor - An organic thin film transistor is formed using an organic semiconducting polymer that contains electrically conductive micro scale or nanoscale metallic plates, particulates, or rods dispersed in the polymer at a concentration less than the percolation threshold to form a semiconducting matrix. The electrically conductive particulates are dispersed to provide a multidimensional micro scale network so that the materials do not provide electrical conductivity between themselves but only between an individual particulate and the organic semiconductor. The transconductance value of the semiconducting matrix is at least one order of magnitude greater than the transconductance value of the neat organic semiconductor, providing a switching speed from an ‘off’ state to an ‘on’ state at least one order of magnitude greater than a switching speed of the neat organic semiconductor. | 09-04-2008 |
20080210930 | Metal Complex, Light-Emitting Device, and Image Display Apparatus - To provide a novel metal complex suitable as a compound for an organic EL device. A metal complex including a partial structure represented by the following general formula ( | 09-04-2008 |
20080210931 | METHODS FOR FORMING AN UNDERCUT REGION AND ELECTRONIC DEVICES INCORPORATING THE SAME - An electronic device having a substrate structure having an undercut region is provided and further included is a method for forming an undercut region of a substrate structure. The method includes forming a patterned protective layer over a first electrode. The method also includes forming the substrate structure over the patterned protective layer. An opening within the substrate structure overlies an exposed portion of the substrate structure. The method further includes removing the exposed portion of the patterned protective layer, thereby exposing a portion of the first electrode and forming an undercut region of the substrate structure. The method still further includes depositing a liquid over the first electrode after removing the exposed portion of the patterned protective layer, and solidifying the liquid to form a solid layer. | 09-04-2008 |
20080210932 | Memory Element, Memory Device, and Semiconductor Device - On object of the invention is to provide a non-volatile memory device, in which data can be added to the memory device after a manufacturing process and forgery and the like by rewriting can be prevented, and a semiconductor device including the memory device. Another object of the invention is to provide a highly-reliable, inexpensive, and nonvolatile memory device and a semiconductor device including the memory device. A memory element includes a first conductive layer, a second conductive layer, a first insulating layer with a thickness of 0.1 nm or more and 4 nm or less being in contact with the first conductive layer, and an organic compound layer interposed between the first conductive layer, the first insulating layer, and the second conductive layer. | 09-04-2008 |
20080210933 | SUBSTITUTED ANTHRACENES AND ELECTRONIC DEVICES CONTAINING THE SUBSTITUTED ANTHRACENES - Substituted anthracene compounds and electronic devices containing the substituted anthracene compounds are provided. | 09-04-2008 |
20080217604 | Organic Semiconductor Film, Electron Device Using the Same and Manufacturing Method Therefor - An organic semiconductor film that can be used for an electron device, for example, particularly can be used for organic TFTs so as to allow the TFTs to have advanced performance, is provided and a manufacturing method therefor is provided. For instance, the organic semiconductor film contains the organic conductive high polymer compound such as polythiophene represented by the below formula (I). The organic semiconductor film is formed by forming a solution in a thin film form, the solution showing two or more spectral peaks (spectral state B) in a wavelength region of 300 to 800 nm by measurement using a visible and ultraviolet absorption spectral method; and drying the solution formed in the thin film form. Alternatively, the organic semiconductor film can be formed by the method in which the organic conductive high polymer compound has a molecular weight distribution range Mw/Mn from 1.00 to 1.85, obtained by dividing a weight-average molecular weight Mw by a number-average molecular weight Mn. With these methods, principal chains of the organic conductive high polymer compound molecules are arranged substantially in parallel, thus enhancing carrier mobility. | 09-11-2008 |
20080217605 | Oligomers and Polymers - An optionally substituted oligomer or polymer comprising a repeat unit of formula (I); wherein each Ar | 09-11-2008 |
20080217606 | Organic light emitting diode containing a Ir complex having a novel ligand as a phosphorescent emitter - An organic light emitting diode with Ir complex is disclosed in this specification, wherein the Ir complex is used as the phosphorous emitter. The chemical containing pyridyl triazole or pyridyl imidazole functional group is used as the auxiliary monoanionic bidentate ligand in the mentioned Ir complex, so that the CIE coordinate of the mentioned Ir complex is adjustable and the light emitting performance of the Ir complex is improved. | 09-11-2008 |
20080217607 | Protein Switches Incorporating Cytochrome C3 in Monolayers and Method for Producing Same - A biomolecular electronic switch includes a first electrical contact, a second electrical contact, a programmable monolayer of either cytochrome c or cytochrome c | 09-11-2008 |
20080217608 | Light-Emitting Element, Light-Emitting Device, Electronic Device and Quinoxaline Derivative - The present invention provides light-emitting element having long lifetime, and light-emitting devices and electronic devices having long lifetime. A light-emitting element comprises a first layer and a second layer including a light-emitting substance between a first electrode and a second electrode. The first layer includes a first organic compound and a second organic compound, the first layer is formed between the second layer and the second electrode, the first layer includes the first organic compound more than the second organic compound, the first organic compound is an organic compound having an electron-transporting property, the second organic compound is an organic compound having an electron-trapping property, an energy gap of the second organic compound is larger than that of the light-emitting substance; and a voltage is applied such that a potential of the first electrode is higher than that of the second electrode, so that the light-emitting layer emits light. | 09-11-2008 |
20080217609 | ORGANIC TRANSISTOR, METHOD FOR MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS INCLUDING THE SAME - An organic transistor includes a source electrode and a drain electrode, an organic semiconductor layer disposed across between the source electrode and the drain electrode, a gate insulating layer, and a gate electrode opposing the source and drain electrodes with the organic semiconductor layer and the gate insulating layer therebetween. The organic semiconductor layer includes a first semiconductor portion in a region where the gate electrode and the source electrode oppose each other, a second semiconductor portion in a region where the gate electrode and the drain electrode oppose each other, and a third semiconductor portion between the first semiconductor portion and the second semiconductor portion. The first semiconductor portion, the second semiconductor portion, and the third semiconductor portion satisfy the relationships W | 09-11-2008 |
20080224125 | Semiconductor Device - The disclosed is a semiconductor device which comprises a circuit which is formed on a substrate and which includes an insulated gate type semiconductor field-effect transistor element or an TFT element, wherein as compared with the electrostatic capacitance per a unit area of a gate insulating film at a channel part of the transistor element, the electrostatic capacitance per a unit area of a insulating film at the other portion of overlap part between electrodes or wiring lines is small. In the semiconductor device which has an insulated gate type semiconductor field-effect transistor element or a TFT element, a high mutual conductance is obtained and the absolute value of gate threshold voltage is repressed while the adverse influence to the circuit operation by means of the parasitic capacity is repressed. | 09-18-2008 |
20080224126 | Spin-coatable liquid for formation of high purity nanotube films - Certain spin-coatable liquids and application techniques are described, which can be used to form nanotube films or fabrics of controlled properties. A spin-coatable liquid for formation of a nanotube film includes a liquid medium containing a controlled concentration of purified nanotubes, wherein the controlled concentration is sufficient to form a nanotube fabric or film of preselected density and uniformity, and wherein the spin-coatable liquid comprises less than 1×10 | 09-18-2008 |
20080224127 | Gate dielectric structures, organic semiconductors, thin film transistors and related methods - Gate dielectric structures comprising an organic polymeric component, and organic semiconductor components, as can be used to fabricate thin film transistor devices. | 09-18-2008 |
20080224128 | Thin film transistor for display device and manufacturing method of the same - Provided are a thin film transistor for display devices and a manufacturing method of the thin film transistor. The thin film transistor for display devices includes: a flexible substrate; a gate electrode layer formed on the flexible substrate; a first insulating layer formed on the flexible substrate and the gate electrode; a source and a drain formed on the first insulating layer; an active layer formed on the first insulating layer between the source and the drain; a second insulating layer formed on the first insulating layer, the source, the drain, and the active layer; and a drain electrode that opens the second insulating layer to be connected to the drain and is formed of a CNT dispersed conductive polymer. | 09-18-2008 |
20080224129 | FLAT PANEL DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A flat panel display device, more particularly, an Organic Light Emitting Diode (OLED) display device having uniform electrical characteristics and a method of fabricating the same include: a thin film transistor of which a semiconductor layer including a source, a drain, and a channel region formed in a super grain silicon (SGS) crystallization growth region; a capacitor formed in an SGS crystallization seed region; and an OLED electrically connected to the thin film transistor. Further, a length of the channel region of the silicon layer is parallel with the growth direction in the SGS growth region to improve the electrical properties thereof. | 09-18-2008 |
20080224130 | ORGANIC SEMICONDUCTOR COPOLYMERS CONTAINING OLIGOTHIOPHENE AND n-TYPE HETEROAROMATIC UNITS - An exemplary organic semiconductor copolymer includes a polymeric repeat structure having a polythiophene structure and an electron accepting unit. The electron accepting unit has at least one electron-accepting heteroaromatic structure with at least one electron-withdrawing imine nitrogen in the heteroaromatic structure or a thiophene-arylene comprising a C | 09-18-2008 |
20080224131 | Compound, a molecular switch employing the compound and a method of electronic switching - Classes of molecules are disclosed which can, for example, be used in molecular switches. The classes of molecules include at least three segments—an electronic donor (“D”), a switchable bridge (“B”), and an electronic acceptor (“A”)—chemically connected and linearly arranged (e.g., D-B-A). The electronic donor can be an aromatic ring system with at least one electron donating group covalently attached; an aromatic ring system with an electron withdrawing group covalently attached is usually employed as the electronic acceptor; and the switchable bridge can be a pi system that can be switched on or off using an external electric field. | 09-18-2008 |
20080224132 | Stacked Organic Photosensitive Devices - A device is provided having a first electrode, a second electrode, a first photoactive region having a characteristic absorption wavelength λ | 09-18-2008 |
20080230767 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed are a semiconductor device, which forms two insulation layers having different patterns by one mask process, and a method of manufacturing the same. In a semiconductor device having double insulation layers, a photosensitive material is included in an upper insulation layer. During a manufacture of the semiconductor device, the photosensitive material is used as a photo resist layer in order to reduce the number of masks. | 09-25-2008 |
20080230768 | THIN FILM TRANSISTOR AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THIN FILM TRANSISTOR - The present invention relates to a thin film transistor. The thin film transistor includes a semiconductor having first, second, third, fourth, and fifth electrode regions arranged in a direction and spaced apart from each other and first, second, third, and fourth offset regions disposed between the first, second, third, fourth, and fifth electrode regions, respectively. An input electrode is connected to the third electrode region, an output electrode is connected to the first and fifth electrode regions, an insulating layer is disposed on the semiconductor, and a control electrode is disposed on the insulating layer and the second and fourth electrode regions. | 09-25-2008 |
20080230769 | Electronic device, field effect transistor including the electronic device, and method of manufacturing the electronic device and the field effect transistor - Provided is an electronic device, a field effect transistor having the electronic device, and a method of manufacturing the electronic device and the field effect transistor. The electronic device includes: a substrate; a first electrode and a second electrode which are formed in parallel to each other on the substrate, each of the first electrode and the second electrode comprising two electrode pads separated from each other and a heating element that connect the two electrode pads; a catalyst metal layer formed on the heating element of the first electrode; and a carbon nanotube connected to the second electrode by horizontally growing from the catalyst metal layer; wherein the heating elements are separated from the substrate by etching the substrate under the heating elements of the first and the second electrodes. | 09-25-2008 |
20080230770 | ORGANIC LIGHT-EMITTING DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display panel having a storage capacitor comprised of a storage electrode overlapping a power line with a first gate-insulating layer disposed therebetween, wherein the storage capacitor includes a groove portion formed on a lateral side of the power line overlapping the storage electrode so that the overlapping area of the power line and the storage electrode is kept constant, and a method of manufacturing the same. | 09-25-2008 |
20080230771 | THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME - It is made possible to provide a thin film transistor having transistor characteristics that do not widely vary. A thin film transistor includes: a substrate; a pair of insulating layers formed at a distance from each other on the substrate; a source electrode formed on one of the insulating layers, and a drain electrode formed on the other one of the insulating layers; a semiconductor layer formed to cover the source electrode, the drain electrode, and the substrate; a gate insulating film formed on the semiconductor layer; and a gate electrode formed on the gate insulating film. | 09-25-2008 |
20080230772 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE DISPLAY DEVICE - A method of manufacturing a display device includes a step of forming an island-shaped first electrode, a step of forming a first insulation film, a step of forming a second insulation film, a step of removing the first insulation film, which is exposed from the second insulation film, in a self-alignment manner by using the second insulation film as a mask, a step of coating a liquid-phase material on the first electrode which is exposed from the first insulation film, and then drying the liquid-phase material, thus forming an organic active layer, and a step of forming a second electrode on the organic active layer. The first insulation film has higher lyophilic properties to the liquid-phase material for forming the organic active layer than the second insulation film. | 09-25-2008 |
20080230773 | Polymer Composition for Preparing Electronic Devices by Microcontact Printing Processes and Products Prepared by the Processes - The present invention is directed to methods for patterning substrates using contact printing processes and inks comprising an organic semiconductive or semiconductive polymer, inks for use with the processes, and products formed by the processes. | 09-25-2008 |
20080230774 | ORGANIC THIN-FILM TRANSISTOR MANUFACTURING METHOD, ORGANIC THIN-FILM TRANSISTOR, AND ORGANIC THIN-FILM TRANSISTOR SHEET - An organic thin-film transistor manufacturing method and an organic thin-film transistor manufactured by the method are disclosed, the method comprising the steps of a) forming a gate electrode on a substrate, b) forming a gate insulating layer on the substrate, c) forming an organic semiconductor layer on the substrate, d) forming an organic semiconductor layer protective layer on the organic semiconductor layer, e) removing a part of the organic semiconductor layer protective layer, and f) forming a source electrode and a drain electrode at portions where the organic semiconductor layer protective layer has been removed, so that the source electrode and drain electrode contacts the organic semiconductor layer. | 09-25-2008 |
20080230775 | Organic light emitting display device and method for manufacturing the same - An organic light emitting display device and a method for manufacturing the same are disclosed. The method for manufacturing the organic light emitting display device includes forming a switching element and a silicon nitride layer over a substrate, patterning and removing a portion of the silicon nitride layer formed on a light emitting region through which light is transmitted, forming an overcoat layer formed on the silicon nitride layer, wherein a portion of the overcoat layer corresponding to the light emitting region has a thickness of about 1.1 μm to about 2.1 μm, forming a first electrode electrically connected to the switching element over the light emitting region, and sequentially forming an organic light emitting layer and a second electrode on the first electrode. | 09-25-2008 |
20080230776 | Organic semiconductor material and organic transistor using the same - The invention relates to an organic semiconductor material with a high carrier mobility, which is capable of obtaining favorable semiconductor characteristics when used in an organic semiconductor device, and an organic transistor using the same. More specifically, the present invention has a following structure including an oligothiophene part and a connecting part G; | 09-25-2008 |
20080230777 | METHOD OF MAKING AN ORGANIC LIGHT EMITTING DEVICE - The invention relates to a method of making an organic electronic device and articles. | 09-25-2008 |
20080230778 | Method for manufacturing an organic semiconductor device, as well as organic semiconductor device, electronic device, and electronic apparatus - An organic semiconductor device having a gate electrode, a source electrode, a drain electrode, an organic semiconductor layer, a gate insulation layer, and a substrate. The substrate of the semiconductor device having an underlayer including an organic polymer material having a liquid crystal core. The underlayer is oriented in a specific direction formed between the substrate and the organic semiconductor layer so as to orient the organic semiconductor layer along the orientation of the underlayer. | 09-25-2008 |
20080237580 | Organic Semiconductor Element and Organic El Display Device Using the Same - It is provided an organic semiconductor element having an FET which can control a channel length to a small value and does not cause a rise in contact resistance due to a step portion, and an organic light emitting display device with a large aperture using the same. A first conductive layer ( | 10-02-2008 |
20080237581 | DEVICE WITH PHASE-SEPARATED DIELECTRIC STRUCTURE - An electronic device including in any sequence: (a) a semiconductor layer; and (b) a phase-separated dielectric structure comprising a lower-k dielectric polymer and a higher-k dielectric polymer, wherein the lower-k dielectric polymer is in a higher concentration than the higher-k dielectric polymer in a region of the dielectric structure closest to the semiconductor layer. | 10-02-2008 |
20080237582 | THIN FILM TRANSISTOR ARRAY PANEL AND MANUFACTURE THEREOF - A method for a thin film transistor array panel includes forming a gate line and a pixel electrode on a substrate, forming a gate insulating layer covering the gate line, forming a data line including a source electrode and a drain electrode on the gate insulating layer, forming an interlayer insulating layer covering the data line and the drain electrode on the gate insulating layer, forming a first opening in the interlayer insulating layer, forming an organic semiconductor in the first opening, forming a passivation layer on the organic semiconductor and the interlayer insulating layer, and forming a second opening in the interlayer insulating layer to expose the pixel electrode. | 10-02-2008 |
20080237583 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE, SEMICONDUCTOR CIRCUIT, ELECTRO-OPTICAL DEVICE, AND ELECTRONIC APPARATUS - A method for manufacturing a semiconductor device includes: forming a source electrode and a drain electrode on a substrate; forming an organic semiconductor layer including a π conjugated organic compound at least between the source electrode and the drain electrode; applying an application liquid on the organic semiconductor layer, the application liquid being made of a polymer of an alicyclic compound dissolved in a paraffin hydrocarbon solvent that is a carbocyclic compound without having aromaticity; forming a gate insulation layer including the polymer of the alicyclic compound by removing the paraffin hydrocarbon solvent from the application liquid; and forming a gate electrode on the gate insulation layer. | 10-02-2008 |
20080237584 | Organic Component and Electric Circuit Comprising Said Component - The invention relates to an organic component and an electric circuit containing at least one organic component of this type, comprising the following layers:
| 10-02-2008 |
20080237585 | Flat panel display device and method of fabricating the same - A flat panel display device including a first region having an organic light emitting diode and a thin film transistor and a second region having a capacitor is disclosed. The capacitor comprises first, second, and third electrodes, where the area of a third capacitor electrode is reduced, thereby ensuring a distance between a first power voltage line and the third capacitor electrode. The total area of the capacitor is compensated by increasing the area of the first capacitor electrode. Thus, the area of the third capacitor electrode is reduced while the total capacitance of the capacitor is maintained, thereby preventing a dark spot caused by a short circuit between the first power voltage line and the third capacitor electrode. | 10-02-2008 |
20080246024 | Method For Patterning An Organic Material To Concurrently Form An Insulator And A Semiconductor And Device Formed Thereby - A method for fabricating an electronic device includes forming a layer of precursor material for forming a semi-conductor material in a cured state and exposing the precursor material to light. The precursor is heated in the presence of the light to form an insulator in areas exposed to light and a semiconductor in areas not exposed to the light. The light is preferably in the visible range. Suitable precursors may include 6,13-dihydro-6,13-(2,3,4,5-tetrachloro-2,4-cyclohexadieno)-pentacene ( | 10-09-2008 |
20080246025 | Semiconductor device and method for manufacturing the same - It is an object to provide an element structure in which defects are not easily generated and a semiconductor device that has the element. An element has a structure in which a layer containing an organic compound is interposed between a pair of electrode layers of a first electrode layer and a second electrode layer. At least one of the pair of the electrode layers has a Young's modulus of 7.5×10 | 10-09-2008 |
20080246026 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a substrate on which a transistor area and a capacitor area are defined, a semiconductor layer formed at the transistor area, and a capacitor having a plurality of electrodes. The plurality of electrodes include a first electrode, a second electrode that is disposed on the first electrode with an insulation layer formed between the first and second electrodes, and a third electrode that is disposed on the second electrode with an insulation layer formed between the second and third electrodes and connected to the first electrode through at least two contact holes. | 10-09-2008 |
20080246027 | Organic light emitting display and manufacturing method thereof - An organic light emitting display and a fabricating method thereof, where the display has sub-pixels of various types, which have distinctive shapes formed therein according to type is disclosed. Pixels of a particular type e.g., red, green, or blue, can be identified through visual recognition of the distinctive pattern. | 10-09-2008 |
20080246028 | MEMORY DEVICE, SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURING MEMORY DEVICE - Objects are to solve inhibition of miniaturization of a memory element and complexity of a manufacturing process thereof and to provide a nonvolatile memory device and a semiconductor device each having the memory device, in which data can be additionally written except at the time of manufacture and in which forgery or the like caused by rewriting of data can be prevented, and a memory device and a semiconductor device that are inexpensive and nonvolatile. The present invention provides a semiconductor device that includes a plurality of memory elements, in each of which a first conductive layer, a second conductive layer disposed beside the first conductive layer, and a mixed film that are disposed over the same insulating film. The mixed film contains an inorganic compound, an organic compound, and a halogen atom and is disposed between the first conductive layer and the second conductive layer. | 10-09-2008 |
20080246029 | Thin film transistor, organic light emitting display device including the same, and method of manufacturing the organic light emitting display device - A thin film transistor, e.g., for use in an organic light emitting display, may include: a gate insulating layer disposed on a gate electrode located on a substrate; a semiconductor layer, disposed on the gate insulating layer; and a planarization layer disposed on the gate insulating layer, the source and drain electrodes, and the channel area, and having openings exposing parts of the first source and drain areas and the source and drain electrodes, respectively. The semiconductor layer may include: a channel area corresponding to the gate electrode; first source and drain areas doped with an impurity outside the channel area; second source and drain areas, including a metal, outside the first source and drain areas; and source and drain electrodes disposed on the second source and drain areas and exposing the first source and drain areas. A pixel electrode may be disposed in one of the openings. | 10-09-2008 |
20080251784 | Organic Semiconductor Material, Organic Transistor, Field Effect Transistor, Switching Device and Thiazole Compound - An organic semiconductor material comprising a compound having a substructure represented by Formula (10): | 10-16-2008 |
20080251785 | Display device and method of fabricating the same - A display device includes a thin film transistor (TFT) on a substrate, the TFT including source/drain electrodes, a cover layer on the source/drain electrodes, and a light source including at least one electrode, the electrode being electrically connected to the source/drain electrodes of the TFT through the cover layer, wherein the cover layer includes a same material as the electrode of the light source. | 10-16-2008 |
20080251786 | ORGANIC LIGHT EMITTING ELEMENT AND ORGANIC LIGHT EMITTING DEVICE - An organic light emitting element according to an exemplary embodiment includes: a first electrode; a hole injection layer contacting the first electrode; a first emission layer comprising at least two sublayers emitting different color lights and contacting the hole injection layer; a first impurity layer of a first conductive type contacting the first emission layer; a second impurity layer of a second conductive type contacting the first impurity layer; a second emission layer comprising at least two sublayers emitting different color lights and contacting the second impurity layer; a electron injection layer contacting the second emission layer; and a second electrode contacting the electron injection layer. | 10-16-2008 |
20080258136 | Logic Circuit - The invention includes a two terminal switching device having two stable resistivity values for each applied voltage, which when a voltage of not more than a first threshold voltage (Vth | 10-23-2008 |
20080258137 | Thin Film Field Effect Transistors Having Schottky Gate-Channel Junctions - An active electronic device has drain and source electrodes that make ohmic conduct with a layer of a semiconductor. The semiconductor layer may be a thin layer of an organic or amorphous semiconductor. The drain and source electrodes are on a first face of the layer of semiconductor at locations that are spaced apart on either side of a channel. The device has a gate electrode on a second face of the layer of semiconductor adjacent to the channel. The gate electrode makes a Schottky contact with the semiconductor to produce a depletion region in the channel. The gate electrode may encapsulate the channel so that the channel is protected from contact with oxygen, water molecules or other materials in the environment. In some embodiments, the device has an additional gate electrode separated from the semiconductor layer by an insulating layer. Such embodiments combine features of OFETs and MESFETs. | 10-23-2008 |
20080258138 | Thin film transistor array panel and fabricating method thereof, and flat panel display with the same - An organic thin film transistor array panel, for an embodiment, includes a plurality of pixel electrodes formed on a top layer to cover organic thin film transistors, with display areas defined by the areas of the pixel electrodes. Accordingly, the aperture ratio of the display device may be increased. A ratio of width to length (W/L) in a channel of an organic thin film transistor may be increased, and thereby on current (Ion) of the organic thin film transistor may be increased. The organic semiconductor may be prevented from overflowing while being formed in holes by an inkjet printing method such that deterioration of thin film transistor characteristics and pixel defects is prevented. The adhesive of the electrophoretic sheet is prevented from penetrating into the organic semiconductor when the electrophoretic display is formed by attaching the electrophoretic sheet to the organic thin film transistor array panel by a lamination method. | 10-23-2008 |
20080265244 | Alignment Tolerant Patterning on Flexible Substrates - A method is provided for fabricating a multilayer electronic device on a flexible substrate including at least a first and a second patterned layer, wherein the first patterned layer is defined with a linewidth that is smaller than the linewidth of the second patterned layer, and the second patterned layer is defined by a patterning technique which is capable of correcting for local distortions of the pattern of said first layer on top of the flexible substrate and wherein the first patterned layer is laid-out in such a way that the geometric overlap between a portion of the second layer and a portion of the first layer is insensitive against small variations of the position of the second patterned layer. | 10-30-2008 |
20080265245 | SUBSTRATE OF EMITTING DEVICE AND EMITTING DEVICE USING THE SAME - Provided is a substrate for a light-emitting device having good light emitting efficiency and light-emitting device using the substrate. A light transparent substrate | 10-30-2008 |
20080265246 | POLYMER FILM AND POLYMER FILM DEVICE USING THE SAME - The present invention relates to a polymer film comprising a polymer having liquid crystallinity, having a number-average molecular weight in terms of polystyrene of 10 | 10-30-2008 |
20080272367 | LIGHT-EMITTING DEVICE HAVING IMPROVED LIGHT OUTPUT - A light-emitting LED device has one or more light-emitting LED elements, including first and second spaced-apart electrodes with one or more light-emitting layers formed there-between, wherein at least one of the electrodes is a transparent electrode. Also included are a first transparent encapsulating layer having a first optical index formed over the transparent electrode opposite the light-emitting layer; a light-scattering layer formed over the first transparent encapsulating layer opposite the transparent electrode; and a second transparent encapsulating layer, having a second optical index lower than the first optical index, formed over the light-scattering layer. | 11-06-2008 |
20080272368 | Extended Redistribution Layers Bumped Wafer - A semiconductor device is manufactured by, first, providing a wafer, designated with a saw street guide, and having a bond pad formed on an active surface of the wafer. The wafer is taped with a dicing tape. The wafer is singulated along the saw street guide into a plurality of dies having a plurality of gaps between each of the plurality of dies. The dicing tape is stretched to expand the plurality of gaps to a predetermined distance. An organic material is deposited into each of the plurality of gaps. A top surface of the organic material is substantially coplanar with a top surface of a first die of the plurality of dies. A redistribution layer is patterned over a portion of the organic material. An under bump metallization (UBM) is deposited over the organic material in electrical communication, through the redistribution layer, with the bond pad. | 11-06-2008 |
20080272369 | Organic electronic device - An organic electronic device. The device includes a first electrode to inject or extract hole, the first electrode including a conductive layer and an n-type organic compound layer disposed on the conductive layer, a second electrode to inject or extract electron, a p-type organic compound layer disposed between the n-type organic compound layer and the second electrode. The p-type organic compound layer forms an NP junction between the n-type organic compound layer and the p-type organic compound layer. The energy difference between a lowest unoccupied molecular orbital (LUMO) energy of the n-type organic compound layer and a Fermi energy of the conductive layer is about 2 eV or less, and the energy difference between the LUMO energy of the n-type organic compound layer and a highest unoccupied molecular orbital (HOMO) energy of the p-type organic compound layer is about 1 eV or less. | 11-06-2008 |
20080277648 | Conductive Thin Film and Thin Film Transistor - [Object] To provide an inexpensive and flexible conductive thin film which is excellent in carrier mobility and electric conductivity and which is formed by highly orienting nanotube or an electronic functional organic material by simple and convenient means, as well as a thin film transistor using the conductive thin film. | 11-13-2008 |
20080277649 | Field Effect Transistor and Method of Producing Same - A field effect transistor is provided which comprises an organic semiconductor layer comprising a compound having a monobenzoporphyrin skeleton represented by the general formula (1): | 11-13-2008 |
20080277650 | ORGANIC PHOTODETECTOR AND FABRICATING METHOD OF ORGANIC PHOTODETECTOR AND ORGANIC THIN FILM TRANSISTOR - An organic photodetector including a substrate, a first electrode, an insulation layer, an organic layer, and a second electrode is provided. The first electrode is disposed on the substrate. The insulation layer is disposed on the first electrode. The organic layer is disposed on the substrate and the insulation layer and covers a side surface of the insulation layer and a side surface of the first electrode. The second electrode is disposed on the organic layer and located above the insulation layer. | 11-13-2008 |
20080277651 | ORGANIC NON-VOLATILE MEMORY MATERIAL AND MEMORY DEVICE UTILIZING THE SAME - Disclosed is an organic non-volatile memory (ONVM) material including nanoparticles evenly dispersed in a first polymer. The nanoparticles have a metal core covered by a second polymer to form a core/shell structure, and the first polymer has a higher polymerization degree and molecular weight than the second polymer. The ONVM material of the invention has high uniformity, thereby stabilizing the electric properties of the memory device, such as increasing rewrite counts, increasing data retention time, reducing driving voltage, reducing write current, and enhancing current on/off ratio. | 11-13-2008 |
20080277652 | CARBON-CONTAINING SEMICONDUCTING DEVICES AND METHODS OF MAKING THEREOF - Embodiments of the present invention relate to semiconducting carbon-containing devices and methods of making thereof. The semi-conducting carbon containing devices comprise an n-type semiconducting layer and a p-type semiconducting layer, both of which are positioned over a substrate. The n-type semiconducting layer can be formed by pyrolyzing a carbon- and nitrogen-containing polymer, and the p-type semiconducting layer can be formed by pyrolyzing an aromatic- and aliphatic-group-containing polymer. In some embodiments, the devices are solar cell devices. | 11-13-2008 |
20080277653 | Semiconductor Element, and Display Pixel and Display Panel using the same - In a semiconductor element, and a display pixel and a display panel using the same, the semiconductor element includes a first electrode, a second electrode, an organic light-emitting layer and a third electrode. The second electrode and the first electrode are disposed separately. The organic light-emitting layer is electrically connected with the first electrode and the second electrode. The third electrode is disposed above the organic light-emitting layer. | 11-13-2008 |
20080277654 | Organic light emitting diode with fluorinion-doped anode and method for fabricating same - An exemplary organic light emitting diode ( | 11-13-2008 |
20080277655 | ORGANIC SEMICONDUCTOR DEVICE - An organic semiconductor device with a vertical structure having both functions of an organic thin film transistor and light-emitting element, where the electrical characteristics as both the organic thin film transistor and light-emitting element can be controlled in the case of forming a gate electrode with an organic conductive film, and a manufacturing method thereof. The above organic semiconductor device has such a structure that organic semiconductor films are sandwiched between a pair of electrodes functioning as a source electrode and drain electrode of an organic thin film transistor and also functioning as an anode and cathode of a light-emitting element, a thin organic conductive film functioning as a gate electrode is sandwiched between the organic semiconductor films, and a part of the organic conductive film is electrically connected to an auxiliary electrode, thereby the electrical characteristics as both the organic thin film transistor and light-emitting element can be controlled. | 11-13-2008 |
20080283825 | Dual-Gate Transistors - A field effect transistor device comprising: a source electrode; a drain electrode; a semiconductive region comprising an organic semiconductor material and defining a channel of the device between the source electrode and the drain electrode; a first gate structure comprising a first gate electrode and a first dielectric region located between the first gate electrode and the semiconductive region; and a second gate structure comprising a second gate electrode and a second dielectric region located between the second gate electrode and the semiconductive region; whereby the conductance of the semiconductor region in the channel can be influenced by potentials applied separately or to both the first gate electrode and the second gate electrode. | 11-20-2008 |
20080283826 | ARYL DICARBOXYLIC ACID DIIMIDAZOLE-BASED COMPOUNDS AS N-TYPE SEMICONDUCTOR MATERIALS FOR THIN FILM TRANSISTORS - A thin film transistor comprises a layer of organic semiconductor material comprising an organic semiconductor material that comprises an aryl dicarboxylic acid diimidazole-based compound. Such transistors can further comprise spaced apart first and second contact means or electrodes in contact with said material. Further disclosed is a process for fabricating ac thin film transistor device, preferably by sublimation or solution-phase deposition onto a substrate, wherein the substrate temperature is no more than 150° C. | 11-20-2008 |
20080283827 | FUSED-FLUORENE-CONTAINING MATERIALS AS SEMICONDUCTOR MATERIALS FOR THIN FILM TRANSISTORS - A thin film transistor comprises a layer of organic semiconductor material comprising an organic semiconductor material that comprises fused-fluorene-containing materials. Such transistors can further comprise spaced apart first and second contact means or electrodes in contact with said material. Further disclosed is a process for fabricating a thin film transistor device, preferably by sublimation or solution-phase deposition onto a substrate, wherein the substrate temperature is no more than 150° C. | 11-20-2008 |
20080283828 | Organic semiconductor polymer having liquid crystal properties, organic active layer, organic thin film transistor, and electronic device including the same, and methods of fabricating the same - Example embodiments relate to an organic semiconductor polymer, in which fused thiophenes having liquid crystal properties and aromatic compounds having N-type semiconductor properties are alternately included in the main chain of the polymer, an organic active layer, an organic thin film transistor (OTFT), and an electronic device including the same, and methods of preparing the organic semiconductor polymer, and fabricating the organic active layer, the OTFT and the electronic device using the same. This organic semiconductor polymer has improved organic solvent solubility, processability, and thin film properties, and may impart increased charge mobility and decreased off-state leakage current when applied to the channel layer of the organic thin film transistor. | 11-20-2008 |
20080283829 | Organic insulator composition including a hydroxyl group-containing polymer, dielectric film and organic thin film transistor using the same - An organic insulator composition including a crosslinking agent and a hydroxyl group-containing oligomer or hydroxyl group-containing polymer is provided. A dielectric film and an organic thin film transistor (OTFT) using an organic insulator composition are also provided. A dielectric film may include a compound having hydroxyl group-containing oligomers or hydroxyl group-containing polymers linked by crosslinking using a crosslinking agent having at least two vinyl ether groups. An organic thin film transistor may include a gate electrode on a substrate, a gate insulating layer on the gate electrode, source and drain electrodes on the gate insulating layer and an organic semiconductor layer contacting the gate insulating layer, wherein the gate insulating layer includes an dielectric film as described above. | 11-20-2008 |
20080290337 | Ultrathin Dielectrics and the Application Thereof in Organic Field Effect Transistors - An organic field effect transistor, having a substrate, a source electrode, a drain electrode and a gate electrode and an organic semiconductor material is disclosed. Arranged between the gate electrode and the organic semiconductor material is a dielectric layer (gate dielectric) obtained from a self-assembled monolayer of an organic compound having an anchor group, a linker group, a head group, and an aliphatic orientating group, the anchor group, the linker group, the head group, and the aliphatic orientating group being combined with one another in the order stated. | 11-27-2008 |
20080290338 | Hyperbranched polymer, organic light-emitting diode including organic layer including the hyperbranched polymer, and method of manufacturing the organic light-emitting diode - Provided are a hyperbranched polymer represented by Formula 1 below, an organic light-emitting diode including an organic layer including the hyperbranched polymer, and a method of manufacturing the organic light-emitting diode: | 11-27-2008 |
20080290339 | ORGANIC TRANSISTOR, METHOD OF FORMING ORGANIC TRANSISTOR AND ORGANIC EL DISPLAY WITH ORGANIC TRANSISTOR - The present invention is directed to manufacturing an organic transistor with an organic semiconductor film formed by a coating method, without involving a process of forming a rib for forming the organic semiconductor film. To be more specific, the organic transistor of the present invention includes: (1) a source electrode part and a drain electrode part which are formed on a substrate; (2) rib selectively formed on part of the source electrode part and the drain electrode part; (3) an organic semiconductor film placed in the region defined by the ribs and connecting the source electrode part and the drain electrode part; and (4) a gate electrode formed on the organic semiconductor film through a gate insulating film. The organic transistor of the present invention is characterized in that there is a gap between the rib formed on the source electrode part and the rib formed on the drain electrode part. | 11-27-2008 |
20080296560 | Method for Manufacturing Semiconductor Device - The present invention provides a method for manufacturing a semiconductor device which can reduce characteristic deterioration due to impurity incorporation. The present invention also provides a semiconductor device and an electric appliance with reduced characteristic deterioration due to the impurity incorporation. The method for manufacturing a semiconductor device has a process for depositing an organic semiconductor. In addition, a process for introducing and exhausting gas having low reactivity while heating a treater so that temperature in the inside of the treater is higher than sublimation temperature of the organic semiconductor after taking a subject deposited with the organic semiconductor from the treater. | 12-04-2008 |
20080296561 | Memory Device and Semiconductor Device - The present invention provides a memory device which has a memory element having a simple structure in which a composition layer is sandwiched between a pair of conductive layers. With this characteristic, a memory device which is involatile, easily manufactured, and additionally recordable can be provided. A memory device of the present invention has plural memory cells, plural bit lines extending in a first direction, and plural word lines extending in a second direction which is perpendicular to the first direction. Each of the plural memory cells has a memory element. The memory element comprises a first conductive layer forming the bit line, a second conductive layer forming the word line, and a composition layer to be hardened by an optical action. The composition layer is formed between a first conductive layer and a second conductive layer. | 12-04-2008 |
20080296562 | Methods and apparatus for fabricating carbon nanotubes and carbon nanotube devices - Methods and apparatus for fabricating carbon nanotubes (CNTs) and carbon nanotube devices. These include a method of fabricating self-aligned CNT field-effect transistors (FET), a method and apparatus of selectively etching metallic CNTs and a method and apparatus of fabricating an oxide in a carbon nanotube (CNT) device. These methods and apparatus overcome many of the disadvantages and limitations of the prior art. | 12-04-2008 |
20080296563 | Carbon nanotube field effect transistor - A nanotube-based flexible field effect transistor and its method of manufacture is provided. The field effect transistor according to the invention comprises at least two contact electrodes, respectively drain and source electrodes, an electrical conduction zone connected to the contact electrodes, said zone comprising a plurality of single-wall carbon nanotubes that are substantially aligned, a gate electrode for controlling the electric current circulating in said zone and a flexible substrate on which the contact and gate electrodes are deposited. The nanotube density in the conduction zone is strictly greater than 10 nanotubes per micrometer. | 12-04-2008 |
20080296564 | PHOTOELECTRIC CONVERSION ELEMENT AND SOLAR CELL - A photoelectric conversion element having a pair of electrodes, and a semiconductor layer comprising a semiconductor carrying a dye and an electrolyte layer is disclosed. The dye is represented by Formulas 1 or 2; | 12-04-2008 |
20080296565 | METHOD OF FABRICATING POLYCRYSTALLINE SILICON LAYER, TFT FABRICATED USING THE SAME, METHOD OF FABRICATING TFT, AND ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE HAVING THE SAME - A method of fabricating a polycrystalline silicon layer includes: forming an amorphous silicon layer on a substrate; crystallizing the amorphous silicon layer into a polycrystalline silicon layer using a crystallization-inducing metal; forming a metal layer pattern or metal silicide layer pattern in contact with an upper or lower region of the polycrystalline silicon layer corresponding to a region excluding a channel region in the polycrystalline silicon layer; and annealing the substrate to getter the crystallization-inducing metal existing in the channel region of the polycrystalline silicon layer to the region in the polycrystalline silicon layer having the metal layer pattern or metal silicide layer pattern. Accordingly, the crystallization-inducing metal existing in the channel region of the polycrystalline silicon layer can be effectively removed, and thus a thin film transistor having an improved leakage current characteristic and an OLED display device including the same can be fabricated. | 12-04-2008 |
20080296566 | Making organic thin film transistor substrates for display devices - An organic thin film transistor substrate for a display device includes a gate line, a data line insulated from the gate line, at least two organic thin film transistors, each of which is connected between the gate line and the data line, and both of which are commonly connected to a main drain electrode, and a pixel electrode connected to the main drain electrode. | 12-04-2008 |
20080303019 | Side Chain-Containing Type Organic Silane Compound, Thin Film Transistor and Method of Producing Thereof - A side chain-containing type organic silane compound represented by the formula (I) R—SiX | 12-11-2008 |
20080308789 | Field Effect Transistor and Method of Producing the Same - An object of the present invention is to provide a field effect transistor showing high field-effect mobility and a high ON/OFF ratio, which can be produced simply by using a porphyrin compound with excellent crystallinity and orientation. The field effect transistor according to the present invention transistor contains at least an organic semiconductor layer, wherein the organic semiconductor layer contains at least a porphyrin compound and has a maximum diffraction intensity I | 12-18-2008 |
20080308790 | Organic Siloxane Film, Semiconductor Device Using the Same, Flat Panel Display Device, and Raw Material Liquid - Disclosed is materials design for prolonging the duration of the low relative dielectric constant of an organic siloxane film having a low relative dielectric constant. Specifically, in an organic siloxane film having a relative dielectric constant of not more than 2.1, the elemental ratio of carbon to silicon in the film is set to not less than 0.10 and not more than 0.55. | 12-18-2008 |
20080308791 | ORGANIC FIELD EFFECT TRANSISTOR AND MAKING METHOD - In an organic field effect transistor with an electrical conductor-insulator-semiconductor structure, the semiconductor layer is made of an organic compound, and the insulator layer is made of a polymer obtained through polymerization or copolymerization of 2-cyanoethyl acrylate and/or 2-cyanoethyl methacrylate. | 12-18-2008 |
20080308792 | DISPLAY DEVICE - A single-crystal semiconductor layer which is separated from a single-crystal semiconductor substrate, and bonded to and provided over a supporting substrate is used, whereby a transistor having uniform characteristics can be formed. A reference circuit having a bipolar transistor is provided, whereby temperature dependence of a driving transistor which is driven by supplying current to the light-emitting element of a pixel is compensated. | 12-18-2008 |
20080308793 | Composition and organic insulator prepared using the same - Disclosed are a composition including a silane-based organic/inorganic hybrid material having a multiple bond and one or more organic metal compounds and/or one or more organic polymers, an organic insulator including the composition, an organic thin film transistor (OTFT) including the organic insulator and an electronic device including the OTFT. The organic insulator including the composition for preparing an organic insulator has increased charge mobility and an increased on/off current ratio, thus exhibiting improved properties, and the organic thin film transistor manifests uniform properties due to the absence of hysteresis. | 12-18-2008 |
20080308794 | Light-emitting device, electronic device, and manufacturing method of light-emitting device - The present invention provides a light-emitting element and a light-emitting device which have high contrast, and specifically, provides a light-emitting device whose contrast is enhanced, not by using a polarizing plate but using a conventional electrode material. Reflection of external light is suppressed by provision of a light-absorbing layer included between a non-light-transmitting electrode and a light-emitting layer. As the light-absorbing layer, a layer is used, which is obtained by adding a halogen atom into a layer including an organic compound and a metal oxide. Further, the light-absorbing layer is formed also over a region in which a thin film transistor for driving a light-emitting element is formed, a region in which a wiring is formed, and the like, and thus light is extracted from the side opposite to the region in which the TFT is formed, thereby reducing reflection of external light. | 12-18-2008 |
20080315185 | Photodetector - A photodetector comprising: at least one electron transporting organic material; and at least one hole transporting material, wherein said at least one electron transporting organic material has an ionization potential of more than 5.5 eV. | 12-25-2008 |
20080315186 | Organic Semiconductor Device and Organic Semiconductor Thin Film - An organic semiconductor device includes a channel forming region including an organic semiconductor thin film which is composed of an organic semiconductor material having an oxidation or reduction mechanism in units of two-π-electrons and a two- or three-dimensional conduction path. It is thus possible to provide an organic semiconductor device including an organic semiconductor thin film based on an organic semiconductor thin film composed of an organic semiconductor material which can be dissolved in an organic solvent at a low temperature (e.g., room temperature) and is suitable for use in a coating process. | 12-25-2008 |
20080315187 | ENHANCING PERFORMANCE CHARACTERISTICS OF ORGANIC SEMICONDUCTING FILMS BY IMPROVED SOLUTION PROCESSING - Improved processing methods for enhanced properties of conjugated polymer films are disclosed, as well as the enhanced conjugated polymer films produced thereby. Addition of low molecular weight alkyl-containing molecules to solutions used to form conjugated polymer films leads to improved photoconductivity and improvements in other electronic properties. The enhanced conjugated polymer films can be used in a variety of electronic devices, such as solar cells and photodiodes. | 12-25-2008 |
20080315188 | Apparatus and method for depositing thin film - In a thin film depositing apparatus, a first reaction gas, a second reaction gas, and a non-volatile gas are supplied to a reaction chamber in order to form a protective layer, in which an organic layer and an inorganic layer are alternately stacked, on a process substrate. The first reaction gas is supplied to the reaction chamber only while the inorganic layer is formed on the process substrate, and the second reaction gas and the non-volatile gas are supplied to the reaction chamber through while the inorganic and organic layers are formed on the process substrate. Thus, the discontinuous surfaces may be prevented from being formed between the organic layer and the inorganic layer, thereby preventing the peeling of the organic and inorganic layers and increasing light transmittance. | 12-25-2008 |
20080315189 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode (OLED) display device and a method of fabricating the same capable of minimizing the number of process operations and a decrease in aperture ratio. The OLED display device includes a compensation circuit to compensate for a threshold voltage of a driving transistor. A pixel circuit of the OLED display device can be stably driven, can minimize a threshold voltage of a driving transistor using a minimized structure, and can increase an aperture ratio of the display device. | 12-25-2008 |
20080315190 | Organic Thin Film Transistor and Method for Surface Modification of Gate Insulating Layer of Organic Thin Film Transistor - This invention provides an organic thin film transistor, which can realize the modification of the surface of a gate insulating layer not only the case where the gate insulating layer is formed of an oxide, but also the case where the gate insulating layer is formed of a material other than the oxide and consequently can significantly improve transistor characteristics, and a method for surface modification of a gate insulating layer in the organic thin film transistor. In an organic thin film transistor comprising a gate insulating layer, an organic semiconductor layer stacked on the gate insulating layer, and an electrode provided on the organic semiconductor layer, a polyparaxylylene layer formed of a continuous polyparaxylylene film is formed on the surface of the gate insulating layer, between the gate insulating layer and the organic semiconductor layer, so as to face and contact with the organic semiconductor layer. | 12-25-2008 |
20080315191 | Organic Thin Film Transistor Array and Method of Manufacturing the Same - An n-type TFT and a p-type TFT are realized by selectively changing only a cover coat without changing a TFT material using an equation for applying the magnitude of a difference in the Fermi energy between an interface of semiconductor and an electrode and between an interface of semiconductor and insulator. At this time, in order to configure a predetermined circuit, the process is performed, as a source electrode and a drain electrode of the p-type TFT and a source electrode and a drain electrode of the n-type TFT being connected all, respectively, and an unnecessary interconnection is cut by irradiating light using a scanning laser exposure apparatus or the like. | 12-25-2008 |
20080315192 | Integrated Circuit Comprising an Organic Semiconductor, and Method for the Production of an Integrated Circuit - An embodiment of the invention provides an integrated circuit having an organic field effect transistor (OFET) with a dielectric layer. The dielectric layer is prepared from a polymer formulation comprising: about 100 parts of at least one crosslinkable base polymer, from about 10 to about 20 parts of at least one di- or tribenzyl alcohol compound as an electrophilic crosslinking component, from about 0.2 to about 10 parts of at least one photo acid generator, and at least one solvent. Another embodiment provides a semiconductor fabrication method. The method comprises applying the polymer formulation to a surface of a substrate, drying the polymer formulation, crosslinking the polymer formulation after drying, and baking the polymer formulation after crosslinking. | 12-25-2008 |
20090001353 | HETEROPYRENE-BASED SEMICONDUCTOR MATERIALS FOR ELECTRONIC DEVICES AND METHODS OF MAKING THE SAME - A thin layer of organic semiconductor material comprising a comprising an organic semiconductor thin film material is disclosed in which the thin film material substantially comprises a heteropyrene compound or derivative. In one embodiment, a thin film transistor comprises a layer of the organic semiconductor material. Further disclosed is a process for fabricating an organic thin-film transistor device, preferably by relative low-temperature sublimation or solution-phase deposition onto a substrate. | 01-01-2009 |
20090001354 | HETEROCYCLOALKYL-SUBSTITUTED NAPHTHALENE-BASED TETRACARBOXYLIC DIIMIDE COMPOUNDS AS N-TYPE SEMICONDUCTOR MATERIALS FOR THIN FILM TRANSISTORS - A thin film transistor comprises a layer of organic semiconductor material comprising a tetracarboxylic diimide naphthalene-based compound having, attached to one or both of the imide nitrogen atoms, a substituted or unsubstituted heterocycloalkyl ring system. Such transistors can further comprise spaced apart first and second contacts or electrodes in contact with said material. Further disclosed is a process for fabricating an organic thin-film transistor device, preferably by sublimation deposition onto a substrate, wherein the substrate temperature is no more than 200° C. | 01-01-2009 |
20090001355 | POLYMERIC MATERIAL, METHOD OF FORMING THE POLYMERIC MATERIAL, AND METHOD OF FORMING A THIN FILM USING THE POLYMERIC MATERIAL - A method of forming a polymeric material with a pendant polycyclic aromatic compound precursor includes forming a polycyclic aromatic compound precursor (e.g., a pentacene precursor) including at least one polymerizable functionality, and polymerizing the polymerizable functionality to form the polymeric material with the pendant precursor. | 01-01-2009 |
20090001356 | ELECTRONIC DEVICES HAVING A SOLUTION DEPOSITED GATE DIELECTRIC - An electronic device comprises a solution deposited gate dielectric, the gate dielectric comprising a dielectric material formed by polymerizing a composition comprising a polymerizable resin and zirconium oxide nanoparticles. | 01-01-2009 |
20090001357 | Novel Condensed Polycyclic Aromatic Compound and Use Thereof - The object of the present invention to provide an organic semiconductor device comprising an organic semiconductor material satisfying both the requirement of high electron field-effect mobility and high on/off current ratio. The present invention provides a novel condensed polycyclic aromatic compound satisfying both the high electron field-effect mobility and high on/off current ratio required for organic semiconductor materials. | 01-01-2009 |
20090001358 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting device and a method of manufacturing the same are disclosed. The organic light emitting device includes a substrate, a gate electrode on the substrate, a first insulating film insulating the gate electrode, a semiconductor layer positioned opposite to the gate electrode, a second insulating film insulating the semiconductor layer, source and drain electrodes connected to the semiconductor layer, a first electrode connected to one of the source and drain electrodes, a third insulating film including an opening that exposes the first electrode, a second electrode positioned opposite to the first electrode, and a light emitting layer positioned between the first electrode and the second electrode. A taper angle of an edge area of the third insulating film contacting the first electrode lies substantially in a range between 10° and 50°. | 01-01-2009 |
20090001359 | Redox Systems for Stabilization and Life Extension of Polymer Semiconductors - The invention relates to an organic electronic component with improved voltage stability and a method for producing it, wherein the voltage stability in the device is improved by targeted addition of additives and/or by formation of an interlayer. The invention for the first time makes it possible to stabilize organic electronic components by modification with a reducing, oxidizing and/or redox system comprising one and/or more functional materials and/or by incorporation of one or more interlayers comprising, as main constituent, a reducing, oxidizing and/or redox system, primarily in the area of relatively high voltages. | 01-01-2009 |
20090001360 | ORGANIC EL DISPLAY AND METHOD FOR PRODUCING THE SAME - The present invention provides an organic electroluminescence display having an organic EL element and a thin film field-effect transistor formed on the organic EL element, wherein an electrically conductive etching protective layer which is electrically connected to an upper electrode is disposed between the upper electrode and the thin film field-effect transistor, a protective insulating layer is disposed between the electrically conductive etching protective layer and the thin film field-effect transistor, and a source electrode or a drain electrode of the thin film field-effect transistor and the electrically conductive etching protective layer are electrically connected through a contact hole formed in the protective insulating layer; and a method for producing thereof. | 01-01-2009 |
20090001361 | Thin-film transistor device and a method for manufacturing the same - The present invention provides a method of manufacturing a thin-film transistor device. This method enables improvement in performance of a complementary TFT circuit incorporated in a thin- and light-weighted image display device or a flexible electronic device and also enables reduction of power consumption and reduction of manufacturing cost of the circuit. Further in the method, the number of manufacturing steps is decreased so that mass production and growth in size of thin film transistor devices are facilitated through a printing technique. In this method, electrodes forming n-type and p-type TFT and an organic semiconductor are made of the same material in both types of TFT by the solution-process and/or printable process method. A first polarizable thin-film | 01-01-2009 |
20090001362 | Organic Thin Film Transistor and Manufacturing Process the Same - Described is a SIT type organic thin film transistor in which gate electrodes are formed as a conductive layer where a plurality of wire-shaped conductive materials are arranged in such a manner that a distance to the nearest wire is 100 nm or less at any point in the space between the wires or a semiconductor portion (B) between the gate electrodes has a rectangular cross section formed by a length of shorter sides in the range of 20 nm to 200 nm and a length of longer side 2 μm or more. This provides an organic thin film transistor which can be fabricated easily at a low temperature, at a low cost, and with high-speed drive ability, a high ON/OFF ratio, and a high controllability. | 01-01-2009 |
20090008633 | NONVOLATILE MEMORY DEVICE USING CONDUCTIVE ORGANIC POLYMER HAVING NANOCRYSTALS EMBEDDED THEREIN AND METHOD OF MANUFACTURING THE NONVLATILE MEMORY DEVICE - A nonvolatile memory device and a method of manufacturing the same are provided. The nonvolatile memory device which is convertible among a high current state, an intermediate current state, and a low current state, said device includes upper and lower conductive layers; a conductive organic layer comprising a conductive organic polymer and which is formed between the upper and lower conductive layers and has a bistable conduction property; and nanocrystals are formed in the conductive organic layer. The conductive organic polymer may be poly-N-vinylcarbazole (PVK) or polystyrene (PS). The method is characterized in that a conductive organic layer is formed by applying a conductive organic material such as PVK or PS using spin coating. Therefore, it is possible to provide a highly-integrated memory device that consumes less power and provides high operating speed. In addition, it is possible to provide the thermal stability of a memory device by using a conductive organic polymer. Moreover, it is possible to reduce the time required to deposit a conductive organic layer by forming a conductive layer using spin coating. Furthermore, it is possible to form a conductive organic layer in various shapes by using mask patterns that can be formed on a substrate in various shapes. | 01-08-2009 |
20090008634 | Transistor Structures and Methods of Fabrication Thereof - An electronic device is presented, such as a thin film transistor. The device comprises a patterned electrically-conductive layer associated with an active element of the electronic device. The electrically-conductive layer has a pattern defining an array of spaced-apart electrically conductive regions. This technique allows for increasing an electric current through the device. | 01-08-2009 |
20090008635 | COLUMNAR ELECTRIC DEVICE AND PRODUCTION METHOD THEREOF - A sensor whose size can be decreased without marring the performance and which can be installed in a narrow place, an electric device, and a method for easily manufacturing the electric device. By vacuum deposition of semiconductor on a columnar body or by applying a melt, solution, or gel of semiconductor to the columnar body, a coating of semiconductor is formed. Four insulating wires, a stripe band of the connected four insulating wires are wound around the columnar body. Then, one of the insulating wires is removed to form a copper wire in the vacant portion by copper vacuum deposition. Lastly, another insulating wire not adjacent to the copper wire is removed to form an aluminum wire in the vacant portion by aluminum vacuum deposition. By measuring the resistance between the copper and aluminum wires, the intensity of light striking the semiconductor can be determined. | 01-08-2009 |
20090014715 | ORGANIC TRANSISTOR, ORGANIC TRANSISTOR ARRAY, AND DISPLAY APPARATUS - An off-current is reduced in an organic transistor, with which an organic transistor array is formed. A display apparatus is constructed using the organic transistor array. The organic transistor includes a substrate, a gate electrode, a separating electrode, a gate insulating film, a source electrode, a drain electrode, and an organic semiconductor layer. The organic transistor has a region in which the separating electrode and the organic semiconductor layer are laminated. A power supply is connected to the separating electrode. | 01-15-2009 |
20090014716 | ORGANIC THIN-FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - A disclosed organic thin-film transistor includes a gate electrode formed on a substrate, a gate insulation film formed on the gate electrode, a source electrode and a drain electrode formed, with a gap inbetween, at least over the gate electrode on which the gate insulation film is formed, an organic semiconductor layer formed in a region including the gap, an interlayer insulation film formed to cover the organic semiconductor layer, and a conductive layer formed on the interlayer insulation film and connected to the drain electrode. A part of the organic semiconductor layer is formed on the interlayer insulation film. | 01-15-2009 |
20090020749 | SEMICONDUCTIVE CROSSLINKABLE POLYMER COMPOSITION - The present invention relates to a crosslinkable polymer composition which is useful for the preparation of semiconductive layers of electric cables, the polymer composition comprising (a) an unsaturated polyolefm having at least 0.15 vinyl groups/1000 carbon atoms and (b) carbon black. | 01-22-2009 |
20090020750 | ACTIVE SEMICONDUCTOR DEVICES - Apparatus including a support body; an organic semiconductor composition body on the support body, —and a first body including a hydrogenated vinylaromatic-diene block copolymer on the organic semiconductor composition body. Apparatus including a support body, —a first body including a hydrogenated vinylaromatic-diene block copolymer on the support body; and an organic semiconductor composition body on the first body. Techniques for making an apparatus. | 01-22-2009 |
20090020751 | Method of forming thin film patterning substrate including formation of banks - Display devices such as EL elements or LED elements, are formed from thin film elements having banks of prescribed height and a thin film layer formed by an ink jet method in areas to be coated that are partitioned by those banks. The banks may be formed of an organic material on a bank formation surface configured of an inorganic material, plasma treatment is performed under conditions that the induction gas is fluorine-based and that fluorine is present excessively, and the areas enclosed by the banks subjected to surface treatment are filled with the liquid thin film material to form the thin film layer or layers. | 01-22-2009 |
20090026443 | ORGANIC THIN-FILM TRANSISTOR AND METHOD OF MANUFACTURE THEREOF - A durable organic thin-film transistor and a method of manufacture thereof, the organic thin-film transistor having: a source electrode and a drain electrode arranged mutually separated; an organic semiconductor layer interposed between the source electrode and the drain electrode; and a gate electrode arranged to face said organic semiconductor layer which is between said source electrode and said drain electrode, with a gate insulating film being provided between said gate electrode and said organic semiconductor layer, wherein the gate insulating film includes an organic compound and particles of an inorganic compound dispersed in the organic compound, and a flattened film is provided between the source electrode and the drain electrode, or the gate electrode and the gate insulating film. | 01-29-2009 |
20090026444 | Organic thin film transistor array panel and manufacturing method of the same - An organic thin film transistor array panel includes a substrate, a gate line formed on the substrate and including a gate electrode. A gate insulating layer is formed on the gate electrode and a data line is formed on the gate insulating layer, intersecting the gate line, and including a drain electrode. A source electrode is formed on the gate insulating layer and is spaced apart from the drain electrode, enclosed by the drain electrode. A bank insulating layer includes a first opening exposing the drain electrode and the source electrode and a second opening which exposes at least a portion of the source electrode. An organic semiconductor is formed in the first opening and contacts the drain electrode and the source electrode. A pixel electrode contacts the source electrode through the second opening. | 01-29-2009 |
20090026445 | Organic thin film transistor array panel and method for manufacturing the same - A method for manufacturing an organic thin film transistor array panel includes forming a data line including a source electrode and a drain electrode apart from the data line on a substrate and forming a bank insulating layer including a first opening and a second opening on the data line and the drain electrode. An organic semiconductor is formed in the first opening, sequential deposition is performed of an insulating material layer and a metal layer on the bank insulating layer and the organic semiconductor. A first passivation layer is formed on the metal layer which is etched using the first passivation layer as an etch mask to form a gate line including a gate electrode. The insulating material layer is etched using the first passivation layer as an etch mask to form a gate insulating layer. A second passivation layer is formed on the first passivation layer and a pixel electrode is formed on the second passivation layer. | 01-29-2009 |
20090026446 | Organic light emitting device and manufacturing method thereof - An organic light emitting device according to an embodiment includes a thin film transistor substrate including a plurality of thin film transistors and an over-coating film formed on the thin film transistors. The over-coating film includes a curved surface on at least two pixels among pixels of different colors and the slope angles of depressed portions forming the curved surface are respectively different from each other depending on the colors of the pixels. A plurality of first electrodes formed on the over-coating film includes a surface formed according to the curved surface, an organic light emitting member formed on the first electrodes includes a surface formed according to the curved surface, and a second electrode formed on the organic light emitting member includes a surface formed according to the curved surface. Slope angles of the depressed portions increase according to a decrease of wavelengths of the colors of the pixels. | 01-29-2009 |
20090026447 | Light emitting device - An organic EL display device having a long lifetime is provided. The light emitting device includes at least one organic compound layer between a pair of electrodes, and the content of an impurity generated from an organic compound in the at least one organic compound layer is 10 ng/cm | 01-29-2009 |
20090026448 | ELECTRONIC COMPONENT, METHOD FOR ITS PRODUCTION AND ITS USE - The present invention relates to an electronic component having at least one anode, at least one cathode, at least one charge injection layer, at least one layer of an organic semiconductor and at least one layer situated between the charge injection layer and the organic semiconductor layer, which component is characterized in that the layer situated between the charge injection layer and the organic semiconductor layer and the organic semiconductor layer are obtainable by coating the charge injection layer with a mixture composing at least one material which can be made insoluble by means of chemical reaction, and at least one organic semiconductor, method for producing said component and use of said component. | 01-29-2009 |
20090032806 | POLYMER COMPOSITE P-N JUNCTION AND METHOD FOR MANUFACTURING SAME AND POLYMER COMPOSITE DIODE INCORPORATING SAME - The present polymer composite p-n junction includes an n-type polymer composite layer and a p-type polymer composite layer. The n-type composite polymer layer includes a first polymer material and a number of electrically conductive particles imbedded therein. The p-type composite polymer layer includes a second polymer material and a number of carbon nanotubes (CNTs) imbedded therein. A method for manufacturing the polymer composite p-n junction and a polymer composite diode incorporating the polymer composite p-n junction are also provided. | 02-05-2009 |
20090032807 | Method of Manufacturing Semiconductor Element, Semiconductor Element, Electronic Device, and Electronic Equipment - The object of the present invention is to provide a method of manufacturing a semiconductor element which can produce a semiconductor element provided with a semiconductor layer having a high carrier transport ability, a semiconductor element manufactured by the semiconductor element manufacturing method, an electronic device provided with the semiconductor element, and electronic equipment having a high reliability. In order to achieve the object, the present invention is directed to a method of manufacturing a semiconductor element having an anode, a cathode, and a hole transport layer provided between the anode and the cathode, the method comprising steps of: a first step for forming layers mainly comprised of a hole transport material having polymerizable groups X on the side of one surface of the anode and on the side of one surface of the cathode, respectively, and a second step for obtaining the hole transport layer by integrating the two layers together by polymerizing the hole transport materials via a polymerization reaction through their polymerizable groups in a state that the layer on the side of the anode and the layer on the side of the cathode are made contact with each other. | 02-05-2009 |
20090032808 | ENHANCING PERFORMANCE CHARACTERISTICS OF ORGANIC SEMICONDUCTING FILMS BY IMPROVED SOLUTION PROCESSING - Improved processing methods for enhanced properties of conjugated polymer films are disclosed, as well as the enhanced conjugated polymer films produced thereby. Addition of low molecular weight alkyl-containing molecules to solutions used to form conjugated polymer films leads to improved photoconductivity and improvements in other electronic properties. The enhanced conjugated polymer films can be used in a variety of electronic devices, such as solar cells and photodiodes. | 02-05-2009 |
20090032809 | Organic thin film transistor and method of manufacturing the same - Disclosed are an organic thin film transistor and a method of manufacturing the same, in which a crystalline organic binder layer is on the surface of an organic insulating layer and source/drain electrodes or on the surface of the source/drain electrodes. The organic thin film transistor may be improved in two-dimensional geometric lattice matching and interface stability at the interface between the organic semiconductor and the insulating layer or at the interface between the organic semiconductor layer and the electrode, thereby improving the electrical properties of the device. | 02-05-2009 |
20090032810 | ORGANIC TRANSISTOR AND ACTIVE-MATRIX SUBSTRATE - An organic transistor includes a gate electrode having a predetermined length, source and drain electrodes overlapping the gate electrode in plan view, a channel region formed of the organic semiconductor between the source and drain electrodes, and a functional portion disposed on a first side of the gate electrode in a length direction thereof and connected to the drain electrode through a strip-like connection wiring line. A strip-like dummy connection wiring line is connected to the drain electrode so as to extend toward a second side of the gate electrode in the length direction thereof and has a width that is less than twice the width of the connection wiring line. The connection wiring line extends to or beyond an edge of the gate electrode on the first side, and the dummy connection wiring line extends to or beyond an edge of the gate electrode on the second side. | 02-05-2009 |
20090032811 | FUNCTIONALIZATION OF POLY(ARYLENE-VINYLENE) POLYMERS FOR ELECTRONIC DEVICES - A method is provided for modifying a poly(arylene vinylene) or poly(heteroarylene vinylene) precursor polymer having dithiocarbamate moieties by reacting it with an acid and further optionally reacting the acid-modified polymer with a nucleophillic agent. Also provided are novel polymers and copolymers bearing nucleophillic side groups which are useful as components of electronic devices, e.g. in the form of thin layers. | 02-05-2009 |
20090039341 | Method for the Manufacturing of a Non-Volatile Ferroelectric Memory Device and Memory Device Thus Obtained - The present invention relates to non-volatile ferroelectric memory devices ( | 02-12-2009 |
20090039342 | Thin film field-effect transistor and process for producing the same - Such a thin film transistor and a process for producing the same are provided that is capable of preventing the FET characteristics from being deteriorated with a short channel length. Such a thin film field-effect transistor and a process for producing the same are provided that contains a substrate | 02-12-2009 |
20090039343 | Transistor - An electrolyte-gated field effect transistor is disclosed, the transistor comprising an electrolyte including a polymeric ionic liquid analogue. In a preferred embodiment, the transistor further comprises a source electrode, a drain electrode disposed so as to be separated from the source electrode, forming a gap between the source and drain electrodes, a semiconductor layer bridging the gap between the source and drain electrodes and thus forming a transistor channel, and a gate electrode positioned so as to be separated from the source electrode, the drain electrode and the semiconductor layer. In this embodiment, the electrolyte is disposed so as to contact at least a part of both the gate electrode and the semiconductor layer. | 02-12-2009 |
20090039344 | POLY[BIS(ETHYNYL)HETEROACENE]S AND ELECTRONIC DEVICES GENERATED THEREFROM - An electronic device comprising a polymer of Formula or Structure (I) | 02-12-2009 |
20090045396 | Composition for forming passivation layer and organic thin film transistor comprising the passivation layer - Disclosed herein is a composition including a perfluoropolyether derivative, a photosensitive polymer or a copolymer thereof, and a photocuring agent, a passivation layer, organic thin film transistor, and electronic device including the same, a method of forming the passivation layer and methods of fabricating the organic thin film transistor and electronic device. The organic thin film transistor may prevent or reduce oxygen and moisture from infiltrating thereinto, and thus may prevent or reduce the degradation of the performance thereof caused by ambient air, prevent or reduce the deterioration thereof, and may more easily be formed into a pattern, thereby exhibiting characteristics suitable for use in electronics. | 02-19-2009 |
20090050878 | MULTIFUNCTION ORGANIC DIODE AND MATRIX PANEL THEREOF - Disclosed is organic diode which is capable of light emitting display by an organic EL display, image sensing by a organic photodiode and power generation by an organic solar cell. Also disclosed is a matrix panel of such a multifunction organic diode. Specifically disclosed is a multifunction organic diode comprising a first electrode ( | 02-26-2009 |
20090050879 | ORGANIC THIN FILM TRANSISTOR AND ACTIVE MATRIX DISPLAY - An organic thin film transistor is disclosed. The organic thin film transistor includes a substrate, a gate electrode , a gate insulating film , a source electrode on the gate insulating film, a drain electrode on the gate insulating film at an interval with the source electrode, and an organic semiconductor layer. The gate insulating film includes an electrode formation region having surface energy modified by energy deposition, one or more corners of the electrode formation region has an obtuse-angled shape, and the source electrode and/or the drain electrode is formed in the electrode formation region so as to have substantially the same corner shape as the electrode formation region having the obtuse-angled shaped corners. | 02-26-2009 |
20090050880 | Method of Fabricating Thin-Film Transistor - The core metal of a protein such as ferritin is used as a nucleus for crystallizing a silicone thin film and then the thus crystallized film is employed in the channel part of a thin-film transistor. By aligning the protein on the surface of amorphous silicone and heating, the crystallinity is controlled. In the case of ferritin, the core diameter of the protein is 7 mm. That is, this protein is highly even in size (i.e., the metal content). Thus, the amount of the protein to be deposited on the amorphous silicone surface can be accurately controlled by controlling the protein core density. Furthermore, the type of the core metal can be altered by chemical reactions and the above method is applicable not only to amorphous silicone but also to amorphous films of various types such as germanium. Thus, the amount of nickel required in crystallization is controlled by using a protein. Moreover, the distribution density of the nickel core is controlled to thereby conduct crystallization at a desired crystal size. | 02-26-2009 |
20090050881 | PHOTOELECTRIC CONVERSION ELEMENT, METHOD FOR PRODUCING PHOTOELECTRIC CONVERSION ELEMENT, AND SOLID-STATE IMAGING DEVICE - A photoelectric conversion element is provided and includes a photoelectric conversion portion. The photoelectric conversion portion includes: a pair of electrodes; and a photoelectric conversion layer between the pair of electrodes, and at least part of the photoelectric conversion layer includes a mixed layer of a p-type organic semiconductor and a fullerene, and a mixing ratio of the fullerene to the p-type organic semiconductor in terms of thickness ratio is less than 1:1. | 02-26-2009 |
20090050882 | Organic thin film transistor - An organic thin film transistor including: a substrate; a gate electrode placed on the substrate; a gate insulating film placed on the gate electrode; a source electrode and a drain electrode which are placed on the gate insulating film; an organic semiconductor layer placed on the gate insulating film between the source electrode and the drain electrode; a hole transport layer placed on the organic semiconductor layer; an electron transport layer placed on the hole transport layer; and a conductor layer placed on the electron transport layer; the organic thin film transistor which characteristics are stable by being protected from oxygen or moisture and being protected electromagnetically and which is suitable for integration. | 02-26-2009 |
20090050883 | Method of manufacturing organic electroluminescent device and organic electroluminescent device - An organic electroluminescent device, which, on a substrate, has a plurality of first electrodes, and a second electrode opposing the plurality of first electrodes. The organic electroluminescent device also including a light-emitting functional layer between the second electrode and one of the first electrodes and a buffering layer that covers the second electrode. The buffering layer having a side end portion with an angle equal to or less than 30°. The organic electroluminescent device further including a gas barrier layer that covers the buffering layer. | 02-26-2009 |
20090057655 | ORGANIC THIN FILM TRANSISTOR COMPRISING GATE ELECTRODE OF NANOCRYSTALLINE CONDUCTIVE CARBON LAYER, FABRICATION METHOD THEREOF, AND ORGANIC SEMICONDUCTOR DEVICE COMPRISING THE SAME - Provided are an organic thin film transistor (OTFT) and a fabrication method thereof, an organic semiconductor device having the OTFT, and a flexible display device having the OTFT. The OTFT includes a substrate, a gate electrode, an insulating layer, an active layer, and a source/drain electrode. The gate electrode may be made of a nanocrystalline carbon layer. | 03-05-2009 |
20090057656 | Thin film transistor and method for manufacturing the same - One embodiment of the present invention is a thin film transistor, including: an insulating substrate; a gate electrode and a gate insulator being formed on the insulating substrate, in this order; a source electrode and a drain electrode formed on the gate insulator, surface preparation of the source electrode and the drain electrode being performed with a compound having a functional group with an electron-withdrawing property; and a semiconductor film formed on the gate insulator, the film being formed between the source electrode and the drain electrode. | 03-05-2009 |
20090057657 | Method for forming pattern arrays and organic devices including the pattern arrays - The present invention includes forming a hydrophobic thin film on a substrate, removing a portion of the first hydrophobic thin film to form a first hydrophilic region, coating a first organic solution on the substrate and selectively wetting the first hydrophilic region, drying the first organic solution to form a first organic thin film pattern in the first hydrophilic region, forming a second hydrophobic thin film on the first organic thin film pattern, coating a second organic solution and selectively wetting the second organic solution, and drying the second organic solution to form a second organic thin film pattern. | 03-05-2009 |
20090057658 | ORGANIC THIN FILM TRANSISTOR SUBSTRATE AND METHOD OF MANUFACTURING THE SAME - An organic thin film transistor substrate includes a substrate, a gate line on a surface of the substrate, a gate insulating layer insulating on the gate line, a data line on the gate insulating layer, an organic thin film transistor connected to the gate line and the data line, the organic thin film transistor including an organic semiconductor layer, a bank-insulating layer positioned at least in part on the data line, the bank-insulating layer including a wall portion which defines a pixel area, and a pixel electrode formed in the pixel area. | 03-05-2009 |
20090057659 | PHOTOELECTRIC CONVERSION ELEMENT, SOLID-STATE IMAGE PICKUP DEVICE, AND MANUFACTURING METHOD OF THE PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element comprises: a pair of electrodes; and an organic photoelectric conversion layer between the pair of electrodes, wherein one of the electrodes is a first electrode that collects electrons generated in the organic photoelectric conversion layer; the other one of the electrodes is a second electrode that collects holes generated in the organic photoelectric conversion layer; and the photoelectric conversion element further comprises a hole blocking layer that comprises silicon oxide and inhibits injection of holes into the organic photoelectric conversion layer from the first electrode while applying a bias voltage between the electrodes, the hole blocking layer being disposed between the first electrode and the organic photoelectric conversion layer, and an oxygen/silicon composition ratio of the silicon oxide is 0.5 or greater and 1.2 or less. | 03-05-2009 |
20090057660 | METHOD OF FABRICATING SUBSTRATELESS THIN FILM FIELD-EFFECT DEVICES AND AN ORGANIC THIN FILM TRANSISTOR OBTAINABLE BY THE METHOD - A method for the manufacture of a thin-film field-effect device comprising, on a mechanical support layer, source and drain electrodes (S, D), a layer of semiconductor material (SC) for the formation of a conduction channel, and a gate electrode (G) insulated from the channel region, is described. The method provides for the use of a mechanical support layer in the form of a film (INS) of flexible, electrically-insulating material; for the formation of the source and drain electrodes (S, D) in accordance with a predetermined configuration on a first surface of the insulating film; and for the formation of the gate electrode (G) on the opposite surface of the insulating film (INS) in accordance with a predetermined configuration complementary with the configuration of the source and drain electrodes (S, D), that configuration being achieved by a lithographic technique by selective masking determined by the source and drain electrodes (S, D) which are formed on the first surface of the film (INS). | 03-05-2009 |
20090065766 | DIKETOPYRROLOPYRROLE-BASED POLYMERS - A polymer has a structure represented by: | 03-12-2009 |
20090065767 | MULTIPLE CONDUCTIVE LAYER TFT - The present invention relates to a multiple layer pixel architecture for an active matrix display in which a common bus line is formed on a metal level which is separate from that on which the gate electrodes of the TFTs are formed. A multilayer electronic structure adapted to solution deposition, the structure including a thin film transistor (TFT) for driving a pixel of an active matrix optoelectronic device and a capacitor for storing charge to maintain an electrical state of said active matrix pixel, wherein the structure comprises a substrate bearing at least four conducting layers separated by at least three dielectric layers, first and second ones of said conducting layers defining drain/source electrodes and a gate electrode of said transistor respectively, and third and fourth ones of said conducting layers defining respective first and second plates of said capacitor, and wherein said capacitor and said transistor are laterally positioned such that they overlap in a vertical direction. | 03-12-2009 |
20090065768 | Memory Element and Semiconductor Device - To provide a memory element, a memory device, and a semiconductor device, which can be easily manufactured at low cost; are nonvolatile and data-rewritable; and have preferable switching properties and low operating voltage. A memory element of the invention includes a first conductive layer, a second conductive layer facing the first conductive layer, and an organic compound layer provided between the first and the second conductive layers. For the organic compound layer, a high molecular material having an amide group at least at one kind of side chains is used. | 03-12-2009 |
20090065769 | Semiconductor device amd method for manufacturing the same - It is an object of the present invention to provide a technique in which a high-performance and high reliable memory device and a semi-conductor device provided with the memory device are manufactured at low cost with high yield. The semiconductor device includes an organic compound layer including an insulator over a first conductive layer and a second conductive layer over the organic compound layer including an insulator. Further, the semiconductor device is manufactured by forming a first conductive layer, discharging a composition of an insulator and an organic compound over the first conductive layer to form an organic compound layer including an insulator, and forming a second conductive layer over the organic compound layer including an insulator. | 03-12-2009 |
20090065770 | FUSED RING COMPOUND AND METHOD FOR PRODUCING SAME, POLYMER, ORGANIC THIN FILM CONTAINING THOSE, AND ORGANIC THIN FILM DEVICE AND ORGANIC THIN FILM TRANSISTOR COMPRISING SUCH ORGANIC THIN FILM - It is an object of the present invention to provide a fused ring compound which can exhibit sufficient charge transport properties and which has excellent solubility in a solvent. The fused ring compound according to the present invention is represented by the following general formula (1), | 03-12-2009 |
20090072224 | FIELD EFFECT TRANSISTOR - A field effect transistor including a gate isulation portion, an organic semiconductor portion, a source electrode and a drain electrode, wherein when a voltage is applied to the gate at 70° C. for 5.0±0.1 hours so that the field strength in the gate insulation portion would be 100±5 MV/m, the change in the threshold voltage is within 5 V. The organic semiconductor portion has a high driving stability, of which the change in characteristics by driving is thereby small. | 03-19-2009 |
20090072225 | FLAT PANEL DISPLAY DEVICE HAVING ORGANIC THIN FILM TRANSISTOR AND MANUFACTURING METHOD THEREOF - Provided is a flat panel display device having an organic TFT and a manufacturing method thereof. The flat panel display device includes a first organic TFT having a first organic semiconductor active layer, and a second organic TFT having a second organic semiconductor active layer. At this point, the particle size of the organic semiconductor crystal of the first organic semiconductor active layer is greater than that of the organic semiconductor crystal of the second organic semiconductor active layer. | 03-19-2009 |
20090072226 | DISPLAY DEVICE HAVING ORGANIC THIN FILM TRANSISTOR - Provided is a display device having an organic TFT. The display device includes the organic TFT on a substrate, a passivation layer covering the organic TFT, and a bank layer on the passivation layer. At this point, at least one of the passivation layer and the bank layer is formed of a material blocking light incident from a portion on the substrate to the organic TFT. | 03-19-2009 |
20090072227 | ORGANIC COMPOUND CRYSTAL AND FIELD-EFFECT TRANSISTOR - A field-effect transistor includes a channel-forming region composed of an organic compound crystal including π-electron conjugated molecules each containing chalcogen atoms as a constituent, wherein the distance between chalcogen atoms of adjacent π-electron conjugated molecules is short, and the organic compound crystal has a periodic structure in which π-electron conjugated molecules are two-dimensionally or three-dimensionally linked together. | 03-19-2009 |
20090072228 | ORGANIC THIN FILM TRANSISTOR SUBSTRATE AND METHOD OF MANUFACTURE - An organic thin film transistor substrate includes a gate electrode formed on a substrate, a gate insulation layer formed on the gate electrode to have a source-connecting portion and a drain-seating groove, a source electrode formed in the source-connecting portion, a drain electrode formed in the drain-seating groove and an organic semiconductor layer contacting the gate insulation layer, the source electrode and the drain electrode. | 03-19-2009 |
20090072229 | THIN FILM TRANSISTOR, METHOD OF FABRICATING THE THIN FILM TRANSISTOR, ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE, METHOD OF FABRICATING THE ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE, AND DONOR SUBSTRATE FOR LASER INDUCED THERMAL IMAGING - A thin film transistor (TFT), a method of fabricating the TFT, an organic light emitting diode (OLED) display device, a method of fabricating the OLED display device, and a donor substrate for laser induced thermal imaging (LITI) includes interconnections formed of a mixed layer of metal nanoparticles and carbon black using a laser induced thermal imaging (LITI) technique. | 03-19-2009 |
20090072230 | GAS-BARRIER FILM AND ORGANIC DEVICE COMPRISING SAME - A gas-barrier film comprising at least one silicon hydronitride layer and at least one silicon nitride layer on a surface of a flexible supporting substrate. The film has an excellent gas-barrier property. | 03-19-2009 |
20090078933 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device includes a first electrode disposed on a substrate, a plurality of organic function layers disposed on the first electrode and comprising an emitting layer, and a second electrode disposed on the organic function layers. One of the organic layers includes an inorganic material. This layer may be formed adjacent the first electrode or adjacent the second electrode to form top-emission or bottom-emission structures. | 03-26-2009 |
20090085028 | HYBRID MATRICES FOR THIN-LAYER TRANSISTORS - The invention relates to a hybrid semiconductor material and to a device containing same. | 04-02-2009 |
20090085029 | Photoelectric conversion element and imaging device - A photoelectric conversion element is provided and includes: a conductive thin layer; an organic photoelectric conversion layer including a compound represented by formula (I); and a transparent conductive thin layer, in this order: | 04-02-2009 |
20090090903 | CMOS IMAGE SENSOR HAVING THIOPHENE DERIVATIVES - Provided is a CMOS image sensor that uses thiophene derivatives. The CMOS image sensor includes first through third photoelectric conversion units vertically and sequentially stacked on a semiconductor substrate. The first photoelectric conversion unit detects blue light and comprises a first electrode, a second electrode, and a p-type thiophene derivative layer between the first electrode and the second electrode. | 04-09-2009 |
20090090904 | Organic semiconductor device - Provided is an organic tunneling p-n junction diode. The organic tunneling p-n junction diode includes an n-doped organic semiconductor layer and a p-doped organic semiconductor layer which are doped with extrinsic impurities. When either a reverse-bias voltage or a forward-bias voltage is applied, the organic tunneling p-n junction diode is turned off within a predetermined voltage range and has exponential voltage-current characteristics outside the predetermined voltage range. | 04-09-2009 |
20090090905 | MOLECULAR DEVICE, SINGLE-MOLECULAR OPTICAL SWITCHING DEVICE, FUNCTIONAL DEVICE, MOLECULAR WIRE, AND ELECTRONIC APPARATUS USING FUNCTIONAL DEVICE - A molecular device including: at least one molecule of zinc cytochrome c; in which an electron or a hole is transferred within the at least one molecule of zinc cytochrome c bar utilizing transition of an electron between molecular orbitals of the at least one molecule of zinc cytochrome c. The molecular orbitals, for example, are a first molecular orbital localized in a first amino acid residue of the at least one molecule of zinc cytochrome c, and a second molecular orbital which is localized in a second amino acid residue of the at least one molecule of zinc cytochrome c and which has a maximum transition probability per unit time with respect to the first molecular orbital. In this case, the electron or the hole is transferred between the first amino acid residue and the second amino acid residue. | 04-09-2009 |
20090090906 | ORGANIC THIN FILM TRANSISTOR DEVICE AND MANUFACTURING METHOD THEREFOR - An organic TFT device in which a plurality of organic TFTs can be formed in a narrow region and a manufacturing method therefor are proposed. The organic TFT device comprises a substrate, and a plurality of organic TFTs disposed in a transistor region on the substrate. The organic TFT device further comprises: a bank enclosing the transistor region and having a single opening; and a single organic semiconductor layer demarcated by the bank and forming a channel for the organic TFTs. | 04-09-2009 |
20090090907 | Electrochemical device - An electrochemical device is provided, comprising a source contact connected to a first antenna pad, a drain contact connected to a second antenna pad, at least one gate electrode, an electrochemically active element arranged between, and in direct electrical contact with, the source and drain contacts, which electrochemically active element comprises a transistor channel and is of a material comprising an organic material having the ability of electrochemically altering its conductivity through change of redox state thereof, and a solidified electrolyte in direct electrical contact with the electrochemically active element and the at least one gate electrode and interposed between them in such a way that electron flow between the electrochemically active element and the gate electrode(s) is prevented. In the device, flow of electrons between source contact and drain contact is controllable by means of a voltage applied to the gate electrode(s). | 04-09-2009 |
20090095954 | FIELD-EFFECT TRANSISTOR - A field-effect transistor is provided, which includes an organic thin film and which can realize a low threshold voltage while a stable, high field-effect mobility is ensured at the same time. In a field-effect transistor provided with a gate electrode, a source electrode, a drain electrode, a semiconductor film, a gate insulating film, and a substrate, the gate insulating film is formed from a plurality of insulating layers. Here, a first insulating layer in contact with the semiconductor film is formed from poly-p-xylylene formed into a film by a CVD method. A second insulating layer is formed from, for example, cyanoethylpullulan, and the dielectric constant is specified to be higher than that of the first insulating layer. | 04-16-2009 |
20090101890 | Azaperylenes as organic semiconductors - A novel semiconductor device comprises an azaperylene organic semiconductor of the formula I (I) wherein each of R1, R2, R3 and R4 independently is selected from H, unsubstituted or substituted alkyl, unsubstituted or substituted alkenyl, unsubstituted or substituted alkynyl, unsubstituted or substituted aryl, halogen, Si(RH) | 04-23-2009 |
20090101891 | Multi-layered bipolar field-effect transistor and method of manufacturing the same - Disclosed herein is a multi-layered bipolar field-effect transistor, including a gate electrode, a gate insulating layer, an electron transport layer, a hole transport layer, a source electrode, and a drain electrode, in which an intermediate separating layer is formed between the electron transport layer and the hole transport layer, and a method of manufacturing the same. The multi-layered bipolar field-effect transistor has advantages in that, since a P-channel and a N-channel are effectively separated, the electrical properties thereof, such as current ON/OFF ratio, electron mobility, hole mobility, and the like, are improved, and, since a device can be manufactured through a solution process without damaging layers, the processability thereof is improved. | 04-23-2009 |
20090101892 | Organic underlayers that improve the performance of organic semiconductors - A process for producing high performance organic thin film transistors in which the molecules in the organic thin film are highly ordered and oriented to maximize the mobility of current charge carriers. The uniform monolayer surface over various substrate materials so formed, result in a more reproducible and readily manufacturable process for higher performance organic field effect transistors that can be used to create large area circuits using a range of materials. | 04-23-2009 |
20090101893 | Organic Thin Film Transistors - A method of forming an organic thin film transistor comprising source and drain electrodes with a channel region therebetween, a gate electrode, a dielectric layer disposed between the source and drain electrodes and the gate electrode, and an organic semiconductor disposed in at least the channel region between the source and drain electrodes, said method comprising: seeding a surface in the channel region with crystallization sites prior to deposition of the organic semiconductor; and depositing the organic semiconductor onto the seeded surface whereby the organic semiconductor crystallizes at the crystallization sites forming crystalline domains in the channel region. | 04-23-2009 |
20090101894 | METHOD FOR FABRICATING METAL-OXIDE SEMICONDUCTOR TRANSISTORS - A method for fabricating a metal-oxide semiconductor transistor is disclosed. First, a semiconductor substrate having a gate structure thereon is provided, and a spacer is formed around the gate structure. An ion implantation process is performed to implant a molecular cluster containing carbon, boron, and hydrogen into the semiconductor substrate at two sides of the spacer for forming a doped region. The molecular weight of the molecular cluster is preferably greater than 100. Thereafter, a millisecond annealing process is performed to activate the molecular cluster within the doped region. | 04-23-2009 |
20090108253 | Electronic component - The invention relates to an electronic component comprising a flexible substrate, on the surface of which is arranged a layer stack composed of thin layers, containing at least one electrical functional layer composed of an electrically conductive or semiconducting material, wherein the component comprises at least a first material, a layered second material and a layered third material and wherein, as seen perpendicular to the surface of the substrate the first material is followed by the second material and the second material is followed by the third material, wherein a first adhesion force of the second material to the first material is lower than a second adhesion force of the third material to the first material and the second material has at least one opening, via which the third material is connected to the first material in order to increase the adhesion of the second material to the first material. | 04-30-2009 |
20090108254 | Fabrication Method for Organic Electronic Device and Organic Electronic Device Fabricated by the Same Method - The present invention provides a fabrication method for an organic electronic device comprising a step of stacking sequentially a first electrode made of a metal, one or more organic material layers, and a second electrode on a substrate, wherein the method comprises the steps of: 1) forming a layer on the first electrode using a metal having a higher oxidation rate than the first electrode before forming the organic material layer, 2) treating the layer formed using a metal having a higher oxidation rate than the first electrode with oxygen plasma to form a metal oxide layer, and 3) treating the metal oxide layer with inert gas plasma to remove a native oxide layer on the first electrode, and an organic electronic device fabricated by the same method. | 04-30-2009 |
20090108255 | Processing Additives for Fabricating Organic Photovoltaic Cells - Processing additives, as well as related compositions, photovoltaic cells, photovoltaic modules, and methods, are disclosed. | 04-30-2009 |
20090114905 | Organic electrical or electric component with increased lifetime - In order to increase the lifetime of organic electrical or electronic components, the invention provides an organic electrical or electronic component comprising at least one organic functional layer, wherein the component contains an (e-v) quenching substance for singlet oxygen. | 05-07-2009 |
20090114906 | MATERIALS FOR N-DOPING THE ELECTRON-TRANSPORTING LAYERS IN ORGANIC ELECTRONIC COMPONENTS - New materials for the n-doping of the elctron-transporting layer in organic electronic components, their utilization, and organic electronic components | 05-07-2009 |
20090114907 | FIELD EFFECT TYPE ORGANIC TRANSISTOR AND PROCESS FOR PRODUCTION THEREOF - A field effect type organic transistor is provided which comprises a source electrode, a drain electrode, and a gate electrode, a gate insulating layer, and an organic semiconductor layer, wherein the gate insulating layer contains an optical anisotropic material having an anisotropic structure formed by light irradiation, and the organic semiconductor layer is in contact with the anisotropic structure. | 05-07-2009 |
20090114908 | ORGANIC SEMICONDUCTOR THIN FILM, ORGANIC THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING ORGANIC THIN FILM TRANSISTOR - Disclosed is an organic semiconductor thin film having excellent coating property and high carrier mobility. Also disclosed are an organic thin film transistor using such an organic semiconductor thin film, and a method for manufacturing such an organic thin film transistor. Specifically disclosed is an organic semiconductor thin film formed on a substrate subjected to a surface treatment. This organic semiconductor thin film is characterized in that a surface treating agent used in the surface treatment has a terminal structure represented by a specific general formula. | 05-07-2009 |
20090114909 | DEVICE CONTAINING POLYMER HAVING INDOLOCARBAZOLE- REPEAT UNIT AND DIVALENT LINKAGE - An electronic device comprising a polymer comprising at least one type of repeat unit comprising at least one type of an optionally substituted indolocarbazole moiety and at least one divalent linkage. | 05-07-2009 |
20090121216 | Organic thin film transistor having surface-modified carbon nanotubes - An organic thin film transistor may comprise an organic semiconductor layer having surface-modified carbon nanotubes and an electrically-conductive polymer. The surfaces of the carbon nanotubes may be modified with curable functional groups, comprising oxirane groups and anhydride groups. A room-temperature solution process may be used to provide a relatively uniform and relatively highly-adhesive organic semiconductor layer in a simple and economical manner. Additionally, the organic thin film transistor having the organic semiconductor layer may have relatively high charge carrier mobility and relatively low threshold voltage. | 05-14-2009 |
20090121217 | NITRIDE COMPOUND SEMICONDUCTOR DEVICE INCLUDING ORGANIC SEMICONDUCTOR LAYER UNDER GATE ELECTRODE - A nitride compound semiconductor device includes a semiconductor layer including a group III nitride compound semiconductor, source and drain electrodes provided on the semiconductor layer, an insulating film provided on the semiconductor layer between the source electrode and the drain electrode, an organic semiconductor layer in contact with the semiconductor layer at an opening provided for the insulating film, and a gate electrode provided on the organic conductive layer at the opening. | 05-14-2009 |
20090121218 | METHOD FOR PROGRAMMING AN ELECTRONIC CIRCUIT AND ELECTRONIC CIRCUIT - The invention relates to a method for producing an electronic circuit, and to an electronic circuit, having at least one organic electrical functional layer and at least one data storage unit, the data storage unit being configured with two electrically conductive layer contacts. The two electrically conductive layer contacts are arranged alongside one another and are electrically conductively connected to one another either by an electrically conductive dry substance or by an electrically conductive solidified substance. | 05-14-2009 |
20090127544 | Method for producing organic electronic devices on solvent-and/or temperature-sensitive plastic substrates - The invention relates to the production of organic field-effect transistors (OFETs), solar cells or light-emitting diodes (OLEDs) and circuits based thereon on the surface of solvent- and/or temperature-sensitive plastics, e.g. thermoplastic injection-moulded bodies. A protective layer, which comprises a polymer compound, such as polyacrylate, polyphenol, melamine resin or polyester resin, which is applied from an aqueous-alcoholic solution or without solvent to the substrate surface or one of the function-determining layers of the electronic semiconductor component in a low-temperature process at temperatures of less than 100° C. and dried, protects the substrate against undesirable action of solvents and may simultaneously serve as a planarization layer and/or as as electrical insulation layer. | 05-21-2009 |
20090127545 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting device and a method for fabricating the same are discussed. According to an embodiment, the method includes forming a mother substrate structure including organic light emitting devices including TFTs and first electrodes, each first electrode electrically connected to the corresponding TFT and being a part of an OLED to be formed; forming first and second conductive layers to form a power line in each organic light emitting device; forming a dummy layer on the first electrodes and the second conductive layer; performing at least one of scribing and grinding processes on the mother substrate structure to divide the mother substrate structure into sub-substrate structures; removing the dummy layer from the first electrodes and the second conductive layer after the performing step; and forming a light emitting layer and a second electrode on the first electrode in one of the sub-substrate structures to form the OLED. | 05-21-2009 |
20090127546 | ORGANIC LIGHT EMITTING DIODE AND METHOD FOR THE PRODUCTION THEREOF - The invention relates to organic light emitting diodes (OLEDs) and to a method for the production thereof. The organic light emitting diodes according to the invention are distinguished in that a substance is integrated in the layer stack, the electrical conductivity of which is reduced by energy input, as a result of which irreversible damage to organic light emitting diodes, as can occur for example with the formation of defects or particles, can be prevented. | 05-21-2009 |
20090127547 | PI-CONJUGATED ORGANOBORON POLYMERS IN THIN-FILM ORGANIC ELECTRONIC DEVICES - Pi-conjugated organoboron polymers for use in thin-film organic polymer electronic devices. The polymers contain aromatic and or unsaturated repeat units and boron atoms. Pi-conjugated organoboron polymers which are end capped, derivatized with solubilizing groups or both exhibit improved solubility and handling properties beneficial for the formation of thin films useful for device fabrication. The vacant p-orbital of the boron atoms conjugate with the pi-conjugated orbital system of the aromatic or unsaturated monomer units extending the pi-conjugation length of the polymer across the boron atoms. The pi-conjugated organoboron polymers are electron-deficient and, therefore, exhibit n-type semiconducting properties, photoluminescence, and electroluminescence. The invention provides thin-film organic polymer electronic devices, such as organic photovoltaic cells (OPVs), organic diodes, organic photodiodes, organic thin-film transistors (TFTs), organic field-effect transistors (OFETs), printable or flexible electronics, such as radio-frequency identification (RFID) tags, electronic papers, and printed circuit elements, organic light-emitting diodes (OLEDs), polymer light-emitting diodes (PLEDs), and energy storage devices employing the pi-conjugated organoboron polymers. In OLED and PLED applications these materials are used as the electron transport layer (ETL) to improve device efficiency. The polymers which exhibit photo- and electroluminescence are also useful as light-emitting material in PLEDs. | 05-21-2009 |
20090134383 | Electrode for Organic Transistor, Organic Transistor, and Semiconductor Device - It is an object of the present invention, in a case of using a conductive material as part of an electrode for an organic transistor, to provide an organic transistor having a structure whose characteristics are not controlled by the work function of the conductive material. Moreover, it is other objects of the present invention to provide an organic transistor having favorable carrier mobility and to provide an organic transistor which is excellent in durability. A composite layer containing an organic compound and an inorganic material is used for an electrode for an organic field effect transistor, that is, at least part of one of a source electrode and a drain electrode in the organic field effect transistor. | 05-28-2009 |
20090134384 | ORGANIC ELECTROLUMINESCENT DEVICE AND BORIC ACID AND BORINIC ACID DERIVATIVES USED THEREIN - The present invention relates to the use of aromatic boronic acid or borinic acid derivatives in organic electronic devices, in particular electroluminescent devices. | 05-28-2009 |
20090134385 | Organic Line Detector and Method for the Production Thereof - A method for producing an organic line detector for applications in the field of computer tomography, includes the following steps: selective etching is carried out on an indium-tin-oxide (ITO) applied to a substrate; two separate ITO strips are formed by the etching; at least one structured mushroom photosensitive resist is applied between the ITO strips; at least one organic perforated conductor is applied to the mushroom photosensitive resist and the ITO strips, only adhering to the ITO strips; at least one organic semiconductor is applied to the layer of the organic perforated conductor, only adhering to the organic perforated conductor and not to the mushroom photosensitive resist; and at least two negative cup-type electrodes are applied to the organic semiconductor, the cup-type electrodes being separate from each other. | 05-28-2009 |
20090134386 | Organic Field Effect Transistor - An organic field-effect transistor has a gate insulating layer comprising a cured epoxy resin. The epoxy resin has a lower concentration of trapping centres compared with a conventional epoxy resin in which trapping centres are provided by hydroxyl (OH) groups. The lower concentration of trapping centres can be achieved by reducing the number of hydroxyl groups throughout the layer and/or by reducing the number of hydroxyl groups in a surface region. | 05-28-2009 |
20090134387 | CMOS SEMICONDUCTOR DEVICE - A CMOS semiconductor device includes a CMOS circuit that incorporates a P-channel field effect transistor connected to a first power source terminal and an N-channel field effect transistor connected to a second power source terminal that is lower in potential than the first power source terminal. The P-channel field effect transistor consists of a gate electrode, insulating film, drain electrode, first source electrode and first semiconductor layer. The N-channel field effect transistor consists of the gate electrode, insulating film, drain electrode, second source electrode and second semiconductor layer. The gate electrode serves as an input terminal of the CMOS circuit and the drain electrode serves as an output terminal of the CMOS circuit. | 05-28-2009 |
20090140235 | Semiconductor element and process for producing the same - The present invention provides a thin film transistor having excellent formability and processability, and particularly a thin film transistor using plastics as a substrate; an organic semiconductor as an active layer; and SiO | 06-04-2009 |
20090140236 | THIN FILM TRANSISTORS - A thin film transistor has a semiconducting layer comprising a semiconductor and surface-modified carbon nanotubes. The semiconducting layer has improved charge carrier mobility. | 06-04-2009 |
20090140237 | THIN FILM TRANSISTORS - A thin film transistor has a semiconducting layer comprising a semiconductor and a mixture enriched in metallic carbon nanotubes. The semiconducting layer has improved charge carrier mobility. | 06-04-2009 |
20090140238 | FLAT SCREEN DETECTOR - A flat screen detector has a substrate with a transistor matrix thereon, a photodetector, and a passivation layer. The photodetector includes a structured first electrode including a number of sub-electrodes, a second electrode, and a photoactive layer between the first and second electrodes. The passivation layer is located between the substrate having the transistor matrix and the first electrode. | 06-04-2009 |
20090140239 | COMPOUNDS FOR ORGANIC SEMICONDUCTOR DEVICE HAVING TRIAZINE GROUP, ORGANIC SEMICONDUCTOR THIN FILM AND ORGANIC SEMICONDUCTOR DEVICE COMPRISING THE SAME, AND METHODS OF PREPARING THEM - A compound for organic semiconductor devices having a triazine group, an organic semiconductor thin film and an organic semiconductor device comprising the same, and methods of preparing them are provided. The compound for organic semiconductor devices is represented by the following Formula: | 06-04-2009 |
20090140240 | ORGANIC THIN FILM TRANSISTOR - A thin film transistor comprising at least three terminals consisting of a gate electrode, a source electrode and a drain electrode; an insulator layer and an organic semiconductor layer on a substrate, which controls its electric current flowing between the source and the drain by applying a electric voltage across the gate electrode, wherein the organic semiconductor layer comprises a styryl derivative having a styryl structure expressed by C | 06-04-2009 |
20090140241 | ORGANIC SEMICONDUCTOR MATERIAL, ORGANIC SEMICONDUCTOR THIN FILM AND ORGANIC SEMICONDUCTOR DEVICE - An organic semiconductor material is provided. The organic semiconductor material includes a polyacene derivative expressed by the following general formula (1): | 06-04-2009 |
20090146134 | Semiconductive percolating networks - The present invention relates to a semi-conductive composition comprising carbon nanotubes in a matrix. These semiconductive compositions are useful in printing semiconducting portions of thin film transistors. | 06-11-2009 |
20090146135 | DETECTOR AND METHOD OF FABRICATING THE SAME - Provided are a detector and a method of fabricating the same. The detector includes a base portion; first and second electrodes disposed on the base portion and spaced apart from each other; a reactant layer disposed between the first and second electrodes on the base portion to react with a specific functional group contained in a fluid; and a protection medium layer surrounding the first and second electrodes and forming a reaction space to expose a portion of the reactant layer. In the detector, electrodes can be effectively protected at low cost, and a path for guiding a fluid to be detected can be provided. | 06-11-2009 |
20090146136 | ORGANIC MEMORY DEVICE AND METHOD OF FABRICATING THE SAME - Provided are a highly integrated organic memory device and a method of fabricating the same. The device includes an insulating substrate, a lower electrode disposed on the insulating substrate, an electron channel layer disposed on the lower electrode, and an upper electrode disposed on the electron channel layer. A bulk heterojunction formed of an electron-donor/electron-acceptor polymer is used as the electron channel layer having electrical bistability. Thus, a highly integrated organic memory device can be formed by a simple fabrication process. | 06-11-2009 |
20090146137 | DISPLAY SUBSTRATE AND METHOD OF MANUFACTURING THE SAME - In a manufacturing method of a display substrate according to one or more embodiments, a plurality of thin films are patterned by using a photoresist film pattern having different thicknesses in each area on a substrate as etch masks. The photoresist film pattern may be etch-backed at least twice during the manufacturing process of the display substrate and may be used as the etch mask for patterns having shapes different from each other. Accordingly, the number of processes for manufacturing the mask patterns, which may be formed by a photolithography method in order to pattern the thin films formed on the substrate, may be reduced. | 06-11-2009 |
20090146138 | THIN-FILM TRANSISTOR, ELECTRO-OPTICAL DEVICE, AND ELECTRONIC APPARATUS - A thin-film transistor includes a source electrode, a drain electrode arranged apart from the source electrode, an organic semiconductor layer arranged between the source electrode and the drain electrode so as to establish connection of the source electrode and the drain electrode, a first insulating layer arranged on one surface side of the organic semiconductor layer, a gate electrode arranged on a side of the first insulating layer opposite that on which the organic semiconductor layer lie, and a second insulating layer arranged on a side of the organic semiconductor layer opposite that on which the first insulating layer lie. The organic semiconductor layer contains an organic semiconductor material having p-type semiconducting properties. The second insulating layer contains one or more compounds of the following formula (1), so that electrons are fed from the second insulating layer into the organic semiconductor layer: | 06-11-2009 |
20090146139 | MATERIALS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to anthracene derivatives, to the use thereof in organic electroluminescent devices, and to organic electroluminescent devices comprising these compounds. | 06-11-2009 |
20090146140 | NONVOLATILE ORGANIC BISTABLE MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME - A nonvolatile organic bistable memory device includes a substrate, a lower electrode disposed on the substrate, a lower charge injection layer disposed on the lower electrode, an insulating polymer layer including nanoparticles disposed on the lower charge injection layer, an upper charge injection layer disposed on the insulating polymer layer, and an upper electrode disposed on the upper charge injection layer. The lower and upper charge injection layers each include fullerenes and/or carbon nanotubes. | 06-11-2009 |
20090152531 | Polar semiconductor hole transporting material - A semiconductive hole transport material containing polar substituent groups, the polar substituent groups substantially not affecting the electronic properties of the hole transport material and the hole transport material being soluble in a polar solvent. | 06-18-2009 |
20090152532 | FIELD EFFECT TRANSISTOR, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC DEVICE USING THE SAME - A field-effect transistor includes a semiconductor layer ( | 06-18-2009 |
20090152533 | INCREASING THE EXTERNAL EFFICIENCY OF LIGHT EMITTING DIODES - The present disclosure relates to increasing the external efficiency of light emitting diodes, and specifically to increasing the outcoupling of light from an organic light emitting diode utilizing a diffraction grating. | 06-18-2009 |
20090152534 | Producing Layered Structures With Lamination - A layered structure can include laminated first and second substructures and an array with cell regions. The first substructure can include layered active circuitry, the second a top electrode layer. One or both substructure's surface that contacts the other can be on a polymer-containing layer, structured to generate free charge carriers and/or to transport charge carriers. A cell region of the array can include portions of each substructure; the cell region's portion of the first substructure can include a subregion of electrically conductive material and a subregion of semiconductive material, its portion of the second can include part of the top electrode layer. The layered structure can include one or more lamination artifacts on or in the polymer-containing layer; the lamination artifacts can include artifacts of contact pressure, or heat, or of surface shape, and the interface surface can be without vias. | 06-18-2009 |
20090152535 | NOVEL MATERIALS FOR IMPROVING THE HOLE INJECTION IN ORGANIC ELECTRONIC DEVICES AND USE OF THE MATERIAL - A dopant composition for organic semiconductors is an electron acceptor characterized by an evaporation point above 150° C. or a glass phase. The dopant composition includes a compound represented by structural formula (1): | 06-18-2009 |
20090152536 | DNA-BASED ELECTRONIC DIODES AND THEIR APPLICATIONS - A semiconductor device provides a metal contact, a DNA layer, wherein the metal layer and the DNA layer are adapted to form a Schottky barrier junction there between, and a conductive contact with the DNA layer. | 06-18-2009 |
20090152537 | COMPOSITION FOR ORGANIC POLYMER GATE INSULATING LAYER AND ORGANIC THIN FILM TRANSISTOR USING THE SAME - Provided are a composition for an organic polymer gate insulating layer and an Organic Thin Film Transistor (OTFT) using the same. The composition includes an insulating organic polymer including at least one selected from the group consisting of polymethylmethacrylate (PMMA), polyvinylalcohol (PVA), polyvinylpyrrolidone (PVP), poly(vinyl phenol) (PVPh) and a copolymer thereof, a crosslinking monomer having two or more double bonds, and a photoinitiator. The OTFT includes a gate insulating layer of a semi-interpenetrating polymer network formed of the composition. | 06-18-2009 |
20090152538 | THIAZOLE-BASED SEMICONDUCTOR COMPOUND AND ORGANIC THIN FILM TRANSISTOR USING THE SAME - Provided are an organic semiconductor compound using thiazole, and an organic thin film transistor having an organic semiconductor layer formed of the organic semiconductor compound using thiazole. The novel organic semiconductor compound including thiazole has liquid crystallinity and excellent thermal stability, and thus is provided to form an organic semiconductor layer in the organic thin film transistor. To this end, a silicon oxide layer is formed on a silicon substrate, and an organic semiconductor layer including thiazole is formed on the silicon oxide layer. In addition, source and drain electrodes are formed on both edge portions of the organic semiconductor layer. The organic thin film transistor using the organic semiconductor layer has an improved on/off ratio and excellent thermal stability. Also, a solution process can be applied in its manufacture. | 06-18-2009 |
20090152539 | Semiconductor Apparatus and Fabrication Method of the Same - It is an object of the present invention to provide a semiconductor device capable of preventing deterioration due to penetration of moisture or oxygen, for example, a light-emitting apparatus having an organic light-emitting device that is formed over a plastic substrate, and a liquid crystal display apparatus using a plastic substrate. According to the present invention, devices formed on a glass substrate or a quartz substrate (a TFT, a light-emitting device having an organic compound, a liquid crystal device, a memory device, a thin-film diode, a pin-junction silicon photoelectric converter, a silicon resistance element, or the like) are separated from the substrate, and transferred to a plastic substrate having high thermal conductivity. | 06-18-2009 |
20090152540 | SEMICONDUCTOR DEVICE AND PROCESS FOR PRODUCING SAME - A semiconductor device and process for producing same are provided. The process for producing a semiconductor device includes a first embossing step of pressing a stamp having a relief pattern onto a surface of a substrate to form a depression pattern on the surface of the substrate; a second step of feeding an application material composed of a semiconductor material or a conductive material into the depression pattern by printing; and a third step of curing the application material fed by printing. | 06-18-2009 |
20090159873 | FUNCTIONAL MOLECULAR DEVICE - A functional molecular device displaying its functions under the action of an electrical field is provided. A Louis base molecule, exhibiting positive dielectric constant anisotropy or exhibiting dipole moment along the long-axis direction of the Louis base molecule, is arrayed in the form of a pendant on an electrically conductive linear or film-shaped principal-axis molecule of a conjugated system, via a metal ion capable of acting as a Louis acid. The resulting structure is changed in conformation on application of an electrical field to exhibit its function. The electrically conductive linear or film-shaped principal-axis molecule and the Louis base molecule form a complex with the metal ion. On application of the electrical field, the Louis base molecule performs a swinging movement or a seesaw movement to switch the electrical conductivity of the principal-axis molecule. This molecule exhibits electrical characteristics which may be reversed depending on whether or not the molecule has been subjected to electrical field processing. A molecular device having a function equivalent to one of CMOS may be produced from one and the same material. | 06-25-2009 |
20090159874 | Organic electroluminescent devices - The present invention relates to the improvement of organic electroluminescent devices, in particular blue-emitting devices, by using compounds of the formula (1) | 06-25-2009 |
20090159875 | Producing Layered Structures With Semiconductive Regions or Subregions - In layered structures, channel regions and light-interactive regions can include the same semiconductive polymer material, such as with an organic polymer. A light-interactive region can be in charge-flow contact with a contacting electrode region, and a channel region can, when conductive, provide an electrical connection between the contacting electrode region and other circuitry. For example, free charge carriers can be generated in the light-interactive region, resulting in a capacitively stored signal level; the signal level can be read out to other circuitry by turning on a transistor that includes the channel region. In an array of photosensing cells with organic thin film transistors, an opaque insulating material can be patterned to cover a data line and channel regions of cells along the line, but not extend entirely over the cells' light-interactive regions. | 06-25-2009 |
20090159876 | ORGANIC SEMICONDUCTOR MATERIAL AND ORGANIC FIELD EFFECT TRANSISTOR - Disclosed is an adequately stable organic semiconductor material which can be used in a coating process while having high regularity and crystallinity. For obtaining such an organic semiconductor material, there is used a compound wherein 6-20 five-membered and/or six-membered aromatic rings are bound. This compound contains a partial structure represented by the formula (1) below, while having a mobility of not less than 1.0×10 | 06-25-2009 |
20090159877 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 06-25-2009 |
20090159878 | ORGANIC THIN FILM TRANSISTOR - A thin film transistor comprising at least three terminals consisting of a gate electrode, a source electrode and a drain electrode; an insulator layer and an organic semiconductor layer on a substrate, which controls its electric current flowing between the source and the drain by applying a electric voltage across the gate electrode, wherein the organic semiconductor layer comprises a styryl derivative having a styryl structure expressed by C | 06-25-2009 |
20090166609 | MEMORY CELL THAT EMPLOYS A SELECTIVELY FABRICATED CARBON NANO-TUBE REVERSIBLE RESISTANCE-SWITCHING ELEMENT FORMED OVER A BOTTOM CONDUCTOR AND METHODS OF FORMING THE SAME - In some aspects, a method of fabricating a memory cell is provided that includes (1) fabricating a first conductor above a substrate; (2) selectively fabricating a carbon nano-tube (CNT) material above the first conductor; (3) fabricating a diode above the CNT material; and (4) fabricating a second conductor above the diode. Numerous other aspects are provided. | 07-02-2009 |
20090166610 | MEMORY CELL WITH PLANARIZED CARBON NANOTUBE LAYER AND METHODS OF FORMING THE SAME - In some aspects, a method of fabricating a memory cell is provided that includes (1) fabricating a first conductor above a substrate; (2) fabricating a carbon nano-tube (CNT) material above the first conductor; (3) depositing a dielectric material onto a top surface of the CNT material; (4) planarizing the dielectric material to expose at least a portion of the CNT material; (5) fabricating a diode above the first conductor; and (6) fabricating a second conductor above the CNT material and the diode. Numerous other aspects are provided. | 07-02-2009 |
20090166611 | Organic Transistor and Manufacturing Method Thereof - [Problems] To provide an organic transistor which can achieve a reduced leak current from a gate electrode. | 07-02-2009 |
20090166612 | Techniques for Device Fabrication with Self-Aligned Electrodes - This invention relates to the fabrication of electronic devices, such as thin-film transistors, in particular thin-film transistors in which patterning techniques are used for definition of electrode patterns that need to be accurately aligned with respect to underlying electrodes. The fabrication technique is applicable to various patterning techniques, such as laser ablation patterning or solution-based, direct-write printing techniques which are not capable of forming structures with a small linewidth, and/or that cannot be positioned very accurately with respect to previously deposited patterns. We thus describe self-aligned gate techniques which are applicable for both gate patterning by a subtractive technique, in particular selective laser ablation patterning, and gate patterning by an additive technique such as printing. The techniques facilitate the use of low-resolution gate patterning. | 07-02-2009 |
20090166613 | Composition for Forming Gate Insulating Layer of Organic Thin-Film Transistor and Organic Thin Film Transistor Using the Same - The present invention relates to a composition for forming a gate insulating layer of an organic thin film transistor comprising polyarylate, and an organic thin film transistor comprising a gate insulating layer, which is formed using the composition, in contact with an organic semiconductor channel. | 07-02-2009 |
20090166614 | FLUORINATED RYLENETETRACARBOXYLIC ACID DERIVATIVES AND USE THEREOF - The present invention relates to fluorinated rylenetetracarboxylic acid derivatives, to a process for their preparation and to their use, especially as n-type semiconductors. | 07-02-2009 |
20090166615 | ORGANIC LIGHT-EMITTING ELEMENT AND DISPLAY DEVICE - An organic light-emitting element has an anode, a cathode, and a layer including an organic compound between the anode and the cathode. The layer including the organic compound has at least one tetracyano compound represented by at least one of Formula (1) or (2) below. | 07-02-2009 |
20090173937 | METHOD FOR THE PRODUCTION OF A LAYER OF ORGANIC MATERIAL - The present invention provides a method for producing a layer of organic material. The method comprises
| 07-09-2009 |
20090179194 | ORGANIC THIN FILM TRANSISTORS - An organic thin film transistor has a gate dielectric layer which is formed from a block copolymer. The block copolymer comprises a polar block and a nonpolar block. The resulting dielectric layer has good adhesion to the gate electrode and good compatibility with the semiconducting layer. | 07-16-2009 |
20090179195 | Organic Luminescence Transistor Device and Manufacturing Method Thereof - The invention is an organic luminescence transistor device including: a substrate; an assistance electrode layer provided on a side of an upper surface of the substrate; an insulation film provided on a side of an upper surface of the assistance electrode layer; a first electrode provided locally on a side of an upper surface of the insulation film, the first electrode covering an area of a predetermined size; an electric-charge-injection inhibiting layer provided on an upper surface of the first electrode, the electric-charge-injection inhibiting layer having a shape larger than that of the first electrode in a plan view; an electric-charge injection layer provided on the side of an upper surface of the insulation film at an area not provided with the first electrode or the electric-charge-injection inhibiting layer and on an upper surface of the electric-charge-injection inhibiting layer; a luminescent layer provided on an upper surface of the electric-charge injection layer; and a second electrode layer provided on a side of an upper surface of the luminescent layer. | 07-16-2009 |
20090179196 | Pyrene-Based Organic Compound, Transistor Material and Light-Emitting Transistor Device - The object is to provide a light-emitting transistor material which is high in both luminescent property and mobility when used as a light-emitting transistor device. | 07-16-2009 |
20090179197 | DEVICE - A device is provided by use of a helical substituted polyacetylene. The device comprises a structure comprised of a helical substituted polyacetylene having a helical main chain, and a pair of electrodes for applying a voltage or electric current to the structure, wherein the molecule of the helical substituted polyacetylene has a length larger than the distance between the pair of the electrodes. | 07-16-2009 |
20090179198 | THIN FILM TRANSISTOR COMPRISING NOVEL CONDUCTOR AND DIELECTRIC COMPOSITIONS - The invention relates to thin film transistors comprising novel dielectric layers and novel electrodes comprising metal compositions that can be provided by a dry thermal transfer process. | 07-16-2009 |
20090184312 | BENZOFLUORENE COMPOUND AND USE THEREOF - A novel material having high hole-transporting ability and a high glass transition temperature and having long-lasting durability is obtained. | 07-23-2009 |
20090184313 | MATERIALS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to organic electroluminescent devices, in particular blue-emitting devices, in which compounds of the formulae (1) to (4) are used as host material or dopant in the emitting layer and/or as hole-transport material and/or as electron-transport material. | 07-23-2009 |
20090184314 | THIN FILM TRANSISTOR, MATRIX SUBSTRATE, ELECTROPHORESIS DISPLAY DEVICE, AND ELECTRONIC APPARATUS - Provided is a thin film transistor including a substrate, a source electrode and a drain electrode disposed above the substrate so as to oppose each other, an organic semiconductor film disposed between the source electrode and the drain electrode to generate a channel region, and a gate electrode disposed opposite the organic semiconductor film via a gate insulating film. The gate electrode includes an aperture in the channel region. | 07-23-2009 |
20090189147 | Organic transistor comprising a self-aligning gate electrode, and method for the production thereof - An unpatterned semiconductor layer is applied to a substrate for the production of an organic transistor. An insulator is arranged on the semiconductor layer wherein at least the insulator layer is patterned, so that at least source and drain electrode layers can be formed subsequently. The source and drain electrode layers are formed after the patterning of at least the insulator layer to ensures that an overlap of both a gate electrode layer and the source and drain electrode layers is essentially avoided. | 07-30-2009 |
20090189148 | TRANSISTOR ELEMENT, DISPLAY DEVICE AND THESE MANUFACTURING METHODS - A transistor element that a transistor using an organic semiconductor layer on a substrate, an insulating film between layers contacting the organic semiconductor layer and an upper electrode electrically contacting the transistor via a through hole provided in the insulating film between layers are layered, wherein the insulating film between layers comprises a mixture of organic materials and particles. | 07-30-2009 |
20090189149 | Composition for producing insulator and organic insulator using the same - Disclosed herein is a composition for producing an insulator. More specifically, the composition comprises a silane-based organic-inorganic hybrid material containing one or more multiple bonds, an acrylic organic crosslinking agent and a silane-based crosslinking agent having six or more alkoxy groups. Also disclosed herein is an organic insulator produced using the insulator composition. The organic insulator is highly crosslinked to facilitate the fabrication of an organic thin film transistor in terms of processing. | 07-30-2009 |
20090189150 | Organic semiconducting copolymer and organic electronic device including the same - An organic semiconducting copolymer according to example embodiments may be represented by Formula 1 below: | 07-30-2009 |
20090189151 | METHOD FOR SEPARATING A NON-EMISSION REGION FROM A LIGHT EMISSION REGION WITHIN AN ORGANIC LIGHT EMITTING DIODE (OLED) - The present invention relates to a method for separating at least one non-emission region ( | 07-30-2009 |
20090189152 | FERROELECTRIC MEMORY DEVICE - Provided is a ferroelectric memory device. The ferroelectric memory device includes an inorganic channel pattern on a substrate, a source electrode and a drain electrode spaced apart from each other on the substrate and contacting the inorganic channel pattern, a gate electrode disposed adjacent to the inorganic channel pattern, and an organic ferroelectric layer interposed between the inorganic channel pattern and the gate electrode. | 07-30-2009 |
20090194762 | Method of Doping Organic Semiconductors - A method includes forming a contiguous semiconducting region that includes polyaromatic molecules. The region is heated to a temperature above room temperature in the presence of a dopant gas and the absence of light to form a doped organic semiconducting region. | 08-06-2009 |
20090194763 | SEMICONDUCTOR ELEMENT, METHOD FOR MANUFACTURING THE SEMICONDUCTOR ELEMENT, ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE ELECTRONIC DEVICE - A manufacturing method of a semiconductor element provided with a semiconductor layer containing a crystal of an organic semiconductor material of the invention includes the steps of (i) forming a frame ( | 08-06-2009 |
20090200542 | Compounds and Organic Light Emitting Device Using the Same - Disclosed is an organic light emitting device. The organic light emitting device comprises a first electrode, organic material layer(s) comprising a light emitting layer, and a second electrode. The first electrode, the organic material layer(s), and the second electrode form layered structure and at least one layer of the organic material layer(s) include the compound of Formula 1 or the compound of Formula 1 into which a thermosetting or photo-crosslinkable functional group is introduced. | 08-13-2009 |
20090200543 | METHOD OF FORMING AN ELECTRONIC DEVICE ON A SUBSTRATE SUPPORTED BY A CARRIER AND RESULTANT DEVICE - A method for forming an electronic device on a flexible substrate conditions the surface of a carrier to form a holding area for retaining the flexible substrate. A contact surface of the flexible substrate is applied against the carrier with an intermediate binding material applied between at least the holding area of the carrier and the corresponding area of the contact surface. Entrapped gas between the flexible substrate and the carrier is removed and the substrate processed to form the electronic device thereon. The substrate can then be removed from the holding area to yield the resultant electronic device. | 08-13-2009 |
20090200544 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting device includes first, second, and third pixels each displaying a different color. Each pixel includes a first electrode, a second electrode facing the first electrode, and an emission layer between the first and second electrodes. The first electrodes of the first and second pixels respectively include a first transparent conductive layer and a translucent conductive layer disposed on at least one of lower and upper portions of the first transparent conductive layer and forming microcavities together with the second electrodes, and the first electrode of the third pixel includes a second transparent conductive layer that is different from the first transparent conductive layer and a translucent conductive layer disposed on at least one of upper and lower portions of the second transparent conductive layer and forming a microcavity together with the second electrode. | 08-13-2009 |
20090206326 | ELECTROLUMINESCENT IRIDIUM COMPOUNDS WITH FLUORINATED PHENYLPRYIDINES, PHENYLPYRIMIDINES, AND PHENYLQUINOLINES AND DEVICES MADE WITH SUCH COMPOUNDS - The present invention is generally directed to electroluminescent Ir(III) compounds, the substituted 2-phenylpyridines, phenylpyrimidines, and phenylquinolines that are used to make the Ir(III) compounds, and devices that are made with the Ir(III) compounds. | 08-20-2009 |
20090206327 | ORGANOMETALLIC COMPLEXES - Organometallic complexes are provided having at least one charge transporting ligand, and methods for making the same, as well as devices and sub-assemblies including the same. | 08-20-2009 |
20090206328 | Silicon-Containing Photosensitive Composition, Method for Forming Thin Film Pattern Using Same, Protective Film for Electronic Device, Gate Insulating Film And Thin Film Transistor - Disclosed is a photosensitive composition having photosensitivity which is alkaline developable without containing a crosslinking agent. Specifically disclosed is a silicon-containing photosensitive composition characterized by containing a silicon-containing polymer including at least one polymer (A1) represented by the general formula (1) below, wherein at least one of R | 08-20-2009 |
20090206329 | ORGANIC THIN FILM TRANSISTOR - To provide an organic thin film transistor including a pair of electrodes for allowing a current to flow through an organic semiconductor layer made of an organic semiconductor material, and a third electrode, wherein the organic semiconductor material is composed mainly of an arylamine polymer having a weight-average molecular weight (Mw) of 20,000 or more. | 08-20-2009 |
20090206330 | Organic insulator composition, organic insulating layer including the composition, and organic thin film transistor including the same - An organic insulator composition according to example embodiments may include an organic insulating polymer and an epoxy-based crosslinking agent. The epoxy-based crosslinking agent may have an alkyl group or a fluorine-based side chain. The organic insulator composition may be used to form an organic insulating layer having increased chemical resistance. The organic insulating layer may be used in an organic thin film transistor as a gate insulating layer. Consequently, the occurrence of hysteresis may be reduced or prevented during the operation of the organic thin film transistor, thus resulting in relatively homogeneous properties. | 08-20-2009 |
20090206331 | LIGHT EMITTING DEVICE - A light emitting device and electronic equipment having a long life at a low electric power consumption are provided. A hole transporting region composed of a hole transporting material, an electron transporting region composed of an electron transporting material, and a mixture region in which both the hole transporting material and the electron transporting material are mixed at a fixed ratio are formed within an organic compound film. Regions having a concentration gradient are formed between the mixture region and carrier transporting regions until the fixed ratio is achieved. In addition, by doping a light emitting material into the mixture region, functions of hole transportation, electron transportation, and light emission can be respectively expressed while all of the interfaces existing between layers of a conventional lamination structure are removed. Organic light emitting elements having low electric power consumption and a long life can thus be provided, and light emitting devices and electronic equipment can be manufactured using the organic light emitting elements. | 08-20-2009 |
20090212280 | Use of a Metal Complex as an N-Dopant for an Organic Semiconducting Matrix Material, Organic of Semiconducting Material and Electronic Component, and also a Dopant and Ligand and Process for Producing same - A method of using a metal complex as an n-dopant for doping an organic semiconducting matrix material in order to alter the latter's electrical characteristics is provided. In order to provide n-doped organic semiconductors with matrix materials having a low reduction potential, while achieving high conductivities, the n-dopant is a neutral electron-rich metal complex with a neutral or charged transition metal atom as a central atom and having at least 16 valence electrons. The complex can be polynuclear and can possess at least one metal-metal bond. At least one ligand can form a π complex with the central atom, which can be a bridge ligand, or it can contain at least one carbanion-carbon atom or a divalent atom. Methods for providing the novel n-dopants are provided. | 08-27-2009 |
20090212281 | ORGANIC SEMICONDUCTOR DEVICE, DISPLAY USING SAME, AND IMAGER - An organic semiconductor device in which recombination of holes and electrons and photoelectric conversion in an organic semiconductor layer are efficiently allowed to occur. The device comprises a bipolar organic semiconductor layer where electrons and holes move, a hole giving/receiving electrode which gives/receives holes to/from the organic semiconductor layer, an electron giving/receiving electrode which is spaced a predetermined distance from the hole giving/receiving electrode and gives/receives electrons to/from the organic semiconductor layer, a hole-side gate electrode which is opposed to a region of the organic semiconductor layer near the hole giving/receiving electrode with an insulating layer between the hole-side gate electrode and the region and serves to control the hole distribution in the organic semiconductor layer, and an electron-side gate electrode which is opposed to the region of the organic semiconductor layer with the insulating layer therebetween and serves to control the electron distribution in the organic semiconductor layer. | 08-27-2009 |
20090218564 | Alternating copolymers of phenylene vinylene and biarylene vinylene, preparation method thereof, and organic thin flim transister comprising the same - Disclosed herein are an alternating copolymer of phenylene vinylene and biarylene vinylene, a preparation method thereof, and an organic thin film transistor including the same. The organic thin film transistor maintains low off-state leakage current and realizes a high on/off current ratio and high charge mobility because the organic active layer thereof is formed of an alternating copolymer of phenylene vinylene and biarylene vinylene. | 09-03-2009 |
20090230383 | Passive matrix organic light emitting diode display device - A passive matrix organic light emitting diode display device comprises a plurality of vertical organic light emitting transistors, each having a first collector, a first grid/base, and a first emitter. Therein, the first collectors are electrically connected and arranged parallelly to form a plurality of first scan units, and the first grids/bases are electrically connected and arranged parallelly to form a plurality of second scan units, while the first emitters are integrated into a common electrode, in which the first scan units and the second scan units are perpendicularly crossed. By perpendicularly combining vertical transistors onto organic light emitting diodes so as to drive the organic light emitting diodes, an active area as well as a luminance of the organic light emitting diode display device are enhanced and consequently an aperture ratio of each pixel unit in the organic light emitting diode display device is increased. | 09-17-2009 |
20090230384 | Vertical organic light emitting transistor assembly and horizontal organic light emitting transistor assembly - A vertical organic light emitting transistor assembly and-a horizontal organic light emitting transistor assembly are provided. The vertical organic light emitting transistor assembly comprises a first/second vertical transistor and a first/second organic light emitting diode perpendicularly integrated with the first/second vertical transistor, respectively. The horizontal organic light emitting transistor assembly comprises a substrate, a third vertical transistor and a third organic light emitting diode. The third vertical transistor and the third organic light emitting diode are arranged abreast on the substrate. By integrating the organic light emitting diode and the vertical transistor into a unitary electronic element, the vertical transistor can efficiently drive the organic light emitting diode so that the organic light emitting transistor assembly can overcome limitations caused by existing manufacturing processes and adapt to extensive applications. | 09-17-2009 |
20090230385 | ORGANIC THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - Disclosed are an organic thin film transistor and a method of manufacturing the same. The organic thin film transistor includes a gate electrode, an insulating layer, an organic semiconductor layer, a protective layer, and source and drain electrodes. The insulating layer is on the gate electrode, and the organic semiconductor layer is on the insulating layer. The protective layer is on the organic semiconductor layer, and includes an electrode pattern part to expose the organic semiconductor layer. The source and drain electrodes are in the electrode pattern part and connected to the organic semiconductor layer. | 09-17-2009 |
20090230386 | BENZOBISTHIAZOLE COMPOUND, BENZOBISTHIAZOLE POLYMER, ORGANIC FILM INCLUDING THE COMPOUND OR POLYMER AND TRANSISTOR INCLUDING THE ORGANIC FILM - A benzobisthiazole compound having a specific formula, and a benzobisthiazole polymer having a specific benzothiazole structure. An organic film including the benzobisthiazole polymer, the benzobisthiazole compound and/or a polymer obtained from the benzobisthiazole compound. An organic thin-film transistor including an organic semiconductor layer including the organic film; a pair of electrodes configured to flow an electric current through the organic semiconductor layer; and a third electrode configured to apply a voltage to the organic semiconductor layer. | 09-17-2009 |
20090230387 | ORGANIC SEMICONDUCTOR MATERIAL, ORGANIC SEMICONDUCTOR THIN FILM AND ORGANIC SEMICONDUCTOR DEVICE - An organic semiconductor material is provided. The organic semiconductor material includes a polyacene derivative expressed by the following general formula (1): | 09-17-2009 |
20090230388 | Composition for producing organic insulator comprising an organic-inorganic metal hybrid material - A composition for producing an organic insulator is provided which comprises an organic-inorganic hybrid material (as defined). The hybrid material shows high solubility in organic solvents and monomers, and superior adhesion to substrates. In addition, the hybrid material displays a high dielectric constant and a high degree of crosslinking. Based on these advantages, the composition comprising the organic-inorganic hybrid material can be utilized during the fabrication of various electronic devices by a wet process. A method for producing the organic insulator while utilizing the composition also is provided, as well as the resulting organic insulator, and an organic thin film transistor which incorporates the resulting insulating layer. | 09-17-2009 |
20090236589 | NITRIDE SEMICONDUCTOR LAMINATED STRUCTURE AND OPTICAL SEMICONDUCTOR DEVICE, AND METHODS FOR PRODUCING THE SAME - A nitride semiconductor laminated structure comprises: a substrate; a first p-type nitride semiconductor layer formed using an organometallic compound as a Group III element source material, a p-type impurity source material and ammonia as a Group V element source material, with the hydrogen concentration in the first p-type nitride semiconductor layer being 1×10 | 09-24-2009 |
20090236590 | Light-Emitting Element, Light-Emitting Device and Electronic Device - A light-emitting element with improved emission efficiency is provided. The light-emitting element includes a light-emitting layer in which a first light-emitting layer and a second light-emitting layer are stacked in contact with each other over an anode, and a first substance serving as an emission center substance in the second light-emitting layer constitutes the first light-emitting layer. A second substance serving as a host material to disperse the first substance serving as an emission center substance is included in the second light-emitting layer. In the light-emitting element, the second substance is a substance having an energy gap (or triplet energy) larger than the first substance. | 09-24-2009 |
20090236591 | N,N'-BIS(FLUOROPHENYLALKYL)-SUBSTITUTED PERYLENE-3,4:9,10-TETRACARBOXIMIDES, AND THE PREPARATION AND USE THEREOF - The present invention relates to N,N′-bis(fluorophenylalkyl)-substituted perylene-3,4:9,10-tetracarboximides, their preparation and their use as charge transport materials, exciton transport materials or emitter materials. | 09-24-2009 |
20090236592 | LIQUID CRYSTAL DISPLAY DEVICE USING SMALL MOLECULE ORGANIC SEMICONDUCTOR MATERIAL - A liquid crystal display structure is provided. The liquid crystal display structure includes a pixel region and a thin film transistor on the substrate. The thin film transistor is adjacent to the pixel region and includes a gate electrode; a gate insulating layer having a top surface; a source electrode and a drain electrode at the top surface of the gate insulating layer; a semiconductor layer disposed at the top surface of the gate insulating layer, the semiconductor layer between the source electrode and the drain electrode defining a channel region, the semiconductor layer including a small molecule organic semiconductor material; and a first passivation layer covering the channel region, a top surface of the first passivation layer coinciding with or being below a top surface of each of the source electrode and the drain electrode. | 09-24-2009 |
20090236593 | ORGANIC THIN FILM TRANSISTOR AND PROCESS FOR MANUFACTURING SAME - An organic thin film transistor includes a dielectric layer and an active layer overlapping the dielectric layer, a source contact and a drain contact arranged on a surface of the active layer opposite the dielectric layer and mutually separated by an intermediate region, the source contact and drain contact having first and second inner walls, respectively, facing the intermediate region, and a gate contact arranged on a portion of another surface of the dielectric layer opposite the active layer and having first and second side walls aligned with the first and second inner walls, respectively. | 09-24-2009 |
20090242875 | FORMING ELECTRODES TO SMALL ELECTRONIC DEVICES HAVING SELF-ASSEMBLED ORGANIC LAYERS - In one embodiment of the invention, a method of fabricating a SAM device comprises the steps of: (a) providing a substrate having a top surface and a first metal electrode disposed on the top surface, (b) annealing the first metal electrode, (c) forming a SAM layer on a major surface of the first electrode, the SAM layer having a free surface such that the SAM is disposed between the free surface and the major surface of the first electrode, and (d) forming a second metal electrode on the free surface of the molecular layer. Forming step (d) includes the step of (d1) depositing the second metal electrode in at least two distinct depositions separated by an interruption period of time when essentially no deposition of the second metal takes place. SAM FETs fabricated using this method are also described. | 10-01-2009 |
20090242876 | CARBAZOLE COMPOUNDS - The present invention relates to carbazole compounds of formula (I) and a semiconducting material comprising such carbazole compounds. It also relates to a process for the preparation of such carbazole compounds, as well as to the use thereof as a semiconducting material, in particular as a host matrix for phosphorescent emitters. | 10-01-2009 |
20090242877 | OLED DEVICE WITH HOLE-TRANSPORT AND ELECTRON-TRANSPORT MATERIALS - The invention provides an OLED device comprising, in the following order, a anode, a hole transporting layer (HTL), a light-emitting layer (LEL) comprising a host molecule and a light-emitting molecule, an electron transporting layer (ETL) and an cathode and wherein: | 10-01-2009 |
20090242878 | OPTIMIZATION OF NEW POLYMER SEMICONDUCTORS FOR BETTER MOBILITY AND PROCESSIBALITY - In accordance with the invention, there are polymers (II) having the formula: | 10-01-2009 |
20090242879 | Optoelectronic device and method of fabricating the same - A modified isolated polypeptide comprising an amino acid sequence encoding a photocatalytic unit of a photosynthetic organism being capable of covalent attachment to a solid surface and having a photocatalytic activity when attached thereto is disclosed. | 10-01-2009 |
20090250690 | ORGANIC THIN FILM TRANSISTOR SUBSTRATE AND METHOD OF MANUFACTURING THE SAME - In an organic thin film transistor (TFT) substrate, the organic TFT substrate includes gate lines, data lines, a gate electrode, a source electrode, a drain electrode, a gate insulating layer, an organic semiconductor layer, and an organic protective layer. The gate and data lines are insulated from each other and cross each other to define pixel areas. The gate electrode is connected to the gate line. The source electrode is connected to the data line. The drain electrode faces the source electrode with the gate electrode disposed therebetween. The gate insulating layer covers the gate electrode and exposes a portion of the source and drain electrodes. The organic semiconductor layer contacts the source and drain electrodes. The organic protective layer is disposed on the organic semiconductor layer to protect the organic semiconductor layer. | 10-08-2009 |
20090256137 | N-TYPE SEMICONDUCTOR MATERIALS IN THIN FILM TRANSISTORS AND ELECTRONIC DEVICES - A thin film transistor comprises a layer of organic semiconductor that comprises an N,N′-1,4,5,8-naphthalenetetracarboxylic acid diimide having at least one cycloalkyl group having a fluorinated substituent at its 4-position that adopts an equatorial orientation in the trans configuration of the cycloalkyl group and an axial orientation in the cis configuration of the cycloalkyl group. Such transistors can be a field effect transistor having a dielectric layer, a gate electrode, a source electrode and a drain electrode. The gate electrode and the thin film of organic semiconductor material both contact the dielectric layer, and the source electrode and the drain electrode both contact the thin film of organic semiconductor material. | 10-15-2009 |
20090256138 | ORGANIC THIN FILM TRANSISTOR - Organic thin film transistors with improved mobility are disclosed. The semiconducting layer comprises a semiconductor material of Formula (I): | 10-15-2009 |
20090256139 | THIN-FILM TRANSISTORS - A thin film transistor having a semiconducting layer with improved flexibility and/or mobility is disclosed. The semiconducting layer comprises a semiconducting polymer and insulating polymer. Methods for forming and using such thin-film transistors are also disclosed. | 10-15-2009 |
20090256140 | Light-detecting device structure - A light-detecting device structure comprises a substrate, a vertical organic light-emitting transistor and a light-detecting unit, wherein the vertical organic light-emitting transistor is disposed at a first location on the substrate, and the light-detecting unit is disposed at a second location on the substrate, in which the first and the second locations can be spaced out an appropriate distance as needed. The vertical organic light-emitting transistor emits a light to an object, and the light-detecting unit receives a reflected light from the object. The reflected light received is analyzed to determine a distance between the light-detecting device structure and the object, as well as a shape or a composition of the object. | 10-15-2009 |
20090256141 | ORGANIC PHOTOSENSITIVE OPTOELECTRONIC DEVICES CONTAINING TETRA-AZAPORPHYRINS - Embodiments of the present invention provide an organic photosensitive optoelectronic device comprising at least one tetra-azaporphyrin compound of formula (I) are disclosed herein. | 10-15-2009 |
20090256142 | ORGANIC THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING SAME - Disclosed are an organic thin film transistor exhibiting a high switching current value even when a distance (channel length) between source and the drain electrodes is large, and a manufacturing method thereof. The organic thin film transistor of the invention comprises a substrate, a gate electrode, a gate insulating layer, an organic semiconductor layer, a source electrode, a drain electrode and at least one different type electrodes characterized in that the different type electrode is formed in a channel region between the source electrode and the drain electrode on the organic semiconductor layer. | 10-15-2009 |
20090256143 | OLIGOTHIOPHENE-ARYLENE DERIVATIVES AND ORGANIC THIN FILM TRANSISTORS USING THE SAME - An oligothiophene-arylene derivative wherein an arylene having n-type semiconductor characteristics is introduced into an oligothiophene having p-type semiconductor characteristics, thereby simultaneously exhibiting both p-type and n-type semiconductor characteristics. Further, an organic thin film transistor using the oligothiophene-arylene derivative. | 10-15-2009 |
20090256144 | METHOD FOR MANUFACTURING ORGANIC TRANSISTOR AND ORGANIC TRANSISTOR - A method of producing an organic transistor which can form directly an organic semiconductor layer in pattern by simple processes and can produce an organic transistor excellent in transistor characteristics. The method includes: forming a hydrophobic/hydrophilic pattern substrate, in which a hydrophobic/hydrophilic pattern substrate is formed by using a hydrophobic substrate and by forming the hydrophilic region in pattern on the hydrophobic surface; forming a lyophilic functional layer, in which a lyophilic functional layer, made of an insulating functional material having the predetermined characteristics and having higher lyophilic properties to an organic solvent than that of the hydrophobic region, is formed on the hydrophilic region; forming an organic semiconductor layer, in which an organic semiconductor layer is formed on the lyophilic functional layer by selectively coating a coating solution for forming an organic semiconductor layer, which has an organic semiconductor material and an organic solvent, to the lyophilic functional layer. | 10-15-2009 |
20090256145 | ORGANIC THIN FILM TRANSISTOR AND ORGANIC THIN FILM LIGHT-EMITTING TRANSISTOR - An organic thin film transistor including a substrate having thereon at least three terminals of a gate electrode, a source electrode and a drain electrode, an insulator layer and an organic semiconductor layer, with a current between a source and a drain being controlled upon application of a voltage to the gate electrode, wherein the organic semiconductor layer includes a specified organic compound having a divalent aromatic hydrocarbon group having a phenanthrene structure in the center thereof; and an organic thin film light emitting transistor utilizing an organic thin film transistor, wherein the organic thin film transistor is one in which light emission is obtained utilizing a current flowing between the source and the drain, and the light emission is controlled upon application of a voltage to the gate electrode, and is made high with respect to the response speed and has a large ON/OFF ratio, are provided. | 10-15-2009 |
20090261320 | LAMINATED STRUCTURE, ELECTRONIC ELEMENT USING THE SAME, MANUFACTURING METHOD THEREFOR, ELECTRONIC ELEMENT ARRAY, AND DISPLAY UNIT - A disclosed laminated structure includes a substrate; a wettability varying layer formed on the substrate, the wettability varying layer including a material whose critical surface tension is changed by receiving energy; and an electrode layer formed on the wettability varying layer, the electrode layer forming a pattern based on the wettability varying layer. The material whose critical surface tension is changed by receiving energy includes a polymer including a primary chain and a side chain, the side chain including a multi-branched structure. | 10-22-2009 |
20090261321 | Quinoid Systems as Organic Semiconductors - A semiconducting layer comprising a non-polymeric quinoid heteroacene compound of the formula (I) wherein X stands for O, S or NR, each of R, R | 10-22-2009 |
20090261322 | FULL-COLOR ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - A full-color organic light emitting diode display device and a method of fabricating the same. The display device includes a substrate having red, green and blue light emitting regions, a first electrode on the substrate, an organic layer on the first electrode and including red, green and blue light emitting layers respectively corresponding to the red, green and blue light emitting regions, and a second electrode on the entire surface of the substrate. Here, the organic layer includes a hole injection layer and an electron transport layer, and the organic layer in the red right emitting region includes a hole suppression layer including a material in the electron transport layer. The method includes forming the hole suppression layer on the red light emitting layer in the red light emitting region. In the method, the hole suppression layer is formed of a material for forming the electron transport layer. | 10-22-2009 |
20090261323 | N,N'-DI(ARYLALKYL)-SUBSTITUTED NAPHTHALENE-BASED TETRACARBOXYLIC DIIMIDE COMPOUNDS AS N-TYPE SEMICONDUCTOR MATERIALS FOR THIN FILM TRANSISTORS - A thin film transistor comprises a layer of organic semiconductor material comprising a tetracarboxylic diimide naphthalene-based compound having, attached to each of the imide nitrogen atoms, a substituted or unsubstituted arylalkyl moiety. Such transistors can further comprise spaced apart first and second contact means or electrodes in contact with said material. Further disclosed is a process for fabricating an organic thin-film transistor device, preferably by sublimation deposition onto a substrate, wherein the substrate temperature is no more than 100° C. | 10-22-2009 |
20090261324 | ORGANIC LIGHT EMITTING DIODE AND DISPLAY USING THE SAME - An organic light emitting diode comprising a pair of electrodes and a stack including a hole transport layer, a light emitting layer, and an electron transport layer, the stack being intermediate between the electrodes, the light emitting layer being of a material having hole mobility and electron mobility equal to or lower than hole mobility of the hole transport layer and electron mobility of the electron transport layer, respectively. | 10-22-2009 |
20090267055 | TRANSISTOR, METHOD FOR MANUFACTURING SAME, AND SEMICONDUCTOR DEVICE COMPRISING SUCH TRANSISTOR - The invention provides a process for production of a transistor that can form an oriented active layer by a convenient method while yielding a transistor with excellent carrier mobility. The process according to the invention is a process for production of a transistor with an active layer composed of an organic semiconductor compound-containing semiconductor film, the process comprising
| 10-29-2009 |
20090267056 | MEMORY CELL - A memory cell comprising a metal-insulator-semiconductor (MIS) structure is disclosed using a homogeneous carrier trapping layer interposed between a semiconductor layer and the gate electrode of a transistor structure so that the operation voltage is reduced and the manufacturing is simplified with lowered cost. The MIS structure comprises: a gate electrode; a semiconductor layer; and a homogeneous carrier trapping layer interposed between the gate electrode and the semiconductor layer; wherein the homogeneous carrier trapping layer comprises novolac. | 10-29-2009 |
20090267057 | ORGANIC FIELD-EFFECT TRANSISTOR FOR SENSING APPLICATIONS - Field-effect transistor comprising a gate electrode layer, a first dielectric layer, a source electrode, a drain electrode, an organic semiconductor and a second dielectric layer, wherein the first dielectric layer is located on the gate electrode layer, the source electrode, the drain electrode and the organic semiconductor are located above the first dielectric layer, the source electrode and the drain electrode are in contact with the organic semiconductor, wherein the second dielectric layer is placed upon the assembly of source electrode, drain electrode and organic semiconductor and wherein during operation of the field-effect transistor the capacitance of the assembly comprising the gate electrode layer and the first dielectric layer is lower than the capacitance of the second dielectric layer. Further a sensor system comprising such a field-effect transistor and the use of a sensor system for detecting molecules is disclosed. | 10-29-2009 |
20090267058 | SOLUTION-PROCESSED INORGANIC FILMS FOR ORGANIC THIN FILM TRANSISTORS - A method for fabricating a sol-gel film composition for use in a thin film transistor is disclosed. The method BB includes fabricating the sol-gel dielectric composition by solution processing at a temperature in the range 60° C. to 225° C. The sol-gel film made by the method, and an organic thin-film Si wafer Si wafer transistor incorporating the sol-gel film are also disclosed. | 10-29-2009 |
20090267059 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device is disclosed. The organic light emitting device includes a substrate, a display positioned on the substrate, and a dummy pattern positioned at an edge of the display. The display includes a plurality of subpixels each including a first electrode, an emissive unit including at least an organic emissive layer, and a second electrode. The dummy pattern includes a dummy layer including the same formation material as that of at least one of a plurality of layers for forming the emissive unit. | 10-29-2009 |
20090267060 | POLYMER WRAPPED CARBON NANOTUBE NEAR-INFRARED PHOTOACTIVE DEVICES - A photoactive device includes a photoactive region disposed between and electrically connected to two electrodes where the photoactive region includes a first organic photoactive layer comprising a first donor material and a second organic photoactive layer comprising a first acceptor material. The first donor material contains photoactive polymer-wrapped carbon nanotubes and the photoactive region includes one or more additional organic photoactive material layers disposed between the first donor material layer and the acceptor material layer. The photoactive region creates excitons upon absorption of light in the range of about 400 nm to 1450 nm. | 10-29-2009 |
20090267061 | Carbonyl-Functionalized Thiophene Compounds and Related Device Structures - Carbonyl-functionalized oligo/polythiophene compounds, and related semiconductor components and related device structures. | 10-29-2009 |
20090272966 | ORGANIC TRANSISTOR AND ACTIVE MATRIX DISPLAY - An organic transistor is disclosed that has an organic semiconductor layer patterned with high resolution. The organic transistor includes a gate electrode, a gate insulting film, a source electrode, a drain electrode, and an organic semiconductor layer formed of an organic semiconductor material. The gate electrode, the gate insulting film, the source electrode, the drain electrode, and the organic semiconductor layer are formed on a substrate. At least one of the source electrode and the drain electrode has an opening. | 11-05-2009 |
20090272967 | PENTACENE-CARBON NANOTUBE COMPOSITE, METHOD OF FORMING THE COMPOSITE, AND SEMICONDUCTOR DEVICE INCLUDING THE COMPOSITE - A composite material includes a carbon nanotube, and plural pentacene molecules bonded to the carbon nanotube. A method of forming the composite layer, includes depositing on a substrate a dispersion of soluble pentacene precursor and carbon nanotubes, heating the dispersion to remove solvent from the dispersion, heating the substrate to convert the pentacene precursor to pentacene and form the carbon nanotube-pentacene composite layer. | 11-05-2009 |
20090272968 | MATERIAL FOR A THIN AND LOW-CONDUCTIVE FUNCTIONAL LAYER FOR AN OLED AND PRODUCTION METHOD THEREFOR - The invention relates to a material for applying thin organic layers having a conductivity that can be set in a defined manner. The material comprises at least one mixture consisting of two different fractions of a functional polymer, preferably in a solvent, and is applied, for example, in the form of a thin and low-conductive functional layer of an organic light-emitting diode (OLED) by means of different application techniques. | 11-05-2009 |
20090272969 | METHOD OF PATTERNING AN ORGANIC THIN FILM, AN ORGANIC THIN FILM TRANSISTOR, A METHOD OF MANUFACTURING AN ORGANIC THIN FILM TRANSISTOR, AND AN ORGANIC ELECTROLUMINESCENE DISPLAY DEVICE HAVING THE ORGANIC THIN FILM TRANSISTOR - Provided is a method of patterning an organic thin film which can prevent surface damage of an organic semiconductor layer. Also, an organic thin film transistor that can reduce an off-current and can prevent surface damage of the organic semiconductor layer and a method of manufacturing the organic thin film transistor, and an organic electroluminescence display device having the organic thin film transistor are provided. The method of patterning the organic thin film includes forming the organic thin film on a substrate, selectively printing a mask material on a portion of the organic thin film, dry etching an exposed portion of the organic thin film using the mask material, and removing the mask material. | 11-05-2009 |
20090278115 | NITROGEN-CONTAINING HETEROCYCLIC DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING THE SAME - A novel nitrogen-containing heterocyclic derivative having a specific structure and an organic electroluminescence device comprising an anode, a cathode and an organic thin film layer which comprises a single layer or a plurality of layers comprising at least a light emitting layer and is disposed between the anode and the cathode, wherein at least one layer in the organic thin film layer comprises the above nitrogen-containing heterocyclic derivative singly or as a component of a mixture. The organic electroluminescence device exhibits a great luminance of emitted light and a great efficiency of light emission even under application of a low voltage. | 11-12-2009 |
20090278116 | TRANSISTOR, ORGANIC SEMICONDUCTOR DEVICE, AND METHOD FOR MANUFACTURE OF THE TRANSISTOR OR DEVICE - The invention provides a process for production of a transistor and an organic semiconductor element which allows satisfactory formation of active layers on desired surfaces, even if the active layers are organic semiconductor compound-containing active layers imparted with prescribed properties beforehand. A preferred mode of the process for production of a transistor is a process for production of a transistor provided with a source electrode and drain electrode, an active layer containing an organic semiconductor compound as a current channel between the electrodes, a gate electrode that controls the current flowing through the current channel and an insulating layer disposed between the active layer and gate electrode, wherein the process includes a pasting step in which a working liquid is situated between the active layer and insulating layer and the active layer and insulating layer are attached together. | 11-12-2009 |
20090278117 | ORGANIC THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME, AND BIOSENSOR USING THE TRANSISTOR - An organic thin film transistor (OTFT), a method of manufacturing the same, and a biosensor using the OTFT are provided. The OTFT includes a gate electrode, a gate insulating layer, source and drain electrodes, and an organic semiconductor layer disposed on a substrate and further includes an interface layer formed between the gate insulating layer and the organic semiconductor layer by a sol-gel process. The gate insulating layer is formed of an organic polymer, and the interface layer is formed of an inorganic material. The OTFT employs the interface layer interposed between the gate insulating layer and the organic semiconductor layer so that the gate insulating layer can be protected from the exterior and adhesion of the gate insulating layer with the organic semiconductor layer can be improved, thereby increasing driving stability. Also, since the OTFT can use a plastic substrate, the manufacture of the OTFT is inexpensive so that the OTFT can be used as a disposable biosensor. | 11-12-2009 |
20090278118 | BENZOFLUORANTHENE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE USING THE COMPOUND - There are provided a novel benzofluoranthene compound and an organic light-emitting device which uses the benzofluoranthene compound, gives a blue emission hue with extremely good purity, and has an optical output with a high efficiency, a high luminance, and a long life. Specifically, there are provided a benzofluoranthene compound represented by the general formula shown below and an organic light-emitting device including a pair of electrodes including an anode and a cathode one of which is a transparent or translucent electrode material, and an organic compound layer disposed between the pair of electrodes and including a material for an organic light-emitting device containing the benzofluoranthene compound. | 11-12-2009 |
20090278119 | OLED DISPLAY WITH EXTENDED LIFETIME - The present invention relates to an organic light-emitting diode which has a light-emitting layer C which comprises at least one hole-conducting material CA and at least one phosphorescence emitter CB, to mixtures comprising at least one carbene complex in combination with at least one hole-conducting material or in combination with at least one phosphorescence emitter, and to the use of mixtures comprising at least one hole-conducting material and at least one phosphorescence emitter as a light-emitting layer in OLEDs for prolonging the lifetime of the light-emitting layer. The inventive organic light-emitting diode may have, in at least one of the layers of the organic light emitting diode, preferably in the hole-blocking layer and/or the electron-blocking layer and/or the light-emitting layer C, in addition to the hole-conducting material CA and the emitter CB, at least one compound selected from disilylcarbazoles, disilyldibenzofurans, disilyldibenzothiophenes, disilyldibenzophospholes, disilyldibenzothiophene S-oxides and disilyldibenzothiophene S,S-dioxides. | 11-12-2009 |
20090283757 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, AND ELECTRONIC DEVICE - Disclosed is a light-emitting element with a good carrier balance and manufacturing method thereof which does not require the formation of the heterostructure. The light-emitting element includes an organic compound film containing a first organic compound as the main component (base material) between an anode and a cathode, wherein the organic compound film is provided in contact with the anode and with the cathode. The first organic compound further includes a light-emitting region to which a light-emitting substance is added and includes a hole-transport region to which a hole-trapping substance is added and/or an electron-transport region to which an electron-trapping substance is added. The hole-transport region is located between the light-emitting region and the anode, and the electron-transport region is located between the light-emitting region and the cathode. | 11-19-2009 |
20090283758 | ORGANIC SEMICONDUCTOR, PHOTOELECTRIC CONVERSION ELEMENT AND IMAGE DEVICE - An organic semiconductor includes: a compound represented by formula (I): | 11-19-2009 |
20090289246 | METHOD FOR PRODUCING AT LEAST ONE MULTILAYER BODY, AND MULTILAYER BODY - The invention concerns a process for the production of a multi-layer body, wherein the multi-layer body includes at least two functional layers on a top side of a carrier substrate, which are structured in register relationship with each other, by a procedure whereby an underside of the carrier substrate is prepared in such a way that in a first region there results a transparency for a first exposure radiation and in at least one second region there results a transparency for at least one second exposure radiation different therefrom in register relationship with the first region, the underside is successively exposed with the first and the at least one second exposure radiation and the first exposure radiation is used for structuring a first functional layer and the at least one second exposure radiation is used for structuring at least one second functional layer on the top side of the carrier substrate. | 11-26-2009 |
20090289247 | Organic-semiconductor-based infrared receiving device - An organic-semiconductor-based infrared receiving device comprises an electrode layer having a positive layer and a negative layer to form an electric field, and a transport layer located between the positive and negative layers and having a first and a second predetermined material combined in a predetermined ratio. The energy of infrared light from a light source is received at an interface between the first and second materials. The thickness of the transport layer can be increased to enhance the light absorbance in the infrared light range to form electron-hole pairs, which are then parted to form a plurality of electrons and holes driven by the electric field to move to the negative layer and the positive layer, respectively, so that a predetermined photocurrent is generated. | 11-26-2009 |
20090289248 | DIOXAANTHANTHRENE COMPOUND AND SEMICONDUCTOR DEVICE - A dioxaanthanthrene compound is represented by structural formula ( | 11-26-2009 |
20090294760 | ORGANIC SEMICONDUCTORS AND GROWTH APPROACHES THEREFOR - Organic semiconductor devices exhibit desirable mobility characteristics. In connection with various example embodiments, a monolayer of methyl-terminated molecules exhibits density characteristics that are sufficient to promote two-dimensional growth of organic semiconductor material formed thereupon. In some applications, the methyl-terminated molecules are sufficiently dense to dominate inter-layer interactions between layers of the organic semiconductor material. | 12-03-2009 |
20090294761 | ORGANIC PHOTOELECTRIC CONVERSION FILM, AND PHOTOELECTRIC CONVERSION DEVICE AND IMAGE SENSOR EACH HAVING THE ORGANIC PHOTOELECTRIC CONVERSION FILM - Provided are an organic photoelectric conversion film, and a photoelectric conversion device and an image sensor each having the organic photoelectric conversion film. The organic photoelectric conversion film includes a p-type material layer formed of an organic material; and a n-type material layer formed on the p-type material layer, the n-type material being formed from naphthalene-1,4,5,8-tetracarboxylic dianhydride (NTCDA). | 12-03-2009 |
20090294762 | COMPOUND HAVING THIOL ANCHORING GROUP, METHOD OF SYNTHESIZING THE SAME, AND MOLECULAR ELECTRONIC DEVICE HAVING MOLECULAR ACTIVE LAYER FORMED USING THE COMPOUND - Provided are an electron donor-azo-electron acceptor compound having a thiol-based anchoring group, a method of synthesizing the compound, and a molecular electronic device having a molecular active layer formed of the compound. The compound for forming a molecular electronic device includes an azo compound that has a dinitrothiophene group and an aminobenzene group having thiol derivatives. The compound forms a molecular active layer in the molecular electronic devices. The molecular active layer is self-assembled on an electrode using the thiol derivative in the azo compound as an anchoring group. The molecular active layer in the molecular electronic device forms a switching device switching between an on-state and an off-state in response to a voltage applied to electrodes or a memory device storing a predetermined electric signal in response to a voltage applied to the electrodes. | 12-03-2009 |
20090294763 | ORGANIC ELECTROLUMINESCENT DEVICE AND DISPLAY UNIT - Disclosed herein is an organic electroluminescent device including: an anode; a cathode; and an organic layer including a light-emitting layer, a naphthacene compound layer containing a naphthacene compound, and an electron transport layer; the light-emitting layer being composed of a light-emitting guest material and an aromatic hydrocarbon compound having the skeleton of anthracene, and the naphthacene compound layer containing no less than 80 wt % of naphthacene compound represented by the formula (1) below and having a thickness of 0.5 to 10 nm and being in contact with that side of the electron transport layer which faces the light-emitting layer. | 12-03-2009 |
20090302310 | Short Channel Vertical FETs - A vertical field effect transistor (FET) comprises a gate electrode and a first electrode layer having a dielectric layer interposed between these electrodes and a semiconducting active layer electrically coupled to the first electrode. The active layer and the dielectric layer sandwich at least a portion of the first electrode where at least one portion of the active layer is unshielded by the first electrode such that the unshielded portion is in direct physical contact with the dielectric layer. A second electrode layer is electrically coupled to the active layer where the second electrode is disposed on at least a portion of the unshielded portion of the active layer such that the second electrode can form electrostatic fields with the gate electrode upon biasing in unscreened regions near the first electrode. | 12-10-2009 |
20090302311 | Diketopyrrolopyrrole polymers as organic semiconductors - The present invention relates to polymers comprising a repeating unit of the formula (I) and their use as organic semiconductor in organic devices, especially a diode, an organic field effect transistor and/or a solar cell, or a device containing a diode and/or an organic field effect transistor, and/or a solar cell. The polymers according to the invention have excellent solubility in organic solvents and excellent film-forming properties. In addition, high efficiency of energy conversion, excellent field-effect mobility, good on/off current ratios and/or excellent stability can be observed, when the polymers according to the invention are used in semiconductor devices or organic photovoltaic (PV) devices (solar cells). | 12-10-2009 |
20090302312 | ORGANIC THIN FILM TRANSISTOR, METHOD OF FABRICATING THE SAME AND ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE HAVING THE SAME - An organic thin film transistor, a method of fabricating the same, and an organic light emitting diode (OLED) display device having the same. The organic thin film transistor includes a substrate, a buffer layer disposed on the substrate, a gate electrode disposed on the buffer layer, a gate insulating layer disposed on the gate electrode, an organic semiconductor layer disposed on the gate insulating layer, source and drain electrodes disposed on the gate insulating layer and electrically connected with the organic semiconductor layer, and a passivation layer formed of a polymer composite and disposed on the organic semiconductor layer. | 12-10-2009 |
20090302313 | ORGANIC LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME - Provided is an organic light emitting diode which can easily control color coordinates and improve a device's life span characteristic by using an auxiliary dopant having a higher band gap energy than that of a host, and preferably, having an absolute value of the highest occupied molecular orbital energy level equal to or higher than that of the host, or an absolute value of the lowest unoccupied molecular orbital energy level equal to or lower than that of the host. | 12-10-2009 |
20090309093 | COMPOSITE MATERIAL, AND LIGHT EMITTING ELEMENT AND LIGHT EMITTING DEVICE USING THE COMPOSITE MATERIAL - An object is to provide a light emitting element with low drive voltage which contains an organic compound and an inorganic compound. One feature of a light emitting element of the present invention is to include a layer containing a light emitting material between a pair of electrodes, in which the layer containing a light emitting material has a layer containing a carbazole derivative represented by General Formula (1) and an inorganic compound which exhibits an electron accepting property to the carbazole derivative represented by General Formula (1). With such a structure, the inorganic compound accepts electrons from the carbazole derivative, carriers are generated internally, and a drive voltage of the light emitting element can be reduced. | 12-17-2009 |
20090309094 | COLOR CONTROLLED ELECTROLUMINESCENT DEVICES - An organic electroluminescent device of a composite material that includes at least two emissive polymers confined into a layered inorganic host matrix, which effectively isolates the polymer chains from their neighbors, and a method for manufacturing same. The isolation of the emitting chains inhibits energy transfer and exciton diffusion between polymer chains, such that the electrically generated excitons recombine radiatively before their energy could be funneled to the emissive moiety with the lowest band gap. The emission color of such a composite is a combination of the emission of the confined polymers, and can be either white light, or can be tuned by selection of the ratio of the mixtures to output light of any desired color. The different polymers can either be mixed and then intercalated into the host matrix, or they can each be intercalated separately into the host matrix and the resulting composites mixed. | 12-17-2009 |
20090309095 | ELECTRO-OPTICALLY ACTIVE ORGANIC DIODE WITH SHORT PROTECTION - An electro-optically active organic diode, for example an organic light emitting diode (OLED), comprises an anode electrode ( | 12-17-2009 |
20090315022 | AZAINDENOFLUORENEDIONE DERIVATIVE, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE - An azaindenofluorenedione derivative shown by the following formula (I), (IIa) or (IIb): | 12-24-2009 |
20090315023 | Opto-Electrical Devices and Methods of Manufacturing the Same - A composition for use in the manufacture of an opto-electrical device, the composition comprising: a conductive or semi-conductive organic material; a solvent; and a first additive, wherein the first additive is an alcohol ether having a boiling point lower than 170° C. | 12-24-2009 |
20090315024 | ORGANIC LUMINESCENCE DEVICE - An organic luminescence device including an electron transport layer, which comprises an electron transporting material and a metal oxide represented by Formula 1: A | 12-24-2009 |
20090315025 | LIQUID CRYSTALLINE ORGANIC SEMICONDUCTOR MATERIAL AND ORGANIC ELECTRON DEVICE - A liquid crystalline organic semiconductor material, having a compound having at least one bonding form selected from the group consisting of (p-type organic semiconductor compound residue)-(n-type organic semiconductor compound residue)-(p-type organic semiconductor compound residue), and (n-type organic semiconductor compound residue)-(p-type organic semiconductor compound residue)-(n-type organic semiconductor compound residue). | 12-24-2009 |
20090321721 | HIGH PERFORMANCE FIELD EFFECT TRANSISTORS COMPRISING CARBON NANOTUBES FABRICATED USING SOLUTION BASED PROCESSING - The present invention is directed toward field effect transistors (FETs) and thin film transistors (TFTs) comprising carbon nanotubes (CNTs) and to methods of making such devices using solution-based processing techniques, wherein the CNTs within such devices have been fractionated so as to be concentrated in semiconducting CNTs. Additionally, the relatively low-temperature solution-based processing achievable with the methods of the present invention permit the use of plastics in the fabricated devices. | 12-31-2009 |
20090321722 | STACKED ELECTRO-OPTICALLY ACTIVE ORGANIC DIODE WITH INORGANIC SEMICONDUCTOR CONNECTION LAYER - A stacked electro-optically active organic diode has an anode electrode ( | 12-31-2009 |
20090321723 | ORGANIC ELECTRONIC MATERIAL, ORGANIC ELECTRONIC DEVICE, AND ORGANIC ELECTROLUMINESCENT DEVICE - An object of the present invention is to provide an organic electronic material that can be easily formed into a multilayer structure. A further object of the present invention is to provide an organic electronic device and an organic EL device that exhibit a better emission efficiency and a better emission lifetime than heretofore achieved. In order to achieve these objects, an organic electronic material is provided, the material includes a polymer or oligomer that has at least one polymerizable substituent and a hole-transporting repeat unit. | 12-31-2009 |
20090321724 | Material for Producing a Functional Layer of an Organic Electronic Component - The invention relates to a material for producing a functional layer of an organic electronic component, in particular a material suitable for processing by printing. In the material proposed according to the invention, a functional substance is present in a polymer matrix, e.g. in dissolved or suspended fashion. | 12-31-2009 |
20090321725 | ORGANIC EL DEVICE AND MANUFACTURING METHOD THEREOF - An organic EL device comprising a semiconductor element A having a source electrode, a drain electrode, and a gate electrode, a semiconductor element B having a source electrode, a drain electrode, and a gate electrode connected to the source electrode or the drain electrode of the semiconductor element A, and an organic EL element having a pixel electrode connected to the drain electrode of the semiconductor element B, in which the source electrode and the drain electrode of the semiconductor element A and the gate electrode of the semiconductor element B are set on the same plane. | 12-31-2009 |
20090321726 | ENCAPSULATION FOR ORGANIC OPTOELECTRONIC DEVICES - An organic optoelectronic device includes a substrate, an anode, a cathode, an active region comprising an organic material, an encapsulation that isolates the active region from an ambient environment, wherein the encapsulation comprises a housing, and a first hermetically sealed electrical path through the housing. | 12-31-2009 |
20090321727 | ORGANIC TRANSISTOR ARRAY, DISPLAY DEVICE AND METHOD OF FABRICATING DISPLAY DEVICE - An organic transistor array includes gate electrodes provided on a substrate, source and drain electrodes provided above or below the gate electrodes via a gate insulator layer, and an organic semiconductor layer opposing the gate electrodes via the gate insulator layer, and forming a channel region between mutually adjacent source and drain electrodes. The organic transistor array in a plan view is sectioned into sections each forming a single pixel, and each section has a closest packed structure. | 12-31-2009 |
20090321728 | TRANSPARENT DISPLAY APPARATUS - A transparent display apparatus is provided that is constructed to transmit or block a light of images selectively according to a supply of electric power to a conventional transparent organic light emitting diode. The transparent display apparatus includes a transparent organic light emitting diode having a glass substrate, a transparent anode, a hole transport layer, an emitting layer, an electron transport layer and a transparent cathode. The transparent display apparatus includes an insulating layer stacked on the transparent cathode, and first and second transparent ITOs stacked on the insulating layer to deliver electromotive force onto an entire surface and to transmit or block the light of images according to the on/off state of a power source. The transparent display apparatus also includes an electro chromic layer provided between the first and the second transparent ITOs and including transparent and colorless chemicals. The electro chromic layer forms a color through oxidation-reduction reaction of the chemicals according to the on/off state of the power source to absorb or block the light of images. The transparent display apparatus further includes a substrate stacked on the second transparent ITO. | 12-31-2009 |
20090321729 | Anthracene Derivatives and Organic Electroluminescent Devices Made by Using the Same - An anthracene derivative represented by the following general formula (1) which enables an organic electroluminescence device to exhibit a great efficiency of light emission and uniform light emission even at high temperatures since crystallization is suppressed and no thermal decomposition takes place during vapor deposition and an organic electroluminescence device utilizing the derivative, are provided. | 12-31-2009 |
20100001261 | Organic transistor having a non-planar semiconductor-insulating layer interface - Organic transistors having a nonplanar interface between the insulating layer and the semiconductor layer are provided, along with methods for manufacturing. | 01-07-2010 |
20100001262 | Anthracene Derivatives, Organic Electronic Devices Using Anthracene Derivatives, and Electronic Apparatuses Comprising Organic Electronic Device - Disclosed in an anthracene derivative, an organic electronic device using the anthracene derivative, and an electronic apparatus including the organic electronic device. The anthracene derivative is capable of being used as a hole injecting material, a hole transporting material, an electron injecting material, an electron transporting material, and a light emitting material in an organic electronic device including an organic light emitting device. In particular, the anthracene derivative is capable of being used alone as a light emitting material and a host or a dopant in a host/dopant system. The organic electronic device is excellent in views of efficiency, driving voltage, life time, and stability. | 01-07-2010 |
20100001263 | POLYMER COMPOUND AND LIGHT EMITTING DEVICE USING THE SAME - A polymer compound comprising a repeating unit of the following formula (1) and having a polystyrene-reduced number average molecular weight of 1×10 | 01-07-2010 |
20100001264 | INSULATING LAYER, ELECTRONIC DEVICE, FIELD EFFECT TRANSISTOR, AND POLYVINYLTHIOPHENOL - Provided is an insulating layer that can improve device characteristics of an electronic device including the insulating layer. The insulating layer contains a polymer insulating substance having repeating units represented by the following formula: | 01-07-2010 |
20100001265 | Thin film transistor, method of fabricating the same, and organic light emitting diode display device including the same - The thin film transistor for an organic light emitting diode includes a crystalline semiconductor pattern on a substrate, a gate insulating layer on the crystalline semiconductor pattern having first source and drain contact holes, a gate electrode on the gate insulating layer, the gate electrode being between the first source and drain contact holes, an interlayer insulating layer covering the gate electrode, having second source and drain contact holes, source and drain electrode in the second source and drain contact holes, insulated from the gate electrode and electrically connected to the crystalline semiconductor pattern by first and second metal patterns in the first source and drain contact holes, respectively, wherein the gate electrode, the first metal pattern in the first source contact hole and the second metal pattern in the first drain contact hole are each made of a same material. | 01-07-2010 |
20100001266 | Thin film transistor, method of fabricating the same, and organic light emitting diode display device including the same - A thin film transistor includes a substrate, a buffer layer on the substrate, a semiconductor layer on the buffer layer, a gate insulating layer on the semiconductor layer, a gate electrode on the gate insulating layer, an interlayer insulating layer on the entire surface of the substrate having the gate electrode, a first contact hole and a second contact hole, and source and drain electrodes on the interlayer insulating layer, insulated from the gate electrode, and having a portion connected with the semiconductor layer through the first contact hole. An organic light emitting diode display may include the thin film transistor along with a passivation layer on the entire surface of the substrate, and a first electrode, an organic layer, and a second electrode, which are on the passivation layer and electrically connected with the source and drain electrodes. | 01-07-2010 |
20100001267 | NRAM ARRAYS WITH NANOTUBE BLOCKS, NANOTUBE TRACES, AND NANOTUBE PLANES AND METHODS OF MAKING SAME - NRAM arrays with nanotube blocks, traces and planes, and methods of making the same are disclosed. In some embodiments, a nanotube memory array includes a nanotube fabric layer disposed in electrical communication with first and second conductor layers. A memory operation circuit including a circuit for generating and applying a select signal on first and second conductor layers to induce a change in the resistance of the nanotube fabric layer between the first and second conductor layers is provided. At least two adjacent memory cells are formed in at least two selected cross sections of the nanotube fabric and conductor layers such that each memory cell is uniquely addressable and programmable. For each cell, a change in resistance corresponds to a change in an informational state of the memory cell. Some embodiments include bit lines, word lines, and reference lines. In some embodiments, 6F | 01-07-2010 |
20100006826 | INCREASING YIELD IN OFETS BY USING A HIGH-K DIELECTRIC LAYER IN A DUAL DIELECTRIC LAYER - Dielectric layer pinholes in OFET structures are addressed through the addition of a high-K dielectric layer to eliminate the effects of shorts in the dielectric layer. The original dielectric layer is maintained such that the semiconductor/dielectric interface remains unchanged. The high-K dielectric layer contributes material to the gate dielectric to plug up pinholes in the original dielectric, but does not contribute significant capacitance due to the high dielectric constant of the additional dielectric layer. The incidence of pinholes in the dielectric layer is reduced without significantly affecting the performance of the OFET transistor. | 01-14-2010 |
20100006827 | Electroluminescent Device - An electroluminescent device comprises, in order: an opaque semiconducting substrate ( | 01-14-2010 |
20100006828 | ORGANIC ELECTROLUMINESCENCE ELEMENT - The invention provides an organic electroluminescence element which comprises a hole transporting layer which comprises a tris(p-terphenyl-4-yl)amine represented by the general formula (I) | 01-14-2010 |
20100006829 | Diode employing with carbon nanotube - A diode includes an organic composite plate, a pressing element, a first electrode, and a second electrode. The organic composite plate has a plurality of carbon nanotubes uniformly distributed therein and includes a first portion and a second portion opposite to the first portion. The pressing element is disposed on the first portion of the organic composite plate. The first and second electrodes are electrically connected to the first and second portions of the organic composite plate, respectively. The diode employed with the carbon nanotubes has a changeable characteristic, such as voltage, current, via controlling the pressure applied by the pressing element. | 01-14-2010 |
20100006830 | Organic semiconductor compound based on 2,7-bis-(vinyl)[1]benzothieno[3,2-b]benzothiophene, organic semiconductor thin film and transistor using the same and methods of forming the same - An organic semiconductor compound based on a 2,7-bis-(vinyl)[1]benzothieno[3,2-b]benzothiophene backbone, an organic semiconductor thin film, an organic thin film transistor and methods of forming the same are provided, the organic semiconductor compound including a vinyl group derived from a phosphonate derivative represented by Formula 1 and an aldehyde derivative represented by Formula 2 below: | 01-14-2010 |
20100006831 | FULL COLOR ORGANIC ELECTROLUMINESCENT DEVICE - The present invention is directed to a full color organic electroluminescent device which comprises a substrate; a first electrode formed on the substrate; an organic emitting layer formed on the first electrode, and having a red-emitting layer, a green-emitting layer and a blue-emitting layer, respectively patterned in a red pixel region, a green pixel region and a blue pixel region, and having the red and green-emitting layer consisting of a phosphorescent material and the blue-emitting layer consisting of a fluorescent material; a hole blocking layer formed on the organic emitting layer as a common layer; and a second electrode formed on the hole blocking layer, so that the full color organic electroluminescent device having enhanced lifetime and luminous efficiency characteristics can be provided. | 01-14-2010 |
20100006832 | DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - According to an embodiment of the present invention, a manufacturing method of a display device includes forming a plurality of gate wires comprising a gate electrode on an insulating substrate, forming an electrode layer comprising a source electrode and a drain electrode spaced apart from each other to define a channel region on the gate electrode interposed therebetween, forming a first barrier wall having a first opening for exposing the channel region, a portion of the source electrode, and a portion of the drain electrode on the electrode layer where the first barrier wall has a surface, forming a shielding film to cover the channel region inside the first opening, treating the surface of the first barrier wall, removing the shielding film, and forming an organic semiconductor layer inside the first opening. | 01-14-2010 |
20100012926 | Organic electroluminescent device - An organic EL device is constructed with a first electrode, a light-emitting layer, a second electrode, and an organic layer which includes a biphenylenediamine compound and is interposed between the first electrode and the light-emitting layer. The organic layer formed between the first electrode and the light-emitting layer has both hole transport and hole injection properties. With this structure, the organic EL device has improved lifetime characteristics in spite of absence of a hole injection layer. A buffer layer including an organic compound with p-type semiconductive property may be further formed between the first electrode and the organic layer including the 4,4′-biphenylenediamine compound to facilitate hole injection from the first electrode and transport an injected hole to the light-emitting layer. Therefore, the organic EL device can have a lower driving voltage, thereby improving a device lifetime. | 01-21-2010 |
20100012927 | DEVICES HAVING VERTICALLY-DISPOSED NANOFABRIC ARTICLES AND METHODS OF MAKING THE SAME - Electro-mechanical switches and memory cells using vertically-oriented nanofabric articles and methods of making the same. Under one aspect, a nanotube device includes a substantially horizontal substrate having a vertically oriented feature; and a nanotube film substantially conforming to a horizontal feature of the substrate and also to at least the vertically oriented feature. Under another aspect, an electromechanical device includes a structure having a major horizontal surface and a channel formed therein, the channel having first and second wall electrodes defining at least a portion of first and second vertical walls of the channel; first and second nanotube articles vertically suspended in the channel and in spaced relation to a corresponding first and second wall electrode, and electromechanically deflectable in a horizontal direction toward or away from the corresponding first and second wall electrode in response to electrical stimulation. | 01-21-2010 |
20100012928 | ORGANIC LIGHT EMITTING DISPLAY AND MANUFACTURING METHOD THEREOF - The present invention relates to an organic light emitting device and a manufacturing method thereof. The organic light emitting device according to an exemplary embodiment of the present invention includes a first thin film transistor disposed on a substrate, an organic layer disposed on the first thin film transistor, a pixel electrode disposed on the organic layer and connected to the first thin film transistor, a partition disposed on the pixel electrode and the organic layer, and an organic emission layer disposed on the pixel electrode and contacting the partition. The partition has an organic layer exposing hole that exposes a portion of the organic layer and an opening that exposes a portion of the pixel electrode. | 01-21-2010 |
20100012929 | ORGANIC THIN FILM TRANSISTOR DEVICE AND ORGANIC THIN FILM LIGHT-EMITTING TRANSISTOR - An organic thin film transistor including a substrate having thereon at least three terminals of a gate electrode, a source electrode and a drain electrode, an insulator layer and an organic semiconductor layer, with a current between a source and a drain being controlled upon application of a voltage to the gate electrode, wherein the organic semiconductor layer includes a compound having a specified structure; and an organic thin film light emitting transistor utilizing an organic thin film transistor, wherein the organic thin film transistor is one in which light emission is obtained utilizing a current flowing between the source and the drain, and the light emission is controlled upon application of a voltage to the gate electrode, and is made high with respect to the response speed (driving speed) and has a large ON/OFF ratio, are provided. | 01-21-2010 |
20100012930 | ORGANIC TRANSISTOR USING THIAZOLOTHIAZOLE DERIVATIVES AND METHOD FOR FABRICATING THE SAME - The present invention relates to an organic transistor that includes an organic semiconductor layer containing a thiazolothiazole derivative and an insulating organic material having a band gap of 3 eV or more or no portion having four pairs or more of double bonds and single bonds continuously connected. | 01-21-2010 |
20100012931 | POLYCYCLIC COMPOUNDS AND ORGANIC ELECTROLUMINESCENCE DEVICE EMPLOYING THE SAME - Provided are a polycyclic compound of a compound having such a structure that two benzene rings bond to a central benzene ring each other to form a fused ring and another fused ring bonds to a terminal thereof, and an organic electroluminescence device including one or more organic thin film layers containing a light emitting layer between a cathode and an anode, in which at least one of the organic thin film layers includes the polycyclic compound of the present invention. The organic electroluminescence device has high luminous efficiency, no defect in pixels, and long lifetime. In addition, provided is a polycyclic compound realizing the organic electroluminescence device. | 01-21-2010 |
20100019228 | Resistivity Stable Electrically Conductive Films Formed from Polythiophenes - A resistivity stable aqueous dispersion and a method for making an aqueous dispersion. The dispersion including polythienothiophene and at least one colloid-forming polymeric acid having a pH of from about 3 to about 10. The method includes preparing an aqueous dispersion containing polythienothiophene and adjusting the pH of the dispersion to a sufficiently high pH to provide resistivity stability. Devices utilizing layers formed of pH adjusted polythienothiophene are also disclosed. | 01-28-2010 |
20100019229 | Thiophene compound having phosphoric ester and process for producing the same - A thiophene compound having a phosphate group, for example, one represented by the formula [1]. The compound has high resistance to heat and oxidation and can be improved in solubility or dispersibility in various solvents. | 01-28-2010 |
20100019230 | MOLECULAR ELECTRONIC DEVICE INCLUDING PLURALITY OF MOLECULAR ACTIVE LAYERS AND METHOD OF MANUFACTURING THE MOLECULAR ELECTRONIC DEVICE - Provided are a molecular electronic device including a functional molecular active layer having a stack structure including oppositely charged first and second molecular active layers, and a method of manufacturing the molecular electronic device. The molecular electronic device includes: a first electrode; an organic dielectric thin layer comprising molecules each having a first end self-assembled on a surface of the first electrode and a second end having a cationic or anionic group; a functional molecular active layer stacked on the organic dielectric thin layer by selective self-assembly with positive and negative ions and comprising an electroactive functional group having a cyclic compound; and a second electrode formed on the functional molecular active layer. | 01-28-2010 |
20100019231 | Organic Electronic Device - This invention generally relates to organic electronic devices and to methods for their fabrication. More particularly we will describe organic thin film transistor (TFT) structures and their fabrication. | 01-28-2010 |
20100019232 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided are a method of manufacturing an organic light emitting device. The method includes forming an electron injection layer by vacuum co-depositing an organic semiconductor material having an electron mobility of about 1×10 | 01-28-2010 |
20100019233 | SEMICONDUCTOR COMPOSITE FILM, METHOD FOR FORMING SEMICONDUCTOR COMPOSITE FILM, THIN FILM TRANSISTOR, METHOD FOR MANUFACTURING THIN FILM TRANSISTOR, AND ELECTRONIC APPARATUS - A semiconductor composite film includes a semiconductor thin film layer containing an organic semiconductor material, an insulating thin film layer formed from a polymer material phase-separated from the organic semiconductor material in the film thickness direction, and a fine particle material dispersed in at least one of the semiconductor thin film layer and the insulating thin film layer. | 01-28-2010 |
20100019234 | ORGANIC THIN FILM TRANSISTOR AND ORGANIC THIN FILM LIGHT EMITTING TRANSISTOR - An organic thin film transistor including a substrate having thereon at least three terminals of a gate electrode, a source electrode and a drain electrode, an insulator layer and an organic semiconductor layer, with a current between a source and a drain being controlled upon application of a voltage to the gate electrode, wherein the organic semiconductor layer includes a specified organic compound having an aromatic heterocyclic group in the center thereof; and an organic thin film light emitting transistor utilizing an organic thin film transistor, wherein the organic thin film transistor is one in which light emission is obtained utilizing a current flowing between the source and the drain, and the light emission is controlled upon application of a voltage to the gate electrode, and is made high with respect to the response speed and has a large ON/OFF ratio, are provided. | 01-28-2010 |
20100019235 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND PRODUCTION METHOD THEREOF - A method of producing an organic EL element that allows easier patterning of the organic EL layer and reduction of the damage of the electrode layer caused by the organic EL layer and is superior in emission characteristics. Also provided is an organic electroluminescence element, including a substrate, a first electrode layer, a wettability variable layer, an organic EL layer, and a second electrode layer sequentially laminated. The wettability variable layer changes its wettability under the action of a photocatalyst caused by energy irradiation, is inactive to the energy, and has on the surface, a wettability variable pattern having an organopolysiloxane-containing lyophilic region and a liquid repellent region containing a fluorine-containing organopolysiloxane. | 01-28-2010 |
20100019236 | ORGANIC LIGHT EMITTING DEVICE - It is an object of the present invention to provide an organic light emitting device having a long-life optical output. The organic light emitting device according to the present invention is provided with an emission layer including at least a host material, a light emitting material, and another material, wherein the another material has a smaller ionization potential than and almost the same hole mobility as or a greater hole mobility than an ionization potential and a hole mobility of a compound which forms an emission layer-side-interface. | 01-28-2010 |
20100019237 | Siloxane-Polymer Dielectric Compositions and Related Organic Field-Effect Transistors - Dielectric compositions comprising siloxane and polymeric components, as can be used in a range of transistor and related device configurations. | 01-28-2010 |
20100025661 | Luminescent material and organic electroluminescent device using the same - The subject of the present invention is to provide an emission material which contributes to high emission efficiency, low drive voltage, excellent heat resistance and long life in an organic electroluminescent device, particularly an emission material which is excellent in emission of blue color. Further, the subject is to provide an organic electroluminescent device using the above emission material. The above subjects can be achieved by an emission material represented by Formula (1) and an organic electroluminescent device comprising the same. | 02-04-2010 |
20100025662 | HIGH DENSITY COUPLING OF QUANTUM DOTS TO CARBON NANOTUBE SURFACE FOR EFFICIENT PHOTODETECTION - The present invention relates to a method of preparing a carbon nanotube-quantum dot conjugate having a high density of quantum dots (QDs) on its surface. This method involves providing a plurality of semiconductor quantum dots and providing a thiol-functionalized carbon nanotube having a plurality of terminal thiol groups on its surface. The plurality of semiconductor quantum dots are attached to the surface of the carbon nanotube under conditions effective to yield a carbon nanotube-quantum dot conjugate having a high density of quantum dots on its surface. The present invention also relates to a carbon nanotube-quantum dot conjugate having a high density of quantum dots on its surface. The present invention further relates to a photodetector device. This device includes a substrate and a nanocomposite layer. The nanocomposite layer includes a plurality of the carbon nanotube-quantum dot conjugates previously described. | 02-04-2010 |
20100025663 | Efficient solar cells using all-organic nanocrystalline networks - An optoelectronic device and a method of fabricating a photosensitive optoelectronic device includes depositing a first organic semiconductor material on a first electrode to form a continuous first layer; depositing a layer of a second organic semiconductor material on the first layer to form a discontinuous second layer, portions of the first layer remaining exposed; and depositing the first organic semiconductor material on the second layer to form a discontinuous third layer, portions of at least the second layer remaining exposed. The depositing of the first and second organic semiconductor materials are alternated a number of times until a final layer of the second organic material is added to form a continuous layer. A second electrode is deposited over this final layer. One of the first electrode and the second electrode is transparent, and the first organic semiconductor material is one or more donor-type materials or one or more acceptor-type materials relative to second organic semiconductor material, which is one or more materials of the other material type. | 02-04-2010 |
20100025664 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light-emitting diode (“OLED”) display includes a first thin film transistor disposed on a substrate; a first insulating layer disposed on the first thin film transistor; a reflective electrode disposed on the first insulating layer; a common voltage line disposed on the first insulating layer and separated from the reflective electrode; a second insulating layer disposed on the reflective electrode and the common voltage line; a pixel electrode disposed on the second insulating layer and electrically connected to the first thin film transistor; an organic light-emitting member disposed on the pixel electrode; and a common electrode disposed on the organic light-emitting member, wherein the common voltage line is electrically connected to the common electrode. | 02-04-2010 |
20100025665 | ORGANIC PHOTOSENSITIVE DEVICES USING SUBPHTHALOCYANINE COMPOUNDS - An organic photosensitive optoelectronic device, having a donor-acceptor heterojunction of a donor-like material and an acceptor-like material and methods of making such devices is provided. At least one of the donor-like material and the acceptor-like material includes a subphthalocyanine, a subporphyrin, and/or a subporphyrazine compound; and/or the device optionally has at least one of a blocking layer or a charge transport layer, where the blocking layer and/or the charge transport layer includes a subphthalocyanine, a subporphyrin, and/or a subporphyrazine compound. | 02-04-2010 |
20100025666 | ORGANIC THIN FILM TRANSISTOR AND ORGANIC THIN FILM TRANSISTOR MANUFACTURING PROCESS - Disclosed is a stable organic thin film transistor having good switching property and a process for manufacturing an organic thin film transistor by a simple method. The organic thin film transistor comprises a substrate and provided thereon, at least a source electrode, a drain electrode, an organic semiconductor connecting the source electrode and the drain electrode, a gate electrode, and an insulating layer composed of a plurality of layers, the insulating layer being provided between the gate electrode and the organic semiconductor, wherein the organic thin film transistor comprises a mercapto group-containing compound represented by the following formula (I), | 02-04-2010 |
20100025667 | Organic field effect transistor and method of manufacturing the same - The present invention discloses an organic field effect transistor and a manufacturing method thereof. The organic field effect transistor comprises a top-contact type or a bottom-contact type, and the manufacturing method thereof comprises the following steps: a substrate is provided, a metal gate is formed on the substrate, an inorganic insulating layer is formed on the substrate and the metal gate, a surface of the insulating layer is polished, an organic filler is filled in pores on the insulating layer as an insulating treatment, a modified layer is formed on the inorganic insulating layer, and finally an organic semiconductor layer, a source and a drain are formed. By combining the advantages of simply liquefied process of the organic material and the high stability of inorganic material, and operation conditions of control process, the present invention can achieve effectively that the device is high carrier mobility and high on/off ratio. | 02-04-2010 |
20100025668 | ORGANIC TRANSISTOR AND METHOD FOR FABRICATING A DIELECTRIC LAYER OF SUCH A TRANSISTOR - The present invention relates to an organic transistor comprising a conductive element which forms a drain; a conductive element which forms a source located away from the drain; a conductive element which forms a gate having a surface which faces the drain and a surface which faces the source; a semiconducting layer which is in contact with the drain and the source; and a dielectric layer located between, firstly, the gate and, secondly, the source and the drain with the dielectric layer having a dielectric permittivity which varies depending on its thickness, | 02-04-2010 |
20100025669 | AMINE-BASED COMPOUND, ORGANIC LIGHT EMITTING DEVICE COMPRISING THE AMINE-BASED COMPOUND, AND FLAT PANEL DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT EMITTING DEVICE - The invention is directed to an amine-based compound represented by Formula 1, an organic light emitting device with an organic film including the same, and a flat panel display device including the organic light emitting device. | 02-04-2010 |
20100025670 | Organic Thin Film Transistor and Organic Thin Film Light Emitting Transistor - An organic thin film transistor including a substrate having thereon at least three terminals of a gate electrode, a source electrode and a drain electrode, an insulator layer and an organic semiconductor layer, with a current between a source and a drain being controlled upon application of a voltage to the gate electrode, wherein the organic semiconductor layer includes a specified organic compound having an aromatic heterocyclic group in the center thereof; and an organic thin film light emitting transistor utilizing an organic thin film transistor, wherein the organic thin film transistor is one in which light emission is obtained utilizing a current flowing between the source and the drain, and the light emission is controlled upon application of a voltage to the gate electrode, and is made high with respect to the response speed and has a large ON/OFF ratio, are provided. | 02-04-2010 |
20100025671 | ELECTROLUMINESCENT DEVICES - The invention relates to an optical light emitting diode device having an electroluminescent layer and an electron transport layer, wherein the electron transport layer contains zirconium or hafnium quinolate for slowing loss of luminance at a given current density with increase of the time for which the device has been operative. The invention also relates to OLEDs, improved efficiency and/or lifetime is obtained by using zirconium or hafnium quino late as electron transport material. | 02-04-2010 |
20100025672 | THIN-FILM LAMINATE AND ORGANIC TRANSISTOR USING THE SAME - An organic transistor includes a semiconductor section that includes a thin-film laminate in which a first organic thin film and a second organic thin film are alternately stacked. The thin-film laminate includes at least two layers of the first organic thin film. The first organic thin film is a pentacene thin film, and the second organic thin film is an amorphous organic thin film. The pentacene thin film may be a pentacene bilayer thin film, and the amorphous organic thin film may be a tetraaryldiamine thin film. The tetraaryldiamine thin film may be an α-NPD thin film. The organic transistor has improved transistor characteristics (e.g., mobility, ON/OFF ratio, or threshold value control). | 02-04-2010 |
20100032654 | Semiconductor Device Having Silane Treated Interface - A semiconductor device made on a polymer substrate using graphic arts printing technology uses a printable organic semiconductor. An electrode is situated on the substrate, and a dielectric layer is situated over the electrode. Another electrode(s) is situated on the dielectric layer. The exposed surfaces of the dielectric and the top electrode are treated with a reactive silane to alter the surface of the electrode and the dielectric sufficiently to allow an overlying organic semiconductor layer to have good adhesion to both the electrode and the dielectric. In various embodiments, the electrodes may be printed, and the dielectric layer may also be printed. | 02-11-2010 |
20100032655 | Field-effect transistor - Disclosed is a field-effect transistor characterized by using a compound represented by the formula (1) below as a semiconductor material. | 02-11-2010 |
20100032656 | Phenylcarbazole compounds, organic light emitting device comprising the phenylcarbazole compounds and flat panel display device comprising the organic light emitting device - Provided are a compound represented by Formula 1 or 2 below and an organic light emitting device including an organic layer having the compound: | 02-11-2010 |
20100032657 | ORGANIC TRANSISTOR - An organic transistor having a source electrode, a drain electrode, a gate electrode, and an organic semiconductor layer, wherein the organic semiconductor layer comprises a compound represented by the following general formula [1] or [3]: | 02-11-2010 |
20100032658 | Novel organic electroluminescent compounds and organic electroluminescent device using the same - Provided are novel organic electroluminescent compounds and organic electroluminescent devices comprising the same as electroluminescent material. Specifically, the organic electroluminescent compounds according to the invention are characterized in that they are represented by Chemical Formula (1): | 02-11-2010 |
20100032659 | SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME - A semiconductor device | 02-11-2010 |
20100032660 | ORGANIC THIN FILM TRANSISTOR, PRODUCTION METHOD THEREOF, AND ELECTRONIC DEVICE - An organic thin film transistor is disclosed, including a substrate formed of an organic insulating layer, a first layer deposited on the substrate using a plating technique to be used for forming a source electrode and a drain electrode, a second layer of a metal material deposited covering the first layer using a further plating technique to be used for forming the source electrode and the drain electrode with the metal material capable of forming an ohmic contact with an organic semiconductor material lower than the first layer, and an organic semiconductor layer over a region between the source electrode and the drain electrode, which are each formed with the first layer and the second layer. Also disclosed is an electric device provided with the organic thin film transistor. | 02-11-2010 |
20100032661 | ORGANIC FIELD-EFFECT TRANSISTOR - An organic field-effect transistor includes between an organic semiconductor layer ( | 02-11-2010 |
20100032662 | Organic Thin Film Transistors - A method of forming an organic thin film transistor comprising: providing a structure comprising source and drain electrodes with a channel region therebetween, a gate electrode, and a dielectric layer disposed between the source and drain electrodes and the gate electrode; and patterning the dielectric layer using the source and drain electrodes as a mask to form a region of dielectric material in the channel region which is thinner than regions of dielectric material adjacent the channel region. | 02-11-2010 |
20100032663 | METHOD AND APPARATUS FOR SIMULTANEOUS LATERAL AND VERTICAL PATTERNING OF MOLECULAR ORGANIC FILMS - The disclosure relates to a method and apparatus for micro-patterning organic layers of OLEDs. The disclosed methods do not require applying pressure to the film, nor do they require heat treatment, surface treatment or fast release rate of a stamp from the substrate. The disclosed methods are particularly advantageous over the conventional shadow masking techniques for providing large array fabrication with small features. In one embodiment of the disclosure, one or more organic films are selected for the OLED as a function of their individual or combined sublimation temperature. The material is selected in view of the depth and shape of the features that are to be formed in the organic layer. The disclosed embodiments can provide minimum feature size of 13 μm which is suitable for high resolution OLED displays. | 02-11-2010 |
20100038630 | Semiconducting siloxane compositions for thin film transistor devices,and making and using the same - Semiconducting siloxane compositions and methods for manufacturing and use thereof in preparing organic thin-film transistors (OTFTs) are described. The semiconducting siloxane compositions can be crosslinked products of polymeric/monomeric compositions that include silane-derivatized crosslinkable organic p-type compounds and p-type semiconducting polymers. | 02-18-2010 |
20100038631 | ELECTRONIC DEVICE COMPRISING SEMICONDUCTING POLYMERS - An electronic device comprises a semiconducting polymer of Formula (I): | 02-18-2010 |
20100038632 | ELECTROLUMINESCENT DEVICE - An OLED with a donor which is doped metal quinolate in which the metal is a transition metal in the four or five valent state. | 02-18-2010 |
20100038633 | Organic light emitting diode - Provided is an organic light emitting diode including: a first electrode; a second electrode; an organic layer between the first electrode and the second electrode; and a luminous efficiency improvement layer disposed on a surface of the first electrode facing away from the organic layer or a surface of the second electrode facing away from the organic layer, wherein the luminous efficiency improvement layer includes a porphyrazin derivative, a phthalocyanine derivative, a naphthalocyanine derivative, or a combination of at least two compounds of the foregoing. | 02-18-2010 |
20100038634 | LIGHT EMITTING DEVICE MATERIAL AND LIGHT EMITTING DEVICE - A light emitting device material comprises a pyrene compound represented by formula (1) below. Also disclosed is a light emitting device using such a material. (R | 02-18-2010 |
20100038635 | Organic electroluminescent display device and manufacturing method of organic electroluminescent display device - The present invention provides a top-emission-type organic EL display device. In a top-emission-type organic EL display device which includes organic EL elements each of which is formed by stacking a reflective lower electrode, a function layer and a light-transmissive upper electrode in order, the upper electrode contains a plurality of fine particles which are made of a material different from a material of the upper electrode. The fine particles are preferably made of silica. A portion of the upper electrode may be provided below the fine particles and a portion of the upper electrode may be provided above the fine particles. | 02-18-2010 |
20100038636 | ORGANIC THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME, AND SEMICONDUCTOR DEVICE HAVING THE ORGANIC THIN FILM TRANSISTOR - There have been problems in that a dedicated apparatus is needed for a conventional method of manufacturing an organic thin film transistor and in that: a little amount of an organic semiconductor film is formed with respect to a usage amount of a material; and most of the used material is discarded. Further, apparatus maintenance such as cleaning of the inside of an apparatus cup or chamber has needed to be frequently carried out in order to remove the contamination resulting from the material that is wastefully discarded. Therefore, a great cost for materials and man-hours for maintenance of apparatus have been required. In the present invention, a uniform organic semiconductor film is formed by forming an aperture between a first substrate for forming the organic semiconductor film and a second substrate used for injection with an insulating film formed at a specific spot and by injecting an organic semiconductor film material into the aperture due to capillarity to the aperture. The insulating film formed at the specific spot enables formation of the organic semiconductor film with high controllability. Further, the insulating film can also serve as a spacer that holds the aperture, that is, an interval (gap) between the substrates. | 02-18-2010 |
20100044681 | Novel Anthracene Derivatives, Method for Preparation Thereof, and Organic Electronic Device Using the Same - The present invention relates to a novel anthracene derivative, a method for preparation thereof, and an organic electronic device using the same. The anthracene derivative according to the present invention can function as a hole injecting, hole transporting, electron injecting, electron transporting, or light emitting in an organic electronic device including an organic light emitting device, and in particular, used alone as a light emitting, or as a host or dopant in a host/dopant system. The organic electronic device according to the present invention exhibits excellent characteristics in terms of efficiency, drive voltage, life time, and stability. | 02-25-2010 |
20100044682 | CHARGE INJECTION LAYER FOR ELECTRO-OPTICAL DEVICES - The present invention relates to charge injection from metallic conductors to semiconductor or insulation materials based on organic or inorganic molecules and macromolecules with electrical or optical properties, and specifically to a new charge injection layer for electro-optical devices comprising a polymer with conjugated units and a salt mixed with the aforementioned polymer, characterized in that the oxidation state of the polymer is not modified when it is mixed with the salt. Despite the fact that there is no change in the oxidation state of the polymer, the polymer and salt mixture according to the invention makes it possible for a high enough number of charges to reach the optically active layer, such that the efficiency in the charge injection process is increased up to levels exceeding even those provided by the standard PEDOT. | 02-25-2010 |
20100044683 | Use of Square Planar Transition Metal Complexes as Dopant - The present invention relates to the use of a square planar transition metal complex as dopant, charge injection layer, electrode material or storage material. | 02-25-2010 |
20100044684 | BLENDED POLYMER FETS - A method for forming a semiconductor body, the method comprising: forming a mixture of an organic semiconducting material and a binder material; causing the semiconducting material to at least partially solidify; and causing the binder material to crystallize in such a way as to cause the semiconducting material to at least partially segregate from the binder material. | 02-25-2010 |
20100044685 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode display including a substrate; a light blocking layer disposed on the substrate and having a semiconductor opening; a first semiconductor pattern disposed in the semiconductor opening; a gate insulating layer disposed on the light blocking layer and the first semiconductor pattern; a first gate electrode disposed on the gate insulating layer; a first source electrode electrically connected to the first semiconductor pattern; a first drain electrode spaced apart from the first source electrode; a protective insulating layer disposed on the first source electrode and the first drain electrode, the protective insulating layer having a contact portion; a pixel electrode disposed on the protective insulating layer contacting the first drain electrode through the contact portion; an emitting layer disposed on the pixel electrode; and a common electrode disposed on the emitting layer. | 02-25-2010 |
20100044686 | MATERIAL FOR AN ORGANIC ELECTROLUMINESCENCE DEVICE AND AN ORGANIC ELECTROLUMINESCENCE DEVICE - A material for an organic electroluminescence device represented by the following formula (I): | 02-25-2010 |
20100044687 | ORGANIC FIELD-EFFECT TRANSISTORS WITH POLYMERIC GATE DIELECTRIC AND METHOD FOR MAKING SAME - A method for making an organic field-effect device (e.g. TFT or SC-FET device) is proposed, comprising the Steps of (a) depositing an polymeric dielectric with a repellency to detrimental molecules from Solution or from the vapor phase to form an insulating layer and (b) depositing an oligomer layer which is grown from the vapor phase, the oligomer layer being adjacent to the insulating layer in the completed device. Furthermore the correspondingly produced organic field effect devices are disclosed. | 02-25-2010 |
20100044688 | ELECTROLUMINESCENT METAL COMPLEX - Metal complexes of the formula I or I′ [LDH] | 02-25-2010 |
20100044689 | ORGANIC EL DEVICE - A phosphorescent-emitting layer contains a phosphorescent host and a phosphorescent dopant for providing phosphorescence, and a fluorescent-emitting layer contains a fluorescent host and a fluorescent dopant for providing fluorescence. A charge blocking layer blocks electrons injected into the fluorescent host of the fluorescent-emitting layer from being injected toward the charge blocking layer from the fluorescent-emitting layer, and also injects holes into the fluorescent-emitting layer from the phosphorescent-emitting layer. A triplet energy gap Eg | 02-25-2010 |
20100044690 | ORGANIC EL DISPLAY DEVICE - An organic EL display device includes a first organic EL element which includes a first organic layer including a first light emission layer which emits the color of light in the first wavelength range and a hole blocking layer between a pixel electrode and a counter-electrode, a second organic EL element which includes a second organic layer including a second light emission layer which emits the color of light in the first wavelength range between a pixel electrode and the counter-electrode, the second organic EL element being thinner than the first organic EL element, and a third organic EL element which includes a third organic layer including the third light emission layer which emits the color of light in the first wavelength range between a pixel electrode and the counter-electrode, the third organic EL element being thicker than the first organic EL element. | 02-25-2010 |
20100044691 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - Aspects of the present invention relate to an organic light emitting diode (OLED) display and a manufacturing method thereof. The OLED display includes: a substrate; pixel electrodes disposed on the substrate; a pixel defining layer disposed on the substrate, having a plurality of openings that expose the pixel electrodes; an organic emission layer formed on the pixel electrodes; and a common electrode formed on the organic emission layer and the pixel defining layer. An electrode cut is formed in the common electrode, around one of the openings of the pixel defining layer, to electrically isolate a portion of the common electrode. | 02-25-2010 |
20100044692 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - The present invention relates to an organic light emitting diode (OLED) display and a manufacturing method thereof. The OLED display includes a substrate member that includes a plurality of pixel areas. A thin film transistor (TFT) is formed on the substrate member and includes a gate electrode, a source electrode, and a drain electrode. A planarization layer is formed on the TFT and includes a contact hole through which the drain electrode is partially exposed. A pixel electrode is formed on the planarization layer and is connected to the drain electrode of the TFT through the contact hole. A pixel defining layer is formed on the planarization layer and has a through opening. Light scattering spacers are formed on the pixel defining layer to scatter reflected light and may have various shapes and dimensions. | 02-25-2010 |
20100044693 | ORGANIC EL LIGHT-EMITTING MATERIAL AND ORGANIC EL LIGHT-EMITTING ELEMENT - An organic EL light-emitting material and an organic EL light-emitting element using the same are provided. Between an anode and a cathode, there are provided a hole transport layer, a light-emitting layer constituted of an organic EL light-emitting material including at least one kind of metal pyrazole complex constituted of a metal ion that is a monovalent cation of a d10 group element and a pyrazole ligand that has a predetermined substituent at the whole or a part of 3, 4 and 5 sites, and an electron transport layer, in this order from the anode side. | 02-25-2010 |
20100044694 | ITO film treated by nitrogen plasma and the organic luminescent device using the same - Disclosed are an Indium Tm Oxide (ITO) film, wherein nitrogen-containing compounds produced by reactions of nitrogen with at least one atom selected from the group consisting of In, Sn and O atoms which are constitutional elements of ITO, or deposited nitrogen-containing compounds are present on a surface of the ITO film; and a method for preparing an ITO film, comprising the step of treating a surface of the ITO film with nitrogen plasma. An organic elect roluminescent device using the ITO film provided by the present invention as an anode shows a low voltage, a high efficiency and a long lifetime. | 02-25-2010 |
20100044695 | COMPOUND FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device (organic EL device) which is improved in luminous efficiency, fully secured of driving stability, and of simple constitution. Also disclosed is a compound useful for the fabrication of said organic EL device. The organic electroluminescent device comprises organic layers including a light-emitting layer disposed between an anode and a cathode which are piled one upon another on a substrate and said organic layers comprise a compound represented by general formula (1). A light-emitting layer containing a phosphorescent dopant is suitable for an organic layer comprising a compound represented by general formula (1). In general formula (1), X is CR or N; Ar | 02-25-2010 |
20100044696 | THIN FILM TRANSISTOR AND LIQUID CRYSTAL DISPLAY - A thin film transistor is provided. The thin film transistor includes a substrate, a gate, a source/drain, an insulating layer, and a semiconductor active layer. The gate and the source/drain are respectively deposited on the substrate and are separated by the insulating layer on the substrate. The semiconductor active layer connects the source and the drain. The material of the semiconductor active layer is a semiconductor precursor which produces semiconductor property after being irradiated by a light source. A liquid crystal display which includes the above thin film transistor is also provided. | 02-25-2010 |
20100051908 | Liquid Charge Transporting Material - The present invention relates to optoelectronic and/or electrochemical devices comprising an organic charge transporting material which is liquid at a temperature of ≦180° C. In particular in dye-sensitised solar cells, quantum efficiency higher than with prior art solid organic hole-transporters is reported. The melting point of a large quantity of organic charge transporting materials may be adjusted to a desired value by selecting suitable substituents. Accordingly, general advantages of the liquid state may be associated with the properties of organic charge transporting materials. | 03-04-2010 |
20100051909 | MOLECULAR ELECTRONIC DEVICES AND METHODS OF FABRICATING SAME - Substrates carrying many molecular devices and circuits made of at least two devices are described. The substrates have less than 50% shorted molecular devices; and molecular circuits comprise a first molecular device and a second molecular device. The first molecular device has at least one self assembled monolayer (SAM) of a first type sandwiched between a first bottom electrode and a first top electrode. Similarly, the second molecular device has at least one SAM of a second type sandwiched between a second bottom electrode and a second top electrode. The first top electrode is electrically connected to the second bottom electrode. In exemplary embodiment, the first and second types of SAM are mutually different. | 03-04-2010 |
20100051910 | Organic light emitting diode display and fabricating method thereof - An organic light emitting diode display device includes a switch TFT and a drive TFT formed on a substrate; an overcoat layer formed on the TFTs; a drain contact hole exposing portions of a drain electrode of the drive TFT by removing portions of the overcoat layer; a first electrode contacting to the drain electrode of the drive TFT; a bank pattern exposing an aperture area of a pixel; an organic layer formed on the first electrode; and a second electrode formed on the organic layer, wherein the bank pattern blocks regions where the drain contact hole is formed. | 03-04-2010 |
20100051911 | Organic Thin Film Transistor Array Panel and Method of Manufacturing the Same - In an organic thin film transistor array panel includes a source electrode and a drain electrode having a double layer including a metal and a metal oxide. The organic thin film transistor array panel is formed through a lift-off process or by using a shadow mask. The thin film transistor array panel has excellent characteristics and reduced manufacturing process costs. | 03-04-2010 |
20100051912 | MOLECULAR ELECTRONIC DEVICE FABRICATION METHODS AND STRUCTURES - This invention generally relates to improved methods of fabricating molecular electronic devices, in particular organic electronic devices such as organic light emitting diodes (OLEDs) by droplet deposition techniques such as ink jet printing. The invention also relates to molecular device substrates fabricated by and/or use in such methods. | 03-04-2010 |
20100051913 | Organic field-effect transistor, production method and intermediate structure therefor, and organic field-effect device - An organic field-effect transistor normally includes: a source electrode and a drain electrode; an organic semiconductor layer in contact with the source electrode and the drain electrode; a gate insulating layer adjacent to the organic semiconductor layer; and a gate electrode in contact with the gate insulating layer. The gate insulating layer according to the present invention is in a liquid state, constituted with a material containing no glue or thickener, a sole or main component of which is an ionic liquid. Thus the capacitance of the ionic liquid corresponding to a gate voltage modulation frequency of 10 Hz is reduced to 1/10 at a frequency of 10 kHz of higher. As a result, an organic field-effect transistor capable of operating at low voltage and assuring ample current gain and high-speed response (the capacitance of the ionic liquid corresponding to a gate voltage modulation frequency of 10 Hz is reduced to 1/10 at a frequency of 10 kHz of higher) is provided. | 03-04-2010 |
20100051914 | Silicon-containing compound and organic electroluminescent device employing the same - Provided are a silicon-containing compound having carbazole and fluorene in its molecule and an organic electroluminescent device including an organic layer employing the same. The silicon-containing compound is represented by the following formula: | 03-04-2010 |
20100051915 | POLYMER CHARGE TRANSPORT MATERIAL FOR OPTOELECTRONIC DEVICES - Polymers that enable the use of high work-function metals as a cathode in optoelectronic devices and optoelectronic devices incorporating the polymers as an electron transport layer. | 03-04-2010 |
20100051916 | METHOD FOR FORMING AN ELECTRONIC DEVICE IN MULTI-LAYER STRUCTURE - A method for forming an organic or partly organic switching device, comprising: depositing layers of conducting, semiconducting and/or insulating layers by solution processing and direct printing; defining microgrooves in the multilayer structure by solid state embossing; and forming a switching device inside the microgroove. | 03-04-2010 |
20100051917 | PRINTABLE THIN-FILM TRANSISTORS WITH HIGH DIELECTRIC CONSTANT GATE INSULATORS AND METHODS FOR PRODUCING SAME - Disclosed are embodiments of organic thin-film transistors (OTFT) with a gate insulator layer comprised of nanocomposites incorporating metal oxide nanoparticles coated by organic ligands and methods of fabricating such OTFTs. This abstract is intended as a scanning tool for purposes of searching in the particular art and is not intended to be limiting of the present invention. | 03-04-2010 |
20100051918 | ORGANIC THIN FILM TRANSISTOR AND ORGANIC THIN FILM LIGHT-EMITTING TRANSISTOR - An organic thin film transistor including a substrate having thereon at least three terminals of a gate electrode, a source electrode and a drain electrode, an insulator layer and an organic semiconductor layer, with a current between a source and a drain being controlled upon application of a voltage to the gate electrode, wherein the organic semiconductor layer includes a specified organic compound having an acetylene or olefin structure in the center thereof; and an organic thin film light emitting transistor utilizing an organic thin film transistor, wherein the organic thin film transistor is one in which light emission is obtained utilizing a current flowing between the source and the drain, and the light emission is controlled upon application of a voltage to the gate electrode, and is made high with respect to the response speed and has a large ON/OFF ratio, are provided. | 03-04-2010 |
20100051919 | ORGANIC THIN FILM TRANSISTOR AND ORGANIC THIN FILM LIGHT-EMITTING TRANSISTOR - An organic thin film transistor including a substrate having thereon at least three terminals of a gate electrode, a source electrode and a drain electrode, an insulator layer and an organic semiconductor layer, with a current between a source and a drain being controlled upon application of a voltage to the gate electrode, wherein the organic semiconductor layer includes a specified organic compound having an aromatic hydrocarbon group or an aromatic heterocyclic group in the center thereof; and an organic thin film light emitting transistor utilizing an organic thin film transistor, wherein the organic thin film transistor is one in which light emission is obtained utilizing a current flowing between the source and the drain, and the light emission is controlled upon application of a voltage to the gate electrode, and is made high with respect to the response speed and has a large ON/OFF ratio, are provided. | 03-04-2010 |
20100051920 | Composite Article Including a Cation-Sensitive Layer - A composite article includes a substrate having a surface, a cation-sensitive layer including a cation-sensitive material disposed on the surface of the substrate, and a silicone layer disposed between the substrate and the cation-sensitive layer. Cations are present on the surface of the substrate in an amount of at least 0.1 atomic weight percent based on the total atomic weight of the atoms on the surface of the substrate. The silicone layer includes a cured silicone composition for preventing cations from migrating from the substrate to the cation-sensitive layer. The inclusion of the silicone layer between the cation-sensitive layer and the substrate enables the use of materials for the substrate that have not been useable in the past due to the presence of excessive amounts of cations in the materials. | 03-04-2010 |
20100051921 | ORGANIC FIELD EFFECT TRANSISTOR - An organic field effect transistor comprising a gate electrode | 03-04-2010 |
20100051922 | Organic Thin Film Transistors - An organic thin film transistor comprising: a substrate; a source electrode and a drain electrode defining a channel; a layer of insulating material disposed over the source and drain electrodes; a layer of organic semi-conductive material extending across the channel; a layer of dielectric material; and a gate electrode disposed over the layer of dielectric material. | 03-04-2010 |
20100051923 | Organischer Feldeffekt Transistor - The invention relates to an organic field-effect transistor, in particular an organic thin-layer field-effect transistor, with a gate electrode, a drain electrode and a source electrode, an active layer of organic material which during operation is configured to form an electrical line channel, a dielectric layer which electrically isolates the active layer from the gate electrode, a dopant material layer which consists of a molecular dopant material whose molecules consist of two or more atoms and which dopant material is an electrical dopant for the organic material of the active layer, and wherein the dopant material layer is formed in a boundary surface region between the active layer and the dielectric layer or is formed adjacent to the boundary surface region. | 03-04-2010 |
20100051924 | FLUORENE-CONTAINING COMPOUND AND ORGANIC LIGHT EMITTING DEVICE EMPLOYING THE SAME - A novel fluorene-containing compound and an organic electroluminescent device including an organic layer employing the same. The fluorene-containing compound has excellent electrical characteristics and an excellent charge transporting capability, and so can be used as a hole injecting material, hole transporting material, and/or emitting material that is suitable for all-color fluorescent and phosphorescent devices, such as red, green, blue, and white fluorescent and phosphorescent devices. Accordingly, an organic electroluminescent device employing the fluorene-containing compound has high efficiency, a low driving voltage, high brightness, and a long lifetime. | 03-04-2010 |
20100051925 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode (OLED) display device which can improve emission efficiency and reduce (or minimize) resonance effect, and a method of fabricating the same. The OLED display device includes a substrate; a first electrode disposed on the substrate and including a reflective layer; an organic layer disposed on the first electrode and including a white emission layer and a hole injection layer having a thickness between 200 and 300 Å; and a second electrode disposed on the organic layer. | 03-04-2010 |
20100051926 | Anthracene Derivative, Material for Light-Emitting Element, Light-Emitting Element, Light-Emitting Device, and Electronic Appliance - An anthracene derivative represented by general formula (1) is provided. In the formula, Ar | 03-04-2010 |
20100051927 | ORGANIC FIELD EFFECT TRANSISTOR AND ITS PRODUCTION METHOD - An organic field effect transistor (OFET) having a structure of a conductor layer/an insulator layer/a semiconductor layer is provided. This OFET comprises an insulator layer formed by mixing a polymer compound produced by polymerizing or copolymerizing a monomer represented by the formula (1): | 03-04-2010 |
20100051928 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes: a pair of electrodes; and at least one organic layer including a light emitting layer, the light emitting layer being provided between the pair of electrodes, wherein at least one layer of the at least one organic layer contains a compound represented by formula (1): | 03-04-2010 |
20100051929 | Organic light emitting display apparatus and method of manufacturing same - An organic light emitting display apparatus having a substrate, a plurality of first electrodes of black color formed on the substrate, separators disposed on spaces between the first electrodes, a black matrix layer that is conductive and formed on the separators, an organic light emitting layer formed on the first electrodes so as to be electrically connected to the first electrodes, and a second electrode formed on the organic light emitting layer so as to be electrically connected to the organic light emitting layer. Thus, the first electrodes can be easily formed and the image contrast can be improved. | 03-04-2010 |
20100051930 | LIGHT EMITTING TRANSISTOR - A main object of the present invention is to provide a static induction light emitting transistor having an organic EL element structure and a vertical FET structure which is possible to avoid a problem of the shielding of light and a problem of shielding of electric field by a gate electrode. The above object is achieved by providing a light emitting transistor | 03-04-2010 |
20100051931 | SEMICONDUCTOR APPARATUS AND PROCESS FOR FABRICATING THE SAME - A semiconductor apparatus in which a conducting path formed from organic semiconductor molecules as a material has a novel structure and exhibits high mobility, and a manufacturing method for fabricating the same are provided. Fine particles that include a conductor or a semiconductor and organic semiconductor molecules, are alternately bonded through a functional group at both terminals of the organic semiconductor molecules to form a conducting path in a network form such that the conducting path in the fine particles and the conducting path in the organic semiconductor molecules are two-dimensionally or three-dimensionally linked together. This conducting path includes no intermolecular electron transfer, and the mobility is not restricted by the intermolecular electron transfer, and therefore the mobility of the conducting path along the main chain in the organic semiconductor molecules (in the direction of the axis of the molecule), for example, displays a high intramolecular mobility due to delocalized π electrons can be fully utilized. | 03-04-2010 |
20100059738 | Conductive Polymer Compositions in Opto-Electrical Devices - A conductive polymer composition comprising: a polymer having a HOMO level greater than or equal to −5.7 eV and a dopant having a LUMO level less than −4.3 eV. | 03-11-2010 |
20100059739 | ORGANIC ELECTROLUMINESCENCE ELEMENT, IMAGE DISPLAY DEVICE, AND IMAGING APPARATUS - An organic electroluminescence element includes organic compound layers disposed between electrodes, the concentration of halogen atoms contained in organic compounds of the organic compound layers being 1 ppm or less according to combustion ion chromatography. | 03-11-2010 |
20100059740 | POLYMERIC ANIONS/CATIONS - The present invention relates to light-emitting devices and in particular organic light-emitting devices (OLEDs). In particular, the invention relates to emitter materials in which charged metal complexes are bonded to a polymer by electrostatic interactions. | 03-11-2010 |
20100059741 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - To provide a light-emitting element with high light emission efficiency, a long lifetime, and reduced driving voltage. To provide a light-emitting element including an anode, a cathode, and a plurality of light-emitting layers which are in contact with each other so that a stacked structure is formed, between the anode and the cathode, in which the plurality of light-emitting layers are formed with a first light-emitting layer which is close to the anode and a second light-emitting layer which is close to the cathode, the first light-emitting layer and the second light-emitting layer each include a host material, a hole-transporting material, and a light-emitting material, and the concentration of the hole-transporting material in the first light-emitting layer is higher than the concentration of the hole-transporting material in the second light-emitting layer. | 03-11-2010 |
20100065825 | Light-Emitting Component - The invention relates to a light-emitting device, in particular a light-emitting diode, with an arrangement of layers on a substrate, wherein the arrangement of layers has an anode contact and a cathode contact which are in electrical contact with a light-emitting layer stack arranged between the anode contact and the cathode contact which, on its part, comprises a polymer layer consisting of a polymer material and a low-molecular layer of vacuum-deposited small molecules of an organic material, and wherein the small molecules of the low-molecular layer are formed as donor molecules with an oxidation potential versus Fc/Fc | 03-18-2010 |
20100065826 | NOVEL FUSED POLYCYCLIC AROMATIC COMPOUND, PROCESS FOR PRODUCING THE SAME, AND USE THEREOF - In one embodiment of the present invention, a novel fused polycyclic aromatic compound of the present invention is (a) a compound including a benzodichalcogenophenobenzodichalcogenophene (BXBX) skeleton further having an aromatic ring(s) located outside the BXBX skeleton, or (b) a compound including a BXBX skeleton in which a benzene ring is substituted with a heterocyclic ring. The compound can strengthen intermolecular interaction due to greater π electron orbits. This improves an electron field effect mobility of an organic semiconductor device that is manufactured by use of the compound as an organic semiconductor material. Further, since the number of fused rings included in the compound is small, the compound does not cause problems that generally occur in compounds having an extremely large number of fused rings, i.e., poor solubility in solvent and poor atmospheric stability due to high affinity to oxygen. As a result, the fused polycyclic aromatic compound of the present invention can be preferably used as an organic semiconductor material. | 03-18-2010 |
20100065827 | COMPOUNDS AND ORGANIC LIGHT EMITTING DIODE USING THE SAME - Disclosed are new compounds and an organic light emitting diode using the same. The organic light emitting diode using the new compound according to the present invention exhibits excellent characteristics in terms of actuating voltage, light efficiency, and lifespan. | 03-18-2010 |
20100065828 | COMPOUNDS AND ORGANIC LIGHT EMITTING DIODE USING THE SAME - Disclosed are new compounds and an organic light emitting diode using the same. The organic light emitting diode using the new compound according to the present invention exhibits excellent characteristics in terms of actuating voltage, light efficiency, and lifespan. | 03-18-2010 |
20100065829 | POLYMER WRAPPED CARBON NANOTUBE NEAR-INFRARED PHOTOVOLTAIC DEVICES - A photovoltaic device includes a photoactive region disposed between and electrically connected to two electrodes where the photoactive region includes photoactive polymer-wrapped carbon nanotubes that create excitons upon absorption of light in the range of about 400 nm to 1400 nm. | 03-18-2010 |
20100065830 | Organic thin film transistor and method for fabricating the same - Disclosed herein are a method for fabricating an organic thin film transistor, including treating the surfaces of a gate insulating layer and source/drain electrodes with a self-assembled monolayer (SAM)-forming compound through a one-pot reaction, and an organic thin film transistor fabricated by the method. According to example embodiments, the surface-treatment of the gate insulating layer and the source/drain electrodes may be performed in a single vessel through a single process. | 03-18-2010 |
20100065831 | HYBRID ORGANIC LIGHT-EMITTING TRANSISTOR DEVICE AND MANUFACTURING METHOD THEREOF - A hybrid organic light-emitting transistor device and a manufacturing method thereof are provided. The hybrid organic light-emitting transistor device includes at least one organic light-emitting diode device and at least one organic thin-film transistor device placed on the same substrate. The organic light-emitting diode device has a first organic layer placed between an anode and a cathode, and the organic thin-film transistor device has a second organic layer placed on a source electrode and a drain electrode. The first organic layer and the second organic layer are spatially isolated from each other, and an organic material forming the second organic layer is identical to an organic material forming the first organic layer. The hybrid organic light-emitting transistor with a reduced pixel size and an improved aperture ratio can be easily obtained. | 03-18-2010 |
20100065832 | LIGHT-EMITTING APPARATUS - [Problems] To make joints between light-emitting panel units ( | 03-18-2010 |
20100065833 | Organic field-effect transistor and circuit - The invention relates to an organic field-effect transistor, in particular an organic thin film field-effect transistor comprising a gate electrode, a drain electrode and a source electrode, a dielectric layer which is formed in contact with the gate electrode, an active layer made from an organic material which is in contact with the drain electrode and the source electrode and which is configured electrically undoped, a dopant material layer which contains a dopant material that is an electrical dopant for the organic material of the active layer, and a border surface region in which a planar contact is formed between the active layer and the dopant material layer, wherein mobility of similar electrical charge carriers, namely electrons or holes, in the dopant material layer is no more than half as great as in the active layer. | 03-18-2010 |
20100065834 | INTEGRATED ORGANIC PHOTOVOLTAIC AND LIGHT EMITTING DIODE DEVICE - An integrated organic photovoltaic and electroluminescent device includes an organic light emitting diode and an organic photovoltaic. The OLED and the OPV share a common substrate building layer. | 03-18-2010 |
20100072462 | PLANARIZING AGENTS AND DEVICES - Use of certain materials in hole injection layer and/or hole transport layer can improve operational lifetimes in organic devices. Polymers having fused aromatic side groups such as polyvinylnaphthol polymers can be used in conjunction with conjugated polymers. Inks can be formulated and cast as films in organic electronic devices including OLEDs, SMOLEDs, and PLEDs. One embodiment provides a composition comprising: at least one conjugated polymer, and at least one second polymer different from the conjugated polymer comprising at least one optionally substituted fused aromatic hydrocarbon side group. The substituent can be hydroxyl. Aqueous-based inks can be formulated. | 03-25-2010 |
20100072463 | LAMINATE STRUCTURE AND ITS MANUFACTURING METHOD - A disclosed laminate structure is capable of having its surface free energy changed with a small amount of UV irradiation. The invention also discloses a method of manufacturing the laminate structure; an electronic device having the laminate structure; an electronic device array having a plurality of the electronic devices; and a display apparatus having the electronic device array. The laminate structure includes a substrate | 03-25-2010 |
20100072464 | ORGANIC THIN-FILM TRANSISTOR SUBSTRATE, ITS MANUFACTURING METHOD, IMAGE DISPLAY PANEL, AND ITS MANUFACTURING METHOD - The present invention is a method for manufacturing an organic thin-film transistor substrate including an organic thin-film transistor as a transistor element, and an object of the invention is to provide a manufacturing method capable of forming a bank in a smaller number of steps. The method for manufacturing the organic thin-film transistor substrate of the present invention, in which an organic thin-film transistor is formed in a first region on a substrate, a second region for forming a light-emitting element in abutment with the first region is included, and a bank part is formed in a peripheral part of the second region, is characterized by including: a first step of forming the organic thin-film transistor in the first region on the substrate and forming at least one of the gate insulation layer and the organic semiconductor layer included by this organic thin-film transistor as far as the second region, thereby forming, in the second region, a bank precursor layer composed of a laminated structure formed on the second region; and a second step of removing the regions of the bank precursor layer other than the peripheral part, thereby forming the bank part made of the remaining bank precursor layer. | 03-25-2010 |
20100078626 | P-TYPE SEMICONDUCTOR MATERIAL, SEMICONDUCTOR DEVICE, ORGANIC ELECTROLUMINESCENT DEVICE, AND METHOD FOR MANUFACTURING P-TYPE SEMICONDUCTOR MATERIAL - To provide a p-type semiconductor material having a band matching with a hole injection layer and suitable for an anode electrode that can be formed on a glass substrate or a polymer substrate, and to provide a semiconductor device. In the p-type semiconductor material, 1×10 | 04-01-2010 |
20100078627 | ORGANIC LIGHT-EMITTING DEVICE - The organic light-emitting device of the present invention includes: a substrate; a plurality of organic light-emitting elements formed on the substrate; and an element isolation layer formed between the plurality of organic light-emitting elements, each of the elements having: on the substrate in mentioned order, a first electrode patterned for each of the organic light-emitting elements, an organic compound layer patterned for each of the organic light-emitting elements, and a second electrode; the element isolation layer formed across a space between the plurality of first electrodes to cover the ends of the first electrodes, and having an opening at a portion corresponding to the organic light-emitting elements, and at least a portion of the clement isolation layer in contact with the organic compound layer is formed of an inorganic material. | 04-01-2010 |
20100078628 | UNIVERSAL METHOD FOR SELECTIVE AREA GROWTH OF ORGANIC MOLECULES BY VAPOR DEPOSITION - A method for selective growth of organic molecules on a substrate is proposed. The method comprises: creating a pattern of nucleation sites for the organic molecules on the substrate; depositing of organic molecules at the nucleation sites by vapor deposition. An organic material based device obtained by performing the method is also proposed. The method offers an alternative to methods that are known the fields of coating technology or semiconductor fabrication. | 04-01-2010 |
20100078629 | ORGANIC EL DISPLAY DEVICE - An organic EL display device includes a pixel electrode which is disposed in each of first to third organic EL elements, a first light emission layer which includes a first dopant material having a first absorbance peak, the first light emission layer extending over the first to third organic EL elements and being disposed above the pixel electrode, a second light emission layer which includes a second dopant material having a second absorbance peak and is disposed above the first light emission layer, a third light emission layer which is disposed above the second light emission layer, a counter-electrode which is disposed above the third light emission layer, and a hole transport layer which is formed of a material having an absorbance bottom on a shorter wavelength side than the first absorbance peak and the second absorbance peak in absorbance spectrum characteristics of the hole transport layer. | 04-01-2010 |
20100078630 | Organic Electroluminescence Element, Method for Manufacturing the Same, Image Display Unit and Illuminating Device - In an organic electroluminescent element of the present invention, which has at least a hole transport layer having an inorganic compound and an organic luminescent layer between a first electrode and a second electrode on a substrate, a high light extraction efficiency can be obtained by reflecting light emitted from the organic luminescent layer off the hole transport layer. | 04-01-2010 |
20100078631 | Organic light emitting diode display device - The OLED display device includes a first stack and a second stack that are separated from each other between an anode electrode and a cathode electrode, with a charge generation layer sandwiched between the first stack and the second stack, each of the first stack and the second stack having an emission layer. The first stack includes a blue emission layer formed between the anode electrode and the CGL. The second stack includes a fluorescent green emission layer and a phosphorescent red emission layer formed between the cathode electrode and the CGL. The blue emission layer includes one of a fluorescent blue emission layer and a phosphorescent blue emission layer. | 04-01-2010 |
20100078632 | ELECTRONIC ELEMENT - The object is to fabricate a novel organic semiconductor element which can effectively utilize the main-chain conduction of a conjugated high molecular compound having semiconductor-like properties. Provided is an electronic element which contains, as components, a pair of electrodes which is formed on a substrate, a mesoporous film in which tubular mesopores, which are orientation controlled in one direction, are formed, the mesoporous film being formed between the electrodes so as to be in contact with the electrodes, a conjugated high molecular compound held in the tubular mesopores, and a third electrode which is electrically insulated from the conjugated high molecular compound and is in contact with the mesoporous film. | 04-01-2010 |
20100084634 | Nano-crystal diamond film, manufacturing method thereof, and device using nano-crystal diamond film - A nano-crystal diamond film synthesized on a substrate and containing, as a major component, nano-crystal diamond having a grain diameter from 1 nm to less than 1000 nm. This nano-crystal diamond film can be formed on a substrate by means of a plasma CVD method using a raw material gas containing a hydrocarbon and hydrogen, allowing the formation of the nano-crystal diamond film to take place outside the plasma region. This nano-crystal diamond film is applicable to the manufacture of an electrochemical device, an electrochemical electrode, a DNA chip, an organic electroluminescent device, an organic photoelectric receiving device, an organic thin film transistor, a cold electron-emission device, a fuel cell and a catalyst. | 04-08-2010 |
20100084635 | Recording level gauge type organic light emitting diode - Diode for which one of the conducting layers presents a suitable surface resistance so that when a power supply voltage is applied between a connection element and this conducting layer and the other conducting layer, a potential distribution is generated at the surface of this resisting conducting layer which is able to cause light to be emitted by a portion of the surface of the organic light emitting layer which is proportional to this power supply voltage. This diode is advantageously used to visualise the signal value. | 04-08-2010 |
20100084636 | COMPOSITION FOR PHOTOSENSITIVE ORGANIC DIELECTRIC MATERIAL AND APPLICATION THEREOF - A composition for photosensitive dielectric material is provided. The composition includes 4 to 10 percent by weight of a polymer material, 1.5 to 10 percent by weight of a crosslinking agent, 0.32 to 2 percent by weight of a photoacid generator (PAG) and 78 to 94.18 percent by weight of solvent, based on a total weight of the composition. | 04-08-2010 |
20100084637 | ORGANIC TRANSISTOR AND METHOD FOR FABRICATING THE SAME - The present invention provides an organic transistor that includes an organic semiconductor layer containing a material having conductive particles and an organic semiconductor polymer chemically bonded to each other and a method of producing the same. | 04-08-2010 |
20100084638 | Thin Film Transistor - A method of making a top-gate organic thin film transistor, comprising forming source and drain contacts on a substrate; oxidizing portions of the source and drain contacts; depositing an organic semiconductor layer to form a bridge between the oxidized portions of the source and drain contacts; depositing a gate insulating layer over the organic semiconductor layer; and forming a gate electrode over the gate insulating layer. | 04-08-2010 |
20100084639 | Electric Organic Component and Method for the Production Thereof - An electric organic component and a method for the production thereof is disclosed. The component includes a substrate, a first electrode, a first electrically semiconductive layer on the first electrode, an organic functional layer on the first electrically semiconductive layer and a second electrode on the organic functional layer. The first or the second electrode may be arranged on the substrate. The electrically semiconductive layer is doped with a dopant which comprises rhenium compounds. | 04-08-2010 |
20100084640 | POLYMER HAVING UNIT OBTAINED BY CONDENSATION OF DIFLUOROCYCLOPENTANEDIONE RING AND AROMATIC RING, ORGANIC THIN FILM USING THE SAME, AND ORGANIC THIN FILM DEVICE - A polymer having a repeating unit represented by the following general formula (I) and a ferrocene-based reduction potential of −1.5 to −0.5 V as measured by a cyclic voltammetry method | 04-08-2010 |
20100084641 | METHOD FOR MANUFACTURING AN ORGANIC LIGHT EMITTING DEVICE AS WELL AS SUCH A DEVICE - Electronic device comprising at least:
| 04-08-2010 |
20100084642 | ORGANIC EL DEVICE - An organic EL device includes an array substrate including an insulating substrate and an organic EL element which is disposed above the insulating substrate, a sealing substrate which is disposed on that side of the array substrate, which faces the organic EL element, and is attached to the array substrate, a light sensor which is provided in the array substrate and includes a light-sensing part which receives incident light via the sealing substrate, and a light-shield layer which is disposed between the light sensor and the sealing substrate, and includes an opening portion which is formed right above the light-sensing part of the light sensor. | 04-08-2010 |
20100084643 | THIN FILM TRANSISTOR, METHOD FOR MANUFACTURING THIN FILM TRANSISTOR, AND ELECTRONIC APPARATUS - A thin film transistor includes an insulating layer formed from an organic material, an oxide material, or a silicon based material, a source electrode and a drain electrode disposed on the insulating layer by using an electrically conductive oxide material, a self-organized film covering exposed surfaces of the insulating layer, the source electrode, and the drain electrode, and a semiconductor thin film disposed, on the insulating layer provided with the self-organized film, over from the source electrode to the drain electrode. | 04-08-2010 |
20100084644 | DISPLAY SUBSTRATE METHOD OF MANUFACTURING THE SAME - A display substrate includes a base substrate, a barrier pattern, a source electrode, a drain electrode, a semiconductor layer, an insulating layer, and a gate electrode. The barrier pattern protrudes from the base substrate. The source and gate electrodes are formed adjacent to opposite sides of the barrier pattern on the base substrate. The semiconductor layer is provided on the barrier pattern to connect the source electrode with the drain electrode, and the insulating layer covers the semiconductor layer, the source electrode, and the drain electrode. The gate electrode is provided on the insulating layer, and is overlapped with the semiconductor layer. | 04-08-2010 |
20100084645 | COMPOSITE MATERIAL, AND LIGHT EMITTING ELEMENT AND LIGHT EMITTING DEVICE USING THE COMPOSITE MATERIAL - An object is to provide a light emitting element with low drive voltage which contains an organic compound and an inorganic compound. One feature of a light emitting element of the present invention is to include a layer containing a light emitting material between a pair of electrodes, in which the layer containing a light emitting material has a layer containing a carbazole derivative represented by General Formula (1) and an inorganic compound which exhibits an electron accepting property to the carbazole derivative represented by General Formula (1). With such a structure, the inorganic compound accepts electrons from the carbazole derivative, carriers are generated internally, and a drive voltage of the light emitting element can be reduced. | 04-08-2010 |
20100084646 | LIGHT-EMITTING ELEMENT AND DISPLAY DEVICE - A light emitting element, including a light emitting section and a connecting section, the light emitting section and the connecting section being provided over a substrate, along the in-plane direction of the substrate, an insulating section being formed between the light emitting section and the connecting section, the light emitting element, including: the light emitting section including: a bottom electrode, a phosphor layer formed over the bottom electrode; a first charge transporting layer formed over the phosphor layer; and a first top electrode formed over the first charge transporting layer, the connecting section including: an auxiliary electrode; a second charge transporting layer formed over the auxiliary electrode and connected electrically to the first charge transporting layer of the light emitting section; and a second top electrode formed over the second charge transporting layer and connected electrically to the first top electrode of the light emitting section; the insulating section electrically insulates, with the auxiliary electrode of the connecting section, the bottom electrode and the phosphor layer of the light emitting section, and further, a HOMO (eV) and a LUMO (eV) in the first charge transporting layer are identical to a HOMO (eV) and a LUMO (eV) in the second charge transporting layer, yet further, a work function Ip (eV) of the first top electrode is identical to a work function Ip (eV) of the second top electrode, and the HOMO (eV), the LUMO (eV) and the work function Ip (eV) satisfy the following expression. | 04-08-2010 |
20100084647 | ELECTROLUMINESCENT DEVICES INCLUDING ORGANIC EIL LAYER - An OLED device comprises a cathode, an anode, and has therebetween a light emitting layer (LEL) comprising a phosphorescent emitting compound disposed in a host comprising a mixture of at least one electron transporting co-host which is a benzophenone derivative with a spiro substituent and at least one hole transporting co-host which is a triphenylamine which contains one trivalent nitrogen atom that is bonded only to carbon atoms, at least one of which is a member of an aromatic ring, wherein there is present an electron transporting layer contiguous to the LEL (HBL?) on the cathode side comprising an anthracene or a fluoranthene and wherein there is present an election injecting layer comprising a phenanthroline or a lithium quinolate contiguous to the cathode. | 04-08-2010 |
20100090199 | Organic Semiconductor Film Forming Method, Organic Semiconductor Film and Organic Thin Film Transistor - A method for forming an organic semiconductor film having a high carrier mobility is provided by having an average volatilization rate of a solvent within a prescribed range during a step of drying, at the time of applying a coating solution, which includes an organic semiconductor material and a non-halogen solvent, on a substrate. In such forming method, characteristic fluctuation in repeated use of the organic semiconductor film is suppressed, and an organic thin film transistor having an excellent film forming characteristic even on an insulator with reduced gate voltage threshold can be obtained. | 04-15-2010 |
20100090200 | ORGANIC THIN FILM TRANSISTORS - Organic thin film transistors with improved mobility are disclosed. The transistor contains two interfacial layers between the dielectric layer and the semiconducting layer. One interfacial layer is formed from a siloxane polymer or silsesquioxane polymer. The other interfacial layer is formed from an alkyl-containing silane of Formula (1): | 04-15-2010 |
20100090201 | ORGANIC THIN FILM TRANSISTORS - A thin film transistor having an improved gate dielectric layer is disclosed. The gate dielectric layer comprises a poly(hydroxyalkyl acrylate-co-acrylonitrile) based polymer. The resulting gate dielectric layer has a high dielectric constant and can be crosslinked. Higher gate dielectric layer thicknesses can be used to prevent current leakage while still having a large capacitance for low operating voltages. Methods for producing such gate dielectric layers and/or thin film transistors comprising the same are also disclosed. | 04-15-2010 |
20100090202 | ORGANIC TRANSISTOR ELEMENT, ITS MANUFACTURING METHOD, ORGANIC LIGHT-EMITTING TRANSISTOR, AND LIGHT-EMITTING DISPLAY DEVICE - In a method for manufacturing an organic transistor element, an electrode is subjected to wet etching into a predetermined pattern on an organic semiconductor layer. In the process for performing wet etching on the electrode so as to obtain a predetermined pattern, an etching liquid containing a dopant of the organic semiconductor layer is used to perform wet etching on the electrode and, simultaneously, the organic semiconductor layer is doped with the dopant. | 04-15-2010 |
20100090203 | Organic Light-Emitting Element, Organic Light-Emitting Transistor, and Light-Emitting Display Device - An organic light-emitting element comprises a large number of unit pixels each at least composed of a base, an auxiliary electrode, a first insulating layer to cover at least the auxiliary electrode, a charge injection layer on the first insulating electrode, laminated bodies each consisting of a first electrode and a second insulating layer and provided in a predetermined pattern, an organic light-emitting layer formed in regions where the laminated bodies are not provided, and a second electrode to cover at least the organic light-emitting layer. The unit pixel has first partitions provided to demarcate the organic light-emitting layer from other adjacent unit pixels and at least one or more second partitions to have a uniform coated thickness, and at least one of the first partition and the second partition is the laminated body. | 04-15-2010 |
20100090204 | ORGANIC SEMICONDUCTOR ELEMENT AND MANUFACTURE METHOD THEREOF - [Problems] To form an organic semiconductor layer more uniformly in a channel region by allowing formation of a pattern with a higher resolution in an organic semiconductor element. | 04-15-2010 |
20100090205 | ACTIVE MATRIX DISPLAY APPARATUS - An active matrix display apparatus including a transistor | 04-15-2010 |
20100090206 | POLYMER LIGHT-EMITTING DEVICE, POLYMER COMPOUND, COMPOSITION, LIQUID COMPOSITION, AND CONDUCTIVE THIN FILM - Disclosed is a polymer light-emitting device having a light-emitting layer arranged between an anode and a cathode, and a hole transport layer arranged between the light-emitting layer and the anode. This polymer light-emitting device is characterized in that the hole transport layer is a layer containing a polymer compound which contains a repeating unit represented by the general formula (I) below, a repeating unit represented by the general formula (II) below and a repeating unit represented by the general formula (III) below. | 04-15-2010 |
20100090207 | Electroluminescent Organic Semiconductor Element and a Method for Repair of an Electroluminescent Organic Semiconductor Element - An electroluminescent organic semiconductor element includes a substrate and a first electrode arranged on the substrate. The semiconductor element additionally contains a second electrode and at least one organic layer, which is arranged between the first electrode and the second electrode. The organic layer is a layer that generates light by recombination of charge carriers. At least one of the first and the second electrode contains a highly conductive organic sublayer. | 04-15-2010 |
20100090208 | THIN FILM TRANSISTOR SUBSTRATE, METHOD OF MANUFACTURING THE SAME, AND DISPLAY APPARATUS HAVING THE SAME - In a method of manufacturing a thin film transistor substrate, a semiconductor pattern is formed on a substrate, a first etch stop layer and a second etch stop layer are sequentially formed on the semiconductor pattern, and the second etch stop layer and the first etch stop layer are sequentially patterned to form a second etch stop pattern and a first etch stop pattern. Thus, when the second etch stop layer is patterned using an etchant, the first etch stop layer covers the semiconductor pattern, thereby preventing the semiconductor pattern from being etched by the etchant. | 04-15-2010 |
20100090209 | ORGANIC EL DISPLAY APPARATUS - Provided is an organic EL display apparatus which can be driven at a low voltage and in which a red-light-emitting device uses a phosphorescent material, a green-light-emitting device uses a delayed fluorescent material, and the same material is used in the hole transport layers of the respective devices. | 04-15-2010 |
20100090210 | COMPOUND HAVING THIOL ANCHORING GROUP, METHOD OF SYNTHESIZING THE SAME, AND MOLECULAR ELECTRONIC DEVICE HAVING MOLECULAR ACTIVE LAYER FORMED USING THE COMPOUND - Provided are an electron donor-azo-electron acceptor compound having a thiol-based anchoring group, a method of synthesizing the compound, and a molecular electronic device having a molecular active layer formed of the compound. The compound for forming a molecular electronic device includes an azo compound that has a dinitrothiophene group and an aminobenzene group having thiol derivatives. The compound forms a molecular active layer in the molecular electronic devices. The molecular active layer is self-assembled on an electrode using the thiol derivative in the azo compound as an anchoring group. The molecular active layer in the molecular electronic device forms a switching device switching between an on-state and an off-state in response to a voltage applied to electrodes or a memory device storing a predetermined electric signal in response to a voltage applied to the electrodes. | 04-15-2010 |
20100090211 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device includes steps of forming a gate electrode over a light-transmitting substrate, forming a gate insulating layer containing an inorganic material over the gate electrode and the substrate, forming an organic layer containing a photopolymerizable reactive group over the gate insulating layer, polymerizing selectively the organic layer by irradiating the organic layer with light from back side of the substrate, using the gate electrode as a mask, forming an organic polymer layer by removing a residue of the organic layer, being other than polymerized, forming an organosilane film including a hydrolytic group over the gate insulating layer in a region other than a region in which the organic polymer layer is formed, forming source and drain electrodes by applying a composition containing a conductive material over the organic polymer layer, and forming a semiconductor layer over the gate electrode, the source and drain electrodes. | 04-15-2010 |
20100090212 | MEMORY CELL - A memory cell comprising a metal-insulator-semiconductor (MIS) structure is disclosed using a homogeneous carrier trapping layer interposed between a semiconductor layer and the gate electrode of a transistor structure so that the operation voltage is reduced and the manufacturing is simplified with lowered cost. The MIS structure comprises: a gate electrode; a semiconductor layer; and a homogeneous carrier trapping layer interposed between the gate electrode and the semiconductor layer; wherein the homogeneous carrier trapping layer comprises novolac. | 04-15-2010 |
20100096620 | ORGANIC THIN FILM TRANSISTOR AND METHOD OF FABRICATING THE SAME - A method of fabricating an organic thin film transistor is provided. The method includes forming a source, a drain and a gate on a substrate and forming a dielectric layer to isolate the gate from the source and isolate the gate from the drain. An organic active material layer is formed on the substrate to fill a channel region between the source and the drain and cover the source and the drain. A barrier material layer is formed on the organic active material layer. Thereafter, the barrier material layer and the organic active material layer are patterned to form a barrier layer and an organic active layer and expose the source and the drain. | 04-22-2010 |
20100096621 | ORGANIC TRANSISTOR AND MANUFACTURE METHOD THEREOF - [PROBLEMS] To provide an organic transistor in which high-resolution patterning can be performed, favorable contact can be achieved, and a leakage current can be prevented. | 04-22-2010 |
20100096622 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic EL element which has no layer that prevents penetration of holes and electrons to the counter electrode. The organic EL element includes: an anode, a hole injecting and transporting layer formed on the anode, a light emitting layer formed on the hole injecting and transporting layer, an electron injecting and transporting layer formed on the light emitting layer, and a cathode formed on the electron injecting and transporting layer. Ip | 04-22-2010 |
20100096623 | Forming electrodes to small electronic devices having self-assembled organic layers - In one embodiment of the invention, a method of fabricating a SAM device comprises the steps of: (a) providing a substrate having a top surface and a first metal electrode disposed on the top surface, (b) annealing the first metal electrode, (c) forming a SAM layer on a major surface of the first electrode, the SAM layer having a free surface such that the SAM is disposed between the free surface and the major surface of the first electrode, and (d) forming a second metal electrode on the free surface of the molecular layer. Forming step (d) includes the step of (d | 04-22-2010 |
20100096624 | Organic electroluminescent device and display using same - An organic electroluminescent device ( | 04-22-2010 |
20100096625 | ORGANIC FIELD-EFFECT TRANSISTOR AND METHOD OF FABRICATING THIS TRANSISTOR - This organic field effect transistor comprises a semiconductor layer made of an organic semiconductor material. The mobility μl | 04-22-2010 |
20100096626 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device includes a transistor having gate, source, and drain electrodes, and first electrode connected to one of the source or drain electrodes. The device also includes an emitting layer positioned on the first electrode and a second electrode positioned on the emitting layer. Each of the source and drain electrodes includes first, second, and third layers having different tapered angles. The first electrode may include a metallic layer and a conductive layer, with a tapered angle of the metallic layer being different from a tapered angle of the conductive layer. | 04-22-2010 |
20100096627 | LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting element is disclosed that can drive at a low driving voltage and that has a longer lifetime than the conventional light-emitting element, and a method is disclosed for manufacturing the light-emitting element. The disclosed light-emitting element includes a plurality of layers between a pair of electrodes; and at least one layer among the plurality of layers contains one compound selected from the group consisting of oxide semiconductor and a metal oxide, and a compound having high hole transportation properties. Such the light-emitting element can suppress the crystallization of a layer containing one compound selected from the group consisting of oxide semiconductor and a metal oxide, and a compound having high hole transportation properties. As a result, a lifetime of the light-emitting element can be extended. | 04-22-2010 |
20100102299 | ORGANIC SEMICONDUCTOR COMPOSITE, ORGANIC TRANSISTOR MATERIAL AND ORGANIC FIELD EFFECT TRANSISTOR - The present invention provides an organic semiconductor composite containing a certain thiophene compound and carbon nanotubes, which can be formed into a film by a coating process such as an inkjet process, has high charge mobility and can maintain a high on/off ratio even in air, an organic transistor material and an organic field effect transistor. | 04-29-2010 |
20100102300 | Active Matrix Optical Device - An active matrix organic optical device comprising a plurality of organic thin film transistors and a plurality of pixels disposed on a common substrate, wherein a common bank layer is provided for the organic thin film transistors and the pixels, the common bank layer defining a plurality of wells, wherein some of the wells contain the organic semiconducting material of the organic thin film transistors therein and others of the wells contain organic optically active material of the pixels therein. | 04-29-2010 |
20100102301 | Organic light emitting display device - An organic light emitting display device. The organic light emitting display device includes a substrate having a pixel region in which pixels are formed and a non-pixel region in which a light sensor is formed, an insulating film formed on the substrate, a first electrode formed on the insulating film and formed of a reflective material reflecting light, the first electrode being formed on the entire surface of the insulating film except for a region between the pixels and a region over the light sensor, a pixel defining film exposing a region of the first electrode and formed on the insulating film, an organic light emitting layer formed on the exposed region of the first electrode, and a second electrode formed on the organic light emitting layer. The first electrode is formed to have a greater area than that of the organic light emitting layer. | 04-29-2010 |
20100102302 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device which can prevent the deterioration thereof attributed to moisture by preventing a desiccant from influencing organic electroluminescence elements is provided. The organic electroluminescence device includes: first and second substrates which are arranged to face each other in an opposed manner with a gap therebetween; organic electroluminescence elements which are formed on a first surface of the first substrate which faces the second substrate in an opposed manner; a desiccant which is formed on a second surface of the second substrate which faces the first substrate in an opposed manner; and a resin which is adhered to the first and second surfaces and covers the desiccant and the organic electroluminescence elements. The desiccant includes a portion which is arranged outside a region of the second surface which faces the organic electroluminescence elements in an opposed manner and surrounds the whole of the region, and the organic electroluminescence elements are isolated from the desiccant by way of the resin. | 04-29-2010 |
20100102303 | ORGANIC SEMICONDUCTOR, PHOTOELECTRIC CONVERSION DEVICE, IMAGING DEVICE AND NOVEL COMPOUNDS - Provided is an organic semiconductor which is a compound represented by the following formula (I): | 04-29-2010 |
20100102304 | INVERTED ORGANIC PHOTOSENSITIVE DEVICES - The present disclosure relates to organic photosensitive optoelectronic devices grown in an inverted manner. An inverted organic photosensitive optoelectronic device of the present disclosure comprises a reflective electrode, an organic donor-acceptor heterojunction over the reflective electrode, and a transparent electrode on top of the donor-acceptor heterojunction. | 04-29-2010 |
20100102305 | MATERIALS FOR ELECTROLUMINESCENCE AND THE UTILIZATION THEREOF - The present invention relates to organic semiconductors which contain structural units L=X and in addition structural units which emit light from the triplet state. The materials according to the invention are more soluble and easier to synthesise and are therefore more suitable for use in organic light-emitting diodes than comparative materials in accordance with the prior art. | 04-29-2010 |
20100108989 | ELECTROLUMINESCENT POLYMERS AND USE THEREOF - The present invention relates to polymers which contain novel structural units of the formula (1). The inventive materials exhibit better solubility and improved efficiency when used in a polymeric organic light-emitting diode. | 05-06-2010 |
20100108990 | NITROGENOUS HETEROCYCLIC DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE MAKING USE OF THE SAME - A nitrogenous heterocyclic derivative of specified structure; and an organic electroluminescence device comprising a negative electrode and a positive electrode and, interposed therebetween, one or two or more organic thin-film layers having at least a light emitting layer, wherein at least one of the organic thin-film layers contains the above nitrogenous heterocyclic derivative alone or as a component of mixture. Thus, there is provided an organic electroluminescence device capable of blue light emission realizing high emission brightness and luminous efficiency, and provided a novel nitrogenous heterocyclic derivative for realization of such an organic electroluminescence device. | 05-06-2010 |
20100108991 | ORGANIC ELECTROLUMINESCENCE DEVICE, LIGHTING DEVICE AND DISPLAY DEVICE - Disclosed are an organic EL device having high luminous efficiency and long life, an illuminating device and a display device. | 05-06-2010 |
20100108992 | Light-emitting device - The present invention provides a light emitting device comprising at least an emissive layer existing between an anode and a cathode, which emits light by means of electric energy. The light emitting device contains a compound having a pyrromethene skeletal structure with a specific structure or a metal complex thereof and a naphthacene derivative, and thus the light emitting device has high luminance efficiency and excellent durability. | 05-06-2010 |
20100108993 | BLOCK COPOLYMER AND POLYMER LIGHT-EMITTING DEVICE - Disclosed is a block copolymer characterized by containing a block (A) containing two or more different repeating units respectively composed of a specific divalent heterocyclic group, and a repeating unit composed of an arylene group, and a block (B) containing a repeating unit composed of an arylene group and a repeating unit composed of a divalent aromatic amine residue. Also disclosed are a composition containing a solvent, a light-emitting material other than the block copolymer, a hole-transporting material other than the block copolymer, an electron-transporting material other than the block copolymer or a combination of two or more of them, in addition to the block copolymer; a light-emitting thin film characterized by containing the block copolymer; and a polymer light-emitting device characterized by having an anode, a cathode and an organic layer containing the block copolymer and arranged between the anode and the cathode. | 05-06-2010 |
20100108994 | ELECTROLUMINESCENT METAL COMPLEXES WITH BENZOTRIAZOLES - This invention relates to electroluminescent metal complexes with benzotriazoles of the formula (I), a process for their preparation, electronic devices comprising the metal complexes and their use in electronic devices, especially organic light emitting diodes (OLEDs), as oxygen sensitive indicators, as phosphorescent indicators in bioassays, and as catalysts. | 05-06-2010 |
20100108995 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device is provided and includes: a pair of electrodes; and at least one organic layer between the pair of electrodes, the at least one organic layer including a light-emitting layer. The at least one organic layer contains an indole compound represented by formula (1): | 05-06-2010 |
20100108996 | COMPOSITION FOR ORGANIC THIN FILM TRANSISTOR, ORGANIC THIN FILM TRANSISTOR FORMED BY USING THE SAME, AND METHOD FOR FORMING THE ORGANIC FILM TRANSISTOR - Provided are a composition for organic thin film transistors including a material including an anthracenyl group and a cross-linker including a maleimide group, an organic thin film transistor formed by using the composition, and a method for manufacturing the same. | 05-06-2010 |
20100108997 | Novel organic electroluminescent compounds and organic electroluminescent device using the same - Provided are novel organic electroluminescent compounds, and organic electroluminescent devices comprising the same as electroluminescent material. Specifically, the organic electroluminescent compounds according to the present invention are represented by Chemical Formula (1): | 05-06-2010 |
20100108998 | LIGHT EMITTING DEVICE - The invention relates to a light emitting device ( | 05-06-2010 |
20100108999 | PROCESS FOR PREPARING AN ELECTRONIC DEVICE - The invention relates to a process for preparing an electronic device using a protection layer, and to improved electronic devices prepared by this process, in particular organic field effect transistors (OFETs). | 05-06-2010 |
20100109000 | CHARGE INJECTION AND TRANSPORT LAYERS - Compositions for use in hole transporting layers (HTLs) or hole injection layers (HILs) are provided, as well as methods of making the compositions and devices fabricated from the compositions. OLED devices can be made. The compositions comprise at least one conductive conjugated polymer, at least one semiconducting matrix component that is different from the conductive conjugated polymer, and an optional dopant, and are substantially free of an insulating matrix component. | 05-06-2010 |
20100109001 | ORGANIC ELECTROLUMINESCENCE ELEMENT - In an organic electroluminescence device having a pair of electrodes and an organic medium which has a light emitting layer or a plurality of layers including the light emitting layer, contains a light emitting material formed with an organometallic complex compound having a heavy metal and is disposed between the pair of electrodes, the organic medium contains an amine derivative having a specific structure. The organic electroluminescence device exhibits a high efficiency of light emission even at a high luminance of several thousand cd/m | 05-06-2010 |
20100117063 | Organic electronic device - Disclosed is an electronic device including an n-type organic compound layer as a portion of an electrode for hole injection or hole extraction. The electronic device includes a first electrode including a conductive layer and an n-type organic compound layer disposed on the conductive layer; a second electrode; and a p-type organic compound layer that is interposed between the n-type organic compound layer of the first electrode and the second electrode and forms an NP junction together with the n-type organic compound layer of the first electrode and energy levels of the layers satisfy the following Expressions (1) and (2):
| 05-13-2010 |
20100117064 | ORGANIC METAL COMPLEXES DERIVATIVE AND ORGANIC LIGHT EMITTING DEVICES USING THE SAME - The present invention relates to a novel organic metal complex derivative and to an organic light emitting device comprising the same. | 05-13-2010 |
20100117065 | OPTICAL SENSOR AND METHOD FOR MAKING THE SAME - An optical sensor that can be produced at a low cost from inexpensive silicon fine particles as raw materials and a method for making the optical sensor are provided. | 05-13-2010 |
20100117066 | Organic Semiconductors - The invention relates to novel substituted dibenzo[d,d′]benzo[1,2-b;4,5-b′]dithiophenes (DBBDT), to methods of their synthesis, to organic semiconducting materials, formulations and layers comprising them, and to electronic devices, like organic field effect transistors (OFETs), comprising them. | 05-13-2010 |
20100117067 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An OLED display is disclosed. The display includes a rear substrate, a front substrate facing the rear substrate, a cell seal provided between the rear and front substrates to adhere the two substrates to each other, and a reinforcement member provided between the rear and front substrates adjacent to the cell seal to adhere the two substrates to each other. | 05-13-2010 |
20100117068 | Organometallic Complex, and Light Emitting Element and Electronic Appliance Using the Same - It is an object of the present invention to provide a substance which can emit red phosphorescence which is closer to the chromaticity coordinates of red according to the NTSC standard. The present invention provides an organometallic complex represented by the general formula (1), wherein each of R1 to R3 represents any one of hydrogen, a halogen group, an acyl group, an alkyl group, an alkoxyl group, an aryl group, a cyano group, and a heterocyclic group, and at least one of R | 05-13-2010 |
20100123123 | ORGANIC THIN-FILM TRANSISTORS - A thin-film transistor comprises a semiconducting layer comprising a semiconducting material selected from Formula (I) or (II): | 05-20-2010 |
20100123124 | ORGANIC THIN-FILM TRANSISTORS - A thin-film transistor uses a semiconducting layer comprising a semiconducting material of (A): | 05-20-2010 |
20100123125 | ORGANIC THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME AND DISPLAY DEVICE USING THE SAME - An organic thin film transistor, a method of manufacturing the same, and a display device using the same are provided. The organic thin film transistor includes a source and a drain on a substrate, reverse taper-shaped banks that are positioned on the source and the drain to expose a portion of each of the source and the drain, and an organic semiconductor layer between the reverse taper-shaped banks. | 05-20-2010 |
20100123126 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescent element | 05-20-2010 |
20100123127 | Light-Emitting Element and Light-Emitting Device - To provide a light-emitting element, a light-emitting device, and an electronic device each formed using the organometallic complex represented by General Formula (G1) as a guest material and a low molecule compound as a host material. | 05-20-2010 |
20100127244 | Blends of Fullerene Derivatives, and Uses Thereof in Electronic Devices - Disclosed are compositions of mixed fullerene derivatives with utility in organic semiconductors, and methods of making and using such compositions. In certain embodiments, the present invention relates to compositions of mixed fullerene derivatives further comprising one or more additional fullerene-based components within specified ranges. In certain other embodiments, the invention relates to methods of producing mixed fullerene derivatives of a specific composition from mixed fullerene starting materials, or pure fullerene derivatives of a specific composition from mixed fullerene derivatives. In yet other embodiments, the invention relates to semiconductors and devices comprising a composition of the invention. | 05-27-2010 |
20100127245 | Transmitter and associated display device - The invention relates to a light transmitter comprising two electrodes facing each other, and at least one light-emitting organic layer with two ends. The thickness of the organic layer varies continuously between the two ends. The invention also relates to a display device comprising such a transmitter. | 05-27-2010 |
20100127246 | WHITE ORGANIC ELECTROLUMINESCENT ELEMENT AND LIGHTING DEVICE - Disclosed is a white light-emitting organic electroluminescent device, which is excellent in stability of emission chromaticity over a long operation period, while having high electrical efficiency, long life, excellent storage stability and excellent color rendering properties. Also disclosed is an illuminating device using such an organic electroluminescent device. Specifically disclosed is an organic electroluminescent device having a light-emitting layer between an anode and a cathode, which is characterized by comprising a light-emitting layer A having a maximum emission wavelength of not more than 480 nm and containing a phosphorescent dopant having a maximum emission wavelength of not more than 480 nm, and a light-emitting layer B arranged between the light-emitting layer A and the anode, which has a maximum emission wavelength of not less than 510 nm and contains a phosphorescent dopant. This organic electroluminescent device is also characterized in that the concentration of the phosphorescent dopant contained in the light-emitting layer A varies in the thickness direction of the light-emitting layer A. Also specifically disclosed is an illuminating device using such a white light-emitting organic electroluminescent device. | 05-27-2010 |
20100127247 | POLYMER ELECTRONIC DEVICES BY ALL-SOLUTION PROCESS - A method of producing an electronic or electro-optic device, and the devices produced, includes producing a first electrode by a solution process, producing a second electrode by a solution process, and lamination an active polymer layer between the first and second electrodes. | 05-27-2010 |
20100127248 | STACKED ORGANIC LIGHT-EMITTING DEVICE AND IMAGING APPARATUS AND IMAGE DISPLAY APPARATUS HAVING THE SAME - A stacked organic light-emitting device having a first organic compound layer and a second organic compound layer stacked on a substrate includes: a first light-emitting device in which the first organic compound layer is sandwiched between a first electrode and a second electrode; and a second light-emitting device in which the second organic compound layer is sandwiched between the second electrode and a third electrode. An electrode of a TFT circuit which is electrically connected to the third electrode is formed on the substrate in a region different from a region in which the first light-emitting device and the second light-emitting device emit light. In order to prevent the third electrode which extends to the electrode of the TFT circuit from being electrically connected to the second electrode, the second organic compound layer is formed so as to cover an end portion of the second electrode. | 05-27-2010 |
20100127249 | ELECTROPHORETIC DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME - An electrophoretic display device includes: a first substrate having a plurality of pixels formed in a plurality of vertical pixel rows and a plurality of horizontal pixel rows; a plurality of data lines formed at every vertical pixel row of the first substrate; a thin film transistor (TFT) formed at each pixel of the first substrate and including a source electrode, a drain electrode, an organic semiconductor layer, and a gate electrode; a passivation layer formed on the TFTs and the data lines of the first substrate and including a first contact hole exposing the drain electrode of the TFT and a second contact hole exposing the gate electrode of the TFT; a pixel electrode formed on the passivation layer at each pixel of the first substrate and connected with the drain electrode of the TFT via the first contact hole of the passivation layer; a plurality of gate lines formed on the passivation layer at every horizontal pixel row of the first substrate and connected with the gate electrode of the TFT via the second contact hole of the passivation layer; a second substrate attached to the first substrate in a facing manner; a common electrode formed on the second substrate; and an electrophoretic film formed between the first and second substrates. | 05-27-2010 |
20100127250 | METHOD FOR REALIZING A THIN FILM ORGANIC ELECTRONIC DEVICE AND CORRESPONDING DEVICE - A method realizes a thin film organic electronic device integrated on a substrate and includes an organic material layer and an organic thin film transistor or OTFT transistor. The method comprises: depositing the organic material layer on the substrate, the organic material layer being a conductive organic polymer; patterning by a soft-lithographic procedure the organic material layer to create a reduced portion in order to make a channel area of the OTFT transistor; masking the organic material layer by covering with a cover mask a source area and a drain area of the OTFT transistor; irradiating by ultraviolet radiation to deactivate exposed portions of the organic material layer defining the source area, the drain area and the channel area; depositing on the organic material layer a semiconductor layer; and creating on the semiconductor layer a gate area of the OTFT transistor. | 05-27-2010 |
20100127251 | Aryl-Aryl Dendrimers - Light emitting devices are described which incorporate, as the light emitting element, a dendrimer of which the constituent dendrons include a conjugated dendritic structure comprising aryl and/or heteroaryl groups connected to each other via bonds between sp | 05-27-2010 |
20100127252 | THIN FILM TRANSISTOR SUBSTRATE AND MANUFACTURING METHOD THEREOF - Embodiments of the invention provide a thin film transistor substrate, comprising: an insulating substrate; a gate wire formed on the insulating substrate; a first gate insulating layer made of an inorganic material, formed on the gate wire and having a first insulating layer contact hole for exposing at least a part of the gate wire; a second gate insulating layer made of an organic material, formed on the first gate insulating film and having a second insulating layer contact hole corresponding to the first insulating layer contact hole; a source electrode and a drain electrode formed on the second gate insulating layer and being aparted from each other to be defining a channel area; and an organic semiconductor layer formed on the channel area. | 05-27-2010 |
20100133515 | Layered devices with crosslinked polymer and methods of preparing the same - The present invention is drawn to a layered organic device, and a method of forming the same. The method includes steps of applying a first solvent-containing organic layer to a substrate and removing solvent from the first solvent-containing organic layer to form a first solidified organic layer. Additional steps include applying a second solvent-containing organic layer to the first solidified organic layer and removing solvent from the second solvent-containing organic layer to form a second solidified organic layer. The first solidified organic layer can be crosslinked, which suppresses negative impact to components in the first solidified organic layer when the solvent of the second solvent-containing organic layer is deposited on the first solidified organic layer. | 06-03-2010 |
20100133516 | CARBON NANO TUBE THIN FILM TRANSISTOR AND DISPLAY ADOPTING THE SAME - Provided are a flexible and transparent carbon nano tube (CNT) thin film transistor using a degradable polymer substrate, and a display adapting the CNT thin film transistor. The polymer substrate is formed of a polymer material that is naturally degraded, and a CNT channel, where a semiconductive CNT is dispersed on a transparent organic material, is prepared on the polymer substrate. Source and drain electrodes, where a conductive CNT is ejected on a transparent organic material, are connected to both sides of the CNT channel. A gate, where a conductive CNT is dispersed on a transparent organic material, is disposed on or below the CNT channel, and a gate insulation layer including a transparent organic material is disposed between the CNT channel and the gate. | 06-03-2010 |
20100133517 | Organic Light Emitting Element and Organic Light Emitting Device Including the Same - An organic light emitting element and an organic light emitting device including the same is provided. At least one p-type or n-type overdoping layer is formed between two light emitting members forming a p-n junction in the organic light emitting element. | 06-03-2010 |
20100133518 | GATE INSULATING FILM FORMING AGENT FOR THIN-FILM TRANSISTOR - There is provided a novel gate insulating film forming material in consideration of not only initial electric properties immediately after the production of a gate insulating film, but also electric properties after other steps are performed while producing a thin-film transistor using the gate insulating film, and even reliability in the electric properties of the produced element. A gate insulating film forming agent for a thin-film transistor comprising an oligomer compound or a polymer compound, both of which contain a repeating unit having a triazinetrione ring containing a hydroxyalkyl-containing group as a substituent on a nitrogen atom, and a solvent; a gate insulating film produced from the gate insulating film forming agent; a thin-film transistor having the gate insulating film; and a method for producing the gate insulating film or thin-film transistor. | 06-03-2010 |
20100133519 | ARYLAMINE COMPOUNDS AND ELECTRONIC DEVICES - There is provided conductive organic arylamine compounds. The compounds may be prepared as films and such films may be used as a hole transporting layer, an emissive layer or an electron transporting layer in organic light emitting devices. | 06-03-2010 |
20100133520 | METHOD FOR MANUFACTURING AN ELECTRODE - The present invention relates to a method for manufacturing an organic electronic device, comprising providing by electro-deposition an electrode to a surface of an electro-active material—the electro-active material comprising an organic electro-active compound—or providing said electrode to a surface of a substrate for said electro-active material, after which the electro-active material is applied to a surface of the electrode, wherein the electro-deposition comprises the use of a plating liquid comprising an ionic liquid and metal or metalloid ions which metal or metalloid ions are reduced and deposited to form the electrode. | 06-03-2010 |
20100133521 | ORGANIC LIGHT-EMITTING DEVICE AND DISPLAY APPARATUS - Provided is an organic light-emitting device having a high emission efficiency and a long lifetime. The organic light-emitting device ( | 06-03-2010 |
20100133522 | WHITE ORGANIC LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present invention relates to a white organic light emitting device and a method for manufacturing the same, in which a hole transport layer is made to have an energy level higher than an energy level of an excited state of a phosphorescent light emitting layer adjacent thereto for enhancing light emitting efficiency of the hole transport layer without an additional exciton blocking layer, and a dopant content in the phosphorescent light emitting layer is adjusted for preventing color shift from taking place. | 06-03-2010 |
20100133523 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - Light-emitting elements in which an increase of driving voltage can be suppressed are provided. Light-emitting devices whose power consumption is reduced by including such light-emitting elements are also provided. In a light-emitting element having an EL layer between an anode and a cathode, a first layer in which carriers can be produced is formed between the cathode and the EL layer and in contact with the cathode, a second layer which transfers electrons produced in the first layer is formed in contact with the first layer, and a third layer which injects the electrons received from the second layer into the EL layer is formed in contact with the second layer. | 06-03-2010 |
20100133524 | RED PHOSHORESCENT COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - A red phosphorescent compound includes a host material being capable of transporting an electron or a hole; and a dopant material represented by following Formula 1: | 06-03-2010 |
20100133525 | THIN FILM TRANSISTOR, DISPLAY UNIT, AND METHOD OF MANUFACTURING THIN FILM TRANSISTOR - A thin film transistor includes: a gate electrode; a gate insulting film formed on the gate electrode; an oxide semiconductor thin film layer forming a channel region corresponding to the gate electrode on the gate insulating film; a channel protective layer that is formed at least in a region corresponding to the channel region on the gate insulating film and the oxide semiconductor thin film layer, and that includes a first channel protective layer on a lower layer side and a second channel protective layer on an upper layer side; and a source/drain electrode that is formed on the channel protective layer and is electrically connected to the oxide semiconductor thin film layer. The first channel protective layer is made of an oxide insulating material, and one or both of the first channel protective layer and the second channel protective layer is made of a low oxygen permeable material. | 06-03-2010 |
20100133526 | ORGANIC THIN FILM TRANSISTOR AND FLAT PANEL DISPLAY DEVICE INCLUDING THE SAME - Provided are an organic thin film transistor providing smoother movement of holes between a source electrode or a drain electrode and a p-type organic semiconductor layer, and a flat panel display device including the organic thin film transistor. The organic thin film transistor includes a substrate, a gate electrode disposed on the substrate, a p-type organic semiconductor layer insulated from the gate electrode, a source electrode and a drain electrode separated from each other and insulated from the gate electrode, and a hole injection layer interposed between the source and drain electrodes and the p-type organic semiconductor layer. | 06-03-2010 |
20100140591 | ELECTRICAL DEVICE FABRICATION FROM NANOTUBE FORMATIONS - A method for forming nanotube electrical devices, arrays of nanotube electrical devices, and device structures and arrays of device structures formed by the methods. Various methods of the present invention allow creation of semiconducting and/or conducting devices from readily grown SWNT carpets rather than requiring the preparation of a patterned growth channel and takes advantage of the self-controlling nature of these carpet heights to ensure a known and controlled channel length for reliable electronic properties as compared to the prior methods. | 06-10-2010 |
20100140592 | Composition Comprising An Indium-Containing Intrinsically Conductive Polymer - The invention relates to a composition which comprises an intrinsically conductive polymer and indium and which is particularly suitable for producing puncture injection layers in light emitting diodes. Methods for producing and using the inventive composition and electronic devices for the production thereof are also disclosed. | 06-10-2010 |
20100140593 | ORGANIC THIN-FILM TRANSISTORS - A thin-film transistor has a semiconducting layer which comprises a halogen-coordinated metal phthalocyanine complex of Formula (I) or Formula (II): | 06-10-2010 |
20100140594 | ORGANIC OPTOELECTRONIC COMPONENT - An organic optoelectronic component is provided, which includes a first electrode, an active layer formed on the first electrode, a second intermediate layer formed on the active layer, and a second electrode formed on the second intermediate layer, wherein the second intermediate layer is formed with a second mixture containing a second polymer and at least a second organic molecule. The second organic molecule is one for forming hole transferring material, electron transferring material, electron blocking material or hole blocking material. The organic optoelectronic component of the present invention is prepared by a solution process, thereby simplifying the process, improving film-formation property, and enhancing component efficiency. | 06-10-2010 |
20100140595 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a first substrate, a plurality of organic light emitting devices on the first substrate, a second substrate arranged opposite and substantially parallel to the first substrate with the organic light emitting devices therebetween, a plurality of spacers between the organic light emitting devices and the second substrate, and a plurality of fillers alternately arranged with the spacers and configured to fill in space between the first substrate and the second substrate, wherein at least portions of the spacers overlap with a plurality of light emitting regions corresponding to the organic light emitting devices. The spacers and fillers are composed of transparent materials having refractive indexes similar to each other so that visibility and image quality are not lowered and the distance between the substrates is substantially constant. | 06-10-2010 |
20100140596 | ORGANIC THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - Provided is an organic thin film transistor and method of forming the same. The organic thin film transistor can decrease threshold voltage and driving voltage by forming a thin organic dielectric layer in a lamella structure using a diblock copolymer including a hydrophilic polymer with high permittivity and a hydrophobic polymer with low permittivity together. Also, the method can simplify the manufacturing process by forming an organic dielectric layer including polymers having two different physical properties through one spin coating. | 06-10-2010 |
20100140597 | Organic thin film transistors comprising thienyl oligomers and their use as gaseous phase sensors - This invention pertains to gaseous analytes sensor devices comprising organic thin film transistor and, in particular sensors able to perform the enantiomeric discrimination of gaseous analytes. The organic thin films are characterized by comprising a compound of formula (I). | 06-10-2010 |
20100140598 | LARGE AREA LIGHT EMITTING DIODE LIGHT SOURCE - The present invention relates to a LED light source comprising at least one layer of light emitting material ( | 06-10-2010 |
20100140599 | SEMICONDUCTOR DEVICE, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND DISPLAY - A semiconductor device includes an organic semiconductor layer | 06-10-2010 |
20100140600 | THIN FILM TRANSISTORS INCORPORATING INTERFACIAL CONDUCTIVE CLUSTERS - A field effect transistor includes a thin layer of discontinuous conductive clusters between the gate dielectric and the active layer. The active layer can include an organic semiconductor or a blend of organic semiconductor and polymer. Metals, metal oxides, predominantly non-carbon metallic materials, and/or carbon nanotubes may be used to form the layer of conductive clusters. The conductive clusters improve transistor performance and also facilitate transistor fabrication. | 06-10-2010 |
20100140601 | POLYMER COMPOUND AND METHOD FOR PRODUCING THE SAME, AND LIGHT-EMITTING MATERIAL, LIQUID COMPOSITION, THIN FILM, POLYMER LIGHT-EMITTING DEVICE, SURFACE LIGHT SOURCE, DISPLAY DEVICE, ORGANIC TRANSISTOR AND SOLAR CELL, EACH USING THE POLYMER COMPOUND - Disclosed is a polymer compound containing a repeating unit represented by the following general formula (1). (In the formula, R | 06-10-2010 |
20100140602 | ORGANIC ELECTROLUMINESCENCE DEVICE - There is provided an organic electroluminescence device comprising a pair of electrodes on a substrate and at least one organic layer containing a luminescence layer between the electrodes, the luminescence layer comprising at least 3 luminescence materials different in luminescent color, and the at least 3 luminescence materials being platinum complexes. | 06-10-2010 |
20100140603 | BLUE LIGHT EMITTING COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE COMPRISING THE SAME - A blue light emitting compound is provided. The blue light emitting compound has a structure of the following Chemical Formula 1: | 06-10-2010 |
20100140604 | ORGANIC LIGHT-EMITTING DEVICE - A light-emitting layer emits light having an emission spectrum having a primary peak in the range of wavelengths of 430 to 480 nm. The light-emitting layer contains a host compound and a dopant compound. The dopant compound has an electron affinity of 2.93 eV or more higher that is than the host compound. The dopant compound in the lowest excited triplet state has an energy of 1.95 eV or less that is lower than the host compound in the lowest excited triplet state. The dopant compound has a smaller band gap than the host compound. The dopant compound is a hydrocarbon compound. | 06-10-2010 |
20100140605 | ORGANIC ELECTROLUMINESCENCE DEVICE AND LUMINESCENCE APPARATUS - The invention provides an organic EL device including a pair of electrodes and at least one luminescent layer between the pair of electrodes, the at least one luminescent layer including at least two phosphorescent materials, an electrically inert material, and a charge-transporting material, the at least two phosphorescent materials being selected from a blue phosphorescent material having a luminescence peak in a range of from 420 nm to less than 500 nm, a green phosphorescent material having a luminescence peak in a range of from 500 nm to less than 570 nm, or a red phosphorescent material having a luminescence peak in a range of from 570 nm to 650 nm. The invention also provides a luminescence apparatus including the above organic EL device. | 06-10-2010 |
20100140606 | ORGANIC ELECTROLUMINESCENCE DEVICE AND LUMINESCENCE APPARATUS - The invention provides an organic EL device including a pair of electrodes and at least one luminescent layer located between the pair of electrodes, the luminescent layer including a blue phosphorescent material having a luminescence peak in a range of from 420 nm to less than 500 nm, a green phosphorescent material having a luminescence peak in a range of from 500 nm to less than 570 nm, a red phosphorescent material having a luminescence peak in a range of from 570 nm to 650 nm, and a charge-transporting material, the charge-transporting material having a lowest excited triplet energy level (T | 06-10-2010 |
20100140607 | Light Emitting Element and Light Emitting Device Using the Element - An object of the present invention is to provide a high-efficiency white light emitting element having a spectrum in a wide wavelength range. Another object is to provide a white light emitting element in which chromaticity of white color is hard to change over time. Still another object is to provide a white light emitting element in which the shape of an emission spectrum does not tend to depend on current density. A first light emitting element | 06-10-2010 |
20100148154 | APPARATUS AND SEMICONDUCTOR CO-CRYSTAL - The invention provides a method to enforce face-to-face stacking of organic semiconductors in the solid state that employs semiconductor co-crystal formers (SCCFs), to align semiconductor building blocks (SBBs). Single-crystal X-ray analysis reveals π-orbital overlap optimal for organic semiconductor device applications. | 06-17-2010 |
20100148155 | THIN FILM TRANSISTOR, METHOD OF FORMING THE SAME AND FLAT PANEL DISPLAY DEVICE HAVING THE SAME - A thin film transistor (TFT), a method of forming the same and a flat panel display device having the same are disclosed. The TFT includes a buffer layer and a semiconductor layer which are sequentially disposed on a substrate, a gate pattern including an insulating pattern and a gate electrode pattern which are sequentially disposed on the semiconductor layer, source and drain regions defining a portion of the semiconductor layer below the gate pattern as a channel area, formed by doping the semiconductor layer disposed at both sides of the gate pattern with impurities, and extending from both sides of the channel area, a passivation layer which covers the entire surface of the substrate having the gate pattern, a first metal electrode which penetrates a portion of the passivation layer disposed on the source area and a portion of the source region below the portion of the passivation layer to be electrically connected with the source region, and a second metal electrode which penetrates a portion of the passivation layer disposed on the drain area and a portion of the drain region below the portion of the passivation layer to be electrically connected with the drain region. According to the present invention, a metal is infiltrated into source and drain regions to disperse an electric current when a TFT operates, and thus charge mobility is improved, and damage of a drain region caused by the excessive current density is prevented, leading to the long lifespan and excellent performance. | 06-17-2010 |
20100148156 | Method for producing nanoparticle/block copolymer composites - A method for producing nanoparticle/block copolymer composites is provided. The method includes mixing nanoparticles having an organic ligand L and a block copolymer A-b-B having block repeating units A and B with different solubility parameters in a solvent S to form micelles by self-assembly. The solubility parameters of the organic ligand L, the block repeating units A and B of the block copolymer A-b-B and the solvent S satisfy the following inequalities: | 06-17-2010 |
20100148157 | ORGANIC LIGHT EMITTING APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light emitting apparatus and a method of manufacturing the same. The organic light emitting apparatus includes: a filling material between a diode substrate on which an organic light emitting unit is formed and an encapsulation substrate; and an organic protection layer that is interposed between the organic light emitting unit and the filling material and includes at least one thermally depositable organic material. | 06-17-2010 |
20100148158 | ORGANIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE CONTAINING THE SAME - Disclosed is a compound represented by the following Formula 1: | 06-17-2010 |
20100148159 | METHOD FOR FORMING A PATTERN ON A SUBSTRATE AND ELECTRONIC DEVICE FORMED THEREBY - The invention relates to a method for forming a pattern on a substrate (S) with an upper surface and a lower surface which comprises the steps of depositing a first layer (E | 06-17-2010 |
20100148160 | ORGANIC ELECTRONIC DEVICES PROTECTED BY ELASTOMERIC LAMINATING ADHESIVE - An active organic electronic component is protected within an organic electronic device by an elastomeric laminating adhesive, which adheres the substrate and cover of the electronic device and encloses and protects the active organic component within the device. The organic electronic device has a structure comprising (a) a substrate; (b) an active organic component disposed on the substrate, and optionally, a barrier coating disposed over the active organic component and over part of the substrate; (c) a cover, and optionally a getter associated with the cover; (d) a cured elastomeric laminating adhesive applied in the area between the substrate and the cover and closing the active organic component. The laminating adhesive may be theremally curable or curable by actinic radiation. | 06-17-2010 |
20100148161 | COMPOUND FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed are an organic electroluminescent device (organic EL device) which is improved in luminous efficiency, fully secured of driving stability, and of simple constitution and a compound useful for the fabrication of said organic EL device. The compound for the organic EL device has an indolocarbazole structure or a structure similar thereto in the molecule wherein an aromatic group is bonded to the nitrogen atom in the indolocarbazole. The organic EL device has a light-emitting layer disposed between an anode and a cathode piled one upon another on a substrate and said light-emitting layer comprises a phosphorescent dopant and the aforementioned compound for an organic electroluminescent device as a host material. | 06-17-2010 |
20100148162 | COMPOUND FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed are a compound for an organic electroluminescent device (organic EL device) which is improved in luminous efficiency, fully secured of driving stability, and of simple constitution and an organic EL device using said compound. The compound for an organic EL device has two indolocarbazole skeletons each of which is bonded to an aromatic group or two skeletons similar thereto. The organic EL device comprises a light-emitting layer disposed between an anode and a cathode piled one upon another on a substrate and said light-emitting layer comprises a phosphorescent dopant and the aforementioned compound for an organic EL device as a host material. | 06-17-2010 |
20100148163 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS - An organic light emitting display apparatus includes an organic light emitting diode, a photo sensor, and a light blocking portion. The light blocking portion is at at least a side of the photo sensor so that light emitted from the organic light emitting diode is not directly incident on the photo sensor. | 06-17-2010 |
20100148164 | ALL-ORGANIC SENSOR/ACTUATOR SYSTEM - A sensor and/or actuator system in which functional circuitry is embedded in an all organic electromechanical transducer device is disclosed. The electromechanical transducer device exploits the behavior of a flexible sensible ionomeric material sheet as effective sensing or actuating member sandwiched between flexible organic electrodes when undergoing a deformation or being polarized at a certain drive voltage applied to the, electrodes, respectively. The completely embedded all organic system is realized with a process exploiting relatively low cost deposition and patterning techniques. The enhanced flexibility makes the all organic device suitable for new applications in fields ranging from biomedical to aerospace industry. | 06-17-2010 |
20100148165 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - A light-emitting element includes a light-emitting layer having a two-layer structure in which a first light-emitting layer containing a first light-emitting substance and a second light-emitting layer containing a second light-emitting substance, which is in contact with the first light-emitting layer, are provided between an anode and a cathode. The first light-emitting layer is separated into two layers of a layer provided on the anode side and a layer provided on the cathode side. The layer provided on the anode side contains only a first light-emitting substance, or a first organic compound of less than 50 wt % and the first light-emitting substance of 50 wt % to 100 wt %. The layer provided on the cathode side contains a second organic compound and the first light-emitting substance. The second light-emitting layer, which is provided in contact with the first light-emitting layer, contains the second light-emitting substance and a third organic compound. | 06-17-2010 |
20100148166 | Light-Emitting Element, Lighting Device, Light-Emitting Device, and Electronic Apparatus - The light-emitting element comprises a first electrode, a second electrode, and a light-emitting layer between the first electrode and the second electrode, in which a first layer, a second layer, and a third layer are stacked from the first electrode side, the first layer contains a first light-emitting substance and a first organic compound, the second layer contains a second light-emitting substance and a second organic compound, the third layer contains the first light-emitting substance and a third organic compound, the amount of the first light-emitting substance is larger than the amount of the first organic compound, the amount of the second organic compound is larger than the amount of the second light-emitting substance, and the amount of the third organic compound is larger than the amount of the first light-emitting substance. A light-emitting element with such a structure can have high emission efficiency. | 06-17-2010 |
20100155705 | Display Device Including Organic Light-Emitting Transistor And Method Of Fabricating The Display Device - Provided are a display device, which has a longer life and can be fabricated simply relative to conventional display devices, and a method of fabricating the display device. The display device includes a substrate which includes first through third subpixel regions, first through third organic light-emitting transistors which are disposed in the first through third subpixel regions, respectively, and are operable to emit light of a first color, and a first fluorescent pattern which is formed on the first organic light-emitting transistor and is operable to cause light of a second color to be emitted. | 06-24-2010 |
20100155706 | Material for organic photoelectric device including electron transporting unit and hole transporting unit, and organic photoelectric device including the same - A material for an organic photoelectric device, the material including a compound including a pyridine | 06-24-2010 |
20100155707 | ORGANIC FIELD-EFFECT TRANSISTORS - An organic field-effect transistor comprising: a source region; a drain region; one or more organic semiconductor layers disposed between the source and drain regions; a gate region; and a dielectric region disposed between the organic semiconductor layer(s) and the gate region; wherein the composition of the organic semiconductor layer(s) is such as to transport both electrons and holes, with the mobility of the holes being substantially equal to the mobility of the electrons such that the transistor substantially exhibits ambipolarity in its transfer characteristics. The organic field-effect transistor is preferably a light-sensing organic field-effect transistor. Numerous modifications to the composition and structure of organic field-effect transistors are also disclosed, as are examples of electro-optical switches, electro-optical logic circuits and image sensing arrays. | 06-24-2010 |
20100155708 | REDUCING DEFECTS IN ELECTRONIC SWITCHING DEVICES - A technique for isolating electrodes on different layers of a multilayer electronic device across an array containing more than 100000 devices on a plastic substrate. The technique comprises depositing a bilayer of a first dielectric layer ( | 06-24-2010 |
20100155709 | ENCAPSULATION FOR AN ELECTRONIC THIN FILM DEVICE - The present invention relates to an encapsulation for an electronic thin film device, comprising a first barrier layer ( | 06-24-2010 |
20100155710 | FORMING ACTIVE CHANNEL REGIONS USING ENHANCED DROP-CAST PRINTING - An active region or channel for printed, organic or plastic electronics or polymer semiconductors, such as organic field-effect transistors (OFETs), is obtained by using an enhanced inkjet drop-cast printing technique. A two-liquid system is employed to achieve the direct growth of well-oriented organic crystals at the active region of channel. High-performance electrical properties exhibiting high carrier mobility and low threshold voltage are obtained due to the proper orientation of molecules in the grown crystal in a highest mobility direction, due to the absence of grain boundaries, and due to low trap densities. The hydrophobic-hydrophilic interactions between the liquids utilized, which results in the fabrication of low-cost and mass-producible printable electronic devices for applications in flexible displays, electronic signages, photovoltaic panels, membrane keyboards, radio frequency identification tags (RFIDs), electronic sensors, and integrated electronic circuits. | 06-24-2010 |
20100155711 | ORGANIC LIGHT-EMITTING ELEMENT AND LIGHT-EMITTING DEVICE USING THE SAME - An organic light-emitting element includes a first electrode, a second electrode, and at least one organic compound layer disposed between the first electrode and the second electrode. The organic compound layer includes a light-emitting layer containing a light-emitting material and being configured to emit light toward the first electrode and the second electrode. The light emitted toward the first electrode is reflected from a reflection plane located at the first electrode to cause interference with the light emitted toward the second electrode. The interference provides an interference intensity distribution having a maximum peak at a wavelength λ | 06-24-2010 |
20100155712 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device is provided and includes: a cathode; an anode; and a light-emitting layer between the cathode and the anode. The light-emitting layer includes a compound represented by formula (1). | 06-24-2010 |
20100155713 | Metal complex compound and organic electroluminescent device using same - A metal complex compound having a special structure containing metals such as iridium. An organic electroluminescence device which comprises at least one organic thin film layer sandwiched between a pair of electrode consisting of an anode and a cathode, wherein the organic thin film layer comprises the above metal complex compound, which emits light by applying an electric voltage between the pair of electrode. An organic EL device employing the novel metal complex compound emits various phosphorous lights including blue light having an enhanced current efficiency and prolonged lifetime. | 06-24-2010 |
20100155714 | ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device including a substrate, a first and a second electrode, and an emitting layer formed between the first electrode and the second electrode. The emitting layer includes a plurality of materials which is a blue emitting material using a following chemical formula as dopant. | 06-24-2010 |
20100163851 | ORGANIC BASED DEVICE AND METHOD FOR MANUFACTURE THEREOF - A device comprising a first transparent and electrically conductive layer ( | 07-01-2010 |
20100163852 | Material for light-emitting device and light-emitting device - The present invention provides a light emitting device material which enables a light emitting device having high efficiency and excellent chromatic purity and durability using a light emitting device material containing a pyrene compound represented by formula (1), wherein any one of R | 07-01-2010 |
20100163853 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device including an emitting layer, an electron-injecting controlling layer and an electron-transporting layer between an anode and a cathode in sequential order from the anode, bonding one to another, the emitting layer including a host material and a dopant, the ionization potential (Ips) of the main material forming the electron-injecting controlling layer and the ionization potential (Iph) of the host material of the emitting layer satisfying the following relationship (i), the electron mobility of the electron-transporting material forming the electron-transporting layer being 10 | 07-01-2010 |
20100163854 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device (OLED) including: a substrate; a first electrode; a second electrode facing the first electrode; a first blue light emitting layer, a green light emitting layer, a red light emitting layer, and a second blue light emitting layer all interposed between the first electrode and the second electrode; and a color filter disposed in a path of light emitted from the light emitting layers, wherein the first blue light emitting layer includes a deep blue dopant, and the second blue light emitting layer includes a sky blue dopant. | 07-01-2010 |
20100163855 | METHOD OF FABRICATING POLYSILICON, THIN FILM TRANSISTOR, METHOD OF FABRICATING THE THIN FILM TRANSISTOR, AND ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE INCLUDING THE THIN FILM TRANSISTOR - A thin film transistor, a method of fabricating the thin film transistor, and an organic light emitting diode (OLED) display device equipped with the thin film transistor of which the thin film transistor includes a substrate, a buffer layer disposed on the substrate, a first semiconductor layer and a second semiconductor layer disposed on the buffer layer, a gate electrode insulated from the first semiconductor layer and the second semiconductor layer, a gate insulating layer insulating the gate electrode from the first semiconductor layer and the second semiconductor layer, and source and drain electrodes insulated from the gate electrode and partially connected to the second semiconductor layer, wherein the second semiconductor layer is disposed on the first semiconductor layer. | 07-01-2010 |
20100163856 | METHOD OF FABRICATING POLYSILICON, THIN FILM TRANSISTOR, METHOD OF FABRICATING THE THIN FILM TRANSISTOR, AND ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE INCLUDING THE THIN FILM TRANSISTOR - A thin film transistor, a method of fabricating the thin film transistor, and an organic light emitting diode (OLED) display device including the thin film transistor, the thin film transistor including: a substrate; a buffer layer formed on the substrate; a first semiconductor layer disposed on the buffer layer; a second semiconductor layer disposed on the first semiconductor layer, which is larger than the first semiconductor layer; a gate electrode insulated from the first semiconductor layer and the second semiconductor layer; a gate insulating layer to insulate the gate electrode from the first semiconductor layer and the second semiconductor layer; source and drain electrodes insulated from the gate electrode and connected to the second semiconductor layer; an insulating layer disposed on the source and drain electrodes, and an organic light emitting diode connected to one of the source and drain electrodes. | 07-01-2010 |
20100163857 | Material for organic photoelectric device, and organic photoelectric device including the same - A material for an organic photoelectric device includes a compound represented by the following Formula 1: | 07-01-2010 |
20100163858 | SWITCHING ELEMENT AND METHOD FOR MANUFACTURING THE SAME - A problem of a switching element using for the active layer a carbon nanotube (CNT) dispersion film that can be manufactured at low temperature has been that sufficient electrical contact and thermal conductivity between the CNTs and the source and drain electrode surfaces are not obtained. The switching element of the present invention has a structure in which a mixed layer of carbon nanotubes and a metal material, and a metal layer of the metal material are laminated in this order on source and drain electrodes, and thereby, the CNT-dispersed film and the electrode surfaces can be in firm electrical, mechanical, and thermal contact with each other. Thus, a switching element exhibiting good and stable transistor characteristics is obtained with a low-temperature, convenient, and low-cost process. | 07-01-2010 |
20100163859 | LIGHT EMITTING DEVICE AND ELECTRONIC APPARATUS - A light emitting device which is capable of suppressing deterioration by diffusion of impurities such as moisture, oxygen, alkaline metal and alkaline earth metal, and concretely, a flexible light emitting device which has light emitting element formed on a plastic substrate. On the plastic substrate, disposed are two layers and more of barrier films comprising a layer represented by AlNxOy which is capable of blocking intrusion of moisture and oxygen in a light emitting layer and blocking intrusion of impurities such as an alkaline metal and an alkaline earth metal in an active layer of TFT, and further, a stress relaxation film containing resin is disposed between two layers of barrier films. | 07-01-2010 |
20100171099 | Carbon Nanotube Transistor Structure - A carbon nanotube transistor structure includes a number of carbon nanotubes extending vertically in a substrate material. A drain electrode of the transistor is connected to the carbon nanotubes at a first depth position, and a source electrode for the transistor structure connected to the carbon nanotubes at a second depth position. A gate electrode extends vertically along a side of the nanotubes, between the first and second depth positions. There may be multiple vertical side gate electrodes and multiple carbon nanotubes between these side gate electrodes. | 07-08-2010 |
20100171100 | POLYMERIC MATERIAL AND POLYMERIC LUMINESCENT ELEMENT - A luminescent or charge-transporting polymer which has in the backbone optionally substituted fluorenediyl groups as repeating units and further has a functional side chain comprising at least one functional group selected from the group consisting of a hole-injection/transporting group containing one or more heteroatoms other than nitrogen or two or more nitrogen atoms, an electron-injection/transporting group containing one or more heteroatoms other than nitrogen or two or more nitrogen atoms, and a luminescent group comprising a fused aromatic hydrocarbon or heterocycle, characterized in that the functional group is directly bonded to the saturated carbon atom of any of the fluorenediyl groups or is bonded to any of the fluorenediyl groups through —R | 07-08-2010 |
20100171101 | ORGANIC ELECTROLUMINESCENT ELEMENT, METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT, LIGHTING DEVICE, AND DISPLAY DEVICE - An objective in the present invention is to provide an organic EL element exhibiting high emission efficiency and long lifetime, together with a lighting device and a display device thereof. In the present invention, disclosed is an organic electroluminescent element comprising a support substrate provided thereon an anode and a cathode, the organic electroluminescent element comprising an organic layer containing a reactive organic compound between the anode and the cathode, wherein the reactive organic compound contained in the organic layer has nonuniform concentration at any point in time between termination of a process of preparing the organic electroluminescent element and a start of electricity application to the organic electroluminescent element. | 07-08-2010 |
20100171102 | FLUORINE-CONTAINING POLYCYCLIC AROMATIC COMPOUND, FLUORINE-CONTAINING POLYMER, ORGANIC THIN FILM AND ORGANIC THIN FILM DEVICE - A fluorine-containing polycyclic aromatic compound represented by the following formula (I) is provided. According to present invention, a novel compound capable of being utilized as an organic n-type semiconductor having an excellent electron transport property is provided, | 07-08-2010 |
20100171103 | Ferro-Electric Device And Modulatable Injection Barrier - Described is a modulatable injection barrier and a semiconductor element comprising same. More particularly, the invention relates to a two-terminal, non-volatile programmable resistor. Such a resistor can be applied in non-volatile memory devices, and as an active switch e.g. in displays. The device comprises, in between electrode layers, a storage layer comprising a blend of a ferro-electric material and a semiconductor material. Preferably both materials in the blend are polymers. | 07-08-2010 |
20100171104 | ORGANIC ELECTROLUMINESCENCE DEVICE AND DISPLAY USING THE DEVICE - An organic EL device comprising an anode, a cathode, and an organic layer composed of at least two layers including a hole transporting layer and a light emitting layer, wherein at least one of the anode and the cathode is transparent or semitransparent, the organic layer, is disposed in contact with the anode between the anode and the cathode, at least a portion of the surface of the anode, the portion being in contact with the organic layer, is treated with a specific organic compound, and/or, a layer that constitutes the organic layer and that is in contact with the anode contains the organic compound; a sheet light source, a segment display and a dot matrix display having the above-described organic EL device, or a liquid crystal display having a backlight composed of the above-described organic EL device. | 07-08-2010 |
20100171105 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a substrate, a thin film transistor on the substrate and including a gate electrode, a source electrode, and a drain electrode, a planarization layer on the thin film transistor and having a contact hole exposing a portion of one of the drain electrode or the source electrode, a pixel electrode on the planarization layer and coupled to the one of the drain electrode or the source electrode through the contact hole, a colored pixel defining layer on the planarization layer and including an opening exposing at least a portion of the pixel electrode, and a colored layer on the pixel electrode and the pixel defining layer and having a chromatic color different from a chromatic color of the pixel defining layer. | 07-08-2010 |
20100171106 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display including: a substrate; a plurality of pixel electrodes formed on the substrate; a pixel defining layer formed on the substrate, having openings exposing the pixel electrodes; a plurality of spacers disposed on the pixel defining layer; organic emission layers formed on the pixel electrodes; a common electrode formed on the organic emission layers; and a capping layer formed on the common electrode, to cover the organic emission layers. | 07-08-2010 |
20100171107 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display including: a substrate; pixel electrodes formed on the substrate; a pixel defining layer having openings exposing the plurality of pixel electrodes, formed on the substrate; spacers formed on the pixel defining layer; organic emission layers formed on the pixel electrodes; a common electrode formed on the organic emission layers; and color filters formed on the common electrode, in the openings of the pixel defining layer. | 07-08-2010 |
20100171108 | USE OF N,N'-BIS(1,1-DIHYDROPERFLUORO-C3-C5-ALKYL)-PERYLENE-3,4:9,10- TETRACARBOXYLIC DIIMIDES - The present invention relates to the use of N,N′-bis(1,1-dihydroperfluoro-C | 07-08-2010 |
20100171109 | ORGANIC EL DEVICE - An organic EL device includes: an anode for injecting holes; a phosphorescent-emitting layer; a fluorescent-emitting layer; and a cathode for injecting electrons. The phosphorescent-emitting layer contains a phosphorescent host and a phosphorescent dopant for phosphorescent emission. The fluorescent-emitting layer contains a fluorescent host and a fluorescent dopant for fluorescent emission. The phosphorescent host has a substituted or unsubstituted polycyclic fused aromatic skeleton and has a triplet energy gap of 2.0 eV to 3.0 eV. | 07-08-2010 |
20100171110 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE - Method for manufacturing a semiconductor device, which may include (a) forming a coating film on a substrate by applying a coating liquid including a polymer conductive material dissolved in an insulating solvent on the substrate after the step (a); (b) heat-treating the coating film; and (c) forming, before or after the steps (a) and (b), a gate electrode on the substrate. Herein, a surface layer portion is an insulating layer, and an inner layer portion is an organic semiconductor layer, and the surface layer portion and the inner layer portion are formed separate from each other to allow the surface layer portion and the inner layer portion to be used as a gate insulating film and a channel of a field-effect transistor, respectively. | 07-08-2010 |
20100171111 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device is provided and includes: a pair of electrodes; and a light emitting layer between the electrodes. The organic electroluminescent device has a layer containing a compound represented by formula (I). | 07-08-2010 |
20100171112 | Light-Emitting Element, Light-Emitting Device and an Electronic Device - The present invention provides a light-emitting element including an electron-transporting layer and a hole-transporting layer between a first electrode and a second electrode; and a first layer and a second layer between the electron-transporting layer and the hole-transporting layer, wherein the first layer includes a first organic compound and an organic compound having a hole-transporting property, the second layer includes a second organic compound and an organic compound having an electron-transporting property, the first layer is formed in contact with the first electrode side of the second layer, the first organic compound and the second organic compound are the same compound, and a voltage is applied to the first electrode and the second electrode, so that both of the first organic compound and the second organic compound emit light. | 07-08-2010 |
20100171113 | LIGHT-EMITTING MATERIAL COMPRISING ORTHOMETALATED IRIDIUM COMPLEX, LIGHT-EMITTING DEVICE, HIGH EFFICIENCY RED LIGHT-EMITTING DEVICE, AND NOVEL IRIDIUM COMPLEX - An organic light-emitting device comprising a light-emitting layer or a plurality of thin organic compound layers containing a light emitting layer interposed between a pair of electrodes, wherein at least one layer comprises at least one light emitting material containing a compound having a partial structure represented by following formula (21) or a tautomer thereof: | 07-08-2010 |
20100171114 | METHOD OF FORMING A CROSSED WIRE MOLECULAR DEVICE INCLUDING A SELF-ASSEMBLED MOLECULAR LAYER - A method of forming a crossed wire molecule device comprising a plurality of bottom electrodes, a plurality of top electrodes crossing the bottom electrodes at a non-zero angle, and a self-assembled molecular film chemically bonded to a surface of each of the bottom electrodes is provided. The self-assembled molecular film includes one or more defect sites and a plurality of active device molecules, each of the plurality of active device molecules including a molecular switching moiety having a self-assembling connecting group at one end of the moiety and a linking group at an opposed end of the moiety. The polymeric material chemically bonds to at least some of the linking groups of the plurality of active device molecules, causing the formation of the self-assembled molecular layer covering the plurality of active device molecules and the defect site(s). A molecular switching device is also provided. | 07-08-2010 |
20100171115 | ORGANIC ELECTROLUMINESCENCE DISPLAY AND METHOD OF PRODUCING SAME - There is provided an organic electroluminescence display includes a lower electrode formed on a substrate, a device separation film formed on the lower electrode, an organic compound layer formed on the device separation film and including a light emission layer, and an upper electrode formed on the organic compound layer, wherein the device separation film is a polyimide film having an imidation ratio in a range of 65% or more to less than 90%. The display is expected to have longer operating life. | 07-08-2010 |
20100171116 | ORGANIC METAL COMPLEXS DERIVATIVE AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - The present invention relates to an organometallic complex derivative containing both 8-hydroxy-2-methylquinolato ligand and another ligand containing either Deutrium or Fluorine. The compound can be used for an organic material layer of an organic electronic device or an organic light emitting device. | 07-08-2010 |
20100176376 | COPOLYMER AND POLYMER LIGHT EMITTING DEVICE USING THE SAME - A copolymer comprising a repeating unit of the following formula (1) and a repeating unit of the following formula (2): | 07-15-2010 |
20100176377 | Polymeric compound and polymeric electroluminescence element using the same - A polymer compound comprising at least one of repeating units of the following formula (1) and at least one of repeating units selected from the following formulae (2) and (3). | 07-15-2010 |
20100176378 | Fabrication Method for Organic Light Emitting Device and Organic Light Emitting Device Fabricated by the Same Method - The present invention relates to a method for producing an organic light emitting device, comprising a step of sequentially forming on a substrate a first electrode formed of a metal, one or more organic material layers including a light emitting layer, and a second electrode, which comprises a step of forming a layer on the first electrode using a metal having the higher oxidation rate than the first electrode before forming the organic material layer, and to an organic light emitting device produced by the same. | 07-15-2010 |
20100176379 | SELF-ALIGNED ORGANIC THIN FILM TRANSISTOR AND FABRICATION METHOD THEREOF - The present invention relates to a self-aligned organic thin film transistor (TFT) and a fabrication method thereof. According to the present invention, a gate electrode is formed from a first conductive layer patterned on a substrate, a gate dielectric layer is formed on top of the substrate to cover the gate electrode, and a second conductive layer is then formed on the gate dielectric layer. Subsequently, ultraviolet (UV) backside exposure for irradiating the second conductive layer with UV from a bottom side of the substrate using the gate electrode as a mask, and source/drain electrodes self-aligned with the gate electrode is then formed not to overlap with the gate electrode by developing the second conductive electrode. Thereafter, an organic semiconductor layer is formed between and on the source/drain electrodes. In the present invention, an organic TFT can be fabricated using a reel-to-reel process, and therefore, the fabrication process can be simplified. | 07-15-2010 |
20100176380 | ORGANIC PHOTOELECTRIC DEVICE AND MATERIAL USED THEREIN - The present invention relates to an organic photoelectric device and a material used therein. The organic photoelectric device includes a substrate, an anode disposed on the substrate, a hole transport layer (HTL) disposed on the anode, an emission layer disposed on the hole transport layer (HTL), and a cathode disposed on the emission layer. The emission layer is characterized in that it includes a host and a phosphorescent dopant, and the host has a difference between the reduction potential or oxidation potential of the host and the reduction potential or oxidation potential of the phosphorescent dopant of less than 0.5 eV. The organic photoelectric device according to the present invention is capable of accomplishing higher efficiency and a lower driving voltage than those of the conventional organic photoelectric device, and has a simplified structure resulting in saving of manufacturing cost. | 07-15-2010 |
20100176381 | SEMICONDUCTOR DEVICE AND DISPLAY DEVICE - It is an object to provide a display device in which an operational characteristic in a bottom gate type organic semiconductor thin film transistor can be maintained to a stable characteristic without receiving an influence of an electrode provided on an upper layer thereof, and a display with a high reliability can be realized by using this as a driver element. A bottom gate type thin film transistor Tr provided on a substrate | 07-15-2010 |
20100176382 | Organic light emitting diode display - An organic light emitting diode display device constructed with an organic light emitting element including a first electrode, an organic emission layer, and a second electrode sequentially laminated together, a transmittance control layer formed on the organic light emitting element, a selective reflective layer formed on the transmittance control layer, a polarizing plate formed on the selective reflective layer, and a phase retardation plate disposed between the organic light emitting element and the polarizing plate. | 07-15-2010 |
20100176383 | Organic light emitting display device and method of manufacturing the same - Disclosed is an organic light emitting display device and a method of manufacturing the same. The organic light emitting display device includes the thin film transistor of the drive unit that has the activation layer formed in a structure where the first oxide semiconductor layer and the second oxide semiconductor layer are stacked, the thin film transistor of the pixel unit that has the activation layer formed of the second oxide semiconductor layer, and the organic light emitting diode coupled to the thin film transistor of the pixel unit. The thin film transistor of the drive unit has channel formed on the first oxide semiconductor layer having a higher carrier concentration than the second oxide semiconductor layer, having a high charge mobility, and the thin film transistor of the pixel unit has a channel formed on the second oxide semiconductor layer, having a stable and uniform functional property. | 07-15-2010 |
20100176384 | Organic luminescence transistor device and manufacturing method thereof - The invention is an organic luminescence transistor device including: a substrate; an assistance electrode layer provided on a side of an upper surface of the substrate; an insulation film provided on a side of an upper surface of the assistance electrode layer; a first electrode provided locally on a side of an upper surface of the insulation film, the first electrode covering an area of a predetermined size; an electric-charge-injection inhibiting layer provided on an upper surface of the first electrode, the electric-charge-injection inhibiting layer having a shape larger than that of the first electrode in a plan view; an electric-charge injection layer provided on the side of an upper surface of the insulation film at an area not provided with the first electrode or the electric-charge-injection inhibiting layer and on an upper surface of the electric-charge-injection inhibiting layer; a luminescent layer provided on an upper surface of the electric-charge injection layer; and a second electrode layer provided on a side of an upper surface of the luminescent layer. | 07-15-2010 |
20100176385 | ORGANIC FUNCTIONAL DEVICE AND MANUFACTURING METHOD THEREFOR - An organic functional device ( | 07-15-2010 |
20100176386 | LUMINESCENT METAL COMPLEXES FOR ORGANIC ELECTRONIC DEVICES - The present invention relates to auxiliary ligands for luminescent metal complexes, particularly emitter complexes having such auxiliary ligands, and particularly light-emitting devices, and particularly organic light-emitting devices (OLED) having metal complexes, which have the auxiliary ligands according to the invention. | 07-15-2010 |
20100176387 | ORGANIC THIN-FILM TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME - An organic thin-film transistor of the present invention has a gate electrode, a gate insulating film, a source electrode, a drain electrode, and an organic semiconductor layer provided above a substrate, and further has a thiol compound layer composed of a benzenethiol compound and provided on a surface of the source electrode and a thiol compound layer composed of a benzenethiol compound and provided on a surface of the drain electrode. This makes it possible to provide an organic thin-film transistor whose threshold voltage can be selectively controlled without greatly affecting a current characteristic other than the threshold voltage. | 07-15-2010 |
20100176388 | THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME AND FLAT PANEL DISPLAY DEVICE HAVING THE SAME - A thin film transistor which has a compound semiconductor including oxygen as an activation layer, a method of manufacturing the thin film transistor, and a flat panel display device having the thin film transistor, of which the thin film transistor comprises: a gate electrode formed on a substrate; an activation layer formed on the gate electrode, insulated from the gate electrode by a gate insulating film, and formed of a compound semiconductor including oxygen; a passivation layer formed on the activation layer; and source and drain electrodes formed to contact the activation layer, wherein the passivation layer includes titanium oxide (TiO | 07-15-2010 |
20100176389 | ORGANIC LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light emitting diode and a method of manufacturing the same. The organic light emitting diode adjusts an optical resonance thickness and prevents spectrum distortions without use of an auxiliary layer. The organic light emitting diode includes a first electrode that is optically reflective; a second electrode that is optically transmissible and faces the first electrode; an organic emission layer interposed between the first electrode and the second electrode, the organic emission layer including: a first emission layer including a mixed layer that contains a host material and a dopant material, and a second emission layer comprising only the host material; and a carrier injection transport layer interposed between the organic emission layer and the first electrode or between the organic emission layer and the second electrode. | 07-15-2010 |
20100176390 | ELECTROLUMINESCENT EFFICIENCY - An organic light emitting device is provided. The device has an anode, a cathode, and an emissive layer disposed between the anode and the cathode. The emissive layer further includes a molecule of Formula I (shown below) wherein an alkyl substituent at position R′ | 07-15-2010 |
20100176391 | ORGANIC EL ELEMENT AND A METHOD FOR MANUFACTURING THE ORGANIC EL ELEMENT - A dense cathode electrode layer having a step coverage is to be formed on an electron injection layer. The electron injection layer in which fine particles of an electron injection material is dispersed in an organic thin film having an electron transport property is formed by vapor co-depositing the electron transport material and the electron injection material; and a cathode electrode layer made of an alloy layer of MgAg is formed by a sputtering method. Since lower portions of the fine particles of the electron injection material dispersed in the surface of the organic thin film are buried in the organic thin film, the electron injection particles are not peeled off even if sputtering particles collide with the electron injection particles, and the upper portions are in contact with the cathode electrode layer formed by sputtering particles. | 07-15-2010 |
20100181553 | ORGANIC ELECTROLUMINESCENT DEVICE MATERIAL AND ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device (organic EL device) that utilizes phosphorescence and is improved in luminous efficiency and fully secured of driving stability. The organic EL device comprises an anode, an organic layer containing a hole-transporting layer, a light-emitting layer, and an electron-transporting layer, and a cathode piled one upon another on a substrate while the hole-transporting layer is disposed between the light-emitting layer and the anode and the electron-transporting layer is disposed between the light-emitting layer and the cathode. The light-emitting layer comprises an aluminum heterocomplex or dimeric complex of deuterated substituted or unsubstituted 2-methyl-8-hydroxyquinoline (Me8HQ-D) in which the hydrogen atoms in the methyl group of substituted or unsubstituted 2-methyl-8-hydroxyquinoline (Me8HQ) are deuterated as a host material and an organic metal complex containing at least one metal selected from groups 7 to 11 of the periodic table as a guest material. | 07-22-2010 |
20100181554 | ORGANIC EL DISPLAY PANEL - The present invention relates to an organic EL display panel having an organic light emitting layer having a uniform film thickness. The organic EL display panel of the present invention includes: a substrate; linear banks placed on the substrate and defining a linear region on the substrate; and at least two organic EL elements aligned in a row each linear region, and, each of the organic EL elements includes: an anode placed on the substrate; a hole injection layer formed with an metallic oxide and placed on the anode; an organic light emitting layer placed on the hole injection layer; and a cathode placed on the organic light emitting layer. The hole injection layer is concavely curved or convexly curved, the hole injection layer is partly placed under the banks; and the organic light emitting layer is formed by applying an organic light emitting material in the linear region. | 07-22-2010 |
20100181555 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE, ORGANIC ELECTROLUMINESCENT DEVICE, AND ORGANIC ELECTROLUMINESCENT DISPLAY - A material for an organic electroluminescent device including an imine derivative represented by the following formula (Ia) or (Ib), | 07-22-2010 |
20100181556 | ORGANIC ELECTRONIC DEVICE WITH LOW-REFLECTANCE ELECTRODE - There is provided an organic electronic device including an anode; a hole injection layer; a hole transport layer; a photoactive layer including a plurality of first subpixels, a plurality of second subpixels and a plurality of third subpixels; an electron transport layer including an electron transport material and an n-dopant, the layer having a thickness greater than 50 nm; and a cathode. One of the anode and cathode is light-transmitting and the other has low-reflectance. | 07-22-2010 |
20100181557 | ORGANIC LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF - An organic light emitting diode (OLED) and a manufacturing method thereof are provided. The OLED includes a substrate, and a first electrode serving as an anode, an organic material layer, a second electrode serving as a cathode, and a sealing layer are formed on the substrate in sequence, and the second electrode is a composite transparent structure layer realizing light emission at the top portion. By forming the composite transparent cathode with a light-transmissive top portion, the OLED emits lights from the top portion, so as to effectively enhance a light utilization ratio and a light transmission ratio, and thus not only an aperture ratio of a display screen is enhanced, but also an excellent displaying effect is obtained. Meanwhile, by adding a mesh-shaped current collection layer on a high-resistance semi-transparent metal layer, a current conducting capability is enhanced while ensuring a high light transmission ratio, thereby effectively satisfying the demands for the top-emitting OLED, which is applicable to a double-sided display device. The OLED has a simple structure and simple and reliable manufacturing technique, which thus has a wide application prospect. | 07-22-2010 |
20100181558 | SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND IMAGE DISPLAY DEVICE - A semiconductor device having semiconductor elements disposed with higher density and a method for manufacturing the same are provided. | 07-22-2010 |
20100181559 | ORGANIC EL DISPLAY PANEL AND MANUFACTURING METHOD THEREOF - Disclosed is an organic EL display panel which includes: a substrate; a linear first bank which is disposed over the substrate and defines a linear region; a second bank which defines two or more pixel regions arranged in the linear region; a pixel electrode disposed in the pixel region; a linear organic layer which is formed by coating method in the linear region over the pixel electrode and second bank; and a counter electrode over the organic layer, wherein the first bank is larger in height than the second bank, the first and second banks are made of resin, anisole contact angle at the top of the first bank is 30-60°, and anisole contact angle at the top of the second bank is 5-30°. | 07-22-2010 |
20100181560 | ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY, AND ELECTRONIC APPARATUS - An organic electroluminescent element includes an electron-transport layer composed of a heterocyclic compound, a negative electrode composed of a metal material, and a transition-metal-complex layer arranged between the electron-transport layer and the negative electrode. | 07-22-2010 |
20100181561 | ORGANIC LIGHT-EMITTING DEVICE - An organic light emitting device with improved light emitting efficiency, the organic light emitting device includes a substrate, a first electrode arranged on the substrate, a second electrode arranged to face the first electrode, an organic light-emitting layer arranged between the first electrode and the second electrode, an electron transport layer arranged between the organic light-emitting layer and the second electrode, wherein the electron transport layer includes a multi-layer structure that includes at least one first layer and at least two second layers, wherein ones of said at least one first layer and ones of said at least two second layers are alternately stacked, wherein ones of the at least two second layers are arranged at both opposite ends of the electron transport layer, each of the at least two second layers having a lower electron mobility than that of each of the at least one first layer. | 07-22-2010 |
20100181562 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - A light-emitting element includes a first electrode, a first light-emitting layer formed over the first electrode, a second light-emitting layer formed on and in contact with the first light-emitting layer to be in contact therewith, and a second electrode formed over the second light-emitting layer. The first light-emitting layer includes a first light-emitting substance and a hole-transporting organic compound, and the second light-emitting layer includes a second light-emitting substance and an electron-transporting organic compound. Substances are selected such that a difference in LUMO levels between the first light-emitting substance, the second light-emitting substance, and the electron-transporting organic compound is 0.2 eV or less, a difference in HOMO levels between the hole-transporting organic compound, the first light-emitting substance, and the second light-emitting substance is 0.2 eV or less, and a difference in LUMO levels between the hole-transporting organic compound and the first light-emitting substance is greater than 0.3 eV. | 07-22-2010 |
20100181563 | THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME, AND FLAT PANEL DISPLAY DEVICE HAVING THE SAME - A thin film transistor using an oxide semiconductor as an active layer, and its method of manufacture. The thin film transistor includes: a substrate; an active layer formed of an oxide semiconductor; a gate insulating layer formed of a dielectric on the active layer, the dielectric having an etching selectivity of 20 to 100:1 with respect to the oxide semiconductor; a gate electrode formed on the gate insulating layer; an insulating layer formed on the substrate including the gate electrode and having contact holes to expose the active layer; and source and drain electrodes connected to the active layer through the contact holes. Since the source and drain electrodes are not overlapped with the gate electrode, parasitic capacitance between the source and drain electrodes and the gate electrode is minimized. Since the gate insulating layer is formed of dielectric having a high etching selectivity with respect to oxide semiconductor, the active layer is not deteriorated. | 07-22-2010 |
20100187504 | DIAMINE DERIVATIVES AND ORGANIC ELECTRONIC DEVICE USING THE SAME - The present invention relates to a new diamine derivative, and an organic electronic device using the same. The diamine derivative according to the present invention can serve as a hole injecting, hole transporting, electron injecting, electron transporting, or light emitting material in an organic electronic device including an organic light emitting device. Particularly, it can serve as a light emitting dopant as used alone, in particular, a blue light emitting dopant. The organic electronic device according to the present invention exhibits excellent characteristics in terms of efficiency, drive voltage, life time, and stability. | 07-29-2010 |
20100187505 | BENZANTHRACENE DERIVATIVES FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to the compounds of the formula (1) and to organic electroluminescent devices, in particular blue-emitting devices, in which these compounds are used as host material or dopant in the emitting layer and/or as hole-transport material and/or as electron-transport material. | 07-29-2010 |
20100187506 | ELECTROACTIVE MATERIALS - There is provided a compound having Formula I or Formula II: | 07-29-2010 |
20100187507 | ELECTROACTIVE MATERIALS - There is provided a compound having Formula I: | 07-29-2010 |
20100187508 | ANTHRACENE COMPOUNDS FOR LUMINESCENT APPLICATIONS - This invention relates to anthracene derivatives that are useful in electroluminescent applications. It also relates to electronic devices in which the active layer includes such an anthracene derivative. | 07-29-2010 |
20100187509 | VINYLPHENOXY POLYMERS - There is provided a vinylphenoxy polymer having at least one monomeric unit selected from the group consisting of Formula Ia, Formula Ib and Formula Ic: | 07-29-2010 |
20100187510 | ELECTRONIC DEVICE INCLUDING 1,7-PHENANTHROLINE DERIVATIVE - There is provided an organic electronic device having an anode, a hole injection layer, a photoactive layer, an electron transport layer, and a cathode. At least one of the photoactive layer and the electron transport layer includes a compound having Formula I or Formula II | 07-29-2010 |
20100187511 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - An aromatic amine derivative having ring structures on the both sides of central double bond structure, an organic electroluminescence device including the aromatic amine derivative, and an organic electroluminescence material-containing solution including the aromatic amine derivative as one of organic electroluminescence materials and a solvent, the organic electroluminescence device having a long lifetime and high luminous efficiency and being capable of emitting blue light having a high color purity, and being realized with the aromatic amine derivative and the organic electroluminescence material-containing solution. | 07-29-2010 |
20100187512 | POLYCYCLIC RING ASSEMBLY COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE EMPLOYING THE SAME - Polycyclic ring assembly compound which has a specific flexible partial structure, i.e., a structure containing an aromatic ring in which adjacent carbon atoms have, bonded thereto, an aromatic ring group of another kind and an aliphatic group or aromatic ring group. Also provided are: a polymer constituted of repeating units at least part of which are structures derived from the polycyclic ring assembly compound; a solution of an organic EL material containing the polycyclic ring assembly compound or the polymer; and an organic electroluminescence device. The organic electroluminescence device has excellent heat resistance, high color purity, and a long lifetime and can emit a blue light or green light at a high luminescent efficiency. The polycyclic ring assembly compound realizes the device. | 07-29-2010 |
20100187513 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescence element includes an anode and a transparent electrode cathode. An organic luminescent layer is located between the anode and the cathode. An electron injection layer is located between the cathode and the organic luminescent layer, and includes at least one of an alkali metal and an alkali earth metal to inject electrons into the organic luminescent layer. A cathode buffer layer is located between the electron injection layer and the cathode, and includes a hole-transporting organic material. | 07-29-2010 |
20100187514 | ORGANIC THIN FILM TRANSISTOR AND ORGANIC THIN FILM LIGHT- EMITTING TRANSISTOR - An organic thin film transistor including a substrate having thereon at least three terminals of a gate electrode, a source electrode and a drain electrode, an insulator layer and an organic semiconductor layer, with a current between a source and a drain being controlled upon application of a voltage to the gate electrode, wherein the foregoing organic semiconductor layer includes a specified organic compound having an aromatic hydrocarbon group or an aromatic heterocyclic group and an acetylene structure in the center thereof; an organic thin film light emitting transistor in which in the organic thin film transistor, light emission is obtained utilizing a current flowing between the source and the drain, and the light emission is controlled upon application of a voltage to the gate electrode; an organic thin film transistor which is made high with respect to the response speed and has a large ON/OFF ratio by a compound suitable therefor; and an organic film light emitting transistor utilizing it, and a compound suitable therefor, are provided. | 07-29-2010 |
20100187515 | Use of a Precursor of an N-Dopant for Doping an Organic Semiconductive Material, Precursor and Electronic or Optoelectronic Component - Use of a precursor of an n-dopant for doping an organic semiconductive material, as a blocking layer, as a charge injection layer, as an electrode material, as a storage material or as a semiconductor material itself in electronic or optoelectronic components, the precursor being selected from the following formulae 1-3c: | 07-29-2010 |
20100187516 | ORGANIC SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING ORGANIC SEMICONDUCTOR DEVICE - An organic semiconductor device of preventing invasion of hydrogen or hydrogen ion into the device and having a long-term reliability, and a method of manufacturing thereof are provided by giving a hydrogen absorbing layer which absorbs hydrogen or hydrogen ion, and which does not release the absorbed hydrogen or hydrogen ion. | 07-29-2010 |
20100187517 | ORGANIC EL DEVICE - An organic EL device includes: an anode; a cathode and an organic thin-film layer provided between the anode and the cathode. The organic thin-film layer includes: a fluorescent-emitting layer containing a fluorescent host and a fluorescent dopant; and a phosphorescent-emitting layer containing a first phosphorescent host and a first phosphorescent dopant. The first phosphorescent dopant emits light by receiving exited triplet energy transferred from the fluorescent host. The fluorescent host has a substituted or unsubstituted polycyclic fused aromatic skeleton and has an exited triplet energy gap of 2.10 eV to 3.00 eV. | 07-29-2010 |
20100187518 | METHOD OF PRODUCING ORGANIC LIGHT EMITTING DEVICE - A method for producing an organic light emitting device having an anode, a layer containing an electron accepting organic compound, a hole transporting layer containing an organic compound, a light emitting layer containing a macromolecular compound, and a cathode in this order, the layer containing the electron accepting organic compound being in contact with the anode and the hole transporting layer,
| 07-29-2010 |
20100187519 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT - A material for an organic electroluminescence device including at least one of compounds shown by the following formula (Ia), (Ib), (IIa), (IIb), (III), (IVa) or (IVb): | 07-29-2010 |
20100187520 | LIGHT EMITTING DEVICE MATERIAL AND LIGHT EMITTING DEVICE - Disclosed is a light emitting device material characterized by containing a specific fluorine compound. This light emitting device material enables to obtain a light emitting device having high luminous efficiency, excellent color purity and excellent durability. Also disclosed is a light emitting device using such a light emitting device material. | 07-29-2010 |
20100187521 | BLUE ORGANIC LIGHT EMITTING DEVICE - A blue organic light emitting device is provided. The blue organic light emitting device comprises a first electrode; a second electrode; and an organic layer including an electron transport layer between the first electrode and the second electrode, wherein the electron transport layer includes a material having an energy gap of 2.8 eV or more between a highest occupied molecular orbital (HOMO) and a lowest unoccupied molecular orbital (LUMO). | 07-29-2010 |
20100187522 | METHOD OF FORMING AN ORGANIC LIGHT-EMITTING DISPLAY WITH BLACK MATRIX - A method of forming an organic light-emitting display (OLED) includes the steps of providing a substrate, forming a black matrix on the substrate, forming a buffer layer on the black matrix, forming an active layer on the buffer layer, simultaneously patterning the black matrix and the buffer layer, and forming a display electrode and a thin film transistor over the buffer layer. | 07-29-2010 |
20100193772 | Thin film transistor and display device - Provided is a thin film transistor capable of improving reliability in the thin film transistor including an oxide semiconductor layer. A thin film transistor including: a gate electrode; a gate insulating film formed on the gate electrode; an oxide semiconductor layer forming a channel region corresponding to the gate electrode on the gate insulating film; a channel protective film formed at least in a region corresponding to the channel region on the oxide semiconductor layer; and a source/drain electrode. A top face and a side face of the oxide semiconductor layer are covered with the source/drain electrode and the channel protective layer on the gate insulating film. | 08-05-2010 |
20100193773 | Nitrogen-containing heterocycle derivative and organic electroluminescent element using the same - A novel derivative of heterocyclic compound having nitrogen atom with a structure made by bonding special groups to benzimidazole, a material for an organic electroluminescence (EL) device comprising the derivative of heterocyclic compound having nitrogen atom and an organic electroluminescence device comprising at least one organic compound layer containing a light emitting layer sandwiched between a pair of electrodes, wherein the device contains the derivative of heterocyclic compound having nitrogen atom. An organic EL device achieving elevation of luminance and of efficiency in light emission even under low driving voltage is obtainable by an employment of the derivative of heterocyclic compound having nitrogen atom for at least one layer composing organic compound layers of the EL device. | 08-05-2010 |
20100193774 | Quinoid Compounds and Their Use in Semiconducting Matrix Materials, Electronic and Optoelectronic Structural Elements - The invention relates to quinoid compounds and their use in semiconductive matrix materials, electronic and optoelectronic structural elements. | 08-05-2010 |
20100193775 | ORGANIC TRANSISTOR, ORGANIC TRANSISTOR ARRAY, AND DISPLAY DEVICE - An organic transistor includes a substrate; a gate electrode and a gate insulating film sequentially formed on the substrate in the stated order; and a source electrode, a drain electrode, and an organic semiconductor layer formed on at least the gate insulating film. Ultraviolet light is radiated to the substrate from a side without the gate electrode, transmitted through the substrate and the gate insulating film, reflected at the gate electrode, and absorbed at the organic semiconductor layer. Conductivity of the organic semiconductor layer that has absorbed the ultraviolet light is lower than that of the organic semiconductor layer that has not absorbed the ultraviolet light. | 08-05-2010 |
20100193776 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device | 08-05-2010 |
20100193777 | METHOD OF PRODUCING A DESUBSTITUTED COMPOUND, ORGANIC SEMICONDUCTOR FILM AND METHOD OF PRODUCING THE SAME - A method of producing a desubstituted compound, including: applying an external stimulation to a compound A-(B) | 08-05-2010 |
20100193778 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode display with first and second substrates, and a method of manufacturing the organic light emitting diode display. The first substrate has a plurality of first organic light emitting diodes each having a first emissive area and a first non-emissive area, and a first driving circuit unit for driving the first organic light emitting diodes. The second substrate has a plurality of second organic light emitting diodes each having a second emissive area and a second non-emissive area, and a second driving circuit unit for driving the second organic light emitting diodes. The first emissive areas of the first organic light emitting diodes face the second non-emissive areas of the second organic light emitting diodes, respectively, and the second emissive areas of the second organic light emitting diodes face the first nonemissive area of the first organic light emitting diodes, respectively. | 08-05-2010 |
20100193779 | BOTTOM GATE THIN FILM TRANSISTOR, FLAT PANEL DISPLAY HAVING THE SAME AND METHOD OF FABRICATING THE SAME - A bottom gate thin film transistor (TFT), a flat panel display having the same, and a method of fabricating the same are disclosed. The TFT comprises a gate electrode disposed on a substrate, and a gate insulating layer disposed on the gate electrode. A semiconductor layer is disposed on the gate insulating layer and crossing over the gate electrode, and is crystallized by an MILC technique. An inter-insulating layer is disposed on the semiconductor layer and comprises source and drain contact holes which expose portions of the semiconductor layer. The source and drain contact holes are separated from at least one edge of the semiconductor layer crossing over the gate electrode. The semiconductor layer comprises conductive MIC regions corresponding to the exposed portions of the semiconductor layer in the source and drain contact holes. | 08-05-2010 |
20100200841 | LIQUID COMPOSITIONS FOR INKJET PRINTING OF ORGANIC LAYERS OR OTHER USES - A method of forming an organic layer by using a liquid composition comprising a small molecule organic semiconductor material mixed in a ketone solvent. The liquid composition is deposited on a surface to form the organic layer. The ketone solvent may be an aromatic ketone solvent, such as a tetralone solvent. The organic semiconductor material may be cross-linkable to provide a cross-linked organic layer. The method can be used to make organic electronic devices, such as organic light emitting devices. In another aspect, the liquid composition comprises a small molecule organic semiconductor material mixed in an aromatic ether solvent. Also, provided are liquid compositions which can be used to make organic layers. | 08-12-2010 |
20100200842 | Surface modifying agent, laminated structure and transistor including the same, and method of manufacturing the laminated structure - Disclosed is a surface modifying agent including a compound having an ethynyl group at one terminal end, a laminated structure manufactured using the surface modifying agent, a method of manufacturing the laminated structure, and a transistor including the same. | 08-12-2010 |
20100200843 | THIN FILM TRANSISTOR AND DISPLAY UNIT - A thin film transistor with which oxygen is easily supplied to an oxide semiconductor layer and favorable transistor characteristics are able to be restored and a display unit including the same are provided. The thin film transistor includes sequentially over a substrate a gate electrode, a gate insulting film, an oxide semiconductor layer including a channel region, and a channel protective layer covering the channel region A source electrode and a drain electrode are formed on the oxide semiconductor layer located on both sides of the channel protective layer, and at least one of the source electrode and the drain electrode has an aperture to expose the oxide semiconductor layer. | 08-12-2010 |
20100200844 | ORGANIC THIN FILM TRANSISTOR AND FABRICATING METHOD THEREOF - An organic thin film transistor including a gate, a gate insulator covering the gate, a source, a drain, an organic semiconductor layer, a hydrophobic layer and a protecting droplet is provided. A hydrophobic region is formed by forming the hydrophobic layer on a surface of the source and a surface of the drain, respectively. Meanwhile, a hydrophilic region is formed on the organic semiconductor layer exposed by the hydrophobic layer. The protecting droplet is self-assemblingly formed on the organic semiconductor layer to protect the device characteristic by using the surface tension thereof. Therefore, an organic thin film transistor having a simple fabricating process is provided. Besides, a fabricating method of an organic thin film transistor is also provided. | 08-12-2010 |
20100200845 | ORGANIC LIGHT EMITTING DIODE DISPLAY - Embodiments provide an organic light emitting diode display. The display includes a substrate, a pixel electrode formed on the substrate, and an organic emissive layer formed on the pixel electrode. A common electrode is formed on the organic emissive layer. In addition, a crystallized light scattering layer is formed on the common electrode. The crystallized light scattering layer may be a crystallized organic or crystallized inorganic layer having a rough surface in order to effectively scatter light and prevent phenomenon, such as Newton's Rings from occurring in the display. | 08-12-2010 |
20100200846 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a substrate having organic light emitting diodes thereon. A thin film encapsulation layer is formed on the substrate such that the thin film encapsulation layer covers the organic light emitting diodes. A nonorganic layer is formed under the thin film encapsulation layer along the edge of the thin film encapsulation layer. | 08-12-2010 |
20100200847 | ORGANIC COMPOUND, ANTHRACENE DERIVATIVE, AND LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, AND ELECTRONIC DEVICE USING ANTHRACENE DERIVATIVE - Objects of the present invention are to provide novel anthracene derivatives and novel organic compounds; a light-emitting element that has high emission efficiency; a light-emitting element that is capable of emitting blue light with high luminous efficiency; a light-emitting element that is capable of operation for a long time; and a light-emitting device and an electronic device that have lower power consumption. An anthracene derivative represented by a general formula (1) and an organic compound represented by a general formula (17) are provided. A light-emitting element that has high emission efficiency can be obtained by use of the anthracene derivative represented by the general formula (1). Further, a light-emitting element that has a long life can be obtained by use of the anthracene derivative represented by the general formula (1). | 08-12-2010 |
20100200848 | ORGANIC ELECTROLUMINESCENT DEVICE WITH CARRIER BLOCKING LAYER INTERPOSED BETWEEN TWO EMITTING LAYERS - An organic electroluminescent device including in sequence an anode, a first emitting layer ( | 08-12-2010 |
20100207104 | Electrical Organic Component and a Method for its Production - An electrical organic component includes a first electrode, an organic functional layer on the first electrode and a second electrode on the organic functional layer. The first and/or second electrodes contain rhenium compounds. | 08-19-2010 |
20100207106 | STRUCTURE FOR REPAIRING PIXEL OF ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF REPAIRING THE SAME - A structure is disclosed for repairing a defective pixel of an organic light emitting display device of which a defect pixel is repaired. An organic light emitting diode includes a first electrode, a light emitting layer formed on a light emitting region of the first electrode, and a second electrode formed on the light emitting layer. The first electrode and the second electrode are conductively coupled to each other for preventing the organic light emitting diode from emitting light, for example, by irradiating a laser on a portion of the second electrode to cause a short-circuit between the first electrode and the second electrode. | 08-19-2010 |
20100207107 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes: a first substrate; a plurality of organic light emitting diodes on the first substrate; a plurality of spacers spaced apart from each other on sides of light emitting regions corresponding to the plurality of organic light emitting diodes; and a second substrate facing the first substrate and spaced apart from the first substrate at an interval by the plurality of spacers. | 08-19-2010 |
20100207108 | ELECTRONIC DEVICE INCLUDING PHENANTHROLINE DERIVATIVE - There is provided an organic electronic device having an anode, a hole injection layer, a photoactive layer, an electron transport layer, and a cathode. At least one of the photoactive layer and the electron transport layer includes a compound having Formula I | 08-19-2010 |
20100207109 | BUFFER BILAYERS FOR ELECTRONIC DEVICES - The present invention relates to buffer bilayers, and their use in electronic devices. The bilayer has a first layer including (i) at least one electrically conductive polymer doped with at least one non-fluorinated polymeric acid and (ii) at least one highly-fluorinated acid polymer. The bilayer has a second layer which is a reacted layer from a metal which can be one or more transition metals, Group 13 metals, Group 14 metals, or lanthanide metals. | 08-19-2010 |
20100207110 | ORGANIC EL DEVICE - An organic EL device includes: an anode for injecting holes; a phosphorescent-emitting layer; a fluorescent-emitting layer; and a cathode for injecting electrons. The phosphorescent-emitting layer contains a phosphorescent host and a phosphorescent dopant for phosphorescent emission. The fluorescent-emitting layer contains a fluorescent host and a fluorescent dopant for fluorescent emission. The fluorescent host is at least one of an asymmetric anthracene derivative represented by a formula (1) below and a pyrene derivative represented by a formula (2) below. | 08-19-2010 |
20100207111 | PROCESS FOR PRODUCING ORGANIC SEMICONDUCTOR ELEMENT, ORGANIC SEMICONDUCTOR ELEMENT, AND ORGANIC SEMICONDUCTOR DEVICE - An object of the present invention is to provide a method for producing an organic semiconductor element allowing depression of electrical properties of active layer to be prevented, moreover allowing an active layer patterned to have a satisfactory pattern shape to be formed. In order to achieve the above object, the method for producing an organic semiconductor element of the present invention has the step of laminating a layered body resulting from lamination of a support film and the active layer to an element substrate on which the active layer will be disposed so that the active layer of the layered body will be in contact with the element substrate, the step of forming a mask having a prescribed pattern shape on the support film's surface located on the side opposite to the active layer, and the step of patterning the active layer by removing the layered body located in a region where the mask has not been formed. | 08-19-2010 |
20100207112 | ORGANIC PHOTODETECTOR HAVING A REDUCED DARK CURRENT - An organic photodetector has a reduced dark current by incorporating an electron blocking layer or barrier layer between the lower electrode and the organic photoactive layer. TA SAM layer is proposed as the material for the barrier layer. | 08-19-2010 |
20100207113 | THIN FILM ACTIVE ELEMENT, ORGANIC LIGHT EMITTING DEVICE, DISPLAY DEVICE, ELECTRONIC DEVICE, AND MANUFACTURING METHOD OF THIN FILM ACTIVE ELEMENT - There is provided a thin film active element including a light-permeable substrate, a light-shielding source/drain electrode formed on the substrate, a light-permeable source/drain electrode formed on a plane surface to which the light-shielding source/drain electrode belongs, and disposed to have a gap interposed between the light-shielding source/drain electrode and the light-permeable source/drain electrode, a channel layer formed in the gap between the light-shielding source/drain electrode and the light-permeable source/drain electrode, and a gate electrode applying an electric field to the channel layer formed in the gap. | 08-19-2010 |
20100207115 | Organic Electro-Luminescence Diode - An organic electro-luminescence diode comprises two electrodes and an organic electro-luminescence structure. The organic electro-luminescence structure is formed between the two electrodes, and includes a red light-generating unit, a green light-generating unit, a blue light-generating unit and a light-compensating unit stacked with each other. The light-compensating unit is selected from the group consisting of a white light-compensating unit, a red light-compensating unit, a green light-compensating unit, a blue light-compensating unit and a structure stacking together one light-compensating unit upon the other. | 08-19-2010 |
20100213442 | SEMICONDUCTOR DEVICE - Provided is a semiconductor device comprising an organic semiconductor element A and an organic semiconductor element B, wherein
| 08-26-2010 |
20100213443 | Oled devices - An OLED device having an emission layer formed of an ambipolar phosphine oxide host material and a dopant, a hole transport layer in electrical communication with an anode, an electron transport layer in communication with a cathode, wherein the HOMO energy of the hole transport layer is substantially the same as the HOMO energy of the ambipolar host in the emission layer, and the LUMO energy of the electron transport layer is substantially the same as the LUMO energy of the ambipolar host in the emission layer. | 08-26-2010 |
20100213444 | ORGANIC SEMICONDUCTOR AND ORGANIC THIN-FILM TRANSISTOR - To provide an organic semiconductor of tetrathiafulvalene derivative and an organic thin-film transistor formed therefrom, the tetrathiafulvalene derivative being readily formed into a stable thin film and the organic thin-film transistor having a high mobility and being driven at a low threshold voltage, an organic semiconductor includes a hexamethylenetetrathiafulvalene compound represented by the formula (1) below, and an organic thin-film transistor having a thin film obtained therefrom | 08-26-2010 |
20100213445 | PHOSPHORESCENT POLYMER COMPOUNDS AND ORGANIC ELECTROLUMINESCENT DEVICES MANUFACTURED THEREWITH - A phosphorescent polymer compound has high luminance efficiency and long life. An organic electroluminescent device includes the compound. The phosphorescent polymer compound includes structural units that are derived from a compound represented by Formula (1): wherein R1 to R8 are each independently a hydrogen atom, a halogen atom, a cyano group, an alkyl group, an aryl group, a heteroaryl group, an amino group optionally substituted with an alkyl group, an alkoxy group, a silyl group optionally substituted with an alkyl group, or a group having a radically polymerizable functional group, and one of R1 to R8 is a group having a radically polymerizable functional group; and L is a ligand with a specific five-membered ring structure, and the two ligands L may be the same or different from each other. | 08-26-2010 |
20100213446 | BUFFER BILAYERS FOR ELECTRONIC DEVICES - The present invention relates to buffer bilayers, and their use in electronic devices. The bilayer has a first layer including at least one electrically conductive polymer doped with at least one highly-fluorinated acid polymer. The second layer is a reacted layer from a metal which can be one or more transition metals, Group 13 metals, Group 14 metals, or lanthanide metals. | 08-26-2010 |
20100213447 | VERTICAL ORGANIC TRANSISTOR, METHOD FOR MANUFACTURING THE VERTICAL ORGANIC TRANSISTOR, AND LIGHT EMITTING ELEMENT - This invention provides a vertical organic transistor that can realize large current modulation and a reduction in production cost, and a method for manufacturing the vertical organic transistor. The vertical organic transistor comprises an upper electrode, a lower electrode, an organic semiconductor provided between both the electrodes, and an intermediate electrode provided within the organic semiconductor, the intermediate electrode being a layered continuous body comprising a continuous insulating metal compound and particulate metals distributed within the insulating metal compound. | 08-26-2010 |
20100213448 | METHOD OF PRODUCING A SINGLE-CRYSTAL THIN FILM OF AN ORGANIC SEMICONDUCTOR COMPOUND - A method of producing a single-crystal thin film of an organic semiconductor compound, which contains the steps of: applying an organic solvent which has a dielectric constant of 4.5 or greater and in which an organic semiconductor compound is soluble, on a substrate, to form a liquid film of the organic solvent on the substrate; supplying the organic semiconductor compound into the liquid film of the organic solvent, to dissolve therein; and crystallizing the organic semiconductor compound in the organic solvent. | 08-26-2010 |
20100213449 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND PRODUCTION METHOD THEREOF - The present invention provides an organic electroluminescent display device including an electroluminescent element with a reduced leakage current and also provides a production method thereof. The present invention is an organic electroluminescent display device including an electroluminescent element,
| 08-26-2010 |
20100213450 | PHOSPHOR ELEMENT AND DISPLAY DEVICE - A phosphor element is provided with a first electrode and a second electrode. The electrodes are arranged to face each other, and at least one of the electrodes is transparent or semi-transparent. The phosphor element is also provided with a phosphor layer, which is sandwiched between the first electrode and the second electrode and has phosphor particles dispersed in a medium made of a hole transport material. Conductive nano particles are held on the surface of each of the phosphor particles. | 08-26-2010 |
20100213451 | EMISSIVE POLYMERS AND DEVICES INCORPORATING THESE POLYMERS - The present invention relates to a class of luminescent and conductive polymer compositions having chromophores, and particularly solid films of these compositions exhibiting increased luminescent lifetimes, quantum yields and amplified emissions. These desirable properties can be provided through polymers having rigid groups designed to prevent polymer reorganization, aggregation or π-stacking upon solidification. These polymers can also display an unusually high stability with respect to solvent and heat exposures. The invention also relates to a sensor and a method for sensing an analyte through the luminescent and conductive properties of these polymers. Analytes can be sensed by activation of a chromophore at a polymer surface. Analytes include aromatics, phosphate ester groups and in particular explosives and chemical warfare agents in a gaseous state. The present invention also relates to devices and methods for amplifying emissions by incorporating a polymer having an energy migration pathway and/or providing the polymer as a block co-polymer or as a multi-layer. | 08-26-2010 |
20100213452 | AMBIPOLAR HOST IN ORGANIC LIGHT EMITTING DIODE - Some embodiments provide a compound represented by Formula 1: | 08-26-2010 |
20100213453 | AMBIPOLAR HOST IN ORGANIC LIGHT EMITTING DIODE - Some embodiments provide a compound represented by Formula 1: | 08-26-2010 |
20100213454 | PROCESS AND MATERIALS FOR MAKING CONTAINED LAYERS AND DEVICES MADE WITH SAME - There is provided a process for forming a contained second layer over a first layer. The process comprises forming the first layer having a first surface energy and then treating the first layer with a photocurable surface-active composition which is a fluorinated ester or fluorinated imide of an α,β-unsaturated polyacid; exposing the photocurable surface-active composition patternwise with radiation resulting in exposed areas and unexposed areas; developing the photocurable surface-active composition to remove the unexposed areas resulting in a first layer having untreated portions in the unexposed areas and treated portions in the exposed areas, where the treated portions have a second surface energy that is lower than the first surface energy; and forming the second layer on the untreated portions of the first layer. There is also provided an organic electronic device made by the process. | 08-26-2010 |
20100213455 | CONDUCTING FORMULATION - The invention relates to novel formulations comprising an organic semiconductor (OSC) and a conductive additive, to their use as conducting inks for the preparation of organic electronic (OE) devices, especially organic photovoltaic (OPV) cells, to methods for preparing OE devices using the novel formulations, and to OE devices and OPV cells prepared from such methods and formulations. | 08-26-2010 |
20100213456 | Organic Electroluminescence Display Device - An organic electroluminescence display device is disclosed wherein plural organic electroluminescence elements each having an anode, a cathode and an organic layer sandwiched in between the anode and the cathode, the plural organic electroluminescence elements including organic electroluminescence elements developing different colors in a row direction, and at least one of the organic layers having an outer edge of a conic section at an end portion in a column direction thereof, the outer edge of the conic section becoming narrower gradually in its width in a row direction of vapor deposition thereof toward an extreme end at an end portion in a column direction thereof. The organic electroluminescence display device can improve the vapor deposition accuracy and the degree of design freedom. | 08-26-2010 |
20100213457 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - A light-emitting element disclosed in the present invention includes a light-emitting layer and a first layer between a first electrode and a second electrode, in which the first layer is provided between the light-emitting layer and the first electrode. The present invention is characterized by the device structure in which the first layer comprising a hole-transporting material is doped with a hole-blocking material or an organic compound having a large dipole moment. This structure allows the formation of a high performance light-emitting element with high luminous efficiency and long lifetime. The device structure of the present invention facilitates the control of the rate of the carrier transport, and thus, leads to the formation of a light-emitting element with a well-controlled carrier balance, which contributes to the excellent characteristics of the light-emitting element of the present invention. | 08-26-2010 |
20100219397 | TRANSITION METAL COMPLEX COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME - Disclosed is a transition metal complex compound having a specific structure including a crosslinked stricture. Further, disclosed is an organic electroluminescence device including an organic thin film formed of one or more layers including at least a light-emitting layer, the organic thin film layer being interposed between a pair of electrodes. In this organic electroluminescence device, at least one layer of the organic thin film contains the transition metal complex compound and has high luminous efficiency and emits blue light. In addition, disclosed is the transition metal complex compound enables to realize the organic electroluminescence device. | 09-02-2010 |
20100219398 | BOTTOM EMISSION TYPE ORGANIC ELECTROLUMINESCENT PANEL - Provided is a bottom emission type organic EL panel capable of preventing or delaying loss of light emission from an end portion of the light emission area and reduction of the light emission area in an organic EL element. This organic electro luminescence panel includes an organic electro luminescence element having at least one organic layer between an anode and a cathode arranged on a substrate. This panel has a main light emission area emitting light with a high luminance and a non-light emission area or a low light emission area emitting light with a lower luminance than the main light emission area, arranged outside the end portion of the main light emission area. By limiting the main light emission area to a smaller size than the cathode forming area, the end portion of the cathode forming area is arranged outside the end portion of the main light emission area. | 09-02-2010 |
20100219399 | BLOCK COPOLYMER - A block copolymer comprising two or more of blocks of the following formula (1), wherein at least two of a plurality of m's present in the copolymer represent a number of 5 or more, Ar's in adjacent two blocks in the copolymer are mutually different, and the copolymer has two Ar's when composed of 2 blocks of the formula (1), has two or more Ar's when composed of 3 blocks of the formula (1) and has four or more Ar's when composed of 4 or more blocks of the formula (1): | 09-02-2010 |
20100219400 | ORGANIC ELECTROLUMINESCENT DEVICE - Provided is an organic electroluminescence device, including: an anode; a cathode; and organic thin film layers provided between the anode and the cathode, in which: the organic thin film layers have a light emitting layer, and have a hole injecting layer and a hole transporting layer, or a hole injecting/transporting layer on a side which is closer to the anode than the light emitting layer is; the hole injecting layer or the hole injecting/transporting layer contains an aromatic amine derivative having a specific substituent, and the hole transporting layer or the hole injecting/transporting layer contains an aromatic amine derivative having a specific substituent. | 09-02-2010 |
20100219401 | Deposition of Organic Layers - A method for depositing one or more organic layers onto a substrate, which includes: transferring the one or more layers from a depositing surface of a stamp to the substrate by bringing the layer coated depositing surface of the stamp into contact with the substrate, and the use of either or both of the steps of: (i) contacting the polymer with a plasticizer; and (ii) heating the substrate and/or stamp, in order to create favorable conditions for conformal contact and uniform layer transfer. | 09-02-2010 |
20100219402 | THIN FILM TRANSISTOR AND METHOD FOR PRODUCING THE SAME - The present invention provides a method of manufacturing a thin film transistor of a top-contact structure with suppressed deterioration by a process which is easy and suitable for increase in area without damaging an organic semiconductor pattern. The organic semiconductor pattern is formed on a substrate. An electrode material film is formed on the substrate so as to cover the organic semiconductor pattern. A resist pattern is formed on the electrode material film. By wet etching using the resist pattern as a mask, the electrode material film is patterned. By the process, a source electrode and a drain electrode are formed. | 09-02-2010 |
20100219403 | ORGANIC LIGHT-EMITTING DIODES COMPRISING CARBENE-TRANSITION METAL COMPLEX EMITTERS, AND AT LEAST ONE COMPOUND SELECTED FROM DISILYLCARBAZOLES, DISILYLDIBENZOFURANS, DISILYLDIBENZOTHIOPHENES, DISILYLDIBENZOPHOSPHOLES, DISILYLDIBENZOTHIOPHENE S-OXIDES AND DISILYLDIBENZOTHIOPHENE S,S-DIOXIDES - The present invention relates to an organic light-emitting diode comprising an anode An and a cathode Ka and a light-emitting layer E which is arranged between the anode An and the cathode Ka and comprises at least one carbene complex and if appropriate at least one further layer, where the light-emitting layer E and/or the at least one further layer comprises at least one compound selected from disilylcarbazoles, disilyldibenzofurans, disilyldibenzothiophenes, disilyldibenzophospholes, disilyldibenzothiophene S-oxides and disilyldibenzothiophene S,S-dioxides, to a light-emitting layer comprising at least one of the aforementioned compounds and at least one carbene complex, to the use of the aforementioned compounds as matrix material, hole/exciton blocker material, electron/exciton blocker material, hole injection material, electron injection material, hole conductor material and/or electron conductor material, and to a device selected from the group consisting of stationary visual display units, mobile visual display units and illumination units comprising at least one inventive organic light-emitting diode; to selected disilylcarbazoles, disilyldibenzofurans, disilyldibenzothiophenes, disilyldibenzophospholes, disilyldibenzothiophene S-oxides and disilyldibenzothiophene S,S-dioxides, and to processes for their preparation. | 09-02-2010 |
20100219404 | ORGANIC EL DEVICE - An organic EL device includes: an anode, a cathode and an organic thin-film layer interposed between the anode and the cathode, in which the organic thin-film layer includes: an emitting layer containing a host material and a phosphorescent material; and a hole transporting layer provided adjacent to the anode relative to the emitting layer. The hole transporting layer includes a first hole transporting layer and a second hole transporting layer that are sequentially layered on the anode, in which the first hole transporting layer contains an amino compound substituted by an aromatic substituent represented by a formula (1) below and the second hole transporting layer contains at least one of compounds represented by formulae (2) to (5) below. | 09-02-2010 |
20100219405 | NOVEL ARYLAMINE POLYMER, METHOD FOR PRODUCING THE SAME, INK COMPOSITION, FILM, ELECTRONIC DEVICE, ORGANIC THIN-FILM TRANSISTOR, AND DISPLAY DEVICE - A polymer containing a repeating unit expressed by General Formula (I): General Formula (I) where Ar1 represents a substituted or unsubstituted aromatic hydrocarbon group; Ar2 and Ar3 each independently represent a divalent group of a substituted or unsubstituted aromatic hydrocarbon group; and R1 and R2 each independently represent a hydrogen atom, substituted or unsubstituted alkyl group, or substituted or unsubstituted aromatic hydrocarbon group. | 09-02-2010 |
20100219406 | USE OF ACRIDINE DERIVATIVES AS MATRIX MATERIALS AND/OR ELECTRON BLOCKERS IN OLEDS - The present invention relates to the use of (hetero)aryl-substituted acridine derivatives as matrix materials in a light-emitting layer of organic light-emitting diodes (OLEDs) and/or in a blocking layer for electrons in organic light-emitting diodes. The present invention further relates to a light-emitting layer which comprises at least one emitter material and at least one matrix material, wherein the matrix material used is at least one (hetero)aryl-substituted acridine derivative, and to an organic light-emitting diode which comprises at least one inventive light-emitting layer, to an organic light-emitting diode which comprises at least one acridine derivative of the formula (I) in a blocking layer for electrons, and to a device selected from stationary and mobile visual display units and illumination units which comprise at least one inventive organic light-emitting diode. | 09-02-2010 |
20100219407 | ORGANIC METAL COMPLEX, AND ORGANIC LIGHT EMITTING DEVICE AND DISPLAY APPARATUS USING THE SAME - Provided is an organic metal complex having a structure represented by the following general formula (1): | 09-02-2010 |
20100219408 | SENSOR MATRIX WITH SEMICONDUCTOR COMPONENTS - The invention relates to a sensor matrix ( | 09-02-2010 |
20100219409 | POLYTHIOPHENES AND DEVICES THEREOF - An electronic device containing a polythiophene | 09-02-2010 |
20100224863 | ORGANIC EL ELEMENT - To provide an organic EL element in which the hue of display light can be restrained from varying according to a change of the viewing angle. The organic EL element includes: a first electrode (anode) | 09-09-2010 |
20100224864 | Organic light emitting diode and method for manufacturing the same - An organic light emitting diode (OLED) and a method for manufacturing the same are disclosed, wherein the method comprises following steps: (a) providing a substrate having a first conductive layer; (b) providing a precursor and polymerizing the precursor by plasma to form a fluorocarbon polymer layer or a fluorocarbon copolymer layer on the first conductive layer of the substrate; (c) forming an organic light emitting structure on the fluorocarbon polymer layer or a fluorocarbon copolymer layer; and (d) forming a second conductive layer on the organic light emitting structure. The hole injection efficiency of the OLED can be improved by the method of the present invention. Hence, the current density of the OLED can be greatly increased. | 09-09-2010 |
20100224865 | ORGANIC TRANSISTOR, ORGANIC TRANSISTOR ARRAY AND DISPLAY APPARATUS - A disclosed organic transistor includes a substrate; a gate electrode; a gate insulating film; source-drain electrodes; and an organic semiconductor layer. The gate electrode and the gate insulating film are disposed on the substrate in the stated order, and the source-drain electrodes and the organic semiconductor layer are disposed at least on the gate insulating film in the stated order. At least one of the source-drain electrodes includes a first part disposed directly above the gate electrode, a second part disposed not over the gate electrode, and a connecting part which has a width smaller than a width of the first part and connects the first part and the second part. | 09-09-2010 |
20100224866 | TERNARY EMISSIVE LAYERS FOR LUMINESCENT APPLICATIONS - There is provided an organic light emitting diode having an anode, a hole transport layer containing a material having an ionization potential IP | 09-09-2010 |
20100224867 | ELECTRONIC DEVICES COMPRISING STRUCTURED ORGANIC FILMS - An electronic device comprising a structured organic film with an added functionality comprising a plurality of segments and a plurality of linkers arranged as a covalent organic framework, wherein the structured organic film may be a multi-segment thick structured organic film. | 09-09-2010 |
20100224868 | Light Emitting Device - The present invention provides a TFT that has a channel length particularly longer than that of an existing one, specifically, several tens to several hundreds times longer than that of the existing one, and thereby allowing turning to an on-state at a gate voltage particularly higher than the existing one and driving, and allowing having a low channel conductance gd. According to the present invention, not only the simple dispersion of on-current but also the normalized dispersion thereof can be reduced, and other than the reduction of the dispersion between the individual TFTs, the dispersion of the OLEDs themselves and the dispersion due to the deterioration of the OLED can be reduced. | 09-09-2010 |
20100224869 | ORGANIC SEMICONDUCTOR MATERIAL, ORGANIC SEMICONDUCTOR STRUCTURE AND ORGANIC SEMICONDUCTOR APPARATUS - The present invention is directed to the provision of a liquid crystalline organic semiconductor material, which is highly stable under a film forming environment and, at the same time, can easily form a film, for example, by coating. The liquid crystalline organic semiconductor material comprises: a thiophene skeleton comprising 3 to 6 thiophenes linearly connected to each other; and an identical alkyl group having 1 to 20 carbon atoms located on both sides of the thiophene skeleton, wherein acetylene skeletons each have been introduced into between the thiophene skeleton and the alkyl group, or acetylene skeletons have been introduced symmetrically into the thiophene skeleton. | 09-09-2010 |
20100230659 | Optical Devices and Their Manufacture - A process for the preparation of a polymerizable unit for production of a hole transporting polymer for use in an optical device, which process comprises reacting | 09-16-2010 |
20100230660 | COMPOUND HAVING PYRIDOINDOLE RING STRUCTURE HAVING SUBSTITUTED PYRIDYL GROUP ATTACHED THERETO, AND ORGANIC ELECTROLUMINESCENCE ELEMENT - The present invention is to provide an organic compound having excellent characteristics as a material for an organic EL device having a high efficiency and a high durability, and to provide an organic EL device having a high efficiency and a high durability by using the compound. The invention relates to a compound having a pyridoindole ring structure having a substituted pyridyl group attached thereto, which is represented by the general formula (1); and to an organic electroluminescent device comprising a pair of electrodes and at least one organic layer interposed between the electrodes, wherein at least one of the organic layer(s) contains the compound: | 09-16-2010 |
20100230661 | CHARGE GENERATION LAYER DOPED WITH DIHALOGEN ETHER - The presently disclosed embodiments relate generally to layers that are useful in imaging apparatus members and components, for use in electrostatographic, including digital, apparatuses. More particularly, the embodiments pertain to an improved electrostatographic imaging member incorporating dihalogen ether into the charge generating layer which results in increased photosensitivity of the photogenerating pigment. | 09-16-2010 |
20100230662 | Organic Thin Film Transistor, Method of Fabricating the Same, and Gate Insulating Layer Used in the Same - An organic thin film transistor is disclosed, which comprises an azole-metal complex compound used as the gate insulating layer. The method of making the self-assembled gate insulating layer is a water-based processing method that enables the azole-metal complex compound to be self-formed on the patterned gate electrode in a water-based solution and serves as a gate insulating layer. The organic thin film transistor (OTFT) of the present invention comprises the azole-metal complex compound used in the gate insulating layer, therefore can be manufactured in a simple, quick, easy way for large quantities, and low cost. | 09-16-2010 |
20100230663 | Star-shaped oligothiophene-arylene derivatives and organic thin film transistors using the same - A star-shaped oligothiophene-arylene derivative in which an oligothiophene having p-type semiconductor characteristics is bonded to an arylene having n-type semiconductor characteristics positioned in the central moiety of the molecule and forms a star shape with the arylene, thereby simultaneously exhibiting both p-type and n-type semiconductor characteristics. Further, an organic thin film transistor using the oligothiophene-arylene derivative. The star-shaped oligothiophene-arylene derivative can be spin-coated at room temperature, leading to the fabrication of organic thin film transistors simultaneously satisfying the requirements of high charge carrier mobility and low off-state leakage current. | 09-16-2010 |
20100230664 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - To provide a light emitting device high in reliability with a pixel portion having high definition with a large screen. According to a light emitting device of the present invention, on an insulator ( | 09-16-2010 |
20100230665 | DEVICE, METHOD AND SYSTEM FOR LIGHTING - It is presented an organic LED device | 09-16-2010 |
20100230666 | AMINE-TYPE POLYMERIC COMPOUND, AND LIGHT-EMITTING ELEMENT COMPRISING THE SAME - Disclased is a polymeric compound having a constitutional unit represented by formula (1a), wherein ring A and ring B independently represent an aromatic hydrocarbon ring which may have a substituent; R1 represents a group represented by formula (2); and R2 represents an aryl group or a monovalent aromatic heterocyclic group which may be substituted by an alkyl group or the like. In formula (2), Ar1 to Ar3 independently represent an arylene group or a divalent group to which two or more arylene groups are attached via a single bond; Ar4 to Ar7 independently represent an aryl group or a monovalent aromatic heterocyclic group; R6 represents a hydrogen atom, an alkyl group, an aryl group or a monovalent aromatic heterocyclic group; Ar1 to Ar7 and R6 may be substituted by an alkyl group or the like; and k and kk independently represent an integer of 0 to 3, provided that at least one of k and kk represents an integer of 1 to 3. | 09-16-2010 |
20100230667 | LIGHT TRANSMITTING SUBSTRATE, METHOD FOR MANUFACTURING LIGHT TRANSMITTING SUBSTRATE, ORGANIC LED ELEMENT AND METHOD FOR MANUFACTURING ORGANIC LED ELEMENT - The present invention is intended to provide an organic LED element in which the extraction efficiency is improved up to 80% of emitted light, and provides a translucent substrate comprising a translucent glass substrate; a scattering layer formed on the glass substrate and comprising a glass which contains a base material having a first refractive index for at least one wavelength of light to be transmitted and a plurality of scattering materials dispersed in the base material and having a second refractive index different from that of the base material; and a translucent electrode formed on the scattering layer and having a third refractive index higher than the first refractive index, wherein distribution of the scattering materials in the scattering layer decreases toward the translucent electrode. | 09-16-2010 |
20100230668 | Organic Light-Emitting Device with Field-Effect Enhanced Mobility - A two-terminal organic light-emitting device structure is presented with low absorption losses and high current densities. Light generation and emission occur at a predetermined distance from any metallic contact, thereby reducing optical absorption losses. High current densities and thus high emitted light intensity are achieved by combining two types of conduction in one device: by combining space charge limited conduction and field-effect conduction or by combining ohmic conduction and field-effect conduction, thereby optimizing the current densities. This results in a very high local concentration of excitons and therefore a high light intensity, which can be important for applications such as organic lasers, and more in particular electrically pumped organic lasers. | 09-16-2010 |
20100230669 | Display Device and Method for Manufacturing Thereof - It is a problem to provide an electric apparatus less in consumption power and long in life by the manufacture using the display device. An insulating bank is provided in a form surrounding the pixel portions on first electrodes over a substrate. The entire surface is applied, by a wet scheme (method), with an organic conductive film which has a thickness form of T | 09-16-2010 |
20100230670 | DEVICE CONTAINING COMPOUND HAVING INDOLOCARBAZOLE MOIETY AND DIVALENT LINKAGE - An electronic device including a compound comprising at least one type of an optionally substituted indolocarbazole moiety and at least one divalent linkage. | 09-16-2010 |
20100237326 | ORGANIC TRANSISTOR AND MANUFACTURING METHOD THEREOF - An organic transistor including a substrate | 09-23-2010 |
20100237327 | SMECTIC LIQUID CRYSTAL COMPOUND - According to the present invention, a liquid crystal semiconductor capable of exhibiting a highly ordered smectic phase at approximately room temperature, being used for formation of a smectic liquid crystal thin film that is stable at room temperature by a solution process, and showing excellent ambipolar charge-transporting properties, a thin film transistor comprising the same, and the like are provided. | 09-23-2010 |
20100237328 | BENZO[a]FLUORANTHENE COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - There is provided a benzo[a]fluoranthene compound represented by the formula (I): | 09-23-2010 |
20100237329 | VINYL-BASED POLYMER WITH SILICON OR/AND TIN AND ORGANIC LIGHT EMISSION DIODE USING - Disclosed herein is a vinyl-based polymer with silicon and/or tin for an organic layer of an OLED. The polymer is soluble in an organic solvent and can emit fluorescent and phosphorescent light from red to blue wavelengths so as to be used for a host material of an organic light emitting layer in the OLED. | 09-23-2010 |
20100237330 | ORGANIC ELECTROLUMINESCENT COMPOUND AND ORGANIC LIGTH EMITTING DIODE USING THE SAME - The present invention relates to novel organic electroluminescent compounds and organic light emitting diodes comprising the same. Since the organic electrolumescent compounds according to the invention have good luminous efficiency and life property as an electroluminescent material, OLED's having very good operation lifetime can be produced. | 09-23-2010 |
20100237331 | ANODE FOR AN ORGANIC ELECTRONIC DEVICE - There is provided an anode for an organic electronic device. The anode is a conducting inorganic material having an oxidized surface layer. The surface layer is non-conductive and hole-transporting. | 09-23-2010 |
20100237332 | ORGANIC SEMICONDUCTOR TRANSISTOR - An organic semiconductor transistor has plural electrodes and an organic semiconductor layer including at least one compound represented by the following Formula (I). In Formula (I), each R is independently a hydrogen atom or an alkyl group; and n and m are each independently an integer of from 1 to 3. | 09-23-2010 |
20100237333 | ORGANIC COMPONENT VERTICALLY EMITTING WHITE LIGHT - The invention relates to an organic component emitting white light upward having an electrode ( | 09-23-2010 |
20100237334 | Benzo-Fused Thiophene or Bezon-Fused Furan Compounds Comprising a Triphenylene Group - Triphenylene containing benzo-fused thiophene compounds are provided. Additionally, triphenylene containing benzo-fused furan compounds are provided. The compounds may be useful in organic light emitting devices, particularly as hosts in the emissive layer of such devices, or as materials for enhancement layers in such devices, or both. | 09-23-2010 |
20100237335 | MULTICOLOR DISPLAY APPARATUS - In a multicolor display apparatus in which each of light emitting devices of three colors R, G and B has the same thickness from a reflection position of a reflection electrode to an organic emission layer, an organic emission layer of the R device and an organic emission layer of the B device each have electron transport property whereas an organic emission layer of the G device has hole transport property; and an optical distance (L) from the reflection position of the reflection electrode to an emission position of the organic emission layer in the light emitting devices of three colors satisfies 0.25×λR09-23-2010 | |
20100237336 | NANOTUBE ENABLED, GATE-VOLTAGE CONTROLLED LIGHT EMITTING DIODES - Embodiments of the invention relate to vertical field effect transistor that is a light emitting transistor. The light emitting transistor incorporates a gate electrode for providing a gate field, a first electrode comprising a dilute nanotube network for injecting a charge, a second electrode for injecting a complementary charge, and an electroluminescent semiconductor layer disposed intermediate the nanotube network and the electron injecting layer. The charge injection is modulated by the gate field. The holes and electrons, combine to form photons, thereby causing the electroluminescent semiconductor layer to emit visible light. In other embodiments of the invention a vertical field effect transistor that employs an electrode comprising a conductive material with a low density of states such that the transistors contact barrier modulation comprises barrier height lowering of the Schottky contact between the electrode with a low density of states and the adjacent semiconductor by a Fermi level shift. | 09-23-2010 |
20100237337 | ORGANIC TRANSISTOR AND METHOD FOR PRODUCING THE SAME - An organic transistor comprising: | 09-23-2010 |
20100237338 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, DISPLAY, AND ELECTRONIC APPARATUS - A light-emitting element includes a cathode; an anode; and a light-emitting section which is disposed between the cathode and the anode and which includes a first light-emitting layer, second light-emitting layer, and third light-emitting layer each containing a corresponding one of luminescent materials emitting light of different colors and host materials supporting the luminescent materials. The first, second, and third light-emitting layers commonly contain a first host material that is one of the host materials. The first light-emitting layer emits light of a first color having a longer wavelength as compared to light emitted from the second and third light-emitting layers and contains a second host material which is one of the host materials and which is different from the first host material. The second host material is superior in enhancing the luminescence of the first light-emitting layer to the first host material. | 09-23-2010 |
20100237339 | Carbazole Derivative with Heteroaromatic Ring, and Light-Emitting Element, Light-Emitting Device, and Electronic Device Using Carbazole Derivative with Heteroaromatic Ring - Disclosed is a carbazole derivative and a light-emitting element, a light-emitting device, and an electronic device using thereof. The carbazole derivative possesses an oxadiazole moiety or a quinoxaline moiety as a heteroaromatic ring having an electron-transporting property and a carbazole moiety having a hole-transporting property. The ability of the carbazole derivative to transport both electrons and holes and its large excitation energy larger than a triplet excitation energy of a phosphorescent compound allow the formation of a phosphorescent light-emitting element having well-controlled carrier balance, which contributes to the formation of light-emitting devices and electronic devices that are capable of being driven at a low voltage, have a long lifetime, and consume low power. The detailed structure of the carbazole derivative is defined in the specification. | 09-23-2010 |
20100237340 | DIODE EMPLOYING WITH CARBON NANOTUBE - A diode includes an organic composite plate, a first electrode and a second electrode. The organic composite plate includes a first portion, a second portion and a plurality of carbon nanotubes distributed therein. The carbon nanotubes in the first portion have a first band gap and the carbon nanotubes in the second portion have a second band gap. The first band gap and the second band gap are different from each other. The first electrode is electrically connected to the first portion. The second electrode electrically is connected to the second portion. | 09-23-2010 |
20100237341 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescence element having a cathode as a top electrode, and excelling in luminance efficiency, drive voltage, and operational life is provided. The organic electroluminescence element includes an anode over a substrate and a luminescent layer over the anode. The luminescent layer comprises an organic material. An electron injection layer is over the luminescent layer for injecting electrons into the luminescent layer. The electron injection layer is a metal including at least one of an alkaline metal and an alkaline earth metal. A fullerene layer is over the electron injection layer and includes fullerenes and at least one of an alkaline metal and an alkaline earth metal. The at least one of the alkaline metal and the alkaline earth metal included in the fullerene layer has a lower work function than a lowest unoccupied molecular orbit energy level of the fullerenes. A cathode is over the fullerene layer. | 09-23-2010 |
20100237342 | LIGHT EMITTING DEVICE - A triplet light emitting device which has high efficiency and improved stability and which can be fabricated by a simpler process is provided by simplifying the device structure and avoiding use of an unstable material. In a multilayer device structure using no hole blocking layer conventionally used in a triplet light emitting device, that is, a device structure in which on a substrate, there are formed an anode, a hole transporting layer constituted by a hole transporting material, an electron transporting and light emitting layer constituted by an electron transporting material and a dopant capable of triplet light emission, and a cathode, which are laminated in the stated order, the combination of the hole transporting material and the electron transporting material and the combination of the electron transporting material and the dopant material are optimized. | 09-23-2010 |
20100243991 | LIGHT EMITTING SYSTEM AND METHODS FOR CONTROLLING NANOCRYSTAL DISTRIBUTION THEREIN - A light emitting system includes a polymer mixture, and a plurality of nanocrystals occupying a predetermined portion of the polymer mixture. The polymer mixture includes at least two polymers that phase-segregate. Method(s) for controlling nanocrystal distribution within the light emitting device are also disclosed. | 09-30-2010 |
20100243992 | ORGANIC ELECTROLUMINESCENCE DEVICE - [Problem to be Solved by the Invention] An organic electroluminescence device having a longer drive life is provided. | 09-30-2010 |
20100243993 | ORGANIC THIN FILM TRANSISTOR AND ORGANIC THIN FILM LIGHT-EMITTING TRANSISTOR - An organic thin film transistor including a substrate having thereon at least three terminals of a gate electrode, a source electrode and a drain electrode, an insulator layer and an organic semiconductor layer, with a current between a source and a drain being controlled upon application of a voltage to the gate electrode, wherein the organic semiconductor layer includes a specified organic compound having an aromatic heterocyclic group in the center thereof; and an organic thin film light emitting transistor utilizing an organic thin film transistor, wherein the organic thin film transistor is one in which light emission is obtained utilizing a current flowing between the source and the drain, and the light emission is controlled upon application of a voltage to the gate electrode, and is made high with respect to the response speed and has a large ON/OFF ratio, are provided. | 09-30-2010 |
20100243994 | TRANSPARENT NONVOLATILE MEMORY THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - Provided are a transparent nonvolatile memory thin film transistor (TFT) and a method of manufacturing the same. The memory TFT includes source and drain electrodes disposed on a transparent substrate. A transparent semiconductor thin layer is disposed on the source and drain electrodes and the transparent substrate interposed between the source and drain electrodes. An organic ferroelectric thin layer is disposed on the transparent semiconductor thin layer. A gate electrode is disposed on the organic ferroelectric thin layer in alignment with the transparent semiconductor thin layer. Thus, the transparent nonvolatile memory TFT employs the organic ferroelectric thin layer, the oxide semiconductor thin layer, and auxiliary insulating layers disposed above and below the organic ferroelectric thin layer, thereby enabling low-cost manufacture of a transparent nonvolatile memory device capable of a low-temperature process. | 09-30-2010 |
20100243995 | ORGANIC SEMICONDUCTOR TRANSISTOR, METHOD OF PRODUCING THE SAME, AND ELECTRONIC DEVICE - An organic semiconductor transistor has plural electrodes and an organic semiconductor layer containing at least one compound represented by the following Formula (I). In Formula (I), each R | 09-30-2010 |
20100243996 | Optical device having solvate and manufacturing method thereof - The present invention discloses a manufacturing method for an optical device having a solvate, comprising the following steps: providing a substrate, depositing a solute on the substrate, and placing the substrate in the vapor environment of a solvent such that the solvent and the solute on the substrate form a solvate exhibiting optical properties. Furthermore, the present invention provides an optical device having a solvate, which modulates the photoluminescence (PL) intensity of the optical device via the solvate. The optical device is obtained by means of the above-described manufacturing method. | 09-30-2010 |
20100243997 | ORGANIC ELECTROLUMINESCENCE DEVICE AND ITS MANUFACTURING METHOD - An organic electroluminescence device includes: a first electrode layer; an insulating film arranged on the first electrode layer; an organic layer that is arranged on the insulating film, and is in contact with the first electrode layer at an opening portion provided in the insulating film; a second electrode layer arranged on the organic layer; and a metal layer that is in contact with an end surface of the organic layer and an end surface of the second electrode layer, and is arranged on the second electrode layer. | 09-30-2010 |
20100243998 | SEMICONDUCTOR MATERIAL AND ORGANIC RECTIFIER DIODE - A semiconductor material and an organic rectifier diode can be used for organic-based RFID (Radio Frequency Identification) tags. The semiconducting material for an organic diode has a metal complex as a p-dopant for doping a hole-conducting organic matrix material, wherein the metal complex is a metal complex with Lewis acid properties, which acts as an electron pair acceptor. | 09-30-2010 |
20100243999 | ORGANIC ELECTRONIC DEVICE, ORGANIC ELECTRONIC DEVICE MANUFACTURING METHOD, ORGANIC ELECTRONIC DEVICE MANUFACTURING APPARATUS, SUBSTRATE PROCESSING SYSTEM, PROTECTION FILM STRUCTURE AND STORAGE MEDIUM WITH CONTROL PROGRAM STORED THEREIN - An organic element is protected by a protection film which has high sealing performance while relaxing a stress and does not change the characteristics of the organic element. In a substrate processing system Sys, a substrate processing apparatus | 09-30-2010 |
20100244000 | ORGANIC THIN FILM TRANSISTOR AND ORGANIC THIN FILM LIGHT-EMITTING TRANSISTOR - The present invention aims to provide an organic thin film transistor that is superior in stability in the atmosphere and that has a high operation speed. The organic thin film transistor according to the present invention includes three kinds of terminals consisting of a gate electrode, a source electrode, and a drain electrode, an insulator layer that insulates the gate electrode from the source electrode and from the drain electrode, and an organic semiconductor layer; the terminals, the insulator layer, and the organic semiconductor layer being disposed on a substrate; the organic thin film transistor controlling a source-to-drain electric current by a voltage applied to the gate electrode, and the organic thin film transistor is characterized by further including a crystallinity control layer that is formed from a crystalline compound that controls crystallinity of the organic semiconductor layer, and is characterized in that the organic semiconductor layer is formed on the crystallinity control layer and contains a compound having heterocyclic groups or a compound having a quinone structure. The organic thin film light-emitting transistor according to the present invention is characterized in that either one of the source electrode and the drain electrode of the organic thin film transistor is formed of a hole-injecting electrode, and the other electrode is formed of an electron-injecting electrode. | 09-30-2010 |
20100244001 | MEGAHERTZ ORGANIC/POLYMER DIODES AND METHODS RELATED THERETO - Featured is an organic/polymer diode having a first layer composed essentially of one of an organic semiconductor material or a polymeric semiconductor material and a second layer formed on the first layer and being electrically coupled to the first layer such that current flows through the layers in one direction when a voltage is applied in one direction. The second layer is essentially composed of a material whose characteristics and properties are such that when formed on the first layer, the diode is capable of high frequency rectifications on the order of megahertz rectifications such as for example rectifications at one of above 100 KHz, 500 KhZ, IMHz, or 10 MHz. In further embodiments, the layers are arranged so as to be exposed to atmosphere. | 09-30-2010 |
20100244002 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode includes an anode and a cathode separately arranged from each other, and an emission layer between the anode and the cathode, the emission layer including a single host material and a light-emitting material, the emission layer including, when the host material has a hole transport property, a doped region on a cathode side to which an electron transport material is doped and an undoped region on an anode side to which an electron transport material is not doped, or the emission layer including, when the host material has an electron transport property, a doped region on an anode side to which a hole transport material is doped and an undoped region on a cathode side to which a hole transport material is not doped. | 09-30-2010 |
20100244003 | Semiconductor Device and Light-Emitting Device - The present invention provides a semiconductor device by which a light-emitting device that is unlikely to cause defects such as a short circuit, can be manufactured. One feature of a semiconductor device of the present invention is to include an electrode that serves as an electrode of a light-emitting element. The electrode includes a first layer and a second layer. Further, end portions of the electrode are covered with a partition layer having an opening portion. Moreover, a part of the electrode is exposed by the opening portion of the partition layer. One feature of a semiconductor device of the present invention is to include an electrode that serves as an electrode of a light-emitting element and a transistor. The electrode and the transistor are connected electrically to each other. The electrode includes a first layer and a second layer. Further, end portions of the electrode are covered with a partition layer having an opening portion. Moreover, the second layer is exposed by the opening portion of the partition layer. | 09-30-2010 |
20100244004 | HETEROLEPTIC IRIDIUM COMPLEX - Novel compounds comprising heteroleptic iridium complexes are provided. The compounds have a particular combination of ligands which includes a single pyridyl dibenzo-substituted ligand. The compounds may be used in organic light emitting devices, particularly as emitting dopants, to provide devices having improved efficiency, lifetime, and manufacturing. | 09-30-2010 |
20100244005 | ORGANIC EL APPARATUS, METHOD OF MANUFACTURING ORGANIC EL APPARATUS, ELECTRONIC APPARATUS - Provided is an organic EL apparatus including: an organic EL panel including organic EL devices; a heat releasing member; and a pair of film sheets of which at least one is transparent, wherein the organic EL panel and the heat releasing member overlap and are interposed and encapsulated by the pair of film sheets in a state where a portion of the heat releasing member is exposed outside the pair of film sheets. | 09-30-2010 |
20100244006 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device is provided and includes: a cathode; an anode; and at least one organic layer between the cathode and the anode. The at least one organic layer includes a light emitting layer containing at least one light emitting material. A compound represented by the following formula (I) is contained in the at least one organic layer. | 09-30-2010 |
20100244007 | ORGANIC ELECTROLUMINESCENT ELEMENT - The present invention provides a white organic electroluminescent element which can emits white light and is free from deviation of chromaticity. This organic electroluminescent element comprises a substrate and, provided on the substrate, at least an anode, a cathode, and a light emitting layer held between the anode and the cathode. This organic electroluminescent element is characterized in that the light emitting layer contains at least three types of light emitting materials different from each other in X max, and the absolute value of HOMO level of the light emitting material having the shortest wavelength is smaller than the absolute value of HOMO level of the other light emitting materials. | 09-30-2010 |
20100244008 | COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - The present invention provides a novel compound that is capable of largely improving lifespan, efficiency, electrochemical stability and thermal stability of the organic light emitting device, and an organic light emitting device in which the compound is included in an organic compound layer. | 09-30-2010 |
20100244009 | ORGANIC ELECTROLUMINESCENT DEVICES COMPRISING AZOMETHINE-METAL COMPLEXES - The present invention relates to phosphorescent organic electroluminescent devices which contain as a matrix material of emitting layer, metal complexes of the formula (I) | 09-30-2010 |
20100244010 | ORGANIC EL DEVICE, COLOR FILTER, AND ORGANIC EL DISPLAY - An organic EL device includes an organic EL emitter ( | 09-30-2010 |
20100244011 | ORGANIC EL DEVICE, COLOR FILTER, AND ORGANIC EL DISPLAY - An organic EL device includes an organic EL emitter ( | 09-30-2010 |
20100244012 | Naphthyl-substituted anthracene derivatives and their use in organic light-emitting diodes - The present invention relates to anthracene derivative compounds represented by Formula I: | 09-30-2010 |
20100244013 | COMPOUND FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Disclosed is an organic electroluminescent device (organic EL device) which is improved in luminous efficiency, fully secured of driving stability, and of simple constitution. Also disclosed is a compound useful for the fabrication of said organic electroluminescent device. This compound for organic electroluminescent device is a bipyrimidyl compound which has a basic skeleton of 2,2′-bipyrimidyl and is substituted by an aromatic hydrocarbon group, an aromatic heterocyclic group, or a substituted amino group. The aforementioned organic electroluminescent device has a light-emitting layer between an anode and a cathode which are piled one upon another on a substrate and the light-emitting layer contains a phosphorescent dopant and the aforementioned bipyrimidyl compound as a host material. | 09-30-2010 |
20100244014 | ELECTROLUMINESCENT METAL COMPLEXES WITH TRIAZOLES AND BENZOTRIAZOLES - This invention relates to electroluminescent metal complexes with triazoles and benzotriazoles, respectively of the formula | 09-30-2010 |
20100244015 | ORGANIC SEMICONDUCTOR DEVICE, MANUFACTURING METHOD OF SAME, ORGANIC TRANSISTOR ARRAY, AND DISPLAY - A major object of the present invention is to provide an organic semiconductor device which is provided with an organic semiconductor transistor having good transistor performance and is producible with high productivity. To achieve the object, the present invention provides an organic semiconductor device comprising: a substrate; a source electrode and a drain electrode which are formed on the substrate; an insulation partitioned part which is formed on the source electrode and the drain electrode, made of an insulation material, formed such that an opening part of the insulation partitioned part is disposed above a channel region formed by the source electrode and the drain electrode and has a function as an interlayer-insulation layer; an organic semiconductor layer which is formed in the opening part of the insulation partitioned part and on the source electrode and the drain electrode, and made of an organic semiconductor material; a gate insulation layer which is formed on the organic semiconductor layer and made of an insulation resin material; and a gate electrode formed on the gate insulation layer, wherein; the insulation partitioned part has a height ranging from 0.1 μm to 1.5 μm. | 09-30-2010 |
20100244016 | DISPLAY SUBSTRATE AND METHOD OF MANUFACTURING THE SAME - In a manufacturing method of a display substrate according to one or more embodiments, a plurality of thin films are patterned by using a photoresist film pattern having different thicknesses in each area on a substrate as etch masks. The photoresist film pattern may be etch-backed at least twice during the manufacturing process of the display substrate and may be used as the etch mask for patterns having shapes different from each other. Accordingly, the number of processes for manufacturing the mask patterns, which may be formed by a photolithography method in order to pattern the thin films formed on the substrate, may be reduced. | 09-30-2010 |
20100252817 | New Anthracene Derivatives, Preparation Method Thereof and Organic Light Emitting Diode Using the Same - The present invention provides a novel anthracene derivative, a method for preparing the same, and an organic electronic device using the same. The anthracene derivative according to the invention can function alone as a light emitting host, in particular, as a blue host in an organic electronic device. Further, the anthracene derivative according to the invention can also function as a hole injecting or hole transporting material, an electron injecting or electron transporting material, or a light emitting material in an organic electronic device including a light emitting device. Therefore, the organic electronic device according to the present invention shows excellent characteristics in efficiency, drive voltage and stability. | 10-07-2010 |
20100252818 | PHOSPHAPHENANTHRENE COMPOUNDS AND ORGANIC LIGHT EMITTING DIODE USING THE SAME - The present invention relates to new phosphaphenanthrene compounds with excellent light emitting property and an organic light emitting diode (OLED) using the same. | 10-07-2010 |
20100252819 | ELECTROACTIVE MATERIALS - A compound having at least two diarylamino moieties and at least 10% deuteration. | 10-07-2010 |
20100252820 | LIGHT EMITTING CU (I) COMPLEXES - Electroneutral metal complexes of the formula L Cu A, wherein L stands for an, especially bidentate, neutral ligand and A stands for an, especially bidentate, monoanionic ligand binding to Cu by at least one heteroatom selected from N, P, S; or wherein the ligands L and A with the above features are interconnected by at least one chemical bond to form one common tetradentate ligand; or protonated or alkylated forms or salts thereof show good light emitting efficiency in electroluminescent applications. | 10-07-2010 |
20100252821 | MULTILAYER POLYMER ELECTROLUMINESCENT DEVICE COMPRISING WATER-SOLUBLE POLYMER LAYER CONTAINING CATIONS AND METHOD FOR FABRICATING THE SAME - A polymer electroluminescent device is provided. The device comprises an anode, a light-emitting layer, a cation-containing water-soluble polymer layer and a cathode formed in this order on a substrate wherein the cation-containing water-soluble polymer layer is formed by wet coating. The cation-containing water-soluble polymer layer as a secondary thin film layer is not dissolved in a solvent for the formation of the underlying light-emitting layer to prevent intermixing between the two layers, thereby enabling the formation of a multilayer structure by wet coating. In addition, the cation-containing water-soluble polymer layer attracts electrons injection from the cathode by an attractive Coulomb force to effectively increase the mobility of the electrons while blocking high-mobility holes from the anode at an interface between the light-emitting layer and the water-soluble layer. Further provided is a method for fabricating the electroluminescent device. | 10-07-2010 |
20100252822 | PHOSPHORESCENT POLYMER COMPOUND AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - Phosphorescent polymer compounds of the invention have high light-emitting efficiency and long luminescent life. Organic electroluminescence devices have the phosphorescent polymer compounds. | 10-07-2010 |
20100252823 | ORGANIC ELECTROLUMINESCENT DEVICE AND DISPLAY APPARATUS - An organic electroluminescent device includes an anode; a cathode; an organic layer including a light-emitting layer and disposed between the anode and the cathode; and an electron transport layer constituting the organic layer, disposed between the cathode and the light-emitting layer, and having a stacked structure including a layer containing a benzimidazole derivative and a layer containing a dibenzimidazole derivative represented by general formula ( | 10-07-2010 |
20100252824 | Hybrid Molecular Electronic Devices Containing Molecule-Functionalized Surfaces for Switching, Memory, and Sensor Applications and Methods for Fabricating Same - This invention is generally related to a method of making a molecule-surface interface comprising at least one surface comprising at least one material and at least one organic group wherein the organic group is adjoined to the surface and the method comprises contacting at least one organic group precursor with at least one surface wherein the organic group precursor is capable of reacting with the surface in a manner sufficient to adjoin the organic group and the surface. The present invention is directed to hybrid molecular electronic devices having a molecule-surface interface. Such hybrid molecular electronic devices may advantageously have either a top or bottom gate electrode for modifying a conductivity of the devices. | 10-07-2010 |
20100252825 | Light-Emitting Device and Electronic Devices - The present invention provides a lightweight, thin light-emitting device having a new structure which has a plurality of display screens. Further, the invention provides a dual emission type display device which can perform a pure black display and can achieve high contrast. According to the invention, at least, both electrodes of a light-emitting element (an anode and a cathode of a light-emitting element) are highly light-transmitting at the same level, and a polarizing plate or a circularly polarizing plate is provided, thereby conducting a pure black display that is a state of no light-emission and enhancing the contrast. Moreover, unevenness of color tones in displays of the both sides, which is a problem of a full-color dual emission type display device structure, can be solved according to the invention. | 10-07-2010 |
20100258788 | Compositions comprising novel compounds and electronic devices made with such compositions - The present invention relates to novel compounds and polymers, compositions comprising novel compounds or polymers, and electronic devices comprising at least one layer containing the compound or polymer. | 10-14-2010 |
20100258789 | ELECTROLUMINESCENT DEVICE - The present invention provides an EL device that contains a quantum-dots-containing layer in which quantum dots hardly coagulate even under high-temperature conditions, e.g., at a temperature of 90° C. or more, that has a good performance even if heat treatment was carried at a high temperature in its production process, that can retain its emission characteristics for a prolonged period of time, and that has high durability. | 10-14-2010 |
20100258790 | USE OF DIPHENYLAMINO-BIS(PHENOXY)- AND BIS(DIPHENYLAMINO)-PHENOXYTRIAZINE COMPOUNDS - The present invention relates to an organic light-emitting diode comprising at least one diphenylaminobis(phenoxy)triazine or at least one bis(diphenylamino)phenoxytriazine compound, to a light-emitting layer comprising at least one diphenylamino-bis(phenoxy)triazine or at least one bis(diphenylamino)phenoxytriazine compound, to the use of the aforementioned compounds as a matrix material, hole/exciton blocker material, electron/exciton blocker material, hole injection material, electron injection material, hole conductor material and/or electron conductor material, and to a device selected from the group consisting of stationary visual display units, mobile visual display units and illumination units comprising at least one inventive organic light-emitting diode. | 10-14-2010 |
20100258791 | ORGANIC ELECTROLUMINESCENCE DEVICE AND MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes: a cathode; an anode; and an organic thin-film layer including at least one layer and provided between the cathode and the anode. At least one layer of the organic thin-film layer includes: an organic-electroluminescence-device material represented by any one of the following formulae (1), (2) and (3); and at least one phosphorescent material, in which the organic-electroluminescence-device material may have a substituent. A or Ar may be substituted by a phenyl group or a naphthyl group. | 10-14-2010 |
20100258792 | LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND ELECTRONIC APPARATUS - It is an object of the present invention to provide a light emitting element with a low driving voltage. In a light emitting element, a first electrode; and a first composite layer, a second composite layer, a light emitting layer, an electron transporting layer, an electron injecting layer, and a second electrode, which are stacked over the first electrode, are included. The first composite layer and the second composite layer each include metal oxide and an organic compound. A concentration of metal oxide in the first composite layer is higher than a concentration of metal oxide in the second composite layer, whereby a light emitting element with a low driving voltage can be obtained. Further, the composite layer is not limited to a two-layer structure. A multi-layer structure can be employed. However, a concentration of metal oxide in the composite layer is gradually higher from the light emitting layer to first electrode side. | 10-14-2010 |
20100264404 | ORGANIC ELECTRONIC DEVICE, METHOD FOR PRODUCTION THEREOF, AND ORGANIC SEMICONDUCTOR MOLECULE - An organic electronic device which has stable physical properties and which allows easy production is provided. The organic electronic device has a conductive path including fine particles, a first organic semiconductor molecule which has a first conductive type and binds at least two of the fine particles together, and a second organic semiconductor molecule which has a second conductive type and is captured in a state of noncovalent bond in a molecule recognition site that exists among the fine particles. | 10-21-2010 |
20100264405 | TRANSITION METAL COMPLEXES WITH BRIDGED CARBENE LIGANDS AND USE THEREOF IN OLEDS - Bridged cyclometalated carbene complexes, a process for preparing the bridged cyclometalated carbene complexes, the use of the bridged cyclometalated carbene complexes in organic light-emitting diodes, organic light-emitting diodes comprising at least one inventive bridged cyclometalated carbene complex, a light-emitting layer comprising at least one inventive bridged cyclometalated carbene complex, organic light-emitting diodes comprising at least one inventive light-emitting layer and devices which comprise at least one inventive organic light-emitting diode. | 10-21-2010 |
20100264406 | LIGHT EMITTING DEVICE MATERIAL AND LIGHT EMITTING DEVICE - A light emitting device material containing a pyrromethene compound represented by the general formula (1). It realized a luminescent element having a high luminescent efficiency and excellent color purity. Also provided is a luminescent element employing the materials. | 10-21-2010 |
20100264407 | ORGANIC EL DEVICE - The organic EL device of the present invention includes an anode, a cathode (e.g., an Al layer ( | 10-21-2010 |
20100264408 | Organic Thin Film Transistors, Active Matrix Organic Optical Devices and Methods of Making the Same - A method of manufacturing an organic thin film transistor, comprising: providing a substrate comprising source and drain electrodes defining a channel region; forming a patterned layer of insulting material defining a well surrounding the channel region; depositing a protective layer in the well; subjecting exposed portions of the patterned layer of insulating material to a de-wetting treatment to lower the wettability of the exposed portions; removing the protective layer; and depositing organic semiconductive material from solution into the well. | 10-21-2010 |
20100264409 | MOLECULAR DEVICE, IMAGING DEVICE, PHOTOSENSOR, AND ELECTRONIC APPARATUS - A molecular device includes a gold electrode, cytochrome c552 or a derivative or variant thereof immobilized on the gold electrode, and an electron transfer protein coupled to the cytochrome c552 or the derivative or variant thereof. Electrons or holes, or both, are transferred through the electron transfer protein by transition of electrons between molecular orbitals of the electron transfer protein. | 10-21-2010 |
20100264410 | THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING THIN FILM TRANSISTOR - A method for manufacturing a thin film transistor includes the steps of covering a gate electrode patterned on a substrate with a gate insulating film, forming an organic semiconductor layer and an electrode film on the gate insulating film in that lamination order, and forming a negative type photoresist film on the substrate provided with the organic semiconductor layer and the electrode film and forming a resist pattern, which serves as a mask for forming a source-drain by etching the electrode film, through back surface exposure from the substrate side by using the gate electrode as a light-shielding mask and the following development treatment. | 10-21-2010 |
20100270537 | OPTOELECTRONIC DEVICES AND ORGANIC COMPOUNDS USED THEREIN - An optoelectronic device comprises a cathode; an electron-transporting layer comprising a compound of formula I; | 10-28-2010 |
20100270538 | Organic light emitting display device and method of manufacturing the same - Provided are an organic light emitting display device and a method of manufacturing the same. The organic light emitting display device includes a substrate; at least one thin film transistor including a gate electrode including a metal oxide layer and a metal layer, a semiconductor layer including source/drain regions and a channel layer; at least one capacitor including a first electrode formed on a layer on which the gate electrode is formed by using a material forming the gate electrode, and a second electrode formed on a layer on which the source/drain electrodes are formed by using a material used to form the source/drain electrodes; and at least one organic light emitting device including a pixel electrode formed on a layer on which the gate electrode is formed by using a material used to form the gate electrode and connected to the source/drain electrodes via a contact hole. | 10-28-2010 |
20100270539 | ORGANIC EL ELEMENT AND ORGANIC EL MATERIAL-CONTAINING SOLUTION - An organic EL device ( | 10-28-2010 |
20100270540 | Iridium Complex Containing Carbazole-Substituted Pyridine and Phenyl Derivatives as Main Ligand and Organic Light-Emitting Diodes Containing the Same - The present invention relates to a novel iridium complex into which carbazole-substituted pyridine derivatives and various substituents-substituted phenyl derivatives are introduced as main ligand and a electrophosphorescence diode containing the same as a dopant of a light-emitting layer. When the iridium complex according to the present invention is applied to an organic light-emitting diode, the heat-resistance property and the light-emitting property can be significantly improved as well as the light-emitting efficiency and the like can be significantly improved by doping the iridium complex compound into the light-emitting layer as compared to the conventional organic light-emitting diode. | 10-28-2010 |
20100270541 | SYSTEM FOR DISPLAY IMAGES AND FABRICATION METHOD THEREOF - A system for displaying images including a display panel and a fabrication method thereof are provided. The display panel includes a substrate having a first, second and third areas, a first patterned semiconductor layer disposed over the first area of the substrate, a first insulating layer covering the first patterned semiconductor layer and the first, the second and the third areas of the substrate, a second patterned semiconductor layer disposed on the first insulating layer of the first and the third areas respectively, a second insulating layer covering the second patterned semiconductor layer and the first insulating layer, and a patterned conductive layer disposed on the second insulating layer to form a first thin-film transistor at the first area and a second thin-film transistor at the third area. | 10-28-2010 |
20100270542 | Solution Processable Organic Semiconductors - Semiconductor devices, methods of making semiconductor devices, and coating compositions that can be used to provide a semiconductor layer within a semiconductor device are described. The coating compositions include a small molecule semiconductor, an insulating polymer, and an organic solvent that can dissolve both the small molecule semiconductor material and the insulating polymer. The small molecule semiconductor is an anthracene-based compound (i.e., anthracene derivative) substituted with two thiophene groups as well as with two silylethynyl groups. | 10-28-2010 |
20100270543 | Biomolecule-Based Electronic Device - The present invention relates to a biomolecule-based electronic device in which the biomolecule with redox potential is directly immobilized on the substrate. The present invention enables to excellently exhibit the capability of a protein-based bio-memory device in which it is preferable to use the substrate on which cysteine-introduced recombinant proteins are effectively immobilized and a self-assembled layer (SAM) is fabricated. It becomes realized that a redox potential is regulated using intrinsic redox potential of the protein dependent on applied voltage. The present invention provides a novel operating method in which three potentials are applied throughout four steps. The present invention has some advantages of fabricating a protein layer in a convenient manner and inducing electron transfer by fundamental electrochemical or electronic operation. The method of this invention is considered as a new concept in the senses that intrinsic electron transfer mechanisms induced by natural-occurring biomolecules are used to develop an information storage device. | 10-28-2010 |
20100270544 | POLYMER LIGHT EMITTING ELEMENT, METHOD FOR MANUFACTURING THE SAME AND POLYMER LIGHT EMITTING DISPLAY DEVICE - A polymer light emitting element having a large light releasing surface, a high light emitting efficiency and a long life, a polymer light emitting display device and planar light source, as well as a method for manufacturing the polymer light emitting element are provided. The polymer light emitting element is characterized by comprising a first electrode, a second electrode and a light emitting layer provided between the first electrode and the second electrode and containing a polymer compound, wherein the second electrode is composed of three layers, a first layer, a second layer and a third layer arranged in this order viewed from the light emitting layer, and at least one of materials contained in the second layer has a reducing action on at least one of materials contained in the first layer, and the visible light transmittance of the third layer is 40% or more. | 10-28-2010 |
20100270545 | LIGHT-EMITTING DEVICE USING VOLTAGE SWITCHABLE DIELECTRIC MATERIAL - A voltage switchable dielectric material (VSD) material as part of a light-emitting component, including LEDs and OLEDs. | 10-28-2010 |
20100270546 | LIGHT-EMITTING DEVICE USING VOLTAGE SWITCHABLE DIELECTRIC MATERIAL - A voltage switchable dielectric material (VSD) material as part of a light-emitting component, including LEDs and OLEDs. | 10-28-2010 |
20100276670 | PHOTOELECTRIC DEVICE, IMAGING DEVICE, AND PHOTOSENSOR - A photoelectric device includes a photoelectric conversion layer containing an organic compound having a partial structure represented by the following formula (I) : | 11-04-2010 |
20100276671 | OLED DISPLAY WITH A COMMON ANODE AND METHOD FOR FORMING THE SAME - A pixel for an organic light emitting diode (OLED) display and a method for forming such a pixel is provided. The pixel includes a substrate, a transistor formed over the substrate, and an OLED formed over the substrate. The transistor includes a gate, a source, and a drain. The OLED includes an anode, an emissive layer formed over the anode, and a cathode formed over the emissive layer. The cathode is electrically connected to the drain of the transistor. | 11-04-2010 |
20100276672 | ORGANIC ELECTROLUMINESCENT DEVICE AND DISPLAY MEDIUM - An organic electroluminescent device includes: a pair of electrodes including a positive electrode and a negative electrode, at least one of the electrodes being transparent or semi-transparent; and an organic compound layer including one or more layers interposed between the pair of electrodes, at least one layer included in the organic compound layer containing one or more compounds represented by the following formula (I): | 11-04-2010 |
20100276673 | Organic compound, and organic photoelectric device including the same - Disclosed is an organic compound that easily dissolves in an organic solvent, and that is applicable as a host material of an emission layer of an organic photoelectric device since it emits fluorescence and phosphorescence at a red wavelength through a blue wavelength. The organic compound according to one embodiment of the present invention is represented by Chemical Formula 1. | 11-04-2010 |
20100276674 | Light-emitting Device and Materials Therefor - An organic light-emitting device comprising an anode; a hole transport layer; a light-emitting layer; and a cathode, characterised in that the hole transport layer comprises a polymer having a repeat unit comprising a 9,9 biphenyl fluorene unit wherein the 9-phenyl rings are independently and optionally substituted and the fluorene unit is optionally fused. | 11-04-2010 |
20100276675 | LIGHT-EMITTING DEVICE - Disclosed is a light emitting device including a pair of electrodes at least one of which is transparent or semi-transparent, and a phosphor layer arranged between the pair of electrodes. The phosphor layer contains phosphor particles dispersed therein, and conductive nano particles are interposed at the interface between the phosphor layer and one of the electrodes. Also disclosed is another light emitting device including a pair of electrodes at least one of which is transparent or semi-transparent, and a phosphor layer arranged between the pair of electrodes. In this light emitting device, the phosphor layer contains phosphor particles dispersed therein, and at least one of the pair of electrodes is provided with a brush-like electrode projecting towards the phosphor layer. The brush-like electrode may be provided on the electrode on the positive electrode side, and the brush-like electrode provided on the electrode on the positive electrode side may preferably have a work function of 4.5 eV or more. | 11-04-2010 |
20100276676 | Image Display Panel And Image Display Apparatus - An image display panel includes a gate electrode; a gate insulating film over the gate electrode; a source electrode, a drain electrode, and a first adhesive on the gate insulating film; an organic semiconductor layer on the source and drain electrodes including a space; an interlayer insulating film covering the gate insulating film, source electrode, organic semiconductor layer, and part of the drain electrode; a conductive layer on the interlayer insulating film; a second adhesive formed over the interlayer insulating film and conductive layer; an image display medium on the second adhesive; an inorganic film on the image display medium and first adhesive; and a second substrate on the inorganic film, where the first adhesive is arranged outside the second adhesive between the display medium and the conductive layer, and forms bonding between the inorganic film and the gate insulating film having a hydrophilic treatment formed on the first substrate. | 11-04-2010 |
20100276677 | ORGANIC LIGHT-EMITTING DEVICE - Disclosed is an organic light-emitting device (OLED), wherein a lower electrode, an organic emitting unit, an upper electrode, and a light enhance layer are subsequently formed between a bottom substrate and a top substrate. The light enhance layer has higher refractive index, between | 11-04-2010 |
20100276678 | Organic electroluminescent transfer medium provided with pattern transfer layer, organicelectroluminescent transfer object provided with pattern transfer layer, and process for producing organic electroluminescent device - There are provided an organic electroluminescent transfer medium, an organic electroluminescent transfer object, and a production process of an organic electroluminescent device using the organic electroluminescent transfer medium or the organic electroluminescent transfer object, in which a pattern can be transferred from an organic electroluminescent transfer medium onto an organic electroluminescent transfer object at a relatively low temperature in a simple manner. The organic electroluminescent transfer medium comprises at least a support, a release layer provided on the support, and an organic electroluminescent transfer layer provided on the release layer. A transfer surface, which is the surface of the organic electroluminescent transfer layer side, is formed of a pattern transfer layer capable of forming a pattern based on a difference in adhesiveness and/or wettability. | 11-04-2010 |
20100276679 | ORGANIC THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE ORGANIC THIN FILM TRANSISTOR, AND DISPLAY APPARATUS USING THE SAME - Provided are an organic semiconductor structure and a method of manufacturing the same, an organic thin film transistor (OTFT) using the organic semiconductor structure and a method of manufacturing the OTFT, and a display apparatus using the same. The OTFT includes: an oxide layer formed on a base substrate; a source electrode on the oxide layer, wherein the source electrode includes a first source electrode portion and a second source electrode portion; a drain electrode on the oxide layer, wherein the drain electrode includes a first drain electrode portion and a second drain electrode portion; an organic layer pattern having an opening that exposes the first source electrode portion and the first drain electrode portion; an organic semiconductor pattern electrically connected to the first source electrode portion and the first drain electrode portion through the opening, wherein the organic semiconductor pattern has a conductive or an insulating property depending on an applied electric field in a location; a gate insulating layer covering the organic semiconductor pattern; and a gate electrode formed on the gate insulating layer corresponding to the organic semiconductor pattern. | 11-04-2010 |
20100276680 | ORGANIC LIGHT EMITTING DISPLAY HAVING A GAS VENT GROOVE TO DEREASE EDGE OPEN FAILURES - An Organic Light Emitting Display (OLED) and its fabrication method has a pixel defining layer provided on a first electrode which is formed with a gas vent groove to allow gas to vent when the pixel defining layer is being formed, so that gas is not left in a pixel but vented when a donor film is laminated by a Laser-Induced Thermal Imaging (LITI) method, thereby decreasing edge open failures. | 11-04-2010 |
20100276681 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - A display device includes an insulating substrate; a plurality of gate wires formed on the insulating substrate, the plurality of gate wires including a gate electrode; a gate insulating layer covering the plurality of gate wires; a transparent electrode layer formed on the gate insulating layer, the transparent electrode layer including a source electrode and a drain electrode disposed about the gate electrode and spaced apart from each other to define a channel region disposed therebetween; a plurality of data wires covering a predetermined portion of the transparent electrode layer and being crossed insulatedly with the plurality of gate wires to define pixels; and an organic semiconductor layer formed on the channel region for each pixel, a predetermined portion of the organic semiconductor layer being operatively connected with the source electrode, the drain electrode, and the gate electrode to form a transistor having an improved characteristic and a novel structure. | 11-04-2010 |
20100283038 | POLYMER MATERIAL AND DEVICE USING THE SAME - A polymer material comprising a composition containing a fluorescent conjugated polymer (A) and a phosphorescent compound (B) or comprising a polymer having the structure of (A) and the structure of (B) in the same molecule, wherein the following conditions (1), (2) and (3) are satisfied:
| 11-11-2010 |
20100283039 | ORGANIC PHOTOSENSITIVE OPTOELECTRONIC DEVICE - An organic photosensitive optoelectronic device includes an anode, an organic photosensitive layer formed on the anode and having a donor portion and an acceptor portion, a hole blocking layer formed on the organic photosensitive layer so as for the organic photosensitive layer to be sandwiched between the anode and the hole blocking layer, and a cathode formed on the hole blocking layer so as for the hole blocking layer to be sandwiched between the cathode and the organic photosensitive layer. The highest occupied molecular orbitals (HOMO) of the hole blocking layer is at least 0.3 eV higher than that of the donor portion. Therefore, the optoelectronic device efficiently suppresses dark current so as to enhance sensitivity when applied to a detector. | 11-11-2010 |
20100283040 | SELENOPHENES AND SELENOPHENE-BASED POLYMERS, THEIR PREPARATION AND USES THEREOF - This invention is directed to selenophene compounds, selenophene-based polymers (polyselenophene), processes for the preparation of the same and uses thereof. The polyselenophenes of this invention have high conductivity and can be used as electrodes in various devices such as in electrochromic devices, batteries, solar cells, optical amplifiers, organic light emitting diodes, and the like. | 11-11-2010 |
20100283041 | ORGANIC THIN FILM TRANSISTOR - An organic thin film transistor including a substrate having thereon at least three terminals of a gate electrode, a source electrode and a drain electrode, an insulator layer and an organic semiconductor layer, with a current between a source and a drain being controlled upon applying a voltage to the gate electrode, wherein a channel control layer including an amorphous organic compound having an ionization potential of less than 5.8 eV is provided between the organic semiconductor layer and the insulator layer, has excellent stability of a field-effect mobility and a high response speed even when stored at a high temperature. | 11-11-2010 |
20100283042 | DEVICES HAVING HIGH DIELECTRIC CONSTANT, IONICALLY-POLARIZABLE MATERIALS - An electronic or electro-optic device has a first electrode, a second electrode spaced apart from the first electrode, and a dielectric layer disposed between the first and second electrodes. The dielectric layer has electrically insulating planar layers with intercalated ions therebetween such that the electrically insulating planar layers provide a barrier to impede movement of the intercalated ions to the first and second electrodes under an applied voltage while permitting a polarization of the dielectric layer while in operation. | 11-11-2010 |
20100283043 | ORGANIC EL ELEMENT - An organic electroluminescence device includes an anode, a cathode, and an organic thin-film layer interposed between the anode and the cathode. The organic thin-film layer includes a phosphorescent-emitting layer containing a host and a phosphorescent dopant, and an electron transporting layer that is provided closer to the cathode than the phosphorescent-emitting layer. The host contains a substituted or unsubstituted polycyclic fused aromatic skeleton. | 11-11-2010 |
20100283044 | ORGANIC TRANSISTOR, METHOD FOR PRODUCING ORGANIC TRANSISTOR, ELECTRO-OPTICAL DEVICE, AND ELECTRONIC EQUIPMENT - An organic transistor includes: a source electrode, a drain electrode, an organic semiconductor film provided between the source electrode and the drain electrode, a gate electrode, and a gate dielectric film provided between the organic semiconductor film and the gate electrode, the gate dielectric film including a first gate dielectric film in contact with the gate electrode and a second gate dielectric film in contact with the organic semiconductor film, the second gate dielectric film having a hydrocarbon compound containing carbon and hydrogen atoms. | 11-11-2010 |
20100283045 | ORGANIC ELECTROLUMINESCENT ELEMENT - The present invention provides an organic electroluminescent element having extended life. The present invention is an organic electroluminescent element having a pair of electrodes, and an organic light-emitting layer that contains a polymer light-emitting material and is sandwiched by the pair of electrodes, the organic electroluminescent element comprising: a first nanoparticle layer containing electron-transport metal oxide nanoparticles and hole-transport metal oxide nanoparticles, between the organic light-emitting layer and one of the pair of electrodes; and a second nanoparticle layer containing electron-transport metal oxide nanoparticles and hole-transport metal oxide nanoparticles, between the organic light-emitting layer and the other of the pair of electrodes. | 11-11-2010 |
20100283046 | ORGANIC ELECTROLUMINESCENT ELEMENT - The present invention provides an organic electroluminescent element having excellent electron injection properties and high resistance to external environmental factors, and providing buffer effects in transparent electrode formation. The present invention is an organic electroluminescent element having an anode, a cathode, and a light-emitting layer sandwiched between the anode and the cathode, the organic electroluminescent element comprising a nanoparticle layer containing metal oxide nanoparticles, between the light-emitting layer and the cathode. | 11-11-2010 |
20100283047 | PERYLENE-IMIDE SEMICONDUCTOR POLYMERS - Disclosed are new semiconductor materials prepared from perylene-imide copolymers. Such polymers can exhibit high n-type carrier mobility and/or good current modulation characteristics. In addition, the compounds of the present teachings can possess certain processing advantages such as solution-processability and/or good stability at ambient conditions. | 11-11-2010 |
20100289006 | Novel blue organic compound and organic electroluminescent device using the same - Novel blue organic compound is provided. Using the blue organic compound, an organic electroluminescent device is provided, which achieved a blue emission with high efficiency, saturated color and long device lifetime. The novel blue organic compound is represented by the following general formula (1). | 11-18-2010 |
20100289007 | ORGANIC OPTOELECTRONIC COMPONENT - The invention relates to an organic optoelectronic component comprising a base electrode, a top electrode that is provided with passages and an arrangement of organic layers, which is formed between the base electrode and the top electrode and makes electrical contact with said electrodes. In said component, light can be generated in a light-emitting region by the application of electrical energy to the base electrode and the top electrode. An organic current distribution layer also extends into the region containing the passages, said layer making electrical contact with the top electrode and the light-emitting region. | 11-18-2010 |
20100289008 | Organic Light Emitting Diode Having High Efficiency and Process For Fabricating The Same - The present invention provides an organic light emitting device comprising a first electrode, a second electrode, and at least two organic material layers interposed therebetween, including a light emitting layer, wherein the organic material layers comprise at least one layer of a hole injecting layer, a hole transporting layer and a hole injecting and transporting layer, and at least one of the hole injecting layer, the hole transporting layer and the hole injecting and transporting layer comprises a material with a HOMO energy level of ˜4 eV or less, and a material with a LUMO energy level of −4 eV or less, and a process for preparing the same. | 11-18-2010 |
20100289009 | CATHODE COATING - Embodiments of electroluminescent devices of the invention are preferably formed of a transparent anode; a layer of a hole transporting material; a layer of an electroluminescent material; a layer of an electron transporting material; a layer of lithium quinolate or of a substituted lithium quinolate e.g. of thickness less than 7 nm; and a metal cathode. The layer of the metal quinolate is preferably about 0.3-0.5 nm in thickness. In an embodiment there is provided an organic light-emitting diode having a cathode, an electron injection layer in contact with the cathode and an electron transport layer in contact with the electron injection layer and comprising aluminium, zirconium or hafnium quinolate or a mixture thereof or a mixture of any of them with a quinolate of a metal of group 1, 2, 3, 13 or 14 of the periodic table, wherein the electron injection layer comprises lithium quinolate or a substituted lithium quinolate having one or more substituents selected from C | 11-18-2010 |
20100289010 | ORGANIC ELECTROLUMINESCENT DEVICE AND PREPARATION METHOD THEREOF - The present invention relates to a method capable of considerably improving the characteristics of an organic electroluminescent device. The present invention provides a method capable of reducing operating voltage and improving efficiency by inserting an inorganic oxide interlayer configured of at least one layer between light-emitting layers. | 11-18-2010 |
20100289011 | POLYMER COMPOUND AND METHOD FOR PRODUCING THE SAME, AND LIGHT-EMITTING MATERIAL, LIQUID COMPOSITION, THIN FILM, POLYMER LIGHT-EMITTING DEVICE, SURFACE LIGHT SOURCE, DISPLAY DEVICE, ORGANIC TRANSISTOR AND SOLAR CELL, EACH USING THE POLYMER COMPOUND - A polymer compound comprising a repeating unit represented by the following general formula (1-1) and/or a repeating unit represented by the following general formula (1-2): | 11-18-2010 |
20100289012 | ORGANIC SWITCHING ELEMENT AND METHOD FOR PRODUCING THE SAME - There is provided a switching element including two electrodes and an organic bistable material sandwiched between the electrodes, which is expected to be applied to an organic memory element or the like. A switching element which includes: two electrodes; and an organic thin film containing a metal fine particle and interposed between the electrodes, and which exhibits such a current bistability that the switching element has two stable resistance values in response to a voltage applied, the switching element characterized in that the metal fine particle is dispersed in the organic thin film with a metal fine particle-dispersing agent containing a polymer having a dithiocarbamate group and having a weight average molecular weight of 500 to 5,000,000. | 11-18-2010 |
20100289013 | BENZANTHRACENE COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - A compound having the following structure as at least a part: | 11-18-2010 |
20100289014 | POLYMERIC COMPOUND AND ORGANIC ELECTROLUMINESCENCE ELEMENT COMPRISING THE SAME - A polymer comprising the repeating units derived from an aromatic compound having a specific structure and a repeating unit derived from the compound represented by formula (1): | 11-18-2010 |
20100289015 | ORGANIC FIELD-EFFECT TRANSISTOR - An organic field-effect transistor includes: source and drain electrodes; a semiconductor layer made of an organic semiconductor material placed at least between said source and drain electrodes; a gate electrode suitable for creating an electric field that increases the density of mobile charge carriers in the semiconductor layer in order to create a conduction channel in this semiconductor layer between the source and drain electrodes when a voltage V | 11-18-2010 |
20100289016 | ORGANIC LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF - Disclosed is an organic light emitting diode, in which the light transmittance of a transparent cathode is improved, and which includes a substrate, a first electrode formed on the substrate, an organic layer formed on the first electrode, a second electrode formed on the organic layer, and a transparent layer formed at either one or both of a position between the organic layer and the second electrode and a position on the upper surface of the second electrode and including any one selected from among an oxide, a nitride, a salt and mixtures thereof, so that the formation of the transparent layer on the cathode results in increased light transmittance and decreased resistance, thereby improving electrical performance of products. A method of manufacturing the organic light emitting diode is also provided. | 11-18-2010 |
20100289017 | ORGANIC LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF - Disclosed is an organic light emitting diode, which has improved light transmittance and which includes a first substrate and a second substrate, a first electrode formed on the first substrate, an organic layer formed on the first electrode, a second electrode formed between the organic layer and the second substrate, and an antireflective layer formed on at least one surface of at least one of the first substrate and the second substrate and having a predetermined refractive index, so that the formation of the antireflective layer on any outer surface of at least one of the first substrate and the second substrate results in increased transmittance, thus improving reliability of products. A method of manufacturing the organic light emitting diode is also provided. | 11-18-2010 |
20100289018 | CYCLOPENTAPHENANTHRENE-BASED COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Provided are a cyclopentaphenanthrene-based compound and an organic EL device using the same. The cyclopentaphenanthrene-based compound is easy to prepare and excellent in solubility, color purity, and color stability. The cyclopentaphenanthrene-based compound is useful as a material for forming an organic layer, in particular, a light-emitting layer in an organic EL device, and as an organic dye or an electronic material such as a nonlinear optical material. | 11-18-2010 |
20100289019 | PATTERNING DEVICES USING FLUORINATED COMPOUNDS - A method for producing a spatially patterned structure includes forming a layer of a material on at least a portion of a substructure of the spatially patterned structure, forming a barrier layer of a fluorinated material on the layer of material to provide an intermediate structure, and exposing the intermediate structure to at least one of a second material or radiation to cause at least one of a chemical change or a structural change to at least a portion of the intermediate structure. The barrier layer substantially protects the layer of the material from chemical and structural changes during the exposing. Substructures are produced according to this method. | 11-18-2010 |
20100295026 | ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE AND LIGHTING DEVICE - Disclosed is an organic electroluminescent element containing organic layers sandwiched between an anode and a cathode, wherein the organic layers incorporates an emission layer A containing a host compound A and at least two types of emission dopants, and an emission layer B containing a host compound B and at least one type of emission dopant, provided that at least one of the emission dopants contained in the emission layer A is a phosphorescence-emitting material. | 11-25-2010 |
20100295027 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes: an anode; a cathode; and an organic thin-film layer provided between the anode and the cathode and including at least three emitting layers. The organic thin-film layer includes: a first emitting layer adjacent to the anode; a second emitting layer adjacent to the cathode; and a third emitting layer interposed between the first emitting layer and the second emitting layer. The first emitting layer, the second emitting layer and the third emitting layer contain phosphorescent dopants. The first emitting layer and the second emitting layer use fused polycyclic aromatic derivatives as host materials. | 11-25-2010 |
20100295028 | Method of Fabricating an Electronic Device - A method of fabricating an electronic device comprises providing a layer structure ( | 11-25-2010 |
20100295029 | BENZOCHRYSENE DERIVATIVE AND AN ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A fused aromatic ring derivative shown by the following formula (1): | 11-25-2010 |
20100295030 | FUSED AROMATIC DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A fused aromatic derivative shown by the following formula (1): | 11-25-2010 |
20100295031 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting device and a method of manufacturing the same, the device including: a substrate; a barrier layer; a first electrode; a second electrode; and an organic layer interposed between the first electrode and the second electrode, wherein the barrier layer includes an SiO layer and an SiO | 11-25-2010 |
20100295032 | METAL COMPLEXES WITH BORON-NITROGEN HETEROCYCLE CONTAINING LIGANDS - Novel organic compounds comprising ligands containing a boron-nitrogen heterocycle are provided. In particular, the compound is a metal complex comprising a ligand containing an azaborine. The compounds may be used in organic light emitting devices to provide devices having improved photophysical and electronic properties. | 11-25-2010 |
20100295033 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND METHOD FOR MANUFACTURING THE SAME - An organic electroluminescence element includes: a substrate ( | 11-25-2010 |
20100295034 | SEMICONDUCTOR DEVICE - It is an object of the present invention to provide a semiconductor device in which data can be written except when manufacturing the semiconductor device and that counterfeits can be prevented. Moreover, it is another object of the invention to provide an inexpensive semiconductor device including a memory having a simple structure. The semiconductor device includes a field effect transistor formed over a single crystal semiconductor substrate, a first conductive layer formed over the field effect transistor, an organic compound layer formed over the first conductive layer, and a second conductive layer formed over the organic compound layer, and a memory element includes the first conductive layer, the organic compound, and the second conductive layer. According to the above structure, a semiconductor device which can conduct non-contact transmission/reception of data can be provided by possessing an antenna. | 11-25-2010 |
20100295035 | Electro Luminescence Display Device and Method of Testing the Same - To increase the proportion of the perfects to the whole lot of final products and to reduce the cost for active matrix EL display devices by checking the operation of a TFT substrate before depositing an EL material. A capacitor for testing is connected to a drain terminal of a driving TFT in a pixel portion to observe charging and discharging of the capacitor. Whether the driving TFT is normal or not is judged by the observation, so that the rejects can be removed before the manufacturing process is completed. | 11-25-2010 |
20100295036 | STRUCTURE FOR MAKING SOLUTION PROCESSED ELECTRONIC DEVICES - There is provided a process for forming an organic electronic device wherein a TFT substrate having a non-planar surface has deposited over that substrate a planarization layer such that a substantially planar substrate, or planarized substrate, is formed. A multiplicity of thin first electrode structures having a first thickness and having tapered edges with a taper angle of no greater than 75° are formed over the planarized substrate. A multiplicity of active layers is formed over the planarized substrate. Then a buffer layer is formed by liquid deposition of a composition comprising a buffer material in a first liquid medium. The buffer layer has a second thickness which is at least 20% greater than the first thickness. A chemical containment pattern defining pixel openings is then formed over the buffer layer. A composition comprising a first active material in a second liquid medium is deposited into at least a portion of the pixel openings. Then a second electrode is formed. | 11-25-2010 |
20100301310 | POLYMER AND POLYMERIC LUMINESCENT ELEMENT EMPLOYING THE SAME - A conjugated polymer having a phenoxazine structure and a phenothiazine structure as subsituents. | 12-02-2010 |
20100301311 | Organic Semiconductor Device - Provided is an organic semiconductor device, suitable for the integration, including an organic thin film transistor of low voltage drive and high driving current. | 12-02-2010 |
20100301312 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device including: an anode ( | 12-02-2010 |
20100301313 | BENZANTHRACENE COMPOUND AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A compound having the following structure as at least a part thereof: | 12-02-2010 |
20100301314 | CONJUGATED COMPOUND, NITROGENATED CONDENSED-RING COMPOUND, NITROGENATED CONDENSED-RING POLYMER, ORGANIC THIN FILM, AND ORGANIC THIN FILM ELEMENT - According to the first invention group there are provided conjugated compounds having two or more groups represented by the following formula (I) or the following formula (II): | 12-02-2010 |
20100301315 | ORGANIC ELECTROLUMINESCENCE ELEMENT - To provide an organic electroluminescence element, containing: an anode; a cathode; and at least one organic layer disposed between and the anode and the cathode, the organic layer containing a light-emitting layer, wherein the light-emitting layer contains a host material and a phosphorescent light-emitting material, and the host material contains at least one platinum complex compound containing a tetradentade ligand, expressed by the following general formula 1: | 12-02-2010 |
20100301316 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - An object is to provide a light-emitting element in which suppression of a drive voltage increase is achieved. Another object is to provide a light-emitting device that has reduced power consumption by including such a light-emitting element. In a light-emitting element having an EL layer between an anode and a cathode, between the cathode and the EL layer, a first layer capable of carrier generation is formed in contact with the cathode, a second layer which accepts and transports the electrons generated in the first layer is formed in contact with the first layer, and a third layer which injects the electrons accepted from the second layer into the EL layer is formed in contact with the second layer. | 12-02-2010 |
20100301317 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - An object is to provide a light-emitting element capable of emitting light with a high luminance even at a low voltage, and having a long lifetime. The light-emitting element includes n EL layers between an anode and a cathode (n is a natural number of two or more), and also includes, between m-th EL layer from the anode and (m+1)-th EL layer (m is a natural number, 1≦m≦n−1), a first layer including a first donor material in contact with the m-th EL layer, a second layer including an electron-transport material and a second donor material in contact with the first layer, and a third layer including a hole-transport material and an acceptor material in contact with the second layer and the (m+1)-th EL layer. | 12-02-2010 |
20100301318 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescence device including at least an anode, an emitting layer, an electron-transporting region and a cathode in sequential order, wherein the emitting layer contains a host and a dopant which gives fluorescent emission of which the main peak wavelength is 550 nm or less; the affinity Ad of the dopant is equal to or larger than the affinity Ah of the host; the triplet energy E | 12-02-2010 |
20100301319 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescence device including an anode, an emitting layer, a blocking layer, an electron-injecting layer and a cathode in sequential order; wherein the emitting layer contains a host and a dopant which gives fluorescent emission of which the main peak wavelength is 550 nm or less; the affinity Ad of the dopant is smaller than the affinity Ah of the host; the triplet energy E | 12-02-2010 |
20100301320 | METHOD FOR FABRICATING ORGANIC OPTOELECTRONIC DEVICES - An organic optoelectronic device and a method for manufacturing the same are disclosed. In one aspect, the device has a stack of layers. The stack includes a buffer layer and a first organic semiconductor layer adjacent to the buffer layer at a first side of the buffer layer. The buffer layer includes at least one transition metal oxide doped with a metal. | 12-02-2010 |
20100301321 | Tunable Diode - Tunable diodes and methods of making. | 12-02-2010 |
20100301322 | Stilbene Derivatives, Light-Emitting Element, Display Device, and Electronic Device - A novel stilbene derivative is provided with motivation of providing a blue emissive material showing excellent color purity. The use of the stilbene derivative of the present invention allows the fabrication of a blue-emissive light-emitting element with excellent color purity. The invention also includes an electronic device equipped with a display portion in which the stilbene derivative is employed. The stilbene derivative of the present invention is represented by formula (1), in which Ar | 12-02-2010 |
20100301323 | Organic memory device using iridium organometallic compound and fabrication method thereof - Disclosed are a composition comprising a mixture of at least one iridium organometallic compound and an electrically conductive polymer, an organic active layer comprising the same, an organic memory device comprising the organic active layer and methods for fabricating the same. The organic memory device may include a first electrode, a second electrode and the organic active layer between the first and second electrodes. The organic memory device possesses the advantages of rapid switching time, decreased operating voltage, decreased fabrication costs, increased reliability and improved non-volatility. | 12-02-2010 |
20100301324 | ORGANIC ELECTRO-LUMINESCENT DISPLAY AND METHOD OF FABRICATING THE SAME - An organic electro-luminescent display and a method of fabricating the same include an organic light emitting diode, a driving transistor which drives the organic light emitting diode, and a switching transistor which controls an operation of the driving transistor, wherein active layers of the switching and driving transistors are crystallized using silicides having different densities such that the active layer of the driving transistor has a larger grain size than the active layer of the switching layer. | 12-02-2010 |
20100308304 | ELECTRONIC SHORT CHANNEL DEVICE COMPRISING AN ORGANIC SEMICONDUCTOR FORMULATION - The invention relates to an improved electronic device, like an organic field emission transistor (OFET), which has a short source to drain channel length and contains an organic semiconducting formulation comprising a semiconducting binder. | 12-09-2010 |
20100308305 | ORGANIC LUMINESCENT MATERIAL AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - The present invention relates to novel organic electroluminescent materials and organic light emitting devices comprising the same. Since the organic electroluminescent materials according to the invention have good luminous efficiency and life property as an electroluminescent material, OLED's having very good operation lifetime can be produced. | 12-09-2010 |
20100308306 | Use of a Metal Complex as a P-Dopant for an Organic Semiconductive Matrix Material, Organic Semiconductor Material, and Organic Light-Emitting Diodes - A metal complex is used as p-dopant for an organic semiconducting matrix material, to an organic semiconductor material and to an organic light-emitting diode. Also disclosed is the use of metal complexes, which function as Lewis acids, as p-dopants in organic matrix materials. | 12-09-2010 |
20100308307 | METHOD FOR PRODUCTION OF AN ORGANIC LIGHT EMITTING DIODE OR OF AN ORGANIC SOLAR CELL AND ORGANIC LIGHT EMITTING DIODES OR SOLAR CELLS PRODUCED THEREWITH - The present invention relates to an electronic device. Examples for such an electronic device are an optical detector, a laser diode, a field-quenching device, an optical amplifier, an organic solar cell or an organic light emitting diode. | 12-09-2010 |
20100308308 | USE OF SUBSTITUTED TRIS(DIPHENYLAMINO)TRIAZINE COMPOUNDS IN OLEDS - The present invention relates to an organic light-emitting diode comprising at least one tris(diphenylamino)triazine compound with at least one alkoxy or aryloxy radical, to a light-emitting layer comprising at least one tris(diphenylamino)triazine compound with at least one alkoxy or aryloxy radical, to the use of the aforementioned compounds as a matrix material, hole/exciton blocker material, electron/exciton blocker material, hole injection material, electron injection material, hole conductor material and/or electron conductor material, and to a device selected from the group consisting of stationary visual display units, mobile visual display units and illumination units comprising at least one inventive organic light-emitting diode. | 12-09-2010 |
20100308309 | PATTERNING OF ORGANIC SEMICONDUCTOR MATERIALS - Organic semiconductor material can be patterned from a solution onto a substrate by selectively wetting the substrate with the solution while applying a mechanical disturbance (such as stirring the solution while the substrate is immersed, or wiping the solution on the substrate). The organic semiconductor material can then be precipitated out of the solution, for example to bridge gaps between source and drain electrodes to form transistor devices. In some embodiments, the solution containing the organic semiconductor material can be mixed in an immiscible host liquid. This can allow the use of higher concentration solutions while also using less of the organic semiconductor material. | 12-09-2010 |
20100308310 | EMISSIVE ARYL-HETEROARYL ACETYLENES - Disclosed herein are compounds represented by a formula: R | 12-09-2010 |
20100308311 | PHOTOELECTRIC CONVERSION DEVICE AND IMAGING DEVICE - Provided is a photoelectric conversion device comprising a transparent electrically conductive film, a photoelectric conversion film, and an electrically conductive film, wherein the photoelectric conversion film contains a compound represented by the following formula (i): | 12-09-2010 |
20100308312 | PHOTOELECTRIC CONVERSION DEVICE, PRODUCTION METHOD THEREOF AND IMAGING DEVICE - Provided is a photoelectric conversion device comprising an electrically conductive film, a photoelectric conversion film, and a transparent electrically conductive film, wherein said photoelectric conversion film contains a crystallized fullerene or fullerene derivative, and said crystallized fullerene or fullerene derivative is oriented in the (111) direction perpendicularly to the film surface of said electrically conductive film. | 12-09-2010 |
20100308313 | Organic Material Containing Oligophenylene Skeleton and Light-Emitting Device Using the Same - [Object] To provide a wide band-gap material capable of forming a stable amorphous thin film and an organic electroluminescent device using such a compound and having a high light emission efficiency. | 12-09-2010 |
20100308314 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting device is provided, which uses alternating current drive as a method of driving the light emitting device, and in which light emission is always obtained when voltages having different polarities are alternately applied, and a method of manufacturing the light emitting device is also provided. A first light emitting element made from an anode, an organic compound layer, and a cathode, and a second electrode made from an anode, an organic compound layer, and a cathode are formed. The light emitting elements are formed sandwiching the same organic compound layer. The anode of the first light emitting element and the anode of the second light emitting element, and the cathode of the first light emitting element and the cathode of the second light emitting element, are formed on opposite sides of the organic compound layer, respectively, thus sandwiching the organic compound layer. Note that voltages having an inverse polarity are applied alternately by the alternating current drive, and therefore light can always be emitted by any one of the first light emitting element or the second light emitting element. | 12-09-2010 |
20100308315 | ORGANIC ELECTRIC-FIELD LIGHT-EMITTING ELEMENT - In an organic electroluminescent element comprising an anode layer, an organic luminescent layer, an inorganic compound layer (or reductive dopant layer) and a cathode layer; the organic luminescent layer comprises an aromatic amine compound of a formula (1), and/or an aromatic amine compound of a formula (2) | 12-09-2010 |
20100308316 | Electronic Device Having an Electrode With Enhanced Injection Properties - An electronic device having an electrode with enhanced injection properties comprising a first electrode and a first layer of cross-linked molecular charge transfer material on the first electrode. The cross-linked molecular charge transfer material may be an acceptor, which may consist of at least one of: TNF, TN9(CN)2F, TeNF, TeCIBQ, TCNB, DCNQ, and TCAQ. The cross-linked molecular charge transfer material may also be a donor, which may consist of at least one of: Terpy, Ru(terpy)2 TTN, and crystal violet. | 12-09-2010 |
20100308317 | THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME AND FLAT PANEL DISPLAY HAVING THE THIN FILM TRANSISTOR - A thin film transistor includes: a gate electrode; source and drain electrodes insulated from the gate electrode; an organic semiconductor layer that is insulated from the gate electrode and electrically connected to the source and drain electrodes; an insulating layer that insulates the gate electrode from the source and drain electrodes or the organic semiconductor layer; a hydrophobic layer which covers the source and drain electrodes or insulating layer and has an opening that defines a region corresponding to the organic semiconductor layer; and a hydrophilic layer formed in the opening of the hydrophobic layer, wherein the organic semiconductor layer is formed on the hydrophilic layer. The thin film transistor includes the organic semiconductor layer having a highly precise pattern that is formed without an additional patterning process. | 12-09-2010 |
20100308318 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE, DISPLAY DEVICE, AND ELECTRONIC INSTRUMENT - A method of manufacturing a semiconductor device includes: forming a plurality of regions extending in a predetermined direction on a substrate; and ejecting a liquid material on the plurality of regions to form an electrically conductive film, wherein the electrically conductive film extends in the same direction as the plurality of regions so as to overlap the plurality of regions. | 12-09-2010 |
20100308319 | AROMATIC AMINE COMPOUND, AND LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND ELECTRONIC DEVICE USING AROMATIC AMINE COMPOUND - An object is to provide an aromatic amine compound with excellent heat resistance. Another object is to provide a light emitting element, a light emitting device, and an electronic device with excellent heat resistance. An aromatic amine compound represented by General Formula (1) is provided. The aromatic amine compound represented by General Formula (1) has a high glass transition point and excellent heat resistance. By using the aromatic amine compound represented by General Formula (1) for a light emitting element, a light emitting device, and an electronic device, a light emitting element, a light emitting device, and an electronic device with excellent heat resistance can be obtained. | 12-09-2010 |
20100308320 | LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND ELECTRONIC DEVICE - It is an object of the present invention to provide a functional layer for protecting a light emitting element from being deteriorated by a physical or chemical influence when the light emitting element is manufactured or driven, and to attain extension of lifetime of an element and improvement of element characteristics without increasing a drive voltage and degrading transmittance and color purity by providing such a functional layer. One feature of the present invention is to provide a buffer layer made of a composite material for a light emitting element including aromatic hydrocarbon containing at least one vinyl skeleton and metal oxide in part of a light emitting substance containing layer, in the light emitting element fowled by interposing the light emitting substance containing layer between a pair of electrodes. The composite material for a light emitting element for forming the buffer layer of the present invention has high conductivity and is superior in transparency. | 12-09-2010 |
20100308321 | LAMINATED STRUCTURE, METHOD OF MANUFACTURING A LAMINATED STRUCTURE, ELECTRONIC ELEMENT, ELECTRONIC ELEMENT ARRAY, IMAGE DISPLAYING MEDIUM, AND IMAGE DISPLAYING DEVICE - Disclosed is a laminated structure, including a substrate, a wettability changing layer on the substrate, the wettability changing layer including a material, a critical surface tension of the material being changed by providing energy thereto, and an electrically conductor layer on the substrate, the electrically conductor layer formed on a region of the wettability changing layer, the region being provided with the energy, wherein the material includes a structural unit including a side chain and a structural unit including no side chain. | 12-09-2010 |
20100308322 | COMPOUND HAVING PYRIDOINDOLE RING STRUCTURE BONDED WITH SUBSTITUTED PYRIDYL GROUP, AND ORGANIC ELECTROLUMINESCENT DEVICE - Objects of the invention are to provide an organic compound having excellent properties, which is excellent in electron-injecting/transporting performance, has hole-blocking ability, and is highly stable in a thin-film state, as a material for an organic electroluminescent devices having a high-efficiency and a high durability; and to provide an organic electroluminescent device having a high-efficiency and a high durability using the compound. The invention relates to: a compound having a pyridoindole ring structure bonded with a substituted pyridyl group, which is represented by the following general formula (1); and an organic electroluminescent device comprising a pair of electrodes and at least one organic layer interposed between the electrodes, wherein the at least one organic layer contains the compound. | 12-09-2010 |
20100314611 | ELECTRODE COVERING MATERIAL, ELECTRODE STRUCTURE AND SEMICONDUCTOR DEVICE - A semiconductor device is provided and includes a field effect transistor having a gate electrode, a gate insulating layer, a channel forming region composed of an organic semiconductor material layer and a source/drain electrode made of a metal. A portion of the source/drain electrode in contact with the organic semiconductor material layer comprising the channel forming region is covered with an electrode coating material. Because the electrode coating material is composed of organic molecules having a functional group which can be bound to a metal ion and a functional group that binds to the source/drain electrode composed of the metal, low contact resistance and high mobility can be achieved. | 12-16-2010 |
20100314612 | WHITE ORGANIC LIGHT-EMITTING DEVICE - A white organic light-emitting device is provided by the present invention. The white organic light-emitting device includes an anode, a hole transport layer, a first light-emitting layer, a second light-emitting layer, a third light-emitting layer, an electron transport layer and a cathode, wherein the second light-emitting layer is formed between the first and the third light-emitting layers, the emission wavelength of the second light-emitting layer is longer than that of the first and third light-emitting layers, and the host material of the first and third light-emitting layer are different. The white organic light-emitting device of the present invention is capable of effectively increasing the luminous efficiency, reducing operating voltage, and providing color stability. | 12-16-2010 |
20100314613 | ORGANIC ELECTROLUMINESCENCE ELEMENT - To provide an organic electroluminescence element, containing: at least one pair of electrodes; at least one organic light-emitting layer disposed between the electrodes, the organic light-emitting layer comprising two phosphorescent light-emitting materials and a charge-transporting material, wherein the organic light-emitting element is a white organic light-emitting element, and the charge-transporting material is a hole-transporting material, and wherein one of the two phosphorescent light-emitting materials is a platinum complex expressed by the following general formula 1, and the other is an iridium complex expressed by any one of the following general formulae 2A to 2C: | 12-16-2010 |
20100314614 | Organic Thin Film Transistors, Active Matrix Organic Optical Devices and Methods of Making the Same - A method of manufacturing an organic thin film transistor, comprising: providing a substrate comprising source and drain electrodes defining a channel region; subjecting at least the channel region to a cleaning treatment step; and depositing organic semiconductive material from solution into the channel region by inkjet printing. | 12-16-2010 |
20100314615 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Provided are: an aromatic amine derivative in which a terminal substituent such as a dibenzofuran ring or a dibenzothiophene ring is bonded to a nitrogen atom directly or through an arylene group or the like; an organic electroluminescence device including an organic thin film layer formed of one or more layers including a light emitting layer and interposed between a cathode and an anode in which a layer of the organic thin film layer contains the aromatic amine derivative by itself or as a component of a mixture, and the device has a long lifetime and high luminous efficiency; and an aromatic amine derivative for realizing the device. | 12-16-2010 |
20100314616 | ORGANIC EL DISPLAY APPARATUS - A display apparatus comprises a display unit which has a plurality of organic EL elements two-dimensionally arranged to define pixels. Each organic EL element comprises a first electrode, an organic EL layer, and a second electrode laminated in order on an optically transparent substrate. One of the first electrode and second electrode is an optically transparent electrode, while the other is a non-optically transparent electrode. The non-optically transparent electrode is disposed to exist only in part of each pixel, as viewed from vertically above (for example, the width of the electrode is made smaller than the width of a pixel). In this way, the display unit can transmit light through portions of the pixels in which the non-optically transparent electrodes are not disposed. Preferably, the non-optically transparent electrode includes a mirror surface opposite to the organic EL layer. | 12-16-2010 |
20100320446 | ORGANIC LIGHT-EMITTING DEVICE HAVING IMPROVED LIGHT-EMITTING EFFICIENCY AND METHOD FOR FABRICATING THE SAME - The present invention provides an organic light emitting device in which a layer having a refractive index in the range of 1.3 to 3 is further formed on an upper electrode of at least one region of regions through which rays having red, green, and blue colors are passed and a method of manufacturing the organic light emitting device. An optical length that can cause the microcavity effect according to the type of color of emitted light is controlled by using the layer to manufacture the organic light emitting device having high light emitting efficiency. | 12-23-2010 |
20100320447 | Organic electroluminescence manufacturing method and image display system having the same - An organic electroluminescence device manufacturing method and an image display system having the organic electroluminescence device are provided. The manufacturing method includes the steps of providing a substrate, forming a first electrode on the substrate, forming an organic layer having a plurality of crystals on the first electrode, and forming a second electrode on the organic layer. Each of the crystals comprises a particle. | 12-23-2010 |
20100320448 | Electronic Component And A Method Of Manufacturing An Electronic Component - An electronic component, notably one including, for example, a TFT, a storage capacitor, or a crossing between electrically conductive layers of a stack device is disclosed. The electronic component comprises a substrate whereon a first electrically conductive layer forming electrode is provided. A second electrode formed by a second electrically conductive layer is separated from the first electrode by at least a dielectric layer, comprising an interlayer of an electrically insulating material, preferably having high resistance against view ( | 12-23-2010 |
20100320449 | Organic Radiation-Emitting Component - An organic radiation-emitting component such as an organic light emitting diode (OLED), having at least two electrode layers and, between them, at least one organic self-emitting layer with a phosphorescence triplet emitter comprising as well as one phosphorescent metal complex. The radiation-emitting layer contains, embedded in a matrix, a metal complex, preferably a transition metal complex, with at least one substituted or unsubstituted guanidinate ligand. | 12-23-2010 |
20100320450 | SEMICONDUCTOR SUBSTRATE, SEMICONDUCTOR DEVICE, LIGHT EMITTING DEVICE AND ELECTRONIC DEVICE - To provide a semiconductor substrate, a semiconductor device, a light emitting device and an electronic device which have a low price, a long lifetime, and a high luminescent efficiency, and moreover are capable of being bent. A graphite substrate having heat resistance and having flexibility with respect to external force, and a first semiconductor layer, provided on the graphite substrate, which is made of a nitride of the Group XIII are included, and a method such as pulse sputter deposition can be used in forming the first semiconductor layer on the graphite substrate, to thereby allow inexpensive manufacture to be possible. In addition, since the nitride of the Group XIII is an inorganic substance, it has a long lifetime, and thus a high luminescent efficiency can be obtained. Moreover, since the graphite substrate has flexibility with respect to external force, it can also be bent. | 12-23-2010 |
20100320451 | BENZOCHRYSENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A fused aromatic ring derivative shown by the following formula (1): | 12-23-2010 |
20100320452 | BENZOPHENANTHRENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE EMPLOYING THE SAME - A fused aromatic ring derivative shown by the following formula (1): | 12-23-2010 |
20100320453 | THIN-FILM TRANSISTOR AND METHOD FOR PRODUCING THE SAME - A thin-film transistor includes a gate electrode disposed on a substrate, a semiconductor layer formed of an organic semiconductor and constituting a channel region, a gate insulating film disposed between the gate electrode and the semiconductor layer, and a pair of source/drain electrodes electrically connected to the semiconductor layer. The semiconductor layer includes a protruding portion protruding toward the substrate from an inner region of a surface, opposite the substrate, of the semiconductor layer excluding a region near ends thereof. | 12-23-2010 |
20100320454 | White Light Emitting Material - A white light emitting material comprising a polymer having an emitting polymer chain and at least one emitting end capping group. | 12-23-2010 |
20100320455 | ORGANIC ELECTROLUMINESCENCE DEVICE, PRODUCTION PROCESS THEREFOR, AND USE THEREOF - Organic electroluminescence devices of the invention have excellent luminous efficiency and durability. Uses of the devices are also disclosed. The organic electroluminescence device includes a pair of electrodes and one or more organic layers including an emitting layer between the pair of electrodes, wherein one of the organic layer(s) includes a hole transporting compound having 2 to 10 arylamine structures in the molecule, an electron transporting compound having a structure of Formula (a) below and an emitting compound | 12-23-2010 |
20100327262 | OPTOELECTRONIC DEVICE AND METHOD OF FABRICATING THE SAME - An optoelectronic device is disclosed. The device comprises one or more modified photocatalytic units, and a semiconductor surface. The modified photocatalytic unit is attached to the semiconductor surface such that when light is absorbed by the photocatalytic unit, an electric field is generated at sufficient amount to induce charge carrier locomotion within the semiconductor. In some embodiments a plurality of photocatalytic unit is attached to the semiconductor surface in oriented manner. The optoelectronic device can be operative in dry environment. | 12-30-2010 |
20100327263 | OLED panel with broadended color spectral components - A method and device in which the light emitted from a color sub-pixel in an organic light emitted display panel can be the sum of two or more light beams of slightly different colors in the same wavelength range. The difference in color is the result of difference in the length of the resonant cavity within the same color sub-pixel. In the manufacturing process, the non-uniformity in the layer thickness can cause a shift in the color coordinates in the color sub-pixels. The color shift when the width of the color spectrum is narrow is more noticeable. By broadening the width of the color spectrum, the color shift would become less appreciable. Thus, broadening the width of the color spectrum would ease the strict requirements in manufacturing. | 12-30-2010 |
20100327264 | ELECTROLUMINESCENT DEVICE USING AZOMETHINE-LITHIUM-COMPLEX AS ELECTRON INJECTION LAYER - In OLEDs, improved efficiency is obtained by novel compounds which can form inter alia electron injection layers of the formula (I) | 12-30-2010 |
20100327265 | BIPIRIDINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE ELEMENT CONTAINING THE SAME - The present invention provides a new bipyridine derivative which is suitable for an electron transport material of an organic electronics element and which has bipyridyl central rings as a core, and further provides an organic electroluminescence element containing the derivative. | 12-30-2010 |
20100327266 | MONOBENZOCHRYSENE DERIVATIVE, A MATERIAL FOR AN ORGANIC ELECTROLUMINESCENCE DEVICE CONTAINING THE SAME, AND AN ORGANIC ELECTROLUMINESCENCE DEVICE USING THE MATERIAL - A monobenzochrysene derivative shown by the following formula (1): | 12-30-2010 |
20100327267 | ORGANIC ELECTROLUMINESCENCE DEVICE AND PRODUCTION METHOD THEREOF - An organic electroluminescent device comprising an anode, a first layer disposed on the anode, a second layer containing a light emitting material, and a cathode, wherein the first layer is a layer formed on the anode by forming a non-treated layer containing a compound having a group reactive to a group present on the surface of the anode and subjecting the non-treated layer to a UV/ozone treatment, and the first layer has an average thickness of 10 nm or less. | 12-30-2010 |
20100327268 | ORGANIC EL DEVICE AND MANUFACTURING METHOD THEREOF - According to one embodiment, an organic EL device includes a pixel electrode, an organic layer disposed above the pixel electrode, a counter-electrode disposed above the organic layer, and an oxide layer disposed between the pixel electrode and the organic layer, the oxide layer including a first region formed with a first film thickness over a first area and a second region formed with a second film thickness which is less than the first film thickness, over a second area which is less than the first area. | 12-30-2010 |
20100327269 | EMISSIVE TRIARYLS - Disclosed herein are compounds represented by Formula 1. Compositions and light-emitting devices related thereto are also disclosed. | 12-30-2010 |
20100327270 | NOVEL MATERIALS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to the compounds of the formula (1) and to organic electroluminescent devices, in particular blue-emitting devices, in which these compounds are used as host material in the emitting layer and/or as electron-transport material. | 12-30-2010 |
20100327271 | COMPOSITION AND ORGANIC PHOTOELECTRIC CONVERTER USING THE SAME - An organic photoelectric converter having excellent photoelectric conversion efficiency can be produced by using a composition containing a polymer compound A having a repeating unit represented by formula (1) and a polymer compound B having a repeating unit represented by formula (2): | 12-30-2010 |
20100327272 | Liquid Crystal Display Device And Method For Fabricating The Same - An LCD device and a method for fabricating the same is disclosed that improves a yield by decreasing processing time. The LCD device includes gate and data lines formed substantially perpendicular to each other on a substrate and defining a unit pixel region; a thin film transistor formed at a crossing of the gate and data lines; an active layer formed over the gate line, the data line, and the thin film transistor; an organic resin formed on a portion of a gate insulating layer not including the gate line, the data line, and the thin film transistor; a passivation layer formed on an entire surface of the substrate including the thin film transistor; and a pixel electrode, formed in the unit pixel region, the pixel electrode being connected with a drain electrode of the thin film transistor. | 12-30-2010 |
20100327273 | DITHIENOTHIOPHENE DERIVATIVES - An organic compound represented by the following general formula (I) wherein n is an integer from 1 to 500, inclusive, and R1 and R2 are each independently a moiety having an atom length of from about 8 atoms to about 20 atoms. | 12-30-2010 |
20100327274 | ORGANIC LIGHT-EMITTING DEVICE - An organic blue-light-emitting device having a high emission efficiency and a long continuous driving lifetime is provided. An organic light-emitting device | 12-30-2010 |
20100327275 | ORGANIC EL PANEL AND ITS MANUFACTURING METHOD - An organic EL panel includes a light-emitting part including one or a plurality of organic EL elements on a substrate and having a sealing structure sealing the light-emitting part. The organic EL element includes a light-emitting layer, an organic layer formed on a first electrode formed directly, or via another layer, on the substrate, and a second electrode formed on the organic layer. The organic EL panel includes a coating film formed on the substrate, directly at least on the second electrode, so as to coat the light-emitting part. The coating film is made of an amorphous organic material and has a thickness absorbing a surface irregularity of the contact object contacted by the surface of the coating film. | 12-30-2010 |
20110001128 | COLOR UNIT AND IMAGING DEVICE HAVING THE SAME - A color unit is disclosed in which is included in an imaging device. The color unit includes; a first p-type electrode layer disposed on a light receiving side of the color unit, and including a light-absorptive organic material which selectively absorbs a wavelength other than a desired wavelength in a visible light band of the electromagnetic spectrum, a second p-type electrode layer disposed under the first p-type electrode layer and including a light-absorptive organic material which absorbs a desired wavelength and an n-type electrode layer disposed under the second p-type electrode layer and including an organic material, wherein photoelectric conversion is performed through a p-n junction between the second p-type electrode layer and the n-type electrode layer and light of the desired wavelength is converted into electrical current. | 01-06-2011 |
20110001129 | SUBSTITUTED BIPYRIDYL COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE - [Problem] To provide an organic compound which shows an excellent electron-injecting/transporting performance, has a hole-blocking ability and shows a high stability as a film, that is, having excellent characteristics as a material for organic electroluminescent device having high efficiency and high durability; and to provide an organic EL device comprising the compound and having high efficiency and high durability. | 01-06-2011 |
20110001130 | ORGANIC EL ELEMENT AND SOLUTION CONTAINING ORGANIC EL MATERIAL - An organic electroluminescence device ( | 01-06-2011 |
20110001131 | Organic semiconductor polymer, transistor including an organic semiconductor polymer and methods of fabricating the same - An organic semiconductor polymer, a transistor including an organic semiconductor polymer and methods of fabricating the same are provided, the organic semiconductor polymer including an aromatic or heteroaromatic main chain and at least one of a fluoro or a perfluoroalkyl at a polymer terminal end. | 01-06-2011 |
20110001132 | SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor device includes a conductive layer formed in the junction region and a boundary layer arranged to wrap a side and a bottom of the conductive layer. | 01-06-2011 |
20110001133 | ORGANOMETALLIC COMPLEX, AND LIGHT-EMITTING ELEMENT AND LIGHT-EMITTING DEVICE USING THE ORGANOMETALLIC COMPLEX - A material which can emit phosphorescence is disclosed. Further, a light-emitting element having good chromaticity is disclosed. An embodiment of the present invention is an organometallic complex including a structure as represented by the general formula (1): wherein R | 01-06-2011 |
20110001134 | COMPOSITION FOR CHARGE-TRANSPORTING FILM AND ION COMPOUND, CHARGE-TRANSPORTING FILM AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME, AND METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR PRODUCING CHARGE-TRANSPORTING FILM - An excellent composition for a charge-transport film, which can be used to produce an organic electroluminescence device having excellent heat-resistant property, high hole injection/transport capacity and capable of functioning at a low voltage, is proposed. | 01-06-2011 |
20110006287 | POLYMERS WITH TUNABLE BAND GAPS FOR PHOTONIC AND ELECTRONIC APPLICATIONS - A copolymer comprising at least one donor monomer and at least one acceptor monomer is described. The polymer may optionally further comprise, consist or consist essentially of at least one additional comonomer. Various donor monomers, acceptor monomers and additional comonomers are also described. The polymer is useful in the manufacture of microelectronic devices such as optoelectronic devices. | 01-13-2011 |
20110006288 | ORGANIC LUMINESCENT DEVICE AND MANUFACTURING METHOD THEREOF - There is provided a polymer or low-molecular-weight compound multilayer type organic EL device configured such that a light-emitting layer formed on a hole transport layer includes a mixture of a polymer material and a low-molecular weight material. With such a configuration, the low-molecular-weight material added to the polymer material serves as a binder filling the gap of the steric hindrance to form entanglement of the polymer material and the low-molecular-weight material. This results in that the interface between the hole transport layer and the light-emitting layer is an interface high in adhesion and also high in carrier injectability. Further, optimization of the formation conditions and materials can achieve still higher reliability and longer lifetime. | 01-13-2011 |
20110006289 | AROMATIC DIAMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Disclosed is an aromatic diamine derivative represented by the following general formula (I), which has a chrysene structure in which a phenyl group having a substituted or unsubstituted silyl group is a substituent for an amino group. Also disclosed is an organic electroluminescence device including an organic thin film layer formed of one or more layers including at least a light emitting layer and interposed between a cathode and an anode, in which at least one layer of the organic thin film layer contains the aromatic diamine derivative by itself or as a component of a mixture, the device having a long lifetime and high luminous efficiency. (In the formula, R | 01-13-2011 |
20110006290 | ARRAY SUBSTRATE FOR LIQUID CRYSTAL DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An array substrate for a display device, including a substrate having a pixel region and a switching region, a source electrode and a drain electrode on the switching region of the substrate, ends of the source and drain electrodes having tapered edges, a pixel electrode in the pixel region of the substrate, the pixel electrode being connected to the drain electrode, an organic semiconductor layer on the source and drain electrodes, the organic semiconductor layer completely contacting tapered edges and a top surface of each of the source and drain electrodes, a first insulating layer on the organic semiconductor layer, and a gate electrode on the first insulating layer. | 01-13-2011 |
20110006291 | COMPOUND HAVING SUBSTITUTED PYRIDYL GROUP AND PYRIDOINDOLE RING STRUCTURE LINKED THROUGH PHENYLENE GROUP, AND ORGANIC ELECTROLUMINESCENT DEVICE - Objects of the present invention are to provide an organic compound having excellent properties, which is excellent in electron-injecting/transporting performances, has hole-blocking ability and is highly stable in a thin-film state, as a material for an organic electroluminescent device having a high efficiency and a high durability; and to provide an organic electroluminescent device having a high efficiency and a high durability using the compound. The invention relates to a compound having a substituted pyridyl group and a pyridoindole ring structure linked through a phenylene group, which is represented by the general formula (1); and an organic EL device comprising a pair of electrodes and at least one organic layer interposed between the electrodes, wherein the at least one organic layer contains the compound: | 01-13-2011 |
20110006292 | PROCESSES FOR FORMING ELECTRONIC DEVICES AND ELECTRONIC DEVICES FORMED BY SUCH PROCESSES - A process for forming an electronic device includes forming a first layer over a substrate, wherein the first layer includes an organic layer, and depositing a second layer over the substrate after forming the first layer, wherein depositing the second layer is performed using ion beam sputtering. In another embodiment, a process for forming an electronic device includes placing a workpiece within a depositing chamber of a depositing apparatus, wherein the workpiece includes a substrate and an organic layer overlying the workpiece. The process includes generating a plasma within a plasma-generating chamber of the depositing apparatus, wherein the plasma is not in direct contact with the workpiece. The process also includes sending an ion beam from the plasma-generating chamber towards a target within the depositing chamber, wherein the target includes a material, and depositing a layer of the material over the organic layer. | 01-13-2011 |
20110006293 | DEVICES DERIVED FROM ELECTRICALLY CONDUCTIVE POLYMERS - Organic electronic devices derived from electrically conductive polymer compositions having a pH greater than 1.8 and a hole transporting material are described. | 01-13-2011 |
20110006294 | LAYERED STRUCTURE - Disclosed is a layered structure including a first electrode and a second electrode, a light-emitting layer or a charge separation layer between the first electrode and the second electrode, and a layer containing a conjugated polymer compound between the light-emitting layer or the charge separation layer and the first electrode, wherein the conjugated polymer compound contains a repeating unit selected from the group consisting of a repeating unit represented by formula (1): | 01-13-2011 |
20110006295 | COMPOUNDS HAVING ELECTROLUMINESCENT OR ELECTRON TRANSPORT PROPERTIES - A compound of the formula R | 01-13-2011 |
20110006296 | LIGHT EMITTING DEVICE - A light emitting device ( | 01-13-2011 |
20110012091 | ENHANCEMENT OF ORGANIC PHOTOVOLTAIC CELL OPEN CIRCUIT VOLTAGE USING ELECTRON/HOLE BLOCKING EXCITON BLOCKING LAYERS - The present disclosure relates to photosensitive optoelectronic devices comprising at least one of an electron blocking or hole blocking layer. Further disclosed are methods of increasing power conversion efficiency in photosensitive optoelectronic devices using at least one of an electron blocking or hole blocking layer. The electron blocking and hole blocking layers presently disclosed may reduce electron leakage current by reducing the dark current components of photovoltaic cells. This work demonstrates the importance of reducing dark current to improve power conversion efficiency of photovoltaic cells. | 01-20-2011 |
20110012092 | NITROGEN-CONTAINING HETEROCYCLIC DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - A novel nitrogen-containing heterocyclic derivative having a specific structure. An organic electroluminescence device comprises an organic thin-film layer which is disposed between a cathode and an anode and comprises one or more layers having a light emitting layer. At least one layer of the organic thin-film layer comprises the nitrogen-containing heterocyclic derivative. The organic electroluminescence device exhibits a high luminance and a high luminous efficiency even at a low driving voltage. | 01-20-2011 |
20110012093 | LUMINESCENT GOLD(III) COMPOUNDS CONTAINING BIDENTATE LIGAND FOR ORGANIC LIGHT-EMITTING DEVICES AND THEIR PREPARATION - Embodiments of the invention are directed to luminescent gold(III) compounds contains a bidentate ligand with at least one strong σ-donating group, a method of preparation of these compounds and the use of these compounds in organic light emitting devices. The gold(III) compounds have the chemical structure: | 01-20-2011 |
20110012094 | Electro-Optic Device and Method for Manufacturing the same - Provided are an electro-optic device and a method for manufacturing the same. The method includes forming a bottom electrode on a substrate, forming a first insulation film to cross over the bottom electrode forming an organic film on the substrate where the bottom electrode and the first insulation film are formed, forming a top electrode film on the organic film, and forming a top electrode to cross the bottom electrode by removing a portion of the top electrode film through a laser-scribing process. Herein, in the forming of the top electrode through the laser-scribing process, an edge region of a bottom surface of the top electrode may be positioned corresponding to an upper side of the first insulation film. Therefore, it is possible to reduce the number of processing apparatuses and steps required for separately forming the plurality of top electrodes, thereby simplifying manufacturing processes and saving manufacturing cost. Furthermore, since an insulation film is formed under an edge region of a top electrode, it is possible to prevent the generation of leakage current and the malfunction of a device caused by the deformation of the top electrode even though the edge region of the top electrode is damaged during a laser-scribing process. Thus, the reliability of electro-optic devices can be improved. | 01-20-2011 |
20110012095 | NON-BLOCKED PHOSPHORESCENT OLEDS - An organic light emitting diode (OLED) architecture in which efficient operation is achieved without requiring a blocking layer by locating the recombination zone close to the hole transport side of the emissive layer. Aryl-based hosts and Ir-based dopants with suitable concentrations result in an efficient phosphorescent OLED structure. Previously, blocking layer utilization in phosphorescent OLED architectures was considered essential to avoid exciton and hole leakage from the emissive layer, and thus keep the recombination zone inside the emissive layer to provide high device efficiency and a pure emission spectrum. | 01-20-2011 |
20110012096 | PHOTOACTIVE NANOSTRUCTURE AND METHOD OF MANUFACTURING SAME - A nanostructure comprising at least one semiconductor nanoparticle bound to a photocatalytic unit of a photosynthetic organism is disclosed. The nanoparticle and a binding between the nanoparticle and the photocatalytic unit are selected such that transfer of electrons from the photocatalytic unit to the nanoparticle is prevented or suppressed relative to transfer of excitons from the nanoparticle to the photocatalytic unit. Uses of same and methods of fabricating devices with same are also disclosed. Nanostructures comprising electrically conductive nanoparticles are also disclosed. | 01-20-2011 |
20110012097 | SEMICONDUCTOR DEVICE AND DISPLAY APPARATUS - A semiconductor device is provided in which, while an organic semiconductor layer is sufficiently protected by a protective film, it is possible to prevent delamination at the protective film interface, thereby achieving good characteristics and improving yield due to improvement in mechanical reliability. A semiconductor device ( | 01-20-2011 |
20110012098 | ORGANIC TRANSISTOR - In order to attain high mobility, large on/off current ratio and excellent storage stability to organic transistor comprising an organic semiconductor layer, the organic semiconductor layer comprises at least one compound represented by the general formula (1). | 01-20-2011 |
20110012099 | OPTOELECTRONIC COMPONENT COMPRISING NEUTRAL TRANSITION METAL COMPLEXES - The invention relates to the use of compositions as emitters or absorbers in an electronic component, wherein the compositions have a first neutral transition metal complex and a second neutral transition metal complex. According to the invention, the first transition metal complex and the second transition metal complex together form a column structure. The invention further relates to a method for the production of electronic components having such compositions. | 01-20-2011 |
20110012100 | METAL COMPLEXES - The present invention relates to metal complexes of the formula (1) and to the use thereof in organic electroluminescent devices, and to organic electroluminescent devices which comprise these metal complexes. | 01-20-2011 |
20110012101 | OLED DEVICE WITH MACO EXTRACTOR - The invention relates to an OLED device with a stack comprising: a light emitting organic layer ( | 01-20-2011 |
20110012102 | ORGANIC ELECTROLUMINESCENCE ELEMENT, AND METHOD FOR PRODUCTION THEREOF - Disclosed is an organic electroluminescence element comprising at least an anode, a light-emitting layer, an intermediate layer and a cathode laminated sequentially in this order, wherein the intermediate layer comprises a salt of an acid of at least one metal selected from the group consisting of molybdenum, niobium, tantalum, titanium and metals belonging to Group IIb and sodium. | 01-20-2011 |
20110017980 | PROCESS AND MATERIALS FOR MAKING CONTAINED LAYERS AND DEVICES MADE WITH SAME - There is provided a process for forming a contained second layer over a first layer, including the steps:
| 01-27-2011 |
20110017981 | PROCESS FOR THE PREPARATION OF SEMICONDUCTING LAYERS - A convenient way for preparing thin layers of organic semiconducting materials comprises application or deposition of particles of a semiconducting material containing an organic semiconductor on a suitable surface, and converting these particles into a semiconducting layer on a substrate by application of pressure and optionally elevated temperatures. | 01-27-2011 |
20110017982 | ELEMENT FOR ELECTRONIC COMPONENT - A surface of an anode body made of a metal material having a valve action is oxidized so as to form a dielectric layer, a conductive polymer precursor solution is stuck to the surface of the dielectric layer, the solvent is evaporated in an atmosphere of a relative humidity of 30 to 45% to be removed, electropolymerization is carried out so as to obtain a semiconductor layer having projections being 2 to 70 μm high on the outer surface thereof, a conductive carbon layer is laminated using a conductive carbon paste, and a conductive metal layer containing a metal conductive powder and a binder is laminated so as to obtain an element for an electronic component, and the element for an electronic component is encapsulated by a resin so as to obtain an electronic component. | 01-27-2011 |
20110017983 | POLYMER AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - A polymer compound including a repeating unit shown by the following formula (1) wherein at least one of Ar | 01-27-2011 |
20110017984 | Metal complex compound and organic electroluminescent device using same - A metal complex compound having a special structure containing metals such as iridium. An organic electroluminescence device which comprises at least one organic thin film layer sandwiched between a pair of electrode consisting of an anode and a cathode, wherein the organic thin film layer comprises the above metal complex compound, which emits light by applying an electric voltage between the pair of electrode. An organic EL device employing the novel metal complex compound emits various phosphorous lights including blue light having an enhanced current efficiency and prolonged lifetime. | 01-27-2011 |
20110017985 | ELECTRONIC DEVICE UTILIZING FLUORINATED CARBON NANOTUBES - The present invention is an electronic device and a process for making the electronic device in which the semiconductor component comprises at least one carbon nanotube functionalized with a fluorinated olefin. Functionalization with the fluorinated olefin renders the carbon nanotube semiconducting. | 01-27-2011 |
20110017986 | Silicone Composition and Organic Light-Emitting Diode - A silicone composition comprising (A) a hydrolysis product prepared by reacting at least one thiophenyl-substituted silane and a cross-linking agent with water in the presence of an organic solvent to form an organic phase containing the hydrolysis product and an aqueous phase, and separating the organic phase from the aqueous phase, and (B) an organic solvent; and an organic light-emitting diode (OLED) containing a hole-transport/hole-injection layer comprising a cured polysiloxane prepared by applying the aforementioned silicone composition to form a film and curing the film. | 01-27-2011 |
20110017987 | METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT AND WHITE LIGHT-EMITTING ORGANIC ELECTROLUMINESCENT ELEMENT - In the present invention, disclosed is a method of manufacturing an organic electroluminescent element in which at least an anode, a light emission layer and a cathode are laminated, wherein at least one light emission layer possesses two kinds of light-emitting dopants, the method comprising the step of conducting a process in which the organic EL element is subjected to an annealing treatment after forming at least the anode, the at least one light emission layer and the cathode as an element structure. By the method of the present invention, a stably manufacturable organic electroluminescent element exhibiting high light emission efficiency and long lifetime is possible to be prepared, and a white light-emitting organic electroluminescent element is suitably prepared. | 01-27-2011 |
20110017988 | ORGANIC ELECTROLUMINESCENT ELEMENT, LIGHTING DEVICE AND DISPLAY DEVICE - Disclosed is an organic EL device element having high external quantum efficiency and long life time. A lighting device and a display device each using the organic EL device element are also disclosed. The organic EL element comprises plural organic compound layers including a light-emitting layer and at least one hole transport layer, interposed between an anode and a cathode, and a phosphorescent light-emitting compound contained in the light-emitting layer emits light. The organic EL device is characterized in that at least one hole-transport layer contains two or more polymerizable compounds represented by general Formula (1) or two or more polymer compounds having a structural unit derived from a compound. | 01-27-2011 |
20110024725 | ANTHRACENE DERIVATIVES AND ORGANIC ELECTRONIC DEVICE USING THE SAME - The present invention relates to a novel anthracene derivative and an organic electronic device using the same. The organic electronic device according to the present invention shows excellent characteristics in efficiency, driving voltage, and life time. | 02-03-2011 |
20110024726 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes: a substrate; a first electrode located on the substrate; an organic light emitting layer located on the first electrode; and a second electrode located on the organic light emitting layer, wherein the organic emitting layer comprises an interface buffer layer located on the first electrode and formed from a mixture of an inorganic material and an organic material. | 02-03-2011 |
20110024727 | LOW-VOLTAGE, N-CHANNEL HYBRID TRANSISTORS - Hybrid semiconducting-dielectric materials and electronic or electro-optic devices using the hybrid semiconducting-dielectric materials. Hybrid semiconducting-dielectric materials comprise molecules that have a core section that provides an n-type semiconducting property and side chains that provide a dielectric property to a layer of hybrid semiconducting-dielectric material. Specific hybrid semiconducting-dielectric materials include tetracarboxylic diimide compounds having sidechains comprising fluorine substituted aliphatic or aromatic moieties linked to the tetracarboxylic diimide structure by an alkylene or heteroalkylene linking group. | 02-03-2011 |
20110024728 | Organic Thin Film Transistors and Methods of Making the Same - An organic thin film transistor, and a method of making the same, comprising a source and drain electrode and organic semi-conductive material disposed therebetween in a channel region, in which the source and drain electrodes have disposed on them a thin self-assembled layer of a material comprising a dopant moiety for chemically doping the organic semi-conductive material by accepting electrons, the dopant moiety having a redox potential of at least 0.3 eV relative to a saturated calomel electrode in acetonitrile. | 02-03-2011 |
20110024729 | Crosslinked Polymeric Dielectric Materials And Electronic Devices Incorporating Same - Solution-processable dielectric materials are provided, along with precursor compositions and processes for preparing the same. Composites and electronic devices including the dielectric materials also are provided. | 02-03-2011 |
20110024730 | POLYMER, ORGANIC THIN FILM USING THE SAME, AND ORGANIC THIN FILM DEVICE - A polymer according to the present invention comprises a repeating structure represented by the following formula (1), wherein L and X each independently have a configuration in which are linked a plurality of conjugation forming structures each conjugated by itself, and each have at least one thienylene structure as the conjugation forming structure: | 02-03-2011 |
20110024731 | NOVEL CONDENSED POLYCYCLIC AROMATIC COMPOUND AND USE THEREOF - The object of the present invention to provide an organic semiconductor device comprising an organic semiconductor material satisfying both the requirement of high electron field-effect mobility and high on/off current ratio. The present invention provides a novel condensed polycyclic aromatic compound satisfying both the high electron field-effect mobility and high on/off current ratio required for organic semiconductor materials. | 02-03-2011 |
20110024732 | LIGHT EMITTING ELEMENT AND LIGHT EMITTING - It is an object of the present invention to obtain an organometallic complex that is capable of converting an excited triplet state into luminescence, a light-emitting element that can be driven for a long time, is high in luminous efficiency, and has a favorable long lifetime, and a light-emitting device using the light-emitting element. The present invention provides a light-emitting element that has a pair of electrodes (an anode and a cathode) and a light-emitting layer between a pair of electrodes, where the light-emitting layer includes an organometallic complex represented by the following general formula (5) and one of a compound that has a larger energy gap than the organometallic complex and a compound that has a larger ionization potential and a smaller electron affinity than the organometallic complex, and provides a light-emitting device using the light-emitting device. | 02-03-2011 |
20110024733 | POLYMERISABLE COMPOSITIONS AND ORGANIC LIGHT-EMITTING DEVICES CONTAINING THEM - Compositions of a mixture of a thiol material and a material that contains a reactive unsaturated carbon-carbon bond that can be polymerised to form a charge-transporting or luminescent film are described, as is an organic light-emitting diode (OLED) device comprising at least one such charge-transporting or emissive layer that has been formed by polymerising a thiol material and an ene material. The process for forming such an OLED, including the deposition of a layer of material comprising the polymerisable composition, from solution, exposing said layer to actinic radiation through a mask, and then optionally developing said film to form a photopatterned film, is also disclosed. | 02-03-2011 |
20110024734 | DEVICE FOR SPRAYING, METHOD THEREFOR AND ORGANIC ELECTRONIC CONSTRUCTION ELEMENT - The embodiments relate to a device and a method for spraying coatings of organic construction elements. The embodiments relate, in particular, to the spraying of coatings made up of components that do not dissolve in the same solvent, for example, and/or the spraying of a plurality of coatings one after the other. A plurality of spray heads is used, for example one after the other and/or next to one another. | 02-03-2011 |
20110024735 | COMPOUND FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Disclosed is an organic electroluminescent device (organic EL device) that is improved in luminous efficiency, fully secured of driving stability, and of simple structure. The organic EL device comprises a light-emitting layer between an anode and a cathode piled one upon another on a substrate and the light-emitting layer comprises a phosphorescent dopant and a compound containing carbazolyl groups at both ends represented by the following formula (1) as a host material. In formula (1), X is independently CH optionally containing a substituent or N and L is a direct bond, an ethylene group, or an acetylene group. | 02-03-2011 |
20110024736 | ORGANIC ELECTROLUMINESCENT ELEMENT AND DISPLAY - An organic electroluminescent includes an organic layer with a total thickness of 150 nm or over is included between an anode and a cathode. The organic layer includes a light-emitting layer containing a host material of a polycyclic aromatic hydrocarbon compound with a basic skeleton having three to seven ring members, and hole supply layers arranged between the anode and the light-emitting layer and having a smaller thickness than a thickness of the light-emitting layer. | 02-03-2011 |
20110024737 | FUSED POLYCYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE HAVING THE COMPOUND - Provided are a fused polycyclic compound obtained by expanding the conjugated system of a chrysene skeleton, and an organic light emitting device using the compound. The organic light emitting device has an optical output with high efficiency and high luminance, and is extremely durable. | 02-03-2011 |
20110024738 | SUBSTRATE HAVING IMPRINTED STRUCTURE - In a substrate with a hydrophilic surface and a structure made of a conductive and/or light-emitting organic polymer imprinted on the hydrophilic surface, the hydrophilic surface is formed from a layer arranged on the substrate of an oxide ceramic and/or metallic material. | 02-03-2011 |
20110031474 | ELECTROLUMINESCENT DEVICE WITH IMPROVED LIGHT DECOUPLING - The invention relates to an electroluminescent device which comprises a substrate ( | 02-10-2011 |
20110031475 | Semiconductor Composition - A semiconducting liquid composition including a semiconducting material comprising a compound of the formula disclosed herein, a liquid vehicle, a solubility promoter that enhances solubility of the semiconducting polymer; and an optional crystallization inhibitor. | 02-10-2011 |
20110031476 | ORGANIC ELECTROLUMINESCENCE ELEMENT - The present invention provides an organic EL element having a multi-photon structure, in which optical absorption in the visible region caused by a charge-transfer complex in a conventional intermediate layer structure is inhibited, and the resistance of this intermediate layer to a counter career is improved, thus allowing high efficiency and a long operation life of the element. | 02-10-2011 |
20110031477 | ORGANIC LIGHT-EMITTING DIODES COMPRISING AT LEAST ONE DISILYL COMPOUND SELECTED FROM DISILYLCARBAZOLES, DISILYLDIBENZOFURANS, DISILYLDIBENZOTHIOPHENES, DISILYLDIBENZOPHOLES, DISILYLDIBENZOTHIOPHENE S-OXIDES AND DISILYLDIBENZOTHIOPHENE S,S-DIOXIDES - The present invention relates to an organic light-emitting diode comprising an anode An and a cathode Ka and a light-emitting layer E and if appropriate at least one further layer, where the light-emitting layer E and/or the at least one further layer comprises at least one compound selected from disilylcarbazoles, disilyldibenzofurans, disilyldibenzothiophenes, disilyldibenzophospholes, disilyldibenzothiophene S-oxides and disilyldibenzothiophene S,S-dioxides, to a light-emitting layer comprising at least one of the aforementioned compounds, to the use of the aforementioned compounds as matrix material, hole/exciton blocker material, electron/exciton blocker material, hole injection material, electron injection material, hole conductor material and/or electron conductor material, and to a device selected from the group consisting of stationary visual display units, mobile visual display units and illumination units comprising at least one inventive organic light-emitting diode. | 02-10-2011 |
20110031478 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode (OLED) display device and a method of fabricating the same. The OLED display device includes a substrate having a pixel region and a non-pixel region, a buffer layer arranged on the substrate, a semiconductor layer arranged in the non-pixel region of the substrate, a first electrode arranged in the non-pixel region and in the pixel region and electrically connected to the semiconductor layer, a gate insulating layer arranged on an entire surface of the substrate and partially exposing the first electrode in the pixel region, a gate electrode arranged on the gate insulating layer to correspond to the semiconductor layer, a pixel defining layer partially exposing the first electrode, an organic layer arranged on the first electrode; and a second electrode arranged on the entire surface of the substrate. | 02-10-2011 |
20110031479 | ENCAPSULATION SUBSTRATE, ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE HAVING THE SAME AND METHOD OF FABRICATING THE SAME - An organic light emitting diode (OLED) display device and method of fabrication that includes a substrate having a device region, an outer dam region and an encapsulation region. The encapsulation region includes an inner dam region, an outer dam region and an encapsulation region that correspond to the device region. An encapsulation agent is formed in the encapsulation region of the encapsulation substrate, and filling dams are formed of the same material in the outer dam region and the inner dam region of the encapsulation substrate. | 02-10-2011 |
20110031480 | LIGHT EMITTING DEVICE - A light emitting device includes: a first electrode, a conductor film, an organic layer having a light emitting layer made of an organic light emitting material provided therein, a semi-transmissive reflective film, a resistive layer, and a second electrode, all of which are laminated successively, wherein the conductor film transmits a part of light from the light emitting layer therethrough, the first electrode reflects the light having been transmitted through the conductor film, the second electrode transmits the light having been transmitted through the semi-transmissive reflective film therethrough, an average film thickness of the conductor film on the first electrode is from 1 nm to 6 nm, and an average film thickness of the semi-transmissive reflective film on the organic layer is from 1 nm to 6 nm. | 02-10-2011 |
20110031481 | USES OF DITHIOCARBAMATE COMPOUNDS - The present invention relates to the use of dithiocarbamate compounds and to an assembly for use in an electronic device, said assembly comprising a self-assembled monolayer of at least one dithiocarbamate compound. The present invention also relates to an electronic device including such assembly. | 02-10-2011 |
20110031482 | ORGANIC ELECTROLUMINESCENT ELEMENT AND METHOD OF MANUFACTURING THE SAME - An organic electroluminescent element comprising: an organic laminate comprising at least an light emission layer formed via a wet process, the light emission layer comprising a host material and a guest material; and a pair of electrodes, wherein a solvent used for forming the light emission layer has a boiling point of 105° C. or less and a saturation vapor pressure at 20° C. of 20 mmHg or more, and a method of manufacturing the organic electroluminescent element | 02-10-2011 |
20110031483 | ORGANIC LIGHT EMITTING DEVICE - Embodiments of the present invention are directed to a heterocyclic compound and an organic light-emitting device including the heterocyclic compound. The organic light-emitting devices using the heterocyclic compounds have high-efficiency, low driving voltage, high luminance and long lifespan. | 02-10-2011 |
20110031484 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DIODE HAVING ORGANIC LAYER INCLUDING THE SAME - A condensed-cyclic compound represented by Formula 1 and an organic light emitting diode including the same: | 02-10-2011 |
20110031485 | ORGANIC LIGHT EMITTING DEVICE - Embodiments of the present invention are directed to a heterocyclic compound and an organic light-emitting device including the heterocyclic compound. The organic light-emitting devices using the heterocyclic compounds have high-efficiency, low driving voltage, high luminance and long lifespan. | 02-10-2011 |
20110031486 | EVAPORATION MASK, METHOD OF FABRICATING ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME, AND ORGANIC ELECTROLUMINESCENT DEVICE - An evaporation mask, a method of manufacturing an organic electroluminescent device using the evaporation mask, and an organic electroluminescent device manufactured by the method are provided. The evaporation mask is formed of a thin film and is drawn taut by application of tension. The evaporation mask includes at least one mask unit, the mask unit including a plurality of main apertures, and a plurality of first dummy apertures formed adjacent to outermost ones of the main apertures in a direction in which tension is applied to the evaporation mask. | 02-10-2011 |
20110031487 | COMPOUND FOR ORGANIC THIN-FILM TRANSISTOR AND ORGANIC THIN-FILM TRANSISTOR USING THE COMPOUND - A compound for an organic thin film transistor having a structure shown by the following formula (1): | 02-10-2011 |
20110031488 | COMPOUND FOR ORGANIC THIN-FILM TRANSISTOR AND ORGANIC THIN-FILM TRANSISTOR USING THE COMPOUND - A compound for an organic thin film transistor having a structure shown by the following formula (1): | 02-10-2011 |
20110037054 | AMOLED WITH CASCADED OLED STRUCTURES - An active matrix organic light emitting display includes a plurality of pixels with each pixel including at least one organic light emitting diode circuit. Each diode circuit producing a predetermined amount of light lm in response to power W applied to the circuit and including n organic light emitting diodes cascaded in series so as to increase voltage dropped across the cascaded diodes by the factor of n, where n is an integer greater than one. Each diode of the n organic light emitting diodes produces approximately 1/n of the predetermined amount of light lm so as to reduce current flowing in the diodes by 1/n. The organic light emitting diode circuit of each pixel includes a thin film transistor current driver with the cascaded diodes connected in the source/drain circuit so the current driver provides the current flowing in the diodes. | 02-17-2011 |
20110037055 | Flexible Optoelectronic Device Having Inverted Electrode Structure and Method for Making the same - A flexible optoelectronic device having inverted electrode structure is disclosed. The flexible optoelectronic device having inverted electrode structure includes a flexible plastic substrate having a cathode structure, an n-type oxide semiconductor layer, an organic layer, and an anode. The n-type oxide semiconductor layer is disposed on the cathode structure. The organic layer is disposed on the n-type oxide semiconductor layer. The anode is electrically connected with the organic layer. | 02-17-2011 |
20110037056 | PHOTOACTIVE COMPOSITION AND ELECTRONIC DEVICE MADE WITH THE COMPOSITION - There is provided a photoactive composition including: (a) a first host material having a HOMO energy level shallower than or equal to −5.6 eV and having a Tg greater than 95° C.; (b) a second host material having a LUMO deeper than −2.0 eV; and (c) an electroluminescent dopant material. The weight ratio of first host material to second host material is in the range of 99:1 to 1.5:1. | 02-17-2011 |
20110037057 | DEUTERATED COMPOUNDS FOR ELECTRONIC APPLICATIONS - This invention relates to deuterated aryl-anthracene compounds that are useful in electronic applications. It also relates to electronic devices in which the active layer includes such a deuterated compound. | 02-17-2011 |
20110037058 | OPTOELECTRONIC DEVICE - The present invention relates to an opto-electronic device comprising a layer comprising a polymer containing fluorine-containing groups, where an adhesive fluorine-fluorine interaction exists at least between some of the fluorine-containing groups of the layer. The invention is furthermore directed to the use of the opto-electronic device and to a process for the production thereof. | 02-17-2011 |
20110037059 | ELECTRO-OPTIC APPARATUS, ELECTRONIC DEVICE, AND METHOD FOR MANUFACTURING ELECTRO-OPTIC APPARATUS - An electro-optic apparatus has an electro-optic panel, driver semiconductor chips bonded onto the terminal portion of the electro-optic panel, and two protection films either or both of which are transparent, wherein the electro-optic panel is sealed by being sandwiched between the two protection films, and one protection film that covers the terminal portion has openings for exposing the driver semiconductor chips. | 02-17-2011 |
20110037060 | ORGANIC LIGHT EMITTING DEVICE - Embodiments of the present invention are directed to a heterocyclic compound and an organic light-emitting device including the heterocyclic compound. The organic light-emitting devices using the heterocyclic compounds have high-efficiency, low driving voltage, high luminance and long lifespan. | 02-17-2011 |
20110037061 | Light Emitting Device, Electronic Equipment and Apparatus For Manufacturing the Same - To provide an aspect of a novel display device using a light emitting element which is composed of a cathode, an EL layer and an anode, and a manufacturing device of the display device. According to the present invention, dual-sided emission display can be performed in one sheet white color light emitting panel | 02-17-2011 |
20110037062 | ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device (organic EL device) which can achieve high efficiency and long lifetime even when driven at low voltage. The organic El device comprises at least a light-emitting layer and an electron-transporting layer between an anode and a cathode facing each other. The electron-transporting layer consists of two layers, namely, a first electron-transporting layer and a second electron-transporting layer and the first electron-transporting layer and the second electron-transporting layer are arranged sequentially in this order from the light-emitting layer side to the cathode side. The first electron-transporting layer contains an indole derivative in which the ring nitrogen atom is substituted with an aromatic group and an aromatic ring is fused to the indole ring. | 02-17-2011 |
20110037063 | NOVEL MATERILS FOR ORGANIC ELECTROLUMINESCENCE DEVICE - The present invention relates to a compound of the formula (1) and (2) | 02-17-2011 |
20110037064 | ELECTRONIC DEVICE - The invention relates to an organic electronic (OE) device, in particular a transistor, comprising an interlayer between the gate insulator and the gate electrode, to novel processes for preparing the device, and to dielectric materials for use in the interlayer. | 02-17-2011 |
20110037065 | DEVICE COMPRISING POSITIVE HOLE INJECTION TRANSPORT LAYER, METHOD FOR PRODUCING THE SAME AND INK FOR FORMING POSITIVE HOLE INJECTION TRANSPORT LAYER - A device having an easy production process and capable of achieving a long lifetime. The device has a substrate, two or more electrodes facing each other disposed on the substrate and a positive hole injection transport layer disposed between two electrodes among the two or more electrodes. The positive hole injection transport layer contains a reaction product of a molybdenum complex or tungsten complex. | 02-17-2011 |
20110037066 | ORGANIC PHOTOELECTRIC CONVERSION ELEMENT AND MANUFACTURING METHOD THEREOF - An organic photoelectric conversion element comprising a plurality of active layers and a junction positioned between the active layers which are laminated between a pair of electrodes, wherein the junction is formed from a plurality of layers including a positive hole transport layer, and the positive hole transport layer is formed first by a coating method when the junction is formed. | 02-17-2011 |
20110042651 | LIQUID CRYSTALLINE RYLENE TETRACARBOXYLIC ACID DERIVATIVES AND USE THEREOF - The present invention relates to liquid-crystalline rylenetetracarboxylic acid derivatives, to processes for their preparation and to their use as n-type organic semiconductors for producing organic field-effect transistors and solar cells. | 02-24-2011 |
20110042652 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 02-24-2011 |
20110042653 | Near-Infrared Absorbing Film Compositions - A curable liquid formulation containing at least (i) one or more near-infrared absorbing triphenylamine-based dyes, and (ii) one or more casting solvents. The invention is also directed to solid near-infrared absorbing films composed of crosslinked forms of the curable liquid formulation. The invention is also directed to a microelectronic substrate containing a coating of the solid near-infrared absorbing film as well as a method for patterning a photoresist layer coated on a microelectronic substrate in the case where the near-infrared absorbing film is between the microelectronic substrate and a photoresist film. | 02-24-2011 |
20110042654 | Organic compound, and organic photoelectric device comprising the same - Disclosed is an organic compound represented by the following Chemical Formula 1 that easily dissolves in an organic solvent, and that is applicable as a host material of an emission layer of an organic photoelectric device since it emits fluorescence and phosphorescence at a red wavelength through a blue wavelength. | 02-24-2011 |
20110042655 | AROMATIC ELECTROLUMINESCENT COMPOUNDS WITH HIGH EFFICIENCY AND ELECTROLUMINESCENT DEVICE USING THE SAME - The present invention relates to an organic electroluminescent compound including a fusion ring and an organic elecotroluminescent including the same. The organic electroluminescent according to the present invention has an advantage of exhibiting an EL properties superior to existing electroluminescent materials as it has a good thin film stability due to a low crystallization and a satisfactory color purity. | 02-24-2011 |
20110042656 | Electronic Devices and Methods of Making the Same Using Solution Processing Techniques - An electronic device comprising: an electronic substrate comprising circuit elements; a double bank well-defining structure disposed over the electronic substrate, the double bank well-defining structure comprising a first layer of insulating material and a second layer of insulating material thereover, the second layer of insulating material having a lower wettability than the first layer of insulating material; and organic semiconductive material disposed in wells defined by the double bank well-defining structure. | 02-24-2011 |
20110042657 | High Efficiency Electroluminescent Devices and Methods for Producing the Same - Aspects of the disclosure include electroluminescent devices and methods for making the same. The devices include a substrate, a hole-injection electrode layer, an electroluminescent layer, and an electron-injection electrode layer, such as a layer that includes an air-stable, low work function material, which layer is capable of achieving efficient electron injection with reduced current leakage. In certain embodiments, the devices may contain an efficient electron injection layer that includes a composition comprising a polymer, e.g., a polymer that contains polar components (such as a polar functional group), and a metal diketonate. In certain embodiments, the devices may contain an electron injection layer that includes polyethylene glycol dimethyl ether and barium or calcium acetylacetonate. Methods of manufacturing such devices, for instance, employing a solution processing step for the deposition of an electron injection layer, as well as the use of the produced device(s) in electroluminescent displays is also provided herein. | 02-24-2011 |
20110042658 | ANTHANTHRENE BASED COMPOUND AND SEMICONDUCTOR DEVICE - An anthanthrene based compound of the structural formula (1) is disclosed: | 02-24-2011 |
20110042659 | THIN FILM DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY DEVICE BY USING THE APPARATUS, AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE MANUFACTURED BY USING THE METHOD - A thin film deposition apparatus that includes a thin film deposition assembly incorporating: a deposition source that discharges a deposition material; a deposition source nozzle unit that is disposed at a side of the deposition source and includes a plurality of deposition source nozzles arranged in a first direction; a patterning slit sheet that is disposed opposite to the deposition source nozzle unit and includes a plurality of patterning slits arranged in the first direction; and a barrier plate assembly including a plurality of barrier plates that are disposed between the deposition source nozzle unit and the patterning slit sheet in the first direction, and partition a space between the deposition source nozzle unit and the patterning slit sheet into a plurality of sub-deposition spaces, wherein each of the barrier plates is separate from the patterning slit sheet. | 02-24-2011 |
20110042660 | ORGANIC LUMINESCENT MEDIUM AND ORGANIC EL DEVICE - Provided is an organic luminescent medium containing a specific diaminopyrene derivative and a specific anthracene derivative. Also provided are an organic electroluminescence device capable of emitting light having a short wavelength (such as blue light) with high luminous efficiency and having a long lifetime by having such constitution that the organic EL device contains one or more organic thin film layers including a light emitting layer between a cathode and an anode, and at least one layer of the organic thin film layers contains the organic luminescent medium, and an organic luminescent medium that can be used in an organic thin film layer of the organic EL device. | 02-24-2011 |
20110042661 | CONJUGATED POLYMER, INSOLUBILIZED POLYMER, ORGANIC ELECTROLUMINESCENCE ELEMENT MATERIAL, COMPOSITION FOR ORGANIC ELECTROLUMINESCENCE ELEMENT, POLYMER PRODUCTION PROCESS, ORGANIC ELECTROLUMINESCENCE ELEMENT, ORGANIC EL DISPLAY AND ORGANIC EL LIGHTING - An object of the present invention is to provide a conjugated polymer which has a high hole transportability and is excellent in solubility and depositability. Another object of the present invention is to provide an organic electroluminescence element which is capable of low voltage driving and has a high luminous efficiency and drive stability. The conjugated polymer of the present invention is a conjugated polymer containing a specific structure as the repeating unit, where the conjugated polymer contains an insolubilizing group as a substituent, the weight average molecular weight (Mw) is 20,000 or more and the dispersity (Mw/Mn) is 2.40 or less. | 02-24-2011 |
20110042662 | ORGANIC ELECTROLUMINESCENCE ELEMENT, AND METHOD FOR PRODUCTION THEREOF - Disclosed is an organic electroluminescence element comprising at least an anode, a light-emitting layer, an intermediate layer and a cathode laminated sequentially in this order, wherein the intermediate layer comprises a salt of an acid of at least one metal selected from the group consisting of molybdenum, tungsten, vanadium, tantalum, titanium and metals belonging to Group IIb and at least one alkali metal selected from the group consisting of potassium, rubidium and cesium. | 02-24-2011 |
20110042663 | DIBENZO[c,g]FLUORENE COMPOUND AND AN ORGANIC LIGHT-EMITTING DEVICE USING THE SAME - An organic light-emitting device which has high emission efficiency and high durability even at low drive voltage is provided. An organic light-emitting device including an anode, a cathode, and an organic compound layer which is interposed between the anode and the cathode, wherein the organic compound layer include at least one dibenzo[c,g]fluorene compound represented by the following General Formula (1). | 02-24-2011 |
20110042664 | CHARGE-TRANSPORTING POLYMER COMPOUND AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING THE SAME - A charge-transporting polymer including a unit derived from at least one polymerizable compound represented by formula (1), formula (2) and formula (3): | 02-24-2011 |
20110042665 | ORGANIC PHOTOELECTRIC CONVERSION ELEMENT AND MANUFACTURING METHOD THEREFOR - An organic photoelectric conversion element including and anode, a cathode, an active layer disposed between the anode and the cathode, and a functional layer disposed between the active layer and the anode so as to contact the anode, wherein the functional layer is formed by a coating method using a solution having a pH of 5 to 9, and the anode is formed by a coating method. | 02-24-2011 |
20110049477 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 03-03-2011 |
20110049478 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 03-03-2011 |
20110049479 | BENZO[a]FLUORANTHENE COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - Provided are a novel benzo[a]fluoranthene compound and an organic light emitting device having extremely good light emitting efficiency, extremely good luminance, and durability. The benzo[a]fluoranthene compound is represented by the following general formula (I): | 03-03-2011 |
20110049480 | Organic semiconductor polymer and transistor including the same - An organic semiconductor polymer and transistor are provided, the organic semiconductor polymer is represented by the following Chemical Formula (1) | 03-03-2011 |
20110049481 | OPTOELECTRONIC DEVICE - An optoelectronic device including a first electrode, an active layer disposed on the first electrode, a second electrode disposed on the active layer, and a self-assembled monolayer interposed between the first electrode and the active layer, interposed between the active layer and the second electrode, or disposed inside the active layer, wherein the self-assembled monolayer includes a first compound and a second compound having different functional groups from each other. | 03-03-2011 |
20110049482 | Novel aromatic compound and organic electroluminescent element containing the same - A novel aromatic compound having an anthracene skeleton structure and an asymmetric molecular structure; and an organic electroluminescence device which comprises a cathode, an anode and an organic thin film layer comprising at least one layer containing a light emitting layer and sandwiched between the cathode and the anode in which at least one layer in the organic thin film layer contains the above novel aromatic compound singly or as a component of a mixture. The organic electroluminescence device exhibits a great luminance of emitted light, a great efficiency of light emission and a high purity of color, emits bluish light, is excellent in stability at high temperatures and has a long life. The organic electroluminescence device can be provided by utilizing the novel aromatic compound. | 03-03-2011 |
20110049483 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device including opposite anode and cathode, and a hole-transporting region, an emitting layer and an electron-transporting region in sequential order from the anode between the anode and the cathode, wherein the emitting layer includes a red emitting portion, a green emitting portion, and a blue emitting portion; the blue emitting portion includes a host BH and a fluorescent dopant FBD; the triplet energy E | 03-03-2011 |
20110049484 | HETEROARYLAMINE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Embodiments of the present invention are directed to heteroarylamine compounds and organic light-emitting devices including the heteroarylamine compounds. The organic light-emitting devices using the heteroarylamine compounds have high-efficiency, low driving voltages, high luminance and long lifespans. | 03-03-2011 |
20110049485 | ORGANIC LIGHT-EMITTING DEVICE - Embodiments of the present invention are directed to a heterocyclic compound and an organic light-emitting device including the heterocyclic compound. The organic light-emitting devices using the heterocyclic compounds have high-efficiency, low driving voltages, high luminance and long lifespans. | 03-03-2011 |
20110049486 | ORGANIC LIGHT-EMITTING DEVICE - Embodiments of the present invention are directed to a heterocyclic compound and an organic light-emitting device including the heterocyclic compound. The organic light-emitting devices using the heterocyclic compounds have high-efficiency, low driving voltages, high brightness and long lifespans. | 03-03-2011 |
20110049487 | HETEROARYLAMINE COMPOUND AND ORGANIC LUMINESCENCE DEVICE USING THE SAME - Embodiments of the present invention are directed to heteroarylamine compounds and organic luminescence devices including the heteroarylamine compounds. The organic luminescence devices using the heteroarylamine compounds have high-efficiency, low driving voltages, high luminance and long lifetimes. | 03-03-2011 |
20110049488 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Embodiments of the present invention are directed to heterocyclic compounds and organic light-emitting devices including the heterocyclic compounds. The organic light-emitting devices using the heterocyclic compounds have high-efficiency, low driving voltages, high luminance and long lifespans. | 03-03-2011 |
20110049489 | Top-Gate Bottom-Contact Organic Transistor - Top-gate, bottom-contact organic thin film transistors are provided. The transistors may include metal bilayer electrodes to aid in charge movement within the device. In an embodiment, an organic transistor includes a drain electrode and a source electrode disposed over a first region of a substrate, a transition metal oxide layer disposed over and in direct physical contact with the drain electrode and the source electrode, an organic preferentially hole conducting channel layer disposed over the metal oxide and between the drain electrode and the source electrode, and a gate electrode disposed over the channel. | 03-03-2011 |
20110049490 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Embodiments of the present invention are directed to heterocyclic compounds and organic light-emitting devices including the heterocyclic compounds. The organic light-emitting devices using the heterocyclic compounds have high-efficiency, low driving voltages, high luminance and long lifespans. | 03-03-2011 |
20110049491 | METHOD FOR MANUFACTURING A MULTI-LAYER STACK STRUCTURE WITH IMPROVED WVTR BARRIER PROPERTY - A method and apparatus for manufacturing a multi-layer stack structure ( | 03-03-2011 |
20110049492 | PHOTOELECTRIC CONVERSION ELEMENT AND IMAGING DEVICE - A photoelectric conversion element includes, in the following order: a substrate; a lower electrode containing titanium nitride; an organic layer including a photoelectric conversion layer; and an upper electrode containing a transparent electrode material. | 03-03-2011 |
20110049493 | ORGANIC LIGHT EMITTING DIODE LIGHTING EQUIPMENT - An organic light emitting diode lighting equipment includes a transparent substrate main body, a first electrode formed on the substrate main body, a subsidiary electrode formed on the first electrode to partition the first electrode at a predetermined distance, an organic emissive layer formed on the first electrode, and a second electrode formed on the organic emissive layer. The subsidiary electrode has an inclined lateral side facing toward the organic emissive layer. | 03-03-2011 |
20110049494 | HETEROARYLAMINE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Embodiments of the present invention are directed to heteroarylamine compounds and organic light-emitting devices including the heteroarylamine compounds. The organic light-emitting devices using the heteroarylamine compounds have high-efficiency, low driving voltages, high luminance and long lifespans. | 03-03-2011 |
20110049495 | QUINOXALINE DERIVATIVES AND ORGANIC LIGHT-EMITTING DIODES COMPRISING THE SAME - A quinoxaline derivative represented by Formula (I) or (II) is provided. | 03-03-2011 |
20110049496 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device material comprising a metal complex having a neopentyl group, for example, as shown below; and an organic electroluminescence device comprising a substrate having thereon a pair of electrodes and at least one organic layer between the electrodes, the organic layer containing a light emitting layer, wherein any one of the organic layer contains the organic electroluminescence device material. | 03-03-2011 |
20110049497 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE - A material for an organic electroluminescence device, includes: an organic material that is to be provided for a film formation of any of at least one organic layer included in the organic electroluminescence device, the organic material having a water content before the film formation, as measured by the Karl Fischer method, of 100 ppm or more and not more than 1,000 ppm. | 03-03-2011 |
20110049498 | ORGANIC ELECTROLUMINESCENCE DEVICE, METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE DEVICE, DISPLAY APPARATUS AND ILLUMINATION APPARATUS - An organic electroluminescence device, having a pair of electrodes, and an organic layer containing multiple light emitting layers provided between a pair of electrodes, wherein at least one of the multiple light emitting layers is formed by coating a liquid containing ingredients to constitute the layer in a state of solution or dispersion in an organic solvent through the use of a spraying method. | 03-03-2011 |
20110049499 | TRANSITION METAL COMPLEXES COMPRISING CARBENE LIGANDS SERVING AS EMITTERS FOR ORGANIC LIGHT-EMITTING DIODES (OLED'S) - Use of transition metal complexes of the formula (I) in organic light-emitting diodes | 03-03-2011 |
20110049500 | TRANSITION METAL COMPLEXES COMPRISING CARBENE LIGANDS SERVING AS EMITTERS FOR ORGANIC LIGHT-EMITTING DIODES (OLED'S) - Use of transition metal complexes of the formula (I) in organic light-emitting diodes | 03-03-2011 |
20110049501 | TRANSITION METAL COMPLEXES COMPRISING CARBENE LIGANDS SERVING AS EMITTERS FOR ORGANIC LIGHT-EMITTING DIODES (OLED'S) - Use of transition metal complexes of the formula (I) in organic light-emitting diodes | 03-03-2011 |
20110049502 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device includes a substrate; a gate electrode disposed on the substrate, the gate electrode including a first portion of a metal oxide layer and a metal layer; a pixel electrode disposed on the substrate so as to be insulated from the gate electrode, the pixel electrode including a second portion of the metal oxide layer; a gate insulating layer disposed on the substrate to cover the gate electrode; a semiconductor layer disposed on the gate insulating layer, the semiconductor layer including a channel region corresponding to the gate electrode, and first and second regions disposed outside the channel region; a first electrode connected to the first region of the semiconductor layer; a second electrode connected to the second region of the semiconductor layer and the pixel electrode; an ohmic contact layer disposed between the first region of the semiconductor layer and the first electrode and between the second region of the semiconductor layer and the second electrode; a pixel defining layer disposed on the substrate to cover the first electrode, the second electrode, the semiconductor layer, and the pixel electrode, the pixel defining layer including an opening through which the pixel electrode is partially exposed; an organic light-emitting layer disposed on the pixel electrode exposed through the opening; and an opposite electrode covering the organic light-emitting layer. | 03-03-2011 |
20110049503 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device includes a substrate, a low dielectric constant layer formed on the substrate, a first protection insulating layer formed on the low dielectric constant layer, and a trench with an interconnect embedded in formed in the first protection insulating layer and the low dielectric constant layer. The sidewall of the trench has a structure that the surface of the first protection insulating layer protrudes from the surface of the low dielectric constant layer, a second protection insulating layer formed by a chemical vapor deposition technique is embedded at the surface of the low dielectric constant layer in an area below the first protection insulating layer, and the sidewall of the trench is constituted by the second protection insulating layer and the first protection insulating layer. | 03-03-2011 |
20110049504 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element including an anode, a cathode, an active layer formed between the anode and the cathode, and a functional layer formed between the active layer and the cathode, wherein the functional layer is formed by application of a dispersion liquid containing titanium dioxide particles dispersed therein. | 03-03-2011 |
20110057170 | SOLUTION PROCESSED ELECTRONIC DEVICES - There is provided a process for forming an organic electronic device. The process includes the steps of providing a TFT substrate; | 03-10-2011 |
20110057171 | Long lifetime Phosphorescent Organic Light Emitting Device (OLED) Structures - An organic light emitting device is provided having an emissive layer with an internal interface. The concentration of a second phosphorescent material in a second organic layer is different from the concentration of a first phosphorescent material in a first organic layer, creating the interface. The materials in the first and second organic layers may be the same or different. In addition to this interface within the emissive layer, the device has one or more features designed to mitigate failure mechanisms which may be associated with electrons or excitons passing from the cathode through the emissive layer to damage organic layers on the anode side of the emissive layer. In addition, devices are provided having an interface within the emissive layer as described above, and a lower energy emissive material on at least one side of the interface. | 03-10-2011 |
20110057172 | Filler for sealing organic light emmiting device and method for manufacturing the organic light emmiting device using the same - A sealing filler for an organic light emitting device display includes a siloxane polymer having a surface tension of about 20 dyn/cm or less. The siloxane polymer may be represented by | 03-10-2011 |
20110057173 | DEUTERATED COMPOUNDS FOR ELECTRONIC APPLICATIONS - This invention relates to deuterated aryl-anthracene compounds that are useful in electronic applications. It also relates to electronic devices in which the active layer includes such a deuterated compound. | 03-10-2011 |
20110057174 | SEALING MATERIAL AND DISPLAY DEVICE USING THE SAME - Disclosed are a sealing material including an inorganic particle, an organic binder, and a fiber-phased material, and a display device including the seal material. | 03-10-2011 |
20110057175 | ORGANIC LIGHT EMITTING DEVICE - Embodiments of the present invention are directed to a heterocyclic compound and an organic light-emitting device including the heterocyclic compound. The organic light-emitting devices using the heterocyclic compounds have high-efficiency, low driving voltage, high luminance and long lifespan. | 03-10-2011 |
20110057176 | ORGANIC LUMINESCENT DEVICE AND A PRODUCTION METHOD FOR THE SAME - Disclosed is an organic light emitting device comprising a first electrode, two or more organic compound layers, and a second electrode, wherein the first electrode comprises a conductive layer and an n-type organic compound layer which is in contact with the conductive layer, one of the organic compound layers interposed between the n-type organic compound layer of the first electrode and the second electrode is a p-type organic compound layer forming an NP junction together with the n-type organic compound layer of the first electrode, energy levels of the layers satisfy the following Expressions (1) and (2), and one or more layers interposed between the p-type organic compound layer and the second electrode are n-doped with alkali earth metal: | 03-10-2011 |
20110057177 | ORGANIC LIGHT EMITTING DEVICE - Embodiments of the present invention are directed to a heterocyclic compound and an organic light-emitting device including the heterocyclic compound. The organic light-emitting devices using the heterocyclic compounds have high-efficiency, low driving voltage, high luminance and long lifespan. | 03-10-2011 |
20110057178 | Light-Emitting Element, Light-Emitting Device, and Method for Manufacturing the Same - Disclosed is a light-emitting element which includes a first light-emitting layer over and in contact with a hole-transport layer and a second light-emitting layer over and in contact with the first light-emitting layer. The first and the second light-emitting layers contain a bipolar host material and an emissive guest material. The guest material in the first light-emitting layer has a lower ability for capturing a hole than a guest material in the second light-emitting layer; therefore, the hole-transport property of the first light-emitting layer can be controlled to be higher than that of the second light-emitting layer. The difference in hole-transport property between the first and second light-emitting layers allows a recombination region to be widely spread in the light-emitting layers. An anti-reducing material may be provided in the hole-transport layer, which prevents the hole-transport layer from being reduced by electrons which fail to undergo recombination in the light-emitting layers. | 03-10-2011 |
20110057179 | Light-Emitting Element, Light-Emitting Device, Lighting Device, and Electronic Device - A light-emitting element is provided, in which n (n is a natural number of two or more) EL layers are provided between an anode and a cathode. Between the m-th (in is a natural number, 1≦m≦n−1) EL layer and the (m+1)-th EL layer, a first layer containing any of an alkali metal, an alkaline earth metal, a rare earth metal, an alkali metal compound, an alkaline earth metal compound, and a rare earth metal compound, a second layer containing a substance having high electron-transport properties in contact with the first layer, and a charge-generation layer containing a substance having high hole-transport properties and an acceptor substance in contact with the second layer are provided in this order over the anode. The charge-generation layer does not have a peak of an absorption spectrum in a visible light region. | 03-10-2011 |
20110057180 | ORGANIC LIGHT-EMITTING DIODE - According to one embodiment, an organic light-emitting diode includes an anode and a cathode arranged apart from each other, an emission layer, arranged between the anode and cathode, containing a host material of polyvinyl(2,7-difluorocarbazole), a blue-emitting phosphorescent material, and an electron transport material, and a hole transport layer of polyvinylcarbazole arranged adjacent to the emission layer on an anode side. | 03-10-2011 |
20110057181 | Organic light emitting diode display - An organic light emitting diode (OLED) display includes a substrate main body, a thin film transistor formed on the substrate main body, and an OLED formed on the substrate main body. The thin film transistor includes a gate electrode, an oxide semiconductor layer disposed on the gate electrode in an insulated manner, and source and drain electrodes respectively contacting the oxide semiconductor layer. Parts of the source and drain electrodes contacting the oxide semiconductor layer are separated from the gate electrode in a direction that is parallel with the substrate main body by a predetermined distance. | 03-10-2011 |
20110057182 | ANTHRACENE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME - Provided are a novel anthracene derivative and an organic light-emitting device using the same, and more particularly, an anthracene derivative having a core (e.g., an indenoanthracene core) where an anthracene moiety with excellent device characteristics is fused with a fluorene moiety or the like with excellent fluorescent properties, wherein an aryl group is introduced at the core, and an organic light-emitting device using the anthracene derivative, which is enhanced in efficiency, operating voltage, lifetime, etc. | 03-10-2011 |
20110057183 | LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND MANUFACTURING METHOD OF LIGHT-EMITTING DEVICE - The present invention provides a light-emitting element and a light-emitting device which have high contrast, and specifically, provides a light-emitting device whose contrast is enhanced, not by using a polarizing plate but using a conventional electrode material. Reflection of external light is suppressed by provision of a light-absorbing layer included between a non-light-transmitting electrode and a light-emitting layer. As the light-absorbing layer, a layer is used, which is obtained by adding a halogen atom into a layer including an organic compound and a metal oxide. Further, the light-absorbing layer is formed also over a region in which a thin film transistor for driving a light-emitting element is formed, a region in which a wiring is formed, and the like, and thus light is extracted from the side opposite to the region in which the TFT is formed, thereby reducing reflection of external light. | 03-10-2011 |
20110057184 | COMPOUND FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device (organic EL device) that is improved in the luminous efficiency, fully secured of the driving stability, and of a simple structure and disclosed also is a compound useful therefor. The organic EL device comprises a light-emitting layer disposed between an anode and a cathode piled one upon another on a substrate and the said light-emitting layer comprises a phosphorescent dopant and an indolocarbazole derivative as a host material. The indolocarbazole derivative is represented by the following formula (3) wherein Ar is an aromatic group and L is a direct bond or an aromatic group. | 03-10-2011 |
20110062424 | POLYMER COMPOSITIONS COMPRISING ADDITIVE BLOCK COPOLYMERS - Disclosed herein are some embodiments related to a polymer composition comprising a base polymer and a block copolymer additive. Laminated constructs, methods of preparing the polymer compositions and the laminate constructs, and devices related thereto are also disclosed. | 03-17-2011 |
20110062425 | ORGANIC EL ELEMENT - An organic EL element includes an anode and a cathode, and an organic compound layer between the anode and the cathode, the organic compound layer including a light-emitting sublayer, wherein the light-emitting sublayer contains a host, a metal complex acting as a first dopant, and a metal complex acting as a second dopant, the metal complex acting as the first dopant includes an unconjugated ligand and a conjugated ligand, and the first dopant has the lowest excited triplet level originating from the lowest excited triplet level of a unconjugated ligand. | 03-17-2011 |
20110062426 | SUBSTITUTED OLIGO- OR POLYTHIOPHENES - A process for the preparation of a substituted 2,2′-dithiophene is described, which process comprises the steps (a), (c) and optional steps (b) and (d): a reaction of a compound of the formula: with a suitable lithium organic compound, preferably Li-alkyl or Li-alkylamide; b) optional exchange of lithium against another metal selected from Mg1 Zn and Cu; c) reaction of the metallated intermediate obtained in step (a) or (b) with a suitable electrophil, which is CO | 03-17-2011 |
20110062427 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting display device (OLED) suppressing a resonance effect and having an enhanced luminance, and a method of fabricating the same, are disclosed. One embodiment of the OLED includes: a substrate; a first electrode disposed over the substrate and having a reflective layer; an organic layer disposed over the first electrode and having a white emission layer; a second electrode disposed over the organic layer; and a transmittance controlled layer (TCL) disposed over the second electrode and having an optical path length of about 260 to about 1520 Å. | 03-17-2011 |
20110062428 | Anthracene Derivative, and Light-Emitting Element, Light-Emitting Device, Electronic Device Using Anthracene Derivative - An object is to provide a novel anthracene derivative. Another object is to provide a light-emitting element with high luminous efficiency. Yet another object is to provide a light-emitting element with a long lifetime. Still another object is to provide a light-emitting device and an electronic device having a long lifetime by using the light-emitting elements of the present invention. The anthracene derivative represented by General Formula (1) is provided. The ability of the anthracene derivative represented by General Formula (1) to exhibit high luminous efficiency allows the production of a light-emitting element with high luminous efficiency and a long lifetime. | 03-17-2011 |
20110062429 | COMPOUND FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device (organic EL device) that is improved in the luminous efficiency, fully secured of the driving stability, and of a simple structure and also disclosed is a compound for organic EL device useful for the said device. The compound for organic EL device is, for example, an indolocarbazole derivative represented by the following general formula (3). The organic EL device comprises a light-emitting layer disposed between an anode and a cathode piled one upon another on a substrate and the said light-emitting layer comprises a phosphorescent dopant and the aforementioned indolocarbazole derivative as a host material. In general formula (3), L is an aromatic heterocyclic group of a fused-ring structure with a valence of (n+1), Ar | 03-17-2011 |
20110062430 | BLUE LIGHT EMITTING NANOMATERIALS AND SYNTHESIS THEREOF - Methods for the production of a blue light emitting nanomaterial are provided comprising nitriding Group 13 metals to produce nitrided Group 13 metals and doping the nitrided Group 13 metals with a dopant, particularly an M | 03-17-2011 |
20110068327 | ORGANIC ELECTROLUMINESCENCE ELEMENT INCLUDING METAL DOPED MOLYBDENUM OXIDE LAYER AND METHOD FOR PRODUCING THE SAME - It is an object of the present invention to provide an organic electroluminescence element which can be easily produced and has a good light-emitting property and a good lifetime property, and a method for producing the same. | 03-24-2011 |
20110068328 | HALOGEN-CONTAINING PERYLENETETRACARBOXYLIC ACID DERIVATIVES AND THE USE THEREOF - The invention relates to compounds of the formula (I) | 03-24-2011 |
20110068329 | OPTOELECTRONIC DEVICE - The present invention relates to an opto-electronic device comprising a first layer and a second layer on a substrate, characterised in that the first layer comprises an electrode material containing fluorine-containing groups and the second layer comprises a polymer containing fluorine-containing groups, where an adhesive fluorine-fluorine interaction exists between some of the fluorine-containing groups of the first layer and of the second layer. The invention furthermore relates to the use of the opto-electronic device and to a process for the production of the opto-electronic device according to the invention. | 03-24-2011 |
20110068330 | LIGHT EMITTING DEVICE - According to one embodiment, a light emitting device includes a first reflective layer, a first light emitting element, a second reflective layer and a second light emitting element stacked in this order. The first reflective layer is configured to reflect light in a first wavelength band. The first light emitting element is configured to emit the light in the first wavelength band. The second reflective layer has transmittance for the light in the first wavelength band being higher than transmittance for light in a second wavelength band different from the first wavelength band. The second light emitting element is configured to emit the light in the second wavelength band. | 03-24-2011 |
20110068331 | ORGANIC LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting device comprises a first substrate, a first electrode formed on the first substrate, a hole transporting layer formed on the first electrode, a light emitting layer formed on the hole transporting layer, a second electrode formed on the light emitting layer; and a mixture layer formed between the hole transporting layer and the light emitting layer, where the mixture layer including a hole transporting functioning material, wherein the hole transporting functioning material and the light emitting functioning material have concentration gradient respectively. | 03-24-2011 |
20110068332 | Hybrid Dielectric Material for Thin Film Transistors - Thin-film transistors are made using a hybrid silica-silicone material as an insulating material. The hybrid silica-silicone material may be deposited by plasma-enhanced chemical vapor deposition from siloxanes and oxygen. These hybrid materials may be employed as the gate dielectric, as a subbing layer, and/or as a back channel passivating layer. The transistors may be made in any conventional TFT geometry. | 03-24-2011 |
20110073843 | Organic Light Emitting Display and Process for its Manufacturing - An organic electro-luminescent display is provided, including a thin layer ( | 03-31-2011 |
20110073844 | White Organic Light Emitting Device - A white organic light emitting device having a stack structure of blue fluorescence and red/green phosphorescence is disclosed, in which efficiency of the blue fluorescence is improved to increase lifespan of the white organic light emitting device, color quality is improved, and power consumption is reduced. | 03-31-2011 |
20110073845 | Organic Electroluminescence Device - An organic electroluminescence device includes an organic layer disposed between at least one pair of electrodes, wherein the organic layer includes at least one fluorescent compound selected from compounds represented by the following general formulae (1) and (2): | 03-31-2011 |
20110073846 | ORGANIC ELECTROLUMINESCENT ELEMENT, METHOD FOR MANUFACTURING THE ORGANIC ELECTROLUMINESCENT ELEMENT, AND LIGHT EMITTING DISPLAY DEVICE - Disclosed is an organic electroluminescent element having an element structure that can reduce damage to an organic layer during electrode formation and can facilitate the injection of charges from the electrode into the organic layer. The organic electroluminescent element includes an anode, a cathode, and an organic layer held between the anode and the cathode. The organic layer contains a luminescent material. The organic electroluminescent element further includes a transparent protective layer provided between the anode or the cathode and the organic layer. The transparent protective layer contains a bipolar charge transport organic compound and an electron-accepting compound. The transparent protective layer is formed in a period between after the formation of the organic layer and before the formation of the anode or the cathode on the organic layer. | 03-31-2011 |
20110073847 | LAMINATE, PREPARATORY SUPPORT, METHOD FOR PRODUCING LAMINATE, AND METHOD FOR PRODUCING DEVICE - There are provided a laminate, a preparatory support, a laminate production method and a device production method which make it possible to successfully produce a thin device on a flexible substrate which is likely to bend or break. A laminate | 03-31-2011 |
20110073848 | ORGANIC ELECTROLUMINESCENCE DEVICE - A material for a light emitting device containing a compound represented by the following formula (1): | 03-31-2011 |
20110073849 | METAL COMPLEXES OF CYCLOMETALLATED IMIDAZO[1,2-f ]PHENANTHRIDINE AND DIIMIDAZO[1,2-a:1',2'-c ]QUNIAZOLINE LIGANDS AND ISOELECTRONIC AND BENZANNULATED ANALOGS THEREOF - Compounds comprising phosphorescent metal complexes comprising cyclometallated imidazo[1,2-f]phenanthridine and diimidazo[1,2-a:1′,2′-c]quinazoline ligands, or isoelectronic or benzannulated analogs thereof, are described. Organic light emitting diode devices comprising these compounds are also described. | 03-31-2011 |
20110073850 | ORGANIC ELECTROLUMINESCENT ELEMENT, ILLUMINATOR AND DISPLAY - An organic electroluminescent element containing an anode and a cathode having therebetween a light emitting layer, wherein the light emitting layer contains a guest compound having a substructure represented by the following Formula (A): | 03-31-2011 |
20110073851 | ORGANIC ELECTROLUMINESCENT ELEMENT, ILLUMINATOR AND DISPLAY - An organic electroluminescent element containing an anode and a cathode having therebetween a light emitting layer, wherein the light emitting layer contains a guest compound having a substructure represented by the following Formula (A): | 03-31-2011 |
20110073852 | ORGANIC ELECTROLUMINESCENT DEVICE - A problem of the invention is to provide an organic EL device having a high efficiency, a low driving voltage and a long life, by combining various materials for organic EL device, which are excellent in an injection or transportation performance of holes or electrons, and in stability and durability in a thin film, so as to enable the respective materials to effectively reveal their characteristics. The invention relates to an organic electroluminescent device including at least an anode electrode, a hole-injecting layer, a hole-transporting layer, an emitting layer, an electron-transporting layer and a cathode electrode in this order, in which the hole-injecting layer contains an arylamine compound having, in its molecule, a structure in which three or more triphenylamine structures are connected through a single bond or a hetero atom-free divalent group; and the hole-transporting layer contains an arylamine compound having two triphenylamine structures in its molecule. | 03-31-2011 |
20110073853 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided n organic light-emitting diode luminaire. The luminaire includes a first electrode, a second electrode, and a light-emitting layer therebetween. The light-emitting layer includes a small molecule host material having dispersed therein a first dopant having a first emitted color and a second dopant having a second emitted color. The overall emission color is white. | 03-31-2011 |
20110073854 | POLYMER COMPOUND AND ORGANIC TRANSISTOR USING THE SAME - A polymer compound comprising a repeating unit represented by the formula (I): | 03-31-2011 |
20110079772 | ORGANIC LIGHT-EMITTING DIODE WITH MICROCAVITY INCLUDING DOPED ORGANIC LAYERS AND FABRICATION PROCESS THEREOF - An organic light emitting diode (OLED) emitting light downward through a transparent substrate. The OLED embeds a microcavity formed between a cathode and an anode and includes a plurality of organic layers including a light emitting layer. The plurality of organic layers include at least a first layer made of an organic doped material aimed at enhancing the transport of electrons; and at least a second layer made of an organic doped material aimed at enhancing the transport of holes. The anode is obtained by deposition of a semitransparent layer of silver (Ag) over the transparent substrate to be directly in contact with the first doped organic layer. Then, thicknesses of the first and second doped organic layers can be freely adapted to best adjust the optical characteristics of the microcavity for the wavelength of monochromatic light to be produced by the OLED. | 04-07-2011 |
20110079773 | Selectively Functionalized Rylene Imides and Diimides - Disclosed are new selectively functionalized rylene imides and diimides that can exhibit desirable electronic properties and can possess processing advantages including solution-processability and/or good stability at ambient conditions. | 04-07-2011 |
20110079774 | STACKED ORGANIC LIGHT EMITTING DIODE - The present invention provides a stacked organic light emitting diode that comprises a first electrode; a second electrode; and at least two light emitting units that are located between the first electrode and the second electrode. The light emitting unit satisfies the following energy relation equation, and includes an n-type organic layer and a p-type organic layer that form NP conjunction, and also includes an n-type doped organic layer that is located between the light emitting units: | 04-07-2011 |
20110079775 | Solution Processable Organic Semiconductors - Semiconductor material, compositions containing the semiconductor material, semiconductor devices containing the semiconductor material, and methods of making semiconductor devices containing the semiconductor material are described. More specifically, the semiconductor material is a small molecule semiconductor that is an anthracene-based compound (i.e., anthracene derivative) that is substituted with two silylethynyl groups as well as two electron donating groups. | 04-07-2011 |
20110084252 | ELECTRONIC DEVICE - Electronic devices, such as organic thin film transistors, with improved mobility are disclosed. The semiconducting layer comprises layers or striations of an organic semiconductor and graphene, including alternating layers/striations of such materials. The organic semiconductor and graphene layers interact well together because both materials form lamellar sheets. The presence of graphene enhances mobility by correcting molecular packing defects in the organic semiconductor layers, and the conductivity of graphene can be controlled. Finally, both materials are flexible, allowing for flexible semiconductor layers and transistors. | 04-14-2011 |
20110084253 | ORGANIC LIGHT EMITTING DIODE LIGHTING APPARATUS AND METHOD FOR MANUFACTURING THE SAME - Disclosed herein is an organic light emitting diode lighting apparatus and a method for manufacturing the same. The organic light emitting diode lighting apparatus may include a transparent substrate main body having a plurality of groove lines formed thereon, an auxiliary electrode formed in at least one of the plurality of groove lines, a first electrode formed on the substrate main body so as to contact the auxiliary electrode, an organic emission layer formed on the first electrode and a second electrode formed on the organic emission layer. | 04-14-2011 |
20110084254 | POLYMER AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A polymer and an organic light-emitting device including the polymer. An example of the polymer is | 04-14-2011 |
20110084255 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode and a first layer, wherein the first electrode includes a first element-containing zinc oxide layer and the first layer includes a cyano group-containing compound. | 04-14-2011 |
20110084256 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DIODE INCLUDING ORGANIC LAYER CONTAINING THE CONDENSED-CYCLIC COMPOUND - A condensed-cyclic compound represented by Formula 1 below and an organic light emitting diode including the condensed-cyclic compound: | 04-14-2011 |
20110084257 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode display includes a substrate, a first conductive layer disposed on the substrate, a second conductive layer formed on the first conductive layer, a third conductive layer formed on the first conductive layer or the second conductive layer. A first electrode disposed on the substrate at a display area, the first electrode including at least the first conductive layer, the second conductive layer, and the third conductive layer. An organic emissive layer is disposed on the first electrode. A second electrode is formed on the organic emissive layer. A pad is disposed on the substrate at a pad area neighboring the display area. The pad has the first conductive layer and the third conductive layer surrounding the lateral side of the first conductive layer. | 04-14-2011 |
20110084258 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a substrate; a first electrode on the substrate; a second electrode; an organic layer between the first electrode and the second electrode, the organic layer including an emission layer; and a first layer including a cyano group-containing compound, the first layer being between the first electrode and the emission layer, wherein the first electrode includes an Al-based reflective layer and a transparent conductive layer sequentially stacked on the substrate, the Al-based reflective layer including a first element and nickel (Ni), and the first element includes at least one of lanthanum (La), cerium (Ce), praseodymium (Pr), promethium (Pm), samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb), and lutetium (Lu). | 04-14-2011 |
20110084259 | ORGANIC LIGHT-EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - An OLED including an electron transport layer having multi-layered structure and a method of manufacturing the same, the method including simultaneously reciprocating first and second deposition sources that include different deposition materials, across a substrate. | 04-14-2011 |
20110084260 | THIN FILM TRANSISTOR ARRAY PANEL USING ORGANIC SEMICONDUCTOR AND A METHOD FOR MANUFACTURING THE SAME - The present invention disclosed an organic thin film transistor, an organic thin film transistor array substrate and an organic thin film transistor display. The present invention disclosed organic materials which is proper for the application to a large screen display. The presentation also disclosed structures and a method for manufacturing such an organic thin film transistor, the organic thin film transistor array substrate and the organic thin film transistor display. | 04-14-2011 |
20110084261 | ORGANIC THIN-FILM TRANSISTOR - A bottom-contact type organic thin film transistor comprising at least a gate electrode, an insulator layer, a source electrode, a drain electrode and an organic semiconductor layer, on a substrate, wherein at least one of the source electrode and the drain electrode has a multilayer structure formed by stacking an oxide layer and a metal layer, and the metal layer is surface-modified with an organic thin film layer. | 04-14-2011 |
20110089406 | MULTILAYER HETEROSTRUCTURES FOR APPLICATION IN OLEDS AND PHOTOVOLTAIC DEVICES - This invention relates to a supported polymer heterostructure and methods of manufacture. The heterostructure is suitable for use in a range of applications which require semiconductor devices, including photovoltaic devices and light-emitting diodes. | 04-21-2011 |
20110089407 | ELECTROLUMINISCENT METAL COMPLEXES WITH DIBENZO[F,H] QUINOXALINES - This invention relates to electroluminescent metal complexes of the formula (I), or (II), a process for their preparation, electronic devices comprising the metal complexes and their use in electronic devices, especially organic light emitting diodes (OLEDs), as oxygen sensitive indicators, as phosphorescent indicators in bioassays, and as catalysts. | 04-21-2011 |
20110089408 | Organic Electronic Device and Dopant for Doping an Organic Semiconducting Matrix Material - An organic electronic device includes a substrate, a first electrode arranged on the substrate, at least a first functional organic layer arranged on the first electrode and a second electrode arranged on the first functional organic layer. The first functional organic layer includes a matrix material and a p-dopant with regard to the matrix material, wherein the p-dopant includes a copper complex containing at least one ligand. | 04-21-2011 |
20110089409 | Alternating copolymers of phenylene vinylene and biarylene vinylene, preparation method thereof, and organic thin film transistor comprising the same - Disclosed herein are an alternating copolymer of phenylene vinylene and biarylene vinylene, a preparation method thereof, and an organic thin film transistor including the same. The organic thin film transistor maintains low off-state leakage current and realizes a high on/off current ratio and high charge mobility because the organic active layer thereof is formed of an alternating copolymer of phenylene vinylene and biarylene vinylene. | 04-21-2011 |
20110089410 | Electronic Device Comprising Metal Complexes - The present invention relates to organic electroluminescent devices comprising metal complexes according to the formula (1) and metal complexes for use in organic electroluminescent devices. | 04-21-2011 |
20110089411 | CROSS LINKABLE IONIC COMPOUNDS - Ionic compounds comprising: (a) a cationic radical of a charge transporting compound which has one or more reactive groups; and (b) a counter anion. The reactive functional groups on the cation allow the ionic compound to cross-link with a host charge transport compound. Such ionic compounds may have various properties, such as thermodynamic stability, hole injection/transport capabilities, electrochemical durability, and/or solubility in organic solvents that allows them to be useful in organic electronic devices. Also provided are electronic devices made using the ionic compounds of the present invention, and methods of making an electronic device. | 04-21-2011 |
20110089412 | PATTERNING METHOD, PRODUCTION METHOD OF DEVICE USING THE PATTERNING METHOD, AND DEVICE - Provided is a patterning method, wherein a donor substrate, in which a light-to-heat conversion layer and a division pattern are formed on a substrate and a transferring material exists within said division pattern, is opposed to a device substrate and said transferring material is transferred on the device substrate by irradiating the light-to-heat conversion layer with light so that at least a part of said transferring material and at least apart of said division pattern are simultaneously heated. The patterning method enables large size and highly accurate fine patterning without degrading characteristics of thin films such as organic EL materials. | 04-21-2011 |
20110095269 | ELECTROACTIVE MATERIALS - A compound having Formula I, Formula II, or Formula III: | 04-28-2011 |
20110095270 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 04-28-2011 |
20110095271 | HYBRID ORGANIC LIGHT EMITTING DEVICE - A hybrid organic light-emitting device comprises an anode, a cathode, respective adjacent hole and electron transport layers and an emissive layer therebetween. The electron transport layer comprises a metal oxide as a result of which the cathode may be formed of a transparent conductive oxide and the anode of a high work function metal. The metal oxide used for the electron injection layer may be ZrO | 04-28-2011 |
20110095272 | Organic Memory Array With Ferroelectric Field-Effect Transistor Pixels - An organic non-volatile memory array including multiple pixels and associated signal lines that are disposed on and between a substrate, a single ferroelectric dielectric layer, and a single organic dielectric layer, where each pixel includes a ferroelectric field-effect transistor (FeFET) and at least one organic thin-film field effect transistor (FET) that are connected to associated signal lines in a way that facilitates addressable reading and writing to the FeFET of a selected pixel without disturbing the data stored in adjacent pixels. Analog data storage in the FeFET array is also introduced that does not require analog-to-digital conversion of the stored data. | 04-28-2011 |
20110095273 | DEUTERATED COMPOUNDS FOR LUMINESCENT APPLICATIONS - This invention relates to deuterated compounds that are useful in electroluminescent applications. It also relates to electronic devices in which the active layer includes such a deuterated compound. | 04-28-2011 |
20110095274 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device, which may be configured to prevent moisture or oxygen from penetrating the organic light-emitting display device from the outside is disclosed. An organic light-emitting display device, which is easily applied to a large display device and/or may be easily mass produced is further disclosed. Additionally disclosed is a method of manufacturing an organic light-emitting display device. An organic light-emitting display device may include, for example, a thin-film transistor (TFT) including a gate electrode, an active layer insulated from the gate electrode, source and drain electrodes insulated from the gate electrode and contacting the active layer and an insulating layer disposed between the source and drain electrodes and the active layer; and an organic light-emitting diode electrically connected to the TFT. The insulating layer may include, for example, a first insulating layer contacting the active layer; and a second insulating layer formed of a metal oxide and disposed on the first insulating layer. | 04-28-2011 |
20110095275 | ORGANIC ELECTRONIC DEVICES, COMPOSITIONS, AND METHODS - Organic electronic devices, compositions, and methods are disclosed that employ electrically conductive nanowires and conducting materials such as conjugated polymers such as sulfonated regioregular polythiophenes which provide high device performance such as good solar cell efficiency. Devices requiring transparent conductors that are resilient to physical stresses can be fabricated, with reduced corrosion problems. | 04-28-2011 |
20110095276 | DISPLAY UNIT - A display unit that secures favorable display performance and has a simple structure is provided. The display unit includes a multilayer structure in which an organic light emitting device group respectively having a plurality of organic light emitting devices that emits cyan light and a plurality of organic light emitting devices that emits magenta light and a color filter group having a plurality of blue filters that transmit blue light and a plurality of yellow filters that transmit yellow light are sequentially layered. In the display unit, the cyan light and the magenta light entering from the organic light emitting device group to the color filter group is converted to blue light by the blue filter, and is respectively converted to green light and red light by the yellow filter. Therefore, compared to a case that the organic light emitting device group emits white light, color separation is more facilitated. | 04-28-2011 |
20110095277 | ORGANIC ELECTRONIC DEVICES AND METHODS FOR MANUFACTURING THE SAME - The present invention discloses a method for manufacturing an Organic-Electronic (OE) Device. The method comprises providing at least one lower electrode onto a substrate; providing at least one lower organic layer onto the lower electrode; providing at least one upper electrode onto the lower organic layer to obtain an OE stack; providing a glue, providing a cover, and dicing the OE stack into a plurality of OE dies, and providing a plurality of side-contact electrodes on at least one sidewall of the OE dies. A plurality of voltages is respectively applicable to the plurality of side-contacted electrodes such that the plurality of side-contacted electrodes become electrically connected over the at least one organic layer. | 04-28-2011 |
20110095278 | ORGANIC ELECTROLUMINESCENCE ELEMENT, DISPLAY DEVICE AND LIGHTING DEVICE - In an organic electroluminescence element which incorporates a substrate having thereon an anode and a cathode and which incorporates a plurality of organic layers between the aforesaid anode and cathode, wherein at least one of the aforesaid organic layers is a first organic layer incorporating a compound having at most 10 repeating units, the first organic layer being prepared by coating the compound having at least one polymerizable group, followed by polymerization. | 04-28-2011 |
20110095279 | ORGANIC ELECTROLUMINESCENCE DISPLAY APPARATUS - Provided is an organic electroluminescence display apparatus capable of reducing a chromaticity difference caused by light emission from an organic layer including an emission layer having the same color, which is continuously formed over two sub-pixels included in a pixel. The organic electroluminescence display apparatus includes: a substrate; and multiple organic electroluminescence devices which are stacked on the substrate, each of which includes electrodes and an organic layer sandwiched by the electrodes in which first organic layer and a second organic layer are arranged side by side in an emission region corresponding to a pixel formed on the substrate and a third organic layer is stacked over the first organic layer and the second organic layer through an intermediate electrode. The third organic layer has an emission spectrum peak wavelength which is longer than an emission spectrum peak wavelength of at least one of the first organic layer and the second organic layer. | 04-28-2011 |
20110095280 | NOVEL POLYMERS HAVING LOW POLYDISPERSITY - The present invention relates to novel polymers which comprise one or more recurring units selected from spirobifluorene, indenofluorene, phenanthrene, dihydrophenanthrene, dihydropyrene, tetrahydropyrene and dihydrobenzoxepine derivatives and have low polydispersity and a high molecular weight, to a process for the preparation thereof, to blends and formulations comprising these polymers, and to the use of these polymers in electronic devices, in particular in organic light-emitting diodes, so-called OLEDs (OLED=organic light-emitting diode). | 04-28-2011 |
20110095281 | MATERIALS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to transition-metal complexes of the general formula I or II, in particular as emitter molecules in organic electronic devices, to a layer and an electronic device which comprise the compounds according to the invention, and to a process for the preparation of the compounds according to the invention. | 04-28-2011 |
20110095282 | ORGANIC ELECTROLUMINESCENT DEVICE COMPRISING TRIAZINE DERIVATIVES - The invention relates to organic electroluminescent devices which comprise triazine derivatives as the electron transport material. | 04-28-2011 |
20110095283 | FLUORINE-BRIDGED ASSOCIATIONS FOR OPTOELECTRONIC APPLICATIONS - The present invention relates to a fluorine-bridged associate consisting of an oligomer or polymer containing fluorine radicals which are bonded to the oligomer or polymer backbone, and up to three types of functional units in the backbone which differ with respect to function and are selected from the group consisting of a hole-transport and/or hole-injection unit, an electron-transport and/or electron-injection unit and an emitter unit, and one to three types of compounds containing a fluorine radical which differ with respect to function and are selected from a hole-transport and/or hole-injection unit, an electron-transport and/or electron-injection unit and an emitter unit, and to an opto-electronic device in which the fluorine-bridged associate is employed. | 04-28-2011 |
20110095284 | FUSED POLYCYCLIC AROMATIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE COMPOUND - Provided are a novel fused polycyclic aromatic compound having a fluoranthene skeleton and an organic light emitting device having high efficiency and high durability. The organic light emitting device includes a fused polycyclic aromatic compound represented by the following general formula [1] or [2], and the organic light emitting device includes an anode, a cathode, an organic compound layer interposed between the anode and the cathode, in which at least one layer of the organic compound layers includes at least one kind of the fused polycyclic aromatic compound represented by the following general formula [1] or [2]. | 04-28-2011 |
20110101310 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 05-05-2011 |
20110101311 | OFF-CENTER DEPOSITION OF ORGANIC SEMICONDUCTOR IN AN ORGANIC SEMICONDUCTOR DEVICE - The present disclosure provides a method of making a thin film semiconductor device such as a transistor comprising the steps of: a) providing a substrate bearing first and second conductive zones which define a channel therebetween, where the channel does not border more than 75% of the perimeter of either conductive zone; and b) depositing a discrete aliquot of a solution comprising an organic semiconductor adjacent to or on the channel, where a majority of the solution is deposited to one side of the channel and not on the channel. In some embodiments of the present disclosure, the solution is deposited entirely to one side of the channel, not on the channel, and furthermore the solution is deposited in a band having a length that is less than the channel length. The present disclosure additionally provides thin film semiconductor devices such as a transistors. | 05-05-2011 |
20110101312 | DEUTERATED COMPOUNDS FOR ELECTRONIC APPLICATIONS - This invention relates to deuterated indolocarbazole compounds that are useful in electronic applications. It also relates to electronic devices in which the active layer includes such a deuterated compound. | 05-05-2011 |
20110101313 | Electroluminescent Devices Comprising Bus Bars - A method for manufacturing an organic semiconductor device having a plurality of pixels, said method comprising: providing a substrate comprising a patterned layer of well-defining banks ( | 05-05-2011 |
20110101314 | ORGANIC LIGHT EMITTING DIODE LIGHTING APPARATUS - An organic light emitting diode lighting apparatus is disclosed. The apparatus includes a plurality of electrode lines that feed current to or from a plurality of light emitting diodes, and a flexible printed circuit board (FPCB) that has a plurality of connection lines electrically connected to the plurality of electrode lines through the plurality of contact holes. In some embodiments, the FPCB has a fuse for each of the electrode lines. | 05-05-2011 |
20110101315 | PIEZOELECTRIC NANOWIRE STRUCTURE AND ELECTRONIC DEVICE INCLUDING THE SAME - A piezoelectric nanowire structure includes a base substrate, a plurality of piezoelectric nanowires disposed on the base substrate, and a piezoelectric organic material layer disposed on the base substrate and covering the plurality of piezoelectric nanowires. | 05-05-2011 |
20110101316 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a first electrode, a second electrode, and an electroluminescent layer therebetween. The electroluminescent layer includes:
| 05-05-2011 |
20110101317 | Electronic Devices and Methods of Making Them Using Solution Processing Techniques - A method of manufacturing an electronic device comprises: providing a base comprising circuit elements; forming a double bank well-defining structure over the base, comprising a first layer of insulating material and a second layer of insulating material thereover; and depositing a solution of organic material in the well defined by the double bank structure. The double bank well-defining structure is formed by removing material from the first and second layers in a single processing step to form the well. The first layer is made of a material which is removed at a faster rate than material of the second layer to form an overhanging step structure in which the second layer protrudes out over an edge of the first layer. | 05-05-2011 |
20110101318 | NOVEL MACROMOLECULAR COMPOUNDS HAVING A CORE-SHELL STRUCTURE FOR USE AS SEMICONDUCTORS - The invention relates to novel macromolecular compounds having a core-shell structure and also their use in electronic components. | 05-05-2011 |
20110101319 | ORGANIC ELECTROLUMINESCENT DEVICE - Provided are a hole-injecting material for an organic electroluminescent device (organic EL device) exhibiting high luminous efficiency at a low voltage and having greatly improved driving stability, and an organic EL device using the material. The hole-injecting material for an organic EL device is selected from benzenehexacarboxylic acid anhydrides, benzenehexacarboxylic acid imides, or N-substituted benzenehexacarboxylic acid imides. Further, the organic EL device has at least one light-emitting layer and at least one hole-injecting layer between an anode and a cathode arranged opposite to each other, and includes the above-mentioned hole-injecting material for an organic EL device in the hole-injecting layer. The organic EL device may contain a hole-transporting material having an ionization potential (IP) of 6.0 eV or less in the hole-injecting layer or a layer adjacent to the hole-injecting layer. | 05-05-2011 |
20110101320 | ORGANIC THIN FILM TRANSISTOR - An organic thin film transistor comprising source and drain electrodes, an organic semiconductor disposed in a channel region between the source and drain electrodes, a gate electrode, and a dielectric disposed between the source and drain electrodes and the gate electrode, wherein the source electrode and the drain electrode comprise at least one different physical and/or material property from each other. | 05-05-2011 |
20110101321 | METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY AND ORGANIC LIGHT EMITTING DISPLAY - A method of manufacturing an organic light emitting display includes: forming a transistor on a substrate; forming a cathode electrode on the transistor to be connected to a source or a drain of the transistor; forming a bank layer having an opening on the cathode electrode; allowing a natural oxide layer to form on the cathode electrode; removing the natural oxide layer from the cathode electrode; forming an insulating buffer layer on the cathode electrode; forming an organic light emitting layer on the insulating buffer layer; and forming an anode electrode on the organic light emitting layer. | 05-05-2011 |
20110101322 | Organic Light Emitting Element and Display Device Using the Element - A hole transporting region made of a hole transporting material, an electron transporting region made of an electron transporting material, and a mixed region (light emitting region) in which both the hole transporting material and the electron transporting material are mixed and which is doped with a triplet light emitting material for red color are provided in an organic compound film, whereby interfaces between respective layers which exist in a conventional lamination structure are eliminated, and respective functions of hole transportation, electron transportation, and light emission are exhibited. In accordance with the above-mentioned method, the organic light emitting element for red color can be obtained in which power consumption is low and a life thereof is long. Thus, the display device and the electric device are manufactured by using the organic light emitting element. | 05-05-2011 |
20110101323 | ORGANIC THIN FILM TRANSISTORS - A method of forming an organic thin film transistor comprising source and drain electrodes with a channel region therebetween, a gate electrode, a dielectric layer disposed between the source and drain electrodes and the gate electrode, and an organic semiconductor disposed in at least the channel region between the source and drain electrodes, said method comprising: seeding a surface in the channel region with crystallization sites prior to deposition of the organic semiconductor; and depositing the organic semiconductor onto the seeded surface whereby the organic semiconductor crystallizes at the crystallization sites forming crystalline domains in the channel region. | 05-05-2011 |
20110101324 | FUNCTIONAL MOLECULAR ELEMENT, MANUFACTURING METHOD THEREOF, AND FUNCTIONAL MOLECULAR DEVICE - A functional molecule ( | 05-05-2011 |
20110101325 | ORGANIC PHOTOELECTRIC CONVERSION ELEMENT - An organic photoelectric conversion element having a pair of electrodes and a functional layer arranged between the electrodes, wherein the functional layer contains an electron-accepting compound and a polymer having a repeating unit which is composed of a structure represented by formula (1) and a structure represented by formula (2): | 05-05-2011 |
20110101326 | ORGANIC FIELD EFFECT TRANSISTOR - An organic field effect transistor including an organic semiconductor layer constituting a current path between a source electrode and a drain electrode wherein the organic semiconductor layer is made of a conjugated polymer having a depletion layer and a conductivity of the organic semiconductor layer is controlled by using a gate electrode, wherein the depletion layer is formed by joining a reductive material being capable of forming Schottky contact with the organic semiconductor layer made of the conjugated polymer. There can be provided an organic field effect transistor using a conjugated polymer as an organic semiconductor and being capable of maintaining an insulation property. | 05-05-2011 |
20110101327 | Electronic Devices Comprising Metal Complexes Having Isonitrile Ligands - The present invention relates to electronic devices, in particular organic electroluminescent devices, comprising metal complexes which contain isonitrile ligands. | 05-05-2011 |
20110101328 | Organic Electroluminescent Device - The present invention relates to white-emitting organic electroluminescent devices which comprise at least one phosphorescent emitter and at least one ketone derivative as matrix material in at least one emitting layer. | 05-05-2011 |
20110101329 | HIGH PERFORMANCE SOLUTION PROCESSABLE SEMICONDUCTING POLYMERS BASED ON AL-TERNATING DONOR ACCEPTOR COPOLYMERS - A benzothiadiazol-cyclopentadithiophene copolymer comprising as repeating unit the group of the formula (I) wherein R is n-hexadecyl or 3,7-dimethyloctyl, and having a number average molecular weight Mn in the range of from 30 to 70 kg/mol is disclosed. The invention also relates to the use of the copolymers as semiconductors or charge transport materials, as thin-film transistors (TFTs), or in semiconductor components for organic light-emitting diodes (OLEDs), for photovoltaic components or in sensors, as an electrode material in batteries, as optical waveguides or for electrophotography applications. | 05-05-2011 |
20110108807 | POLYMER FOR OPTOELECTRONIC DEVICE - A polymer useful in an optoelectronic device comprises structural unit of formula I: | 05-12-2011 |
20110108808 | Organic Light Emitting Diode Display - An organic light emitting diode display that includes a first substrate including an organic light emitting diode, a second substrate having a receiving unit formed by recession of one surface facing the first substrate and bonded with the first substrate to cover the organic light emitting diode, a first optical member attached to the other surface opposite to the one surface facing the first substrate between both surfaces of the second substrate, and a second optical member received in the receiving unit of the second substrate. | 05-12-2011 |
20110108809 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode display device includes a substrate body that is divided into a display area and a neighboring area; an organic light emitting diode formed in the display area of the substrate body; a barrier film that is attached to the substrate body and covers the organic light emitting diode; and an adhesive agent disposed between the neighboring area of the substrate body and the barrier film. In addition, a groove pattern is recessed on one surface of the barrier film that is in contact with the adhesive agent. | 05-12-2011 |
20110108810 | ORGANIC ELECTROLUMINESCENCE DEVICE - Provided is an organic electroluminescence device having high efficiency and a long lifetime. The organic electroluminescence device includes an anode; a cathode; and an organic compound layer interposed between the anode and the cathode, and including an emission layer formed of a first emission layer provided on an anode side and a second emission layer provided on a cathode side, in which the first emission layer contains a first host and a first dopant, the second emission layer contains a second host and a second dopant, the following relationship (a) is established between the first host and the first dopant, the following relationship (b) is established between the second host and the second dopant, and the following relationship (c) is established between the first host and the second host: | 05-12-2011 |
20110108811 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode display and a method of manufacturing the same are disclosed. The organic light emitting diode display includes a first substrate, an organic light emitting diode that is positioned on the first substrate and includes a first electrode, an organic layer having at least a light emitting layer, and a second electrode, an organic buffer layer that is positioned on an entire surface of the organic light emitting diode and has a hydroxy group or an amide group, a sealant positioned on an entire surface of the organic buffer layer, and a second substrate positioned on the sealant. | 05-12-2011 |
20110108812 | ORGANIC EL DEVICE - According to one embodiment, an organic EL device includes an insulating substrate, switching elements arranged two-dimensionally above the insulating substrate, an insulating layer positioned above the switching elements and provided with contact holes communicating with the switching elements, respectively, pixel electrodes arranged correspondingly with the switching elements, cover members arranged correspondingly with the contact holes, an organic layer extending over the pixel electrodes, the cover members and a portion of the insulating layer positioned below a region between the pixel electrodes, and a counter electrode positioned above the organic layer. Each pixel electrode includes an electrode body positioned above the insulating layer and a contact section extending in the contact hole and electrically connects the electrode body to the switching element. Each cover member covers the contact section and is made of an insulating material. | 05-12-2011 |
20110108813 | ORGANIC SEMICONDUCTOR COMPOSITION, ORGANIC THIN FILM AND ORGANIC THIN FILM ELEMENT PROVIDED WITH ORGANIC THIN FILM - An object of the present invention is to provide an organic semiconductor composition capable of forming an organic film having high carrier transportability. A preferable organic semiconductor composition contains a lower molecular weight compound and a higher molecular weight compound having carrier transportability, and the solubility parameter of the higher molecular weight compound and the solubility parameter of the lower molecular weight compound differ by 0.6 to 1.5. | 05-12-2011 |
20110108814 | POLYMER COMPOUND, NET-LIKE POLYMER COMPOUND PRODUCED BY CROSSLINKING THE POLYMER COMPOUND, COMPOSITION FOR ORGANIC ELECTROLUMINESCENCE ELEMENT, ORGANIC ELECTROLUMINESCENCE ELEMENT, ORGANIC EL DISPLAY, AND ORGANIC EL LIGHTING - An object of the invention is to provide a polymer compound having a high hole transport capacity, excellent in electrochemical stability, and suitable to film formation according to a wet film formation method. Another object of the invention is to provide an organic electroluminescence element having a high current efficiency, a low drive voltage, and a long derive lifetime. The polymer compound has a crosslinking group bonding to the arylamine moiety in the repeating unit via at least one single bond therebetween. | 05-12-2011 |
20110108815 | MULTI-RATE RESIST METHOD TO FORM ORGANIC TFT CONTACT AND CONTACTS FORMED BY SAME - A method for forming a thin film electrode for an organic thin film transistor of the invention provides a multi-layer mask on a substrate with an electrode area opening in a top layer of the mask that is undercut by openings in other layers of the mask. A thin film of metal is deposited in the electrode area on the substrate. Removing the multi-layer mask leaves a well-formed thin film electrode with naturally tapered edges. A preferred embodiment of the invention is a method for forming a thin film electrode for an organic thin film transistor. The method includes depositing a first layer of photoresist on a substrate. The photoresist of the first layer has a first etching rate. A second layer of photoresist is deposited on the first layer of photoresist. The photoresist of the second layer has a second etching rate that is lower than the first etching rate. The first and second layer of photoresist are patterned by exposure. Developing the first and second layers of photoresist provides an electrode area on the substrate. An electrode is deposited in the electrode area. Lift-off of the first and second layers is performed. The electrode that is deposited has a tailored, tapered edge. A preferred embodiment thin film electrode in an organic thin film transistor has a tapered edge with a contact angle of approximately +40±4.4°. | 05-12-2011 |
20110108816 | ELECTRONIC HYBRID DEVICE - Method of manufacture of an electronic device, comprising providing a substrate comprising a semiconductor device stack, depositing a first material layer over the substrate, the first material layer being an insulating layer, depositing an active organic material layer over the first material layer, depositing a second material layer over the active organic material layer, the second material layer being an insulating layer. | 05-12-2011 |
20110108817 | Light Emitting Device - By doping an organic compound functioning as an electron donor (hereinafter referred to as donor molecules) into an organic compound layer contacting a cathode, donor levels can be formed between respective LUMO (lowest unoccupied molecular orbital) levels between the cathode and the organic compound layer, and therefore electrons can be injected from the cathode, and transmission of the injected electrons can be performed with good efficiency. Further, there are no problems such as excessive energy loss, deterioration of the organic compound layer itself, and the like accompanying electron movement, and therefore an increase in the electron injecting characteristics and a decrease in the driver voltage can both be achieved without depending on the work function of the cathode material. | 05-12-2011 |
20110108818 | ORGANIC ELECTROLUMINESCENCE DEVICE - The present invention relates to organic electroluminescent devices which comprise ketone or phosphine oxide derivatives as matrix material and at least two phosphorescent compounds. | 05-12-2011 |
20110108819 | COMPOUNDS HAVING ELECTRON TRANSPORT PROPERTIES, THEIR PREPARATION AND USE - The invention provides new compounds of the formula I, II or III. These compounds can be used as electron transport materials in optical light emitting diodes (OLEDs). The compounds of the formula I, II and III are as follows: | 05-12-2011 |
20110108820 | PHENOXAZINE POLYMER COMPOUND AND LIGHT EMITTING DEVICE USING THE SAME - A polymer compound comprising a residue of a compound represented by the following formula (0): | 05-12-2011 |
20110108821 | ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to white emitting organic electroluminescent devices having at least one blue fluorescent emitter layer. | 05-12-2011 |
20110108822 | MATERIALS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to transition-metal complexes of the general formula I or II, in particular as emitter molecules in organic electronic devices, to a layer and to an electronic device which comprise the compounds according to the invention, and to a process for the preparation of the compounds according to the invention. | 05-12-2011 |
20110108823 | MATERIALS FOR ORGANIC ELECTROLUMINESCENCE DEVICES - The present invention relates to aromatic amines and to electronic devices in which these amines are used, in particular, as matrix material in the emitting layer and/or as hole-transport material and/or as electron-blocking or exciton-blocking material and/or as electron-transport material. | 05-12-2011 |
20110108824 | ELECTROLUMINESCENT POLYMERS, METHOD FOR THE PRODUCTION THEREOF, AND USE THEREOF - The present invention relates to electroluminescent polymers which comprise 2-vinylthiophenylbenzene derivatives as recurring units, to a process for the preparation thereof, to blends and formulations comprising these polymers, and to the use of these polymers in electronic devices, in particular in organic light-emitting diodes, so-called OLEDs. | 05-12-2011 |
20110108825 | ORGANIC ELECTROLUMINESCENT DEVICE AND ITS PRODUCTION METHOD - An organic electroluminescent device comprising a cathode, an anode formed by an application method, and a light emitting layer disposed between the above-described anode and the above-described cathode. | 05-12-2011 |
20110108826 | ANTHRACENE DERIVATIVE AND AN ORGANIC ELECTRONIC DEVICE USING THE SAME - The present invention relates to a novel anthracene derivative and an organic electronic device using the same. The anthracene derivative can act as a hole injecting, hole transporting, electron injecting and transporting, or light emitting material in an organic light emitting device and an organic electronic device. In particular, the anthracene derivative can act as a light emitting host. The organic electronic device according to the present invention has excellent characteristics in views of efficiency, the driving voltage, and the stability. | 05-12-2011 |
20110108827 | ORGANOMETALLIC COMPLEX FOR ORGANIC LIGHT-EMITTING LAYER AND ORGANIC LIGHT-EMITTING DIODE USING THE SAME - An organometallic complex represented by Formula 1 is provided. An organic light-emitting diode using the organometallic complex exhibits improved current and power efficiency and long lifetime. | 05-12-2011 |
20110108828 | LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND ELECTRONIC DEVICE - It is an object of the present invention to provide a functional layer for protecting a light emitting element from being deteriorated by a physical or chemical influence when the light emitting element is manufactured or driven, and to attain extension of lifetime of an element and improvement of element characteristics without increasing a drive voltage and degrading transmittance and color purity by providing such a functional layer. One feature of the present invention is to provide a buffer layer made of a composite material for a light emitting element including aromatic hydrocarbon containing at least one vinyl skeleton and metal oxide in part of a light emitting substance containing layer, in the light emitting element fowled by interposing the light emitting substance containing layer between a pair of electrodes. The composite material for a light emitting element for forming the buffer layer of the present invention has high conductivity and is superior in transparency. | 05-12-2011 |
20110114921 | ORGANIC PHOTOSENSITIVE CELLS GROWN ON ROUGH ELECTRODE WITH NANO-SCALE MORPHOLOGY CONTROL - An optoelectronic device and a method for fabricating the optoelectronic device includes a first electrode disposed on a substrate, an exposed surface of the first electrode having a root mean square roughness of at least 30 nm and a height variation of at least 200 nm, the first electrode being transparent. A conformal layer of a first organic semiconductor material is deposited onto the first electrode by organic vapor phase deposition, the first organic semiconductor material being a small molecule material. A layer of a second organic semiconductor material is deposited over the conformal layer. At least some of the layer of the second organic semiconductor material directly contacts the conformal layer. A second electrode is deposited over the layer of the second organic semiconductor material. The first organic semiconductor material is of a donor-type or an acceptor-type relative to the second organic semiconductor material, which is of the other material type. | 05-19-2011 |
20110114922 | Electroluminescent Metal Complexes with Nucleophilic Carbene Ligands - This invention relates to electroluminescent metal complexes of the formula (I), wherein the ring A, formula (II), represents an optionally substituted aryl group which can optionally contain heteroatoms, the ring B, formula (III), represents an optionally substituted nitrogen containing aryl group, which can optionally contain further heteroatoms, or the ring A may be taken with the ring B binding to the ring A to form a ring; the group C, formula (IV), represents an acyclic carbene, or a cyclic carbene (ring C), which can optionally contain heteroatoms, the ring D, formula (V), represents an optionally substituted aryl group which can optionally contain heteroatoms, n1 is an integer of 1 to 3, m1 is an integer of 0, 1, or 2, m2 is an integer 0, or 1, M | 05-19-2011 |
20110114923 | METHOD FOR MAKING MATERIAL USEFUL IN OPTOELECTRONIC DEVICE, THE MATERIAL AND THE OPTOELECTRONIC DEVICE - A method for making a material useful in an optoelectronic device comprises: providing a mixture of a polytriarylamine and a compound; forming a film of the mixture; and treating the film; wherein the compound comprises at least one functional group selected from arylamine and arylphosphine and at least two functional groups selected from vinyl, allyl, vinyl ether, epoxy, and acrylate. The materials made and the optoelectronic device are also provided. | 05-19-2011 |
20110114924 | ORGANIC LIGHT EMITTING DIODE WITH MAGNETIC STRUCTURE FOR IMPROVED CURRENT ADAPTABILITY - An organic light emitting device includes a cathode and an optional substrate external to the device. The device further includes at least one film layer disposed on at least one of the cathode or the substrate. The at least one film layer includes at least one of a magnetic, a mixed magnetic material, and combinations thereof. The device further includes an anode and at least one organic layer intermediate the cathode and anode. | 05-19-2011 |
20110114925 | BUFFER BILAYERS FOR ELECTRONIC DEVICES - The present disclosure relates to buffer bilayers, and their use in electronic devices. The bilayer has a first layer including at least one electrically conductive polymer doped with at least one highly-fluorinated acid polymer, and a second layer including inorganic nanoparticles. | 05-19-2011 |
20110114926 | ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC EL DISPLAY DEVICE AND ORGANIC EL ILLUMINATING DEVICE - To provide an organic electroluminescent element having a low driving voltage, high current efficiency and high voltage efficiency. | 05-19-2011 |
20110114927 | METHOD OF MANUFACTURING ORGANIC EL DISPLAY UNIT AND ORGANIC EL DISPLAY UNIT - A method of manufacturing an organic EL display unit and an organic EL display unit capable of improving light emitting efficiency and life of blue are provided. A hole injection layer are formed on a lower electrode. For a red organic EL device and a green organic EL device, a hole transport layer, a red light emitting layer, and a green light emitting layer made of a polymer material are formed. A hole transport layer made of a low molecular material is formed on the hole injection layer of a blue organic EL device. A blue light emitting layer made of a low molecular material is formed on the red light emitting layer, the green light emitting layer, and the hole transport layer for the blue organic EL device. An electron transport layer, an electron injection layer, and an upper electrode are sequentially formed on the blue light emitting layer. | 05-19-2011 |
20110114928 | Heterocyclic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - Provided are a heterocyclic compound which emits blue light and is represented by General Formula (G1) below, and a light-emitting element, a light-emitting device, an electronic device and a lighting device which are formed using the heterocyclic compound represented by General Formula (G1) below. The use of the heterocyclic compound represented by General Formula (G1) makes it possible to provide a light-emitting element which has high emission efficiency, and also a light-emitting device, an electronic device and a lighting device which have reduced power consumption. | 05-19-2011 |
20110114929 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING APPARATUS, DISPLAY, AND ELECTRONIC DEVICE - A light-emitting element has a cathode, an anode, a light-emitting portion interposed between the cathode and the anode and having a light-emitting layer that emits light on energization between the cathode and the anode, and a hole-injection layer interposed between and in direct contact with the anode and the light-emitting layer and having a capability of receiving holes, and the hole-injection layer is mainly composed of a benzidine derivative. | 05-19-2011 |
20110114930 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a mixed organic layer that includes a triphenyl amine derivative and a pyrazine derivative and an emission layer that includes an anthracene derivative, an amine-containing styryl derivative, or any mixture thereof. | 05-19-2011 |
20110114931 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode (OLED) device is disclosed. In one embodiment, the OLED device includes: i) a substrate and ii) a first thin film formed on the substrate, wherein the first thin film comprises first and second surfaces opposing each other, wherein the first surface contacts the substrate, and wherein a plurality of protrusions and depressions are alternately formed on the second surface of the first thin film. The OLED device may further include a second thin film formed on the protrusions and depressions of the first thin film, a first electrode formed on the second thin film, a light emitting member formed on the first electrode and a second electrode formed on the organic light emitting member. | 05-19-2011 |
20110114932 | Light Emitting Organic Component and Method for Production Thereof - The invention relates to a light-emitting organic component, in particular a light-emitting organic diode, having an electrode spreading over an electrode surface area and a counter electrode spreading over a counter electrode surface area as well as an organic layer array formed between the electrode and the counter electrode and in electrical contact therewith, an electrical resistance gradient in a direction substantially parallel to the electrode surface area being formed within a region of the organic layer array at least partially overlapping with the electrode surface area. Furthermore, the invention relates to a method for the production of a light-emitting organic component. | 05-19-2011 |
20110114933 | NOVEL TRANSITION METAL COMPLEXES AND USE THEREOF IN ORGANIC LIGHT-EMITTING DIODES - IV - Metal complexes comprising at least one polycyclic aromatic ligand which is bonded to the central metal via one nitrogen atom and one carbon atom and comprises at least one heteroatom selected from O and S, an organic light-emitting diode comprising at least one inventive metal complex, a light-emitting layer comprising at least one inventive metal complex, an organic light-emitting diode comprising at least one inventive light-emitting layer, the use of the at least one inventive metal complex in organic light-emitting diodes, and a device selected from the group consisting of stationary visual display units such as visual display units of computers, televisions, visual display units in printers, kitchen appliances and advertising panels, illuminations, information panels and mobile visual display units such as visual display units in cellphones, laptops, digital cameras, vehicles, and destination displays on buses and trains, comprising at least one inventive organic light-emitting diode. | 05-19-2011 |
20110114934 | NEW ANTHRACENE DERIVATIVE AND AN ORGANIC ELECTRONIC DEVICE USING THE SAME - The present invention relates to a novel anthracene derivative and an organic electronic device using the same. The anthracene derivative can act as a hole injecting, hole transporting, electron injecting and transporting, or light emitting material in an organic light emitting device and an organic electronic device. In particular, the anthracene derivative can act as a light emitting host. The organic electronic device according to the present invention has excellent characteristics in views of efficiency, the driving voltage, and the stability. | 05-19-2011 |
20110114935 | Stable electrodes with modified work functions and methods for organic electronic devices - One embodiment is a method, comprising: depositing a molecule on an electrode, wherein the electrode has a surface and the molecule has a binding group (e.g., an anchoring group) that binds to the surface, thereby providing a work function that is stable for at least 100 hours under ambient conditions. | 05-19-2011 |
20110114936 | DISPLAY DEVICE AND MANUFACTURING METHOD OF THE DISPLAY DEVICE - It is an object of the present invention to provide a technique to manufacture a highly reliable display device at a low cost with high yield. A display device according to the present invention includes a semiconductor layer including an impurity region of one conductivity type; a gate insulating layer, a gate electrode layer, and a wiring layer in contact with the impurity region of one conductivity type, which are provided over the semiconductor layer; a conductive layer which is formed over the gate insulating layer and in contact with the wiring layer; a first electrode layer in contact with the conductive layer; an electroluminescent layer provided over the first electrode layer; and a second electrode layer, where the wiring layer is electrically connected to the first electrode layer with the conductive layer interposed therebetween. | 05-19-2011 |
20110121267 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device comprises a light transmissive substrate, a light scattering region which is disposed on the light transmissive substrate, and a light emissive layer having a luminescent point. The luminescent point is spaced from the light reflective electrode by a distance of d which satisfies the following equation: | 05-26-2011 |
20110121268 | LIGHT-EMITTING ELEMENT - The present invention relates to an organic thin-film light emitting device containing an organic compound represented by formula (1) and a donor compound. the light emitting device ca achieve both of the low-voltage driving operation and high luminance efficiency. | 05-26-2011 |
20110121269 | DEUTERATED COMPOUNDS FOR ELECTRONIC APPLICATIONS - This invention relates to deuterated aryl-anthracene compounds that are useful in electronic applications. It also relates to electronic devices in which the active layer includes such a deuterated compound. | 05-26-2011 |
20110121270 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting device including a substrate on which an organic light emitting unit is formed, wherein the organic light emitting unit sequentially includes a first electrode, an organic layer, and a second electrode; and a passivation layer covering the substrate and the second electrode, and a method of manufacturing the organic light emitting device. | 05-26-2011 |
20110121271 | Organic light emitting diode display - An organic light emitting diode (OLED) display is provided. The OLED display includes a substrate main body, an OLED on the substrate main body, and a capping layer on the OLED. The capping layer includes a film having at least two layers of different refractive indexes. | 05-26-2011 |
20110121272 | ORGANIC LIGHT EMITTING DEVICE, LIGHTING APPARATUS INCLUDING ORGANIC LIGHT EMITTING DEVICE, AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS INCLUDING ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device having increased outcoupling efficiency, a lighting apparatus including the organic light emitting device, and an organic light emitting display apparatus including the organic light emitting device. The organic light emitting device includes a substrate, a first electrode layer that is uniformly patterned on the substrate, a low refractive conductive layer disposed on the first electrode layer, and having a conductive material with a lower refractive index than a refractive index of an organic layer that is disposed on the low refractive conductive layer, and a second electrode layer formed on the organic layer. | 05-26-2011 |
20110121273 | CARBON NANOTUBE COMPOSITE, ORGANIC SEMICONDUCTOR COMPOSITE, AND FIELD-EFFECT TRANSISTOR - A carbon nanotube composite in which a conjugated polymer containing repeating units containing a fused heteroaryl unit having a nitrogen-containing double bond in the ring, and a thiophene unit is attached to at least a part of the surface of a carbon nanotube. The present invention reduces the hysteresis of a field-effect transistor having a semiconductor layer containing a carbon nanotube. | 05-26-2011 |
20110121274 | ORGANIC ELECTROLUMINESCENCE DEVICE - The present invention relates to organic electroluminescent devices which comprise fluorene derivatives and spirobifluorene derivatives as matrix material for phosphorescent emitters. | 05-26-2011 |
20110121275 | Anthracene Derivative, and Light Emitting Element, Light Emitting Device, and Electronic Device Using the Anthracene Derivative - It is an object to provide a noble anthracene derivative, a light emitting element with a high luminous efficiency, and further a light emitting element with a long lifetime. It is another object to provide a light emitting device and electronic device with a long lifetime by using the light emitting element. An anthracene derivative represented by General Formula (1) is provided. Since the anthracene derivative represented by General Formula (1) has a high luminous efficiency, when the anthracene derivative is used for a light emitting element, the light emitting element can have a high luminous efficiency. Further, when the anthracene derivative represented by General Formula (1) is used for a light emitting element, the light emitting element can have a long lifetime. | 05-26-2011 |
20110121276 | ORGANIC ELECTROLUMINESCENT DEVICE, METHOD FOR PRODUCING THE SAME, AND ELECTRONIC APPARATUS - An organic electroluminescent device includes a substrate; a plurality of light-emitting elements, each including an organic light-emitting layer held between a pair of electrodes; a display region which overlaps the substrate in plan view and in which the light-emitting elements are disposed; a first connection line which is disposed around the display region and is connected to one of the pair of electrodes and on which a transparent conductive layer is disposed; and a gas barrier layer covering end and top surfaces of the first connection line and top surfaces of the light-emitting elements. | 05-26-2011 |
20110121277 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic EL device | 05-26-2011 |
20110121278 | SOLUTION COMPOSITION AND POLYMER LIGHT-EMITTING DEVICE - To provide a solution composition having a significantly high viscosity comprising one or more solvent(s) and one or more polymer(s) having a polystyrene-reduced Z-average molecular weight of 5.0×10 | 05-26-2011 |
20110121279 | Light-emitting material comprising multinuclear complexes - Light emitting materials comprising multinuclear metal complexes comprising at least two metal atoms and a metal bridging ligand bound to said at least two metal atoms. It relates more particularly to a multinuclear complex of Formula (I): {-[L]2M-B-}n, wherein L is a bidentate ligand; M represents a transition metal having an atomic number of at least 40, and each M can be the same or different at each occurrence; B is a 2-connecting short metal bridging ligand bound to said at least two metal atoms, where the metal bridging ligand comprises coordinating atoms independently selected from the group consisting of nitrogen, phosphorous, carbon, oxygen, sulphur and selenium in 1,2 or 1,3 mutual position.(1,2-μ or 1,3-μ bonding mode); and n is an integer larger than 1. | 05-26-2011 |
20110121280 | SUBSTRATE, CONDUCTIVE PATTERN FORMATION PROCESS AND ORGANIC THIN FILM TRANSISTOR - The present invention provides a substrate with excellent thin line reproducibility and excellent adhesion with conductive wiring, a conductive pattern formation process employing the substrate and an organic thin film transistor employing the substrate. The substrate is characterized in that it has a sensitizing dye and a compound represented by the following formula (I): | 05-26-2011 |
20110121281 | ORGANIC TRANSISTOR AND METHOD FOR PRODUCING THE SAME - The object of the present invention is to provide an organic transistor using an organic semiconductor having excellent transistor properties, and a method for producing the organic transistor, the present invention providing, first, an organic transistor including a gate electrode (b), an insulating layer (c), an organic semiconductor layer (d) which contacts the insulating layer (c) and has a channel formation area, and source/drain electrodes (e), which are formed on (a) a substrate, wherein the organic semiconductor layer (d) contains a fluorine-based compound (surfactant), and, secondly, a method for producing an organic transistor comprising a gate electrode (b), an insulating layer (c), an organic semiconductor layer (d) which contacts the insulating layer (c) and has a channel formation area, and source/drain electrodes (e), which are formed on (a) a substrate, the method comprising: a step in which the organic semiconductor layer (d) is formed on the insulating layer (c) by printing or coating an organic semiconductor solution containing a fluorine-based surfactant; or a step in which the insulating layer (d) is formed on the organic semiconductor layer (d) containing a fluorine-based surfactant by printing or coating. | 05-26-2011 |
20110121282 | MANUFACTURING METHOD OF ORGANIC ELECTROLUMINESCENCE ELEMENT, LIGHT-EMITTING DEVICE, AND DISPLAY DEVICE - The present invention provides a method of manufacturing an organic EL element using a relief printing method that can prevent color mixing caused during ink supply, a light-emitting device with which color mixing can be prevented, and a display device comprising the light-emitting device. Specifically, provided is, for example, a method of manufacturing an organic electroluminescent element that comprises a pair of electrodes and an organic layer located between the electrodes, the method comprising: providing a substrate on which a plurality of partition walls are arranged in substantially parallel to each other, and in which a groove portion is formed on a face of each of the partition walls along a longitudinal direction of the partition walls, the face opposing another face near the substrate; and forming the organic layer by supplying an ink containing a material for the organic layer to concave portions formed with the partition walls and the substrate, using a relief printing plate in which a plurality of convex portions are arranged in substantially parallel to each other so as to correspond to the concave portions. | 05-26-2011 |
20110127494 | OPTOELECTRONIC DEVICE HAVING A SANDWICH STRUCTURE AND METHOD FOR FORMING THE SAME - An optoelectronic device is formed having a sandwich structure, which consists of an inorganic semiconductor layer, an organic semiconductor layer, and another inorganic semiconductor layer, where both of the two inorganic semiconductor layers are produced by a solution process. | 06-02-2011 |
20110127495 | NEW COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - The present invention provides a novel compound that is capable of largely improving life span, efficiency, electrochemical stability and thermal stability of the organic light emitting device, and an organic light emitting device in which said compound is included in an organic compound layer. | 06-02-2011 |
20110127496 | Neutral Metallic Dendrimer Complexes - A charge-neutral organometallic dendrimer is described, said dendrimer having the formula (I): | 06-02-2011 |
20110127497 | ORGANIC LIGHT EMITTING DEVICE USING GRAPHENE - An organic light emitting device including graphene. The organic light emitting device includes a first electrode that is interposed between a transparent substrate and an organic layer emitting light, and includes graphene having a thickness of about 0.1 nanometer (nm) to about 10 nanometers (nm). | 06-02-2011 |
20110127498 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - An organic light emitting diode (OLED) display device includes a first substrate; a first electrode positioned on the first substrate; an organic light emission layer positioned on the first electrode; a second electrode positioned on the organic light emission layer; and a capping layer positioned on the second electrode to cover the organic light emission layer and including an ultraviolet (UV) interception material intercepting UV rays irradiated to the organic light emission layer from the exterior. | 06-02-2011 |
20110127499 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode (OLED) display device and a method of fabricating the OLED display device, the OLED display device includes a substrate including an emission region and a non-emission region, a black matrix disposed in a region excluding a part of the emission region, a buffer layer disposed on the entire surface of the substrate, a semiconductor layer disposed on the buffer layer in the non-emission region, a gate electrode disposed on the semiconductor layer, a gate insulating layer insulating the semiconductor layer from the gate electrode and formed on the entire surface of the substrate, a first electrode formed on the gate insulating layer in the emission region, source and drain electrodes electrically connected with the semiconductor layer and the first electrode, an interlayer insulating layer insulating the source and drain electrodes from the gate electrode and opening a part of the first electrode, a pixel defining layer opening a part of the first electrode and disposed on the entire surface of the substrate, an organic layer disposed on the first electrode, and a second electrode disposed on the entire surface of the substrate. Here, the first electrode includes a transparent conductive oxide layer. | 06-02-2011 |
20110127500 | OLED DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display apparatus and a method of manufacturing the OLED display apparatus, the apparatus includes anode electrodes having different thicknesses for different types of sub-pixels. | 06-02-2011 |
20110127501 | ORGANIC LIGHT-EMITTING DIODE LMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a patterned first electrode, a second electrode, and an electroluminescent layer therebetween. The electroluminescent layer includes:
| 06-02-2011 |
20110127502 | Method of manufacturing organic light emitting display apparatus and organic light emitting display apparatus - A method of manufacturing an organic light emitting display apparatus includes forming first electrodes on a substrate, forming a pixel defining layer (PDL) on the substrate and first electrodes, the PDL including openings exposing predetermined areas of the first electrodes, forming a charge transport layer on the PDL and inside the openings of the PDL, performing a hydrophobic process on the charge transport layer, performing a hydrophilic process on the charge transport layer, such that portions of the charge transport layer corresponding to the openings are made hydrophilic, forming organic light emitting layers on the charge transport layer, and forming a second electrode electrically connected to the organic light emitting layers. | 06-02-2011 |
20110127503 | COMPOSITION FOR ORGANIC ELECTROLUMINESCENCE ELEMENT, ORGANIC THIN FILM, ORGANIC ELECTROLUMINESCENCE ELEMENT, ORGANIC EL DISPLAY DEVICE AND ORGANIC EL LIGHTING - An organic electroluminescence element comprising a luminescent layer formed by a wet film-forming method, which is a long-life organic electroluminescence element is provided. A composition for an organic electroluminescence element, which comprises: two or more kinds of organic electroluminescence element materials including a luminescent material; and a solvent, wherein the composition satisfies the following formula (1): | 06-02-2011 |
20110127504 | Organic Thin Film Transistors - An organic thin film transistor comprising: a substrate; a source electrode and a drain electrode disposed over the substrate with a channel region therebetween; a layer of organic semiconductor disposed in the channel region; a gate electrode; and a gate dielectric disposed between the layer of organic semiconductor and the gate electrode, wherein the gate dielectric comprises a cross-linked polymer and a fluorine containing polymer. | 06-02-2011 |
20110127505 | ORGANIC ELECTROLUMINESCENCE DEVICE AND DISPLAY UNIT - An organic electroluminescence device having high light emitting efficiency and improved reliability is provided. The organic electroluminescence device includes: an anode containing at least aluminum (Al); a cathode; and an organic layer between the anode and the cathode, the organic layer including a light emitting layer. The organic layer has a hole injection layer composed of a sulfonic acid derivative between the anode and the light emitting layer. | 06-02-2011 |
20110127506 | OLED Display Architecture with Improved Aperture Ratio - A device such as a display region that includes a plurality of multi-color pixels is provided. Each pixel may have several types of organic light emitting devices that operate as sub-pixels, and at least one type of device may be shared by multiple pixels. Less-used and/or more efficient device types, such as deep blue and green light emitting devices, may be shared between multiple pixels, leading to an improved aperture ratio and fill factor for the device. | 06-02-2011 |
20110127507 | METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY APPARATUS, SURFACE TREATMENT DEVICE FOR ORGANIC LIGHT EMITTING DISPLAY APPARATUS, AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS - Provided are a method of manufacturing an organic light emitting display apparatus, a surface treatment device for an organic light emitting display apparatus, and an organic light emitting display apparatus. To easily form organic emissive layers, the method includes: forming a first electrode on a substrate; forming on the first electrode a pixel defining layer having openings that expose predetermined portions of the first electrode; forming a charge carrying layer on the pixel defining layer and the first electrode exposed through the openings; hydrophobically treating portions of a surface of the charge carrying layer selectively, wherein the portions do not correspond to the openings, using a laser; forming organic emissive layers on the charge carrying layer; and forming a second electrode on the organic emissive layers so as to be electrically connected with the organic emissive layers. | 06-02-2011 |
20110127508 | ORGANIC ELECTRONIC DEVICE AND METHOD OF MANUFACTURE - An organic electronic device (e.g. OLED, OPV, OES, OTFT) is disclosed. The organic electronic device includes a carrier substrate, a first electrode layer disposed on the carrier substrate, an organic active electronic region disposed on the first electrode layer, and an indium second electrode layer disposed and formed on the organic active electronic region by applying heat on an indium solid at a temperature between the melting temperature of indium and a threshold operating temperature of the organic layers to melt the indium solid on the organic active electronic region. The organic active electronic region includes one or more organic layers. A method of manufacturing an organic electronic device is also disclosed. | 06-02-2011 |
20110127509 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device (OLED) includes a polymeric fluorescent light emitting material doped with a phosphorescent dopant to form a fluorescent light emitting layer. The fluorescent light emitting layer may inhibit or prevent device degradation without affecting light emission from the light emitting layer, and may improve the service life of the OLED. | 06-02-2011 |
20110127510 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - Objects of the present invention are to provide: a light-emitting element having a long lifetime and good emission efficiency and drive voltage. One embodiment of the invention is a light-emitting element including, between an anode and a cathode, at least a stack structure in which a first layer, a second layer, and a light-emitting layer are provided in order from the anode side. The first layer includes a first organic compound and an electron-accepting compound. The second layer includes a second organic compound having a HOMO level differing from the HOMO level of the first organic compound by from −0.2 eV to +0.2 eV. The light-emitting layer includes a third organic compound having a HOMO level differing from the HOMO level of the second organic compound by from −0.2 eV to +0.2 eV and a light-emitting substance having a hole-trapping property with respect to the third organic compound. | 06-02-2011 |
20110127511 | TOP-EMITTING ORGANIC LIGHT-EMITTING DEVICE - A top-emitting organic light-emitting device (OLED) including a reflective first electrode layer; a semitransparent second electrode layer facing the first electrode layer; and an organic layer between the first electrode layer and the second electrode layer, wherein the organic layer comprises a first layer, a second layer, and an emission layer between the first layer and the second layer, wherein the sum of the thicknesses of the emission layer and the second layer is about 0.15 to about 0.30 times the thickness of the entire organic layer. The top-emitting OLED may have high efficiency, and thus driving voltage may be reduced. | 06-02-2011 |
20110127512 | COPOLYMER AND POLYMER LIGHT EMITTING DEVICE USING THE SAME - A copolymer having a block (A′) composed of a repeating unit represented by the formula (I-1), and/or a block (A) containing a repeating unit represented by the formula (I-1) and a repeating unit represented by the formula (II). | 06-02-2011 |
20110127513 | NOVEL NITROGEN-CONTAINING HETEROCYCLIC COMPOUND AND ORGANIC ELECTRONIC DEVICE USING THE SAME - The present invention provides a novel nitrogen-containing heterocyclic derivative and an organic electronic device using the same. The organic electronic device according to the present invention has excellent properties in terms of efficiency, driving voltage, and a life span. | 06-02-2011 |
20110127514 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING DISPLAY DEVICE - A display device comprises: a metal substrate ( | 06-02-2011 |
20110127515 | PHOTOELECTRIC CONVERSION ELEMENT - Disclosed is a photoelectric conversion element comprising a pair of electrodes, at least one of which is transparent or translucent, and a photoactive layer provided between the electrodes, wherein the photoactive layer contains an electron donating compound and an electron accepting compound, and the electron donating compound or the electron accepting compound is a polymer compound having a repeating unit represented by the following formula (I), and the proportion of the repeating unit represented by the formula (I) is the highest among all of the repeating units contained in the polymer compound: | 06-02-2011 |
20110127516 | POLYMER COMPOUND AND LIGHT-EMITTING ELEMENT USING SAME - A polymer compound including: the repeating unit shown in the following formula (I) (wherein: Ar | 06-02-2011 |
20110127517 | COMPOUND CONTAINING 1,3-DIENE STRUCTURE AND METHOD FOR PRODUCING SAME - Disclosed is a compound containing a divalent group represented by formula (I). (In formula (I), Ar | 06-02-2011 |
20110133170 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICE - There is provided an organic light emitting display device including a first substrate; an organic light emitting unit formed on the first substrate; a second substrate disposed on the organic light emitting unit; and an adhesive unit for adhering the first substrate and the second substrate to each other, wherein the adhesive unit includes a sealant, and particles that are arranged in the sealant so as to block penetration of external impurities. There is further provided a method of manufacturing the organic light emitting display device. | 06-09-2011 |
20110133171 | ARYLAMINE COMPOUND AND ORGANIC ELECTROLUMINESCENCE DEVICE - This invention relates to an arylamine compound having a molecular weight of 1,500 to 6,000 represented by formula (1), and to an organic electroluminescence device having a pair of electrodes and at least one organic layer sandwiched therebetween, wherein the arylamine compound of formula (1) is used as a constituting material of the at least one organic layer: | 06-09-2011 |
20110133172 | Light-Emitting Element, light-Emitting Device, and Electronic Device - The light-emitting element of the present invention includes a light-emitting layer and a layer for controlling movement of carriers between a pair of electrodes. The layer for controlling movement of carriers includes a first organic compound having a carrier transporting property and a second organic compound for reducing the carrier transporting property of the first organic compound, and the second organic compound is dispersed in the first organic compound. The layer for controlling movement of carriers is provided in such a manner, whereby change in carrier balance with time can be suppressed. Therefore, a light-emitting element having a long lifetime can be obtained. | 06-09-2011 |
20110133173 | CHARGE TRANSPORT COMPOSITIONS AND ELECTRONIC DEVICES MADE WITH SUCH COMPOSITIONS - The present invention relates to charge transport compositions. The invention further relates to electronic devices in which there is at least one active layer comprising such charge transport compositions. | 06-09-2011 |
20110133174 | N-TYPE CONJUGATED COMPOUNDS CONTAINING DIBORYLENE UNITS, METHODS OF MAKING, AND A DEVICE COMPRISING THE COMPOUND - N-type conjugated compounds are disclosed which include at least one conjugated electron-acceptor unit The conjugated electron-acceptor unit includes a diborylene unit. The compounds find application in an electron acceptor layer of an electronic device. | 06-09-2011 |
20110140089 | ORGANIC EL DEVICE - The object of the present invention is to provide an organic EL device having a structure that resolves a problem of trade-off between decrease in a drive voltage and increase in production yield. The organic EL device of the present invention includes a substrate, a pair of electrodes provided on the substrate, and an organic EL layer sandwiched by the pair of electrodes; the pair of electrodes includes a positive electrode and a negative electrode; the organic EL layer includes at least a light-emitting layer and a hole injection layer that is in contact with the positive electrode; the hole injection layer is formed of an n-type semiconductor host material and a p-type semiconductor guest material; a LUMO level E | 06-16-2011 |
20110140090 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display is disclosed. The display includes: a substrate; a first electrode positioned on the substrate; an organic emission layer positioned on the first electrode; a second electrode positioned on the organic emission layer; and a semi-transmissive layer positioned between the substrate and the first electrode and having a plurality of refractive layers having different refractive indices. | 06-16-2011 |
20110140091 | Electro-optic Diode Devices - A light emissive or photovoltaic device comprising: a cathode structure for injecting electrons, the cathode structure having one or more constituent regions; an anode structure for injecting holes, the anode structure having one or more constituent regions; and an organic light emissive component located between the anode structure and the cathode structure; the refractive indices and the thicknesses of the or each constituent region of the cathode and anode structures and of the light emissive component being such that the emission or absorption spectrum of the device is substantially angularly dependent. | 06-16-2011 |
20110140092 | CHARGE TRANSPORT COMPOSITIONS AND ELECTRONIC DEVICES MADE WITH SUCH COMPOSITIONS - The present invention relates to charge transport compositions. The invention further relates to electronic devices in which there is at least one active layer comprising such charge transport compositions. | 06-16-2011 |
20110140093 | COMPOUNDS FOR USE IN LIGHT-EMITTING DEVICES - Compounds including optionally substituted Ring Systems 1-4 may be used as hosts in light-emitting devices. | 06-16-2011 |
20110147716 | OLED WITH HIGH EFFICIENCY BLUE LIGHT-EMITTING LAYER - The invention provides an OLED device comprising an anode, a cathode and a light-emitting layer located therebetween, said light-emitting layer comprising an anthracene host and a styrylamine blue light-emitting compound; and, located between the said light-emitting layer and the cathode, a first electron-transporting layer that is greater than 0.5 nm and less than 5 nm thick; and a second electron-transporting layer consisting essentially of an anthracene located between the first electron-transporting layer and the cathode. The first electron-transporting layer includes a compound with a less negative LUMO level than the anthracene in the second electron-transporting layer. Devices of the invention provide improvement in features such as efficiency. | 06-23-2011 |
20110147717 | DEUTERATED COMPOUNDS FOR LUMINESCENT APPLICATIONS - This invention relates to deuterated compounds that are useful in electroluminescent applications. It also relates to electronic devices in which the active layer includes such a deuterated compound. | 06-23-2011 |
20110147718 | ELECTROACTIVE COMPOSITION AND ELECTRONIC DEVICE MADE WITH THE COMPOSITION - There is provided an electroactive composition including: a deuterated first host material and an electroluminescent dopant material. The first host is a compound having Formula I: | 06-23-2011 |
20110147719 | ELECTRICALLY CONDUCTIVE POLYMER COMPOSITIONS - The present invention relates to electrically conductive compositions, and their use in electronic devices. The composition includes either (1) a deuterated electrically conductive polymer doped with a highly-fluorinated acid polymer; or (2) (a) a deuterated electrically conductive polymer doped with a non-fluorinated polymeric acid and (b) at least one highly-fluorinated acid polymer. | 06-23-2011 |
20110147720 | Novel Quinoxaline Derivatives and Their Use in Organic Light-Emitting Diode Device - The present invention relates to novel quinoxaline derivatives and their use in an organic light-emitting diode device. The quinoxaline derivative is of luminescence and rigidity, can increase glass transition temperature (Tg) and has better thermal stability, and thus can be used as a hole transporting layer, a host or guest of an emitting layer or an electron transporting layer of an organic light-emitting diode device. | 06-23-2011 |
20110147721 | POLARIZING FILM FOR DISPLAY DEVICE AND ORGANIC LIGHT EMITTING DIODE (OLED) DISPLAY DEVICE INCLUDING THE SAME - A polarizing film includes an anti-glare layer that has a haze of 10 to 50%. An OLED display device includes a display panel including a device substrate on which one or more OLEDs are formed, and a polarizing film disposed at a viewing surface of the display panel. The polarizing film includes an anti-glare layer having a haze of 10 to 50%. | 06-23-2011 |
20110147722 | SEMICONDUCTOR LIGHT EMITTING DEVICE COMPRISING HIGH PERFORMANCE RESINS - A semiconductor light emitting device comprising curable polyorganosiloxane compositions is provided where the compositions contain a 13 | 06-23-2011 |
20110147723 | ENHANCED E-FIELD SENSING USING NEGATIVE CAPACITANCE FET SUBTHRESHOLD SLOPE ENHANCEMENT - In certain embodiments, a field effect transistor (FET) can include a substrate, a source electrode, a drain electrode, a ferroelectric material layer, a first gate electrode, and a second gate electrode to maintain an optimal polarization state of the ferroelectric material layer. In other embodiments, a FET can include a film, first and second gates on the film, a ferroelectric material layer covering the film and gates, an insulating layer substantially covering the ferroelectric material layer, a source and a drain on the insulating layer, and a pentacene layer. | 06-23-2011 |
20110147724 | ORGANIC THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - There is provided an organic thin film transistor and a method of manufacturing the same. The organic thin film transistor includes: an insulating substrate on which a plurality of barrier ribs and a plurality of grooves partitioned by the barrier ribs are formed; source and drain electrodes each formed on the grooves spaced apart from each other among the plurality of grooves; a gate electrode formed on the groove between the source and drain electrodes; an opening formed by etching the barrier ribs between the source electrode and the gate electrode and between the gate electrode and the drain electrode; a gate insulating film formed on the opening; and an organic semiconductor layer formed on the gate insulating film. The organic thin film transistor is capable of mass production and has excellent electrical characteristics. | 06-23-2011 |
20110147725 | SULFONATED POLYTHIOPHENES COMPRISING FUSED RING REPEAT UNITS - A sulfonated polymer comprising a 3-substituted fused thienothiophene repeat unit, a composition comprising the polymer, a method of making the polymer, and a device comprising the polymer. The polymers can be used in hole injection or hole transport layers, or other applications in organic electronic devices. | 06-23-2011 |
20110147726 | ORGANIC THIN FILM TRANSISTOR, METHOD FOR MANUFACTURING THE SAME, DISPLAY MEMBER USING THE ORGANIC THIN FILM TRANSISTOR, AND DISPLAY - An object of the present invention is to provide an organic thin film transistor a gate insulating film of which can be formed at a low temperature. The organic thin film transistor of the present invention includes a source electrode, a drain electrode, an organic semiconductor layer which becomes a current path between the source electrode and the drain electrode, a gate electrode which controls an electric current passing through the current path, and an insulating layer which insulates the organic semiconductor layer from the gate electrode, wherein the insulating layer is formed of a cured substance of a composition containing a first compound having, in the molecule, two or more groups that produce a functional group which reacts with an active hydrogen group by electromagnetic radiations or heat, and a second compound having two or more active hydrogen groups in the molecule, where at least one of the first compound and the second compound is a polymer compound. | 06-23-2011 |
20110147727 | OLEDS DOPED WITH PHOSPHORESCENT COMPOUNDS - Organic light emitting devices are disclosed which are comprised of a heterostructure for producing electroluminescence wherein the heterostructure is comprised of an emissive layer containing a phosphorescent dopant compound. For example, the phosphorescent dopant compound may be comprised of platinum octaethylporphine (PtOEP), which is a compound having the chemical structure with the formula: | 06-23-2011 |
20110147728 | SPIROFLUORENE DERIVATIVE, MATERIAL FOR LIGHT-EMITTING ELEMENT, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, AND ELECTRONIC DEVICE - It is an object of the present invention to provide a material having a high Tg and a wide energy gap. The present invention provides a spirofluorene derivative represented by General Formula 1. (In the formula, R | 06-23-2011 |
20110147729 | Stilbene Derivatives, Light-Emitting Element and Light-Emitting Device - The present invention provides a novel substance having an excellent color purity of blue, a light-emitting element and a light-emitting device using the novel substance. A stilbene derivative has a structure shown by the general formula (1). In the general formula (1), R | 06-23-2011 |
20110147730 | CARBAZOLE DERIVATIVE, AND LIGHT EMITTING ELEMENT AND LIGHT EMITTING DEVICE USING THE CARBAZOLE DERIVATIVE - It is an object of the present invention to provide a material which is excellent in a hole injecting property and a hole transporting property, and to provide a light emitting element and a light emitting device using a material which is excellent in a hole injecting property and a hole transporting property. The present invention provides a carbazole derivative represented by a general formula (1). The carbazole derivative according to the present invention is excellent in the hole injecting property. By using the carbazole derivative according to the present invention as a hole injecting material for a hole injecting layer of a light emitting element, a driving voltage can be reduced. In addition, a lower driving voltage, improvement of the luminous efficiency, a longer life time, and higher reliability can be realized by applying the material to a light emitting element or a light emitting device. | 06-23-2011 |
20110147731 | CONDENSED RING AROMATIC COMPOUND FOR ORGANIC LIGHT-EMITTING DEVICE AND ORGANIC LIGHT-EMITTING DEVICE HAVING THE SAME - Provided are a condensed ring aromatic compound for an organic light-emitting device, and an organic light-emitting device having optical output with high efficiency and high luminescence and having durability. An organic light-emitting device including an anode and a cathode, and a layer made of an organic compound interposed between the anode and the cathode, wherein at least one layer of the layers made of the organic compound contains a condensed ring aromatic compound shown in the following general formula [1]: | 06-23-2011 |
20110147732 | BENZOFLUORANTHENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE ELEMENT COMPRISING SAME - A benzofluoranthene derivative represented by the following formula (1): | 06-23-2011 |
20110156009 | COMPACT ELECTRICAL SWITCHING DEVICES WITH NANOTUBE ELEMENTS, AND METHODS OF MAKING SAME - An electrical device includes a substrate; first and second active areas; first and second word lines disposed in a first plane; first and second bit lines in a second plane and in electrical communication with first and second active areas; and a reference line disposed in a third plane. A nanotube element disposed in a fourth plane is in electrical communication with first and second active areas and the reference line via electrical connections at a first surface of the nanotube element. The nanotube element includes first and second regions having resistance states that are independently adjustable in response to electrical stimuli, wherein the first and second regions nonvolatilely retain the resistance states. Arrays of such electrical devices can be formed as nonvolatile memory devices. Methods for fabricating such devices are also disclosed. | 06-30-2011 |
20110156010 | SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor device includes a gate formed over a substrate, organic semiconductor pattern interposed between the substrate and the gate, junction regions formed in the substrate on both sides of the gate, and junction patterns formed over the junction regions to contact the organic semiconductor patterns. | 06-30-2011 |
20110156011 | BLUE FLUORESCENCE COMPOUND AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - The present invention relates to a blue fluorescence compound which enables to achieve high brightness, a long lifetime and high efficiency; and an organic electroluminescence device thereof. | 06-30-2011 |
20110156012 | DOUBLE LAYER HARDMASK FOR ORGANIC DEVICES - Method of manufacturing a substrate comprising an active organic layer, the method comprising providing a substrate comprising a first layer of an organic material, depositing a second layer on the first layer of organic material, depositing a third layer on the second layer, wherein the second layer protects the first layer of organic material during the deposition of the third layer, and patterning the second layer and the third layer to form a hardmask. | 06-30-2011 |
20110156013 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device and an organic photoelectric device including the same, the compound being represented by the following Chemical Formula 1: | 06-30-2011 |
20110156014 | MATERIAL FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A material for an organic photoelectric device and an organic photoelectric device including the same, the material including an asymmetric compound represented by the following Chemical Formula 1: | 06-30-2011 |
20110156015 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device including: a substrate; a sealing member; an organic light emitting device between the substrate and the sealing member and for displaying images; a selective light absorbing layer on a surface of the sealing member facing the organic light emitting device and including pigments for selectively absorbing light; and a black matrix layer on the selective light absorbing layer corresponding to non-emission areas of the organic light emitting device. | 06-30-2011 |
20110156016 | ORGANIC LIGHT-EMITTING MEDIUM AND ORGANIC EL ELEMENT - An organic light-emitting medium including a diaminopyrene derivative represented by the following formula (1) and an anthracene derivative represented by the following formula (2); | 06-30-2011 |
20110156017 | NOVEL ANTHRACENE DERIVATIVES AND ORGANIC ELECTRONIC DEVICE USING SAME - The present invention provides a novel anthracene derivatives and an organic electronic device using the same. The organic electronic device according to the present invention shows excellent properties in terms of efficiency, a driving voltage, and a life span. | 06-30-2011 |
20110156018 | POLYMER COMPOUND AND POLYMER LIGHT-EMITTING DEVICE USING THE SAME - A polymer compound comprising a repeating unit represented by the formula (I): | 06-30-2011 |
20110156019 | DERIVATIZED FULLERENE-BASED DOPANTS FOR ORGANIC SEMICONDUCTORS - Methods for producing p-doped organic semiconductor material with a fullerene derivative having at least one electron-withdrawing substituent covalently attached thereto, and semiconductor compositions prepared thereby are provided. Also provided are electronic devices, such as transistors, solar-cells, illuminating devices, OLEDs and detectors, comprised of these p-doped organic semiconductor materials. | 06-30-2011 |
20110163299 | Electroluminescent Devices Employing Organic Cathodes - The disclosure provides methods, materials, and devices suitable for use in electroluminescent devices. In one embodiment, for example, there is provided a layered cathode comprising a metal substrate and an intermediate organic or organometallic layer having an electron accepting group. The intermediate layer provides an interface with an overlaying electroluminescent layer. The disclosure finds utility, for example, in the field of microelectronic devices. | 07-07-2011 |
20110163300 | ORGANIC LIGHT-EMITTING MATERIAL, ORGANIC LIGHT-EMITTING ELEMENT USING THE SAME AND METHOD OF FORMING THE SAME - The present invention provides compound of formula (I) | 07-07-2011 |
20110163301 | PYROMELLITIC DIIMIDE ORGANIC SEMICONDUCTORS AND DEVICES - n-type organic semiconductors have a pyromellitic diimide structure and electronic or electro-optic devices include pyromellitic diimide compounds as organic semiconductors. Specific semiconductors include pyromellitic diimide compounds have sidechains comprising fluorine substituted aliphatic or aromatic moieties linked to the pyromellitic diimide structure by an alkylene or heteroalkylene linking group. An electronic or electro-optic device includes a first electrode, a second electrode space apart from the first electrode, and an organic semiconductor layer arranged between the first and second electrodes. The organic semiconductor layer comprises a pyromellitic diimide compound. | 07-07-2011 |
20110163302 | HOLE TRANSPORT MATERIALS HAVING A SULFUR-CONTAINING GROUP - Novel materials are provided, having a single phenyl or a chain of phenyls where there is a nitrogen atom on each end of the single phenyl or chain of phenyls. The nitrogen atom may be further substituted with particular thiophene, benzothiophene, and triphenylene groups. Organic light-emitting devices are also provided, where the novel materials are used as a hole transport material in the device. Combinations of the hole transport material with specific host materials are also provided. | 07-07-2011 |
20110163303 | POLYMERIC MATERIAL, METHOD OF FORMING THE POLYMERIC MATERIAL, AND MEHTOD OF FORMING A THIN FILM USING THE POLYMERIC MATERIAL - A polymeric material includes a pendant polycyclic aromatic compound precursor. | 07-07-2011 |
20110163304 | Organic Material and Electrophotographic Device - The invention relates to an electronic device, particularly photoreceptor or electrophotographic device, comprising an organic function material, which comprises an electron transport component and a hole trap component, to an organic material, which is a mixture or a copolymer comprising an electron transport component and a hole trap component, its use as charge transport material in a photoreceptor or electrophotographic device, especially of the positive charging type, and to electronic devices comprising such a material. | 07-07-2011 |
20110168983 | Semiconductor Device and Manufacturing Method Thereof - Disclosed are a semiconductor device and a manufacturing method thereof. The semiconductor device can include a recess formed in an active area of a semiconductor substrate, an insulating layer formed in the recess, a source electrode and a drain electrode spaced apart from the source electrode on the insulating layer, a carbon nanotube layer formed between the source and drain electrodes, an oxide layer pattern covering at least the carbon nanotube layer, and a gate electrode formed on the oxide layer pattern. | 07-14-2011 |
20110168984 | VISIBLE/NEAR-INFRARED PORPHYRIN-TAPE/C60 ORGANICPHOTODETECTORS - Porphyrin compounds are provided. The compounds may further comprise a fused polycyclic aromatic hydrocarbon or a fused heterocyclic aromatic. Fused polycyclic aromatic hydrocarbon s and fused heterocyclic aromatics may extend and broaden absorption, and modify the solubility, crystallinity, and film-forming properties of the porphyrin compounds. Additionally, devices comprising porphyrin compounds are also provided. The porphyrin compounds may be used in a donor/acceptor configuration with compounds, such as C | 07-14-2011 |
20110168985 | Organic Light Emitting Diode Display Device and Method of Manufacturing the Same - An organic light emitting diode display device and a method of manufacturing the same are disclosed. The organic light emitting diode display device includes a substrate having an emission section and anon-emission section, a semiconductor layer located on the substrate, a gate dielectric layer located over an entire front surface of the substrate, a gate electrode located in correspondence to the semiconductor layer, a dielectric layer located over the entire front surface of the substrate, source and drain electrodes and a first electrode located on the dielectric layer and electrically connected to the semiconductor layer, a pixel definition layer exposing a part of the first electrode, a spacer located on the pixel definition layer and located on the non-emission section of the substrate, an organic film layer located on the first electrode, and a second electrode located over the entire front surface of the substrate. | 07-14-2011 |
20110168986 | THIN FILM DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY DEVICE BY USING THE APPARATUS, AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE MANUFACTURED BY USING THE METHOD - A thin film deposition apparatus, a method of manufacturing an organic light-emitting display device by using the thin film deposition apparatus, and an organic light-emitting display device manufactured by using the method. The thin film deposition apparatus includes: a deposition source that discharges a deposition material; a deposition source nozzle unit disposed at a side of the deposition source and including a plurality of deposition source nozzles arranged in a first direction; a patterning slit sheet disposed opposite to the deposition source nozzle unit and including a plurality of patterning slits having different lengths arranged in the first direction; and a barrier plate assembly disposed between the deposition source nozzle unit and the patterning slit sheet in the first direction, and including a plurality of barrier plates that partition a space between the deposition source nozzle unit and the patterning slit sheet into a plurality of sub-deposition spaces, wherein the thin film deposition apparatus is separated from the substrate by a predetermined distance, and the thin film deposition apparatus and the substrate are movable relative to each other. | 07-14-2011 |
20110168987 | Organic Electronic Device - An organic electronic device comprising: an anode; a hole injecting layer; a cathode; and organic semiconductive material disposed between the hole injecting layer and the cathode, wherein the cathode comprises an electron-injecting material having a higher electron-injection efficiency than BaO/Al, and wherein the hole injecting layer comprises a hole injecting material which has a lower workfunction than PEDOT:PSS (1:6). | 07-14-2011 |
20110168988 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An OLED display is disclosed. The display includes: a substrate main body, and an organic light emitting diode (OLED) formed on above the substrate main body, where the OLED includes: a first electrode injecting holes, a second electrode injecting electrons, an emission layer formed between the first and second electrodes, a hole injection layer (HIL) and a hole transport layer (HTL) sequentially formed between the first electrode and the emission layer, and a semi-transparent layer formed between the first electrode and the emission layer in red and green pixels. | 07-14-2011 |
20110168989 | High-molecule-based organic light-emitting diode and fabrication method thereof - The present invention discloses a high-molecule-based organic light-emitting diode (OLED) and a fabrication method thereof. The high-molecule-based OLED comprises a layer selected from a group consisting of an organic emissive layer, a first emission-auxiliary layer and a second emission-auxiliary layer. The organic emissive layer, first emission-auxiliary layer or second emission-auxiliary layer comprises a molecular material having a molecular weight of larger than approximately 730 g mol | 07-14-2011 |
20110168990 | ORGANIC ELECTROLUMINESCENT DEVICE, DISPLAY, AND ILLUMINATING DEVICE - An organic electroluminescent element including at least an emission layer sandwiched between an anode and a cathode, wherein the emission layer comprises at least a compound represented by Formula (A), | 07-14-2011 |
20110168991 | MOLECULAR ELEMENT, MANUFACTURING METHOD THEREOF, INTEGRATED CIRCUIT DEVICE, MANUFACTURING METHOD THEREOF, THREE-DIMENSIONAL INTEGRATED CIRCUIT DEVICE, AND MANUFACTURING METHOD THEREOF - In one example embodiment, a molecular element is configured by bridging a gap between a source electrode and a drain electrode by a functional molecule. The functional molecule arises from covalent linkage of a side chain composed of a pendant molecule that has dielectric constant anisotropy and/or dipole moments and in which orientation change occurs due to an electric field to a main chain composed of a conjugated molecule in which structural change occurs due to the orientation change of the pendant molecule and an electrical characteristic changes. The molecular element is made to work as a diode, a transistor, or a memory by an electric field applied to the pendant molecule of the functional molecule by gate electrodes. | 07-14-2011 |
20110168992 | NOVEL COMPOUND, METHOD FOR PREPARING SAME AND ORGANIC ELECTRONIC DEVICE USING SAME - The present invention relates to a novel compound, a method for manufacturing the same, and an organic electronic device using the same, and the novel compound according to the present invention may act as a hole injection, hole transport, electron injection and transport, or light emitting material in an organic light emitting device and an organic electronic device, and the device according to the present invention shows excellent properties in terms of efficiency, a driving voltage, and stability. | 07-14-2011 |
20110175064 | Light emitting device and method of fabricating the same - A light emitting device may include a first electrode on a substrate, a first emission layer on the first electrode, a buffer layer on the first emission layer, a middle electrode on the buffer layer, a second emission layer on the middle electrode, and a second electrode on the second emission layer. The buffer layer may include a material selected from the group consisting of a metal oxide, a polyelectrolyte, and a combination thereof. The first emission layer, buffer layer, middle electrode, and second emission layer may be fabricated using a wet process. | 07-21-2011 |
20110175065 | PHOTOVOLTAIC DEVICE HAVING TRANSPARENT ELECTRODE FORMED WITH NANOPARTICLES - A photovoltaic device is disclosed that includes a transparent front electrode formed by the self-assembly of conductive nanoparticles from an emulsion coated onto a substrate and dried. The nanoparticles self-assemble into a network-like pattern of conductive traces that define randomly-shaped transparent cells. The cells may be filled with various transparent filler materials and additional layers may be present in the device in addition to conventional components. Processes for forming the transparent electrode are also disclosed. | 07-21-2011 |
20110175066 | ORGANIC LUMINESCENT MATERIALS, COATING SOLUTION USING SAME FOR ORGANIC EMITTING LAYER, ORGANIC LIGHT EMITTING DEVICE USING COATING SOLUTION AND LIGHT SOURCE DEVICE USING ORGANIC LIGHT EMITTING DEVICE - It is an object of the present invention to provide an organic light-emitting device which can emit white light by easily controlling dopant concentrations. The organic light-emitting device has a first electrode ( | 07-21-2011 |
20110175067 | ORGANIC LIGHT-EMITTING DIODE - According to one embodiment, there is provided an organic light-emitting diode including an anode and a cathode arranged apart from each other, an emissive layer arranged between the anode and the cathode, a hole injection layer arranged between the anode and the emissive layer and including a polyethylenedioxythiophene, and a hole-transport layer arranged between the hole injection layer and the emissive layer and including a hole-transport material. The emissive layer includes a cathode side first area including a hole transport host material, an electron transport host material and an emitting dopant, and an anode side second area including the hole transport host material and no electron transport host material. | 07-21-2011 |
20110175068 | POLYMER AND ORGANIC LIGHT EMITTING DEVICE INCLUDING POLYMER - A polymer and an organic light-emitting device including the polymer are provided, wherein the polymer comprises a polymeric unit represented by the Formula: | 07-21-2011 |
20110175069 | CROSS-LINKABLE POLYMER, CROSS-LINKED MATERIAL OF THE CROSS-LINKABLE POLYMER, ORGANIC LIGHT EMITTING DEVICE COMPRISING THE CROSS-LINKED MATERIAL AND METHOD OF PREPARING THE ORGANIC LIGHT EMITTING DEVICE - A cross-linkable polymer including 1,1′-binaphthyl repeating units linked through 6,6′-arylene groups, a cross-linked material comprising the cross-linkable polymer, an organic light emitting device including the cross-linked material, and a method of preparing the organic light emitting device are each disclosed. | 07-21-2011 |
20110175070 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device including: a substrate; an organic light emitting device on the substrate to display an image; a sealing member on the organic light emitting device; a phase delay layer disposed on the substrate, the organic light emitting device, or the sealing member; a linear polarization layer disposed on the substrate, the organic light emitting device, the sealing member, or the phase delay layer and is located closer to a display surface of the organic light emitting display where the image is displayed than the organic light emitting device, the sealing member, and the phase delay layer are from the display surface; a multi-phase delay layer between the phase delay layer and the linear polarization layer; and a transmittance control layer disposed on the substrate, the organic light emitting device, the sealing member, the phase delay layer, the multi-phase delay layer, or the linear polarization layer. | 07-21-2011 |
20110175071 | ORGANIC ELECTRIC FIELD LIGHT-EMITTING ELEMENT - An organic electric field light-emitting element, containing an anode; a cathode; and an organic layer provided therebetween and containing a first organic layer, a second organic layer and a third organic layer laminated in this order from the anode side, wherein the first organic layer contains a first host material in an amount of 10 to 90 mass % and a first hole-transporting phosphorescent material in an amount of 10 to 90 mass %; the second organic layer contains a second host material in an amount of 65 to 96.9 mass %, a second hole-transporting phosphorescent material in an amount of 3 to 30 mass %, and an electron-trapping material in an amount of 0.1 to 5 mass %; the third organic layer contain a hole-blocking material whose T1 is higher than that of the second hole-transporting phosphorescent material by at least 0.1 eV, wherein the second host material, the second hole-transporting phosphorescent material, and the electron-trapping material satisfy the following relationship: | 07-21-2011 |
20110175072 | ORGANIC LIGHT-EMITTING DEVICE - Provided is an organic light-emitting device that shows high luminous efficiency even when driven at a high luminance. More specifically, provided is an organic light-emitting device, including: an anode (transparent electrode layer) and a cathode (metal electrode layer); and an organic compound layer being interposed between the anode and the cathode, and including an emission layer, in which: the emission layer has a host, a first dopant, and a second dopant; the host includes an aromatic hydrocarbon compound; the first dopant includes a phosphorescent iridium complex; and the second dopant includes a compound having two triarylamine structures. | 07-21-2011 |
20110175073 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device having a uniform thin film in a sub-pixel region, and a method of manufacturing the organic light emitting display device. The organic light emitting display device includes a substrate, a pixel electrode disposed on the substrate, and a pixel define layer disposed on the substrate and exposing the pixel electrode, The surface of the pixel electrode is saw toothed or rough in shape. | 07-21-2011 |
20110175074 | COMPONENTS AND CIRCUIT ARRANGEMENTS INCLUDING AT LEAST ONE ORGANIC FIELD-EFFECT TRANSISTOR - A circuit arrangement comprises a first electronic component, which is an organic field-effect transistor. It comprises a source electrode ( | 07-21-2011 |
20110175075 | Organic Electro-Luminescence Device - A method of fabricating an organic electro-luminescence device comprising the steps of providing a substrate, an organic chamber, and a sputtering chamber, forming a hole injection layer on the substrate, forming a hole transport layer on the hole injection layer in the organic chamber, forming a light-emitting layer on the hole transport layer in the organic chamber, disposing a metal chelate material on the light-emitting layer and partially doping a CsF compound into the metal chelate material to forming an electron transporting layer in the organic chamber, forming a buffer layer on the electron transporting layer in the organic chamber, transferring the substrate, the hole injection layer, the hole transport layer, light-emitting layer, the electron transporting layer, and the buffer layer form the organic chamber to the sputtering chamber, and forming an electron injection layer on the buffer layer in the sputtering chamber | 07-21-2011 |
20110175076 | Light-Emitting Element and Light-Emitting Device Employing the Same - An object is to provide a white light-emitting element which emits broad white light which is close to natural light and covers a wide wavelength range; that is, a white light-emitting element which has a broad spectrum waveform. Further, there are various different kinds of white light; however, in particular, an object is to provide a white light-emitting element which emits white light which is close to the standard white color of the NTSC. Over a substrate | 07-21-2011 |
20110175077 | FUSED POLYCYCLIC COMPOUNDS AND ORGANIC LIGHT-EMITTING DEVICE USING THE SAME - A fused polycyclic compounds is represented by the general formula (I): | 07-21-2011 |
20110175078 | HYDROGEN PENETRATION BARRIER - Provided is a hydrogen penetration barrier for preventing hydrogen from being diffused and discharged through a barrier and preventing hydrogen embrittlement of a material due to diffusion of hydrogen ions into a material. In detail, the hydrogen penetration barrier prevents penetration of hydrogen ions by using a built-in potential of a semiconductor layer doped with a p-type impurity and a semiconductor layer doped with an n-type impurity and a potential applied by a reverse biased voltage and includes an absorption layer absorbing the hydrogen molecules to primarily prevent the penetration of the hydrogen molecules and uses the absorption layer made of the conductive material as an application electrode of the reverse biased voltage and ionizes the hydrogen absorbed to the absorption layer to secondarily prevent the penetration of the hydrogen molecules and prevent the hydrogen embrittlement. | 07-21-2011 |
20110175079 | COMPOUND HAVING SUBSTITUTED ANTHRACENE RING STRUCTURE AND PYRIDOINDOLE RING STRUCTURE AND ORGANIC ELECTROLUMINESCENCE DEVICE - The present invention provides an organic compound having excellent properties, which is excellent in electron-injection/transport performance, has hole-blocking ability and is high stability in a thin-film state, as a material for an organic electroluminescence device having a high efficiency and a high durability, and provides is an organic electroluminescence device having a high efficiency and a high durability using the compound. The present invention relates to a compound having a substituted anthracene ring structure and a pyridoindole ring structure represented by general formula (1); and an organic electroluminescence device having a pair of electrodes and at least one organic layer interposed between the electrodes in which the at least one organic layer contains the compound. | 07-21-2011 |
20110180784 | ORGANIC SEMICONDUCTING COMPOSITIONS AND N-TYPE SEMICONDUCTOR DEVICES - An organic semiconducting composition consists essentially of an N,N-dicycloalkyl-substituted naphthalene diimide and a polymer additive comprising an insulating or semiconducting polymer having a permittivity at 1000 Hz of at least 1.5 and up to and including 5. This composition can be used to provide a semiconducting layer in a thin-film transistor that can be incorporated into a variety of electronic devices. | 07-28-2011 |
20110180785 | TRANSISTOR STRUCTURE COMPRISING A CHEMICAL ADDITIVE, A DISPLAY AND AN ELECTRONIC APPARATUS - A transistor structure is described herein that includes a semiconductor layer and a dielectric layer. In accordance with the disclosure, at least one of the semiconductor layer and/or the dielectric layer comprises a chemical additive having a higher reaction potential for a chemical species present in an environment than a material of the semiconductor layer and/or the dielectric layer. | 07-28-2011 |
20110180786 | HOLE TRANSPORT MATERIALS CONTAINING TRIPHENYLENE - Novel materials are provided, having a single phenyl or chain of phenyls where there is a nitrogen atom on each end of the single phenyl or chain of phenyls. The nitrogen atom may be further substituted with particular triphenylene groups. Organic light-emitting devices are also provided, where the novel materials are used as a hole transport material in the device. Combinations of the hole transport material with specific host materials are also provided. | 07-28-2011 |
20110180787 | Ink-Jet Print Ink and Organic Thin Film Transister Using Thereof - The present invention provides ink-jet printing ink for organic semiconductors, and, more particularly, provides ink-jet printing ink for organic semiconductors, which can be used to form a uniform crystalline thin film The ink-jet printing ink of the present invention includes a mixed solvent composed of a first solvent and a second solvent having a higher boiling point and lower surface tension than the first solvent, thus forming a uniform crystalline thin film in a volatilization process. Further, the present invention provides a circular organic thin film transistor having a high field-effect mobility of about 0.12 cm | 07-28-2011 |
20110180788 | COMPOUND SEMICONDUCTOR THIN FILM WITH ANTI-FOG FUNCTION AND THE MANUFACTURING METHOD THEREOF - The disclosure is a compound semiconductor thin film with anti-fog function and the manufacturing method thereof. The thin film at least includes a dense semiconductor thin film combined with a porous-needle semiconductor thin film. The disclosed compound semiconductor thin film decreases the contact angle of water and achieves hydrophilic and anti-fog properties for a long lifetime. | 07-28-2011 |
20110180789 | Hybrid Dielectric Material for Thin Film Transistors - Thin-film transistors are made using an organosilicate glass (OSG) as an insulator material. The organosilicate glasses may be SiO | 07-28-2011 |
20110180790 | COMPOUND FOR ORGANIC THIN FILM TRANSISTOR AND ORGANIC THIN FILM TRANSISTOR USING THE SAME - A compound for an organic thin film transistor having a structure represented by the following formula (1): | 07-28-2011 |
20110180791 | COPOLYMER FOR ELECTRONIC DEVICES - The invention relates to the use of a copolymer comprising indenofluorene units as charge transport material in the charge transport layer of a non-electroluminescent electronic device, especially a photoreceptor or electrophotographic device, and to charge transport layers and electronic devices, especially photoreceptors and electrophotographic devices, comprising such a copolymer. | 07-28-2011 |
20110180792 | ORGANIC LIGHT-EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - The present invention provides an organic light emitting diode comprising a substrate; a transparent cathode; an anode; and an organic material layer interposed between the transparent cathode and the anode, wherein the organic material layer comprises a light emitting layer and an n-type doped electron transport layer, the n-type doped electron transport layer includes an electron transport material and an n-type dopant and is disposed between the transparent cathode and the light emitting layer, and a method for manufacturing the same. | 07-28-2011 |
20110186819 | ORGANIC ELECTROLUMINESCENCE DISPLAY APPARATUS AND MANUFACTURING METHOD THEREFOR - Provided is a manufacturing method for an organic electroluminescence display apparatus in which processing uniformity is kept during partial removal processing of an electrode layer or an organic compound layer. The organic electroluminescence display apparatus includes: a substrate; and a light-emitting device including an organic compound layer including an emission layer sandwiched between electrodes formed on the substrate, in which: two or more of the light-emitting devices are provided, and the light-emitting devices are stacked in a direction perpendicular to the substrate; at least one of the electrodes and the organic compound layers in the two or more light-emitting devices includes openings; and the openings are positioned so as not to overlap with one another in the direction perpendicular to the substrate. | 08-04-2011 |
20110186820 | THIN FILM DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY DEVICE BY USING THE APPARATUS, AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE MANUFACTURED BY USING THE METHOD - A thin film deposition apparatus, a method of manufacturing an organic light-emitting display device by using the thin film deposition apparatus, and an organic light-emitting display device manufactured using the method. In the thin film deposition apparatus for forming a thin film on a substrate, the apparatus includes a deposition source that discharges a deposition material; a deposition source nozzle unit disposed at a side of the deposition source and including a plurality of deposition source nozzles arranged in a first direction; a patterning slit sheet disposed opposite to the deposition source nozzle unit and including a common deposition region at an end of the patterning slit sheet and a plurality of patterning slits on the other end in a second direction perpendicular to the first direction, where each of the plurality of pattering slits includes a plurality of patterning sub slits that are different in length; and a barrier plate assembly disposed between the deposition source nozzle unit and the patterning slit sheet in the first direction, and including a plurality of barrier plates that partition a deposition space between the deposition source nozzle unit and the patterning slit sheet into a plurality of sub-deposition spaces. The thin film deposition apparatus is separated from the substrate by a predetermined distance. The thin film deposition apparatus and the substrate are movable relative to each other. | 08-04-2011 |
20110186821 | AZAPYRENES FOR ELECTRONIC APPLICATIONS - The present invention relates to electronic devices, especially electroluminescent devices, comprising azapyrenes of formula (I), or formula (III), wherein Y | 08-04-2011 |
20110186822 | ORGANIC EL DEVICE AND PROCESS FOR MANUFACTURING SAME - Provided is an organic EL device capable of maintaining an excellent luminous efficiency over an extended period of time, particularly in a top emission-type EL device. The organic EL device of the invention includes a substrate and an organic EL element formed on the substrate. The organic EL element is composed of a bottom electrode, an organic EL layer, a top electrode and a protective layer. The protective layer is composed of one or a plurality of inorganic films, and at least one of the one or plurality of inorganic films is an SiON:H film having stretching-mode peak area ratios, as determined by infrared absorption spectroscopy, that include an absorption area ratio of N—H bonds to Si—N bonds in the SiON:H film which is not less than 0.04 but not more than 0.07 and an absorption area ratio of Si—H bonds to Si—N bonds which is not more than 0.15. | 08-04-2011 |
20110186823 | System for Displaying Images - A system for displaying images is provided. The system includes a full-color organic electroluminescent device having an anode. A first emitting layer and a second emitting layer are sequentially disposed on the anode. A cathode is disposed on the second emitting layer. The first and second emitting layers include, respectively, a first dopant and a second dopant, wherein the energy gap of the first dopant is different from that of the second dopant. | 08-04-2011 |
20110186824 | THIN-FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC DEVICE - A thin-film transistor includes: an organic semiconductor layer; and a source electrode and a drain electrode spaced apart from each other and disposed to respectively overlap the organic semiconductor layer. The organic semiconductor layer INCLUDES: a lower organic semiconductor layer; and an upper organic semiconductor layer formed on the lower organic semiconductor layer and having solubility and conductivity higher than the lower organic semiconductor layer. The lower organic semiconductor layer extends from an area overlapping the source electrode to an area overlapping the drain electrode, while the upper organic semiconductor layer is disposed in each of the area overlapping the source electrode and the area overlapping the drain electrode so that the respective upper organic semiconductor layers are spaced apart from each other. | 08-04-2011 |
20110186825 | Quinoxaline Derivative, and Light-Emitting Element, Light-Emitting Device, and Electronic Appliance Using the Same - A quinoxaline derivative expressed by the general formula (1) is provided. (Each of R | 08-04-2011 |
20110186826 | Electroluminescent Materials and Optical Device - An electroluminescent material comprises the following structural unit: | 08-04-2011 |
20110186827 | Organic Light-emitting Materials and Devices - A light-emissive polymer comprising the following unit: | 08-04-2011 |
20110186828 | Blue light-Emitting Material - A light-emitting material comprising a conjugated polymer and a blue-light emitting perylene. | 08-04-2011 |
20110186829 | Surface Treated Substrates for Top Gate Organic Thin Film Transistors - A method of forming a top gate transistor comprising the steps of providing a substrate carrying source and drain electrodes defining a channel region therebetween; treating at least part of the surface of the channel region to reduce its polarity; and depositing a semiconductor layer in the channel. | 08-04-2011 |
20110186830 | Method of Making Organic Thin Film Transistors Using a Laser Induced Thermal Transfer Printing Process - The present invention provides a method of manufacturing an organic thin film transistor (TFT), comprising: providing a substrate layer; providing a gate electrode layer; providing a dielectric material layer; providing an organic semiconductor (OSC) material layer; providing a source and drain electrode layer; and wherein one or more of the layers is deposited using a laser induced thermal imaging (LITI) process. Preferably the organic TFT is a bottom gate device and the source and drain electrodes are deposited on an organic semiconductor layer, or over a dielectric material layer using LITI. Further preferably a dopant material may be provided between the OSC material and the source and drain electrode layer, wherein the dopant material may also be deposited using LITI. Also preferably, wherein the dopant may be a charge neutral dopant such as substituted TCNQ or F4TCNQ. | 08-04-2011 |
20110186831 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME - An aromatic amine derivative represented by the following formula (1): | 08-04-2011 |
20110186832 | Opto-electrical Devices and Methods of Manufacturing the Same - An improved composition for ink jet printing an opto-electrical device, which composition comprises a solution-processable host material and a metal complex, wherein the viscosity of the composition exceeds 12 mPa·s at 20° C. | 08-04-2011 |
20110186833 | ORGANIC ELECTROLUMINESCENT ELEMENT AND METHOD FOR PRODUCING THE SAME - The problem to be solved by the present invention is to prolong the luminance half life of an organic EL element. A means for solving the problem is a method for producing an organic electroluminescent element comprising a first electrode that is formed first, a second electrode that is formed later, and a light-emitting layer that is formed between the first electrode and the second electrode, the method comprising the steps of applying a solution containing a light-emitting organic material to a surface of a layer located below to form an applied film; calcining the applied film in an inert gas atmosphere or in a vacuum atmosphere to form a light-emitting layer; holding the surrounding of the formed light-emitting layer in an inert gas atmosphere or in a vacuum atmosphere; and forming a layer located on the light-emitting layer in an inert gas atmosphere or in a vacuum atmosphere. | 08-04-2011 |
20110186834 | NOVEL 1,3,5-TRIS(DIARYLAMINO)BENZENE AND USE THEREOF - The invention provides a 1,3,5-tris(diarylamino)benzene represented by the general formula (I) | 08-04-2011 |
20110186835 | ELECTRONIC DEVICES MADE WITH METAL SCHIFF BASE COMPLEXES - The present invention relates to new electronic devices including a layer comprising a photoactive material and metal Schiff base complex, wherein the metal Schiff base complex is present as a host for the photoactive material or in a layer between the cathode and the photoactive material containing layer, or both. | 08-04-2011 |
20110186836 | GREEN LUMINESCENT MATERIALS - There is provided a green luminescent material having Formula I or Formula II | 08-04-2011 |
20110193064 | DIAMINOPYRENE DERIVATIVE AND ORGANIC EL DEVICE USING THE SAME - An organic EL device includes an emitting layer provided between an anode and a cathode. The emitting layer contains a diaminopyrene derivative represented by the following formula (1) as an emitting material for the organic EL device. The diaminopyrene derivative emits light with electrical energy. | 08-11-2011 |
20110193065 | Organic thin film transistor - An organic thin film transistor includes: a gate electrode, a gate insulating film, a source electrode, a drain electrode, and an organic active layer. The organic active layer includes an organic semiconductor compound represented by the following formula (A) as defined in the specification. | 08-11-2011 |
20110193066 | CURRENT LIMITING ELEMENT FOR PIXELS IN ELECTRONIC DEVICES - An apparatus for pixellated radiation configured to prevent short-circuits from adversely impacting display quality. A current limiting element connects a pixel to a power bus to minimize effects of pixel failures. Failure of a single pixel will have minimum impact on perceived display quality, thus avoiding failure of an entire row or column which would be noticeable to an observer of the display. | 08-11-2011 |
20110193067 | ORGANIC LIGHT-EMITTING DEVICE INCLUDING BARRIER LAYER INCLUDING SILICON OXIDE LAYER AND SILICON-RICH SILICON NITRIDE LAYER - An organic light-emitting device including a barrier layer that includes a silicon oxide layer and a silicon-rich silicon nitride layer. The organic light-emitting device includes a flexible substrate that includes a barrier layer and plastic films disposed under and over the barrier layer. The barrier layer includes a silicon-rich silicon nitride layer and a silicon oxide layer. The order in which the silicon-rich silicon nitride layer and the silicon oxide layer are stacked is not limited and the silicon oxide layer may be first formed and then the silicon-rich silicon nitride layer may be stacked on the silicon oxide layer. The silicon-rich silicon nitride layer has a refractive index of 1.81 to 1.85. | 08-11-2011 |
20110193068 | Composite film, flexible substrate including the composite film, and organic light emitting device including the flexible substrate - A composite film, a flexible substrate, and an organic light emitting device, the composite film including a composite including a sulfonic acid group containing moiety connected by an ether linkage (—O—) to an inorganic material having a nano-sized interlayer distance. | 08-11-2011 |
20110193069 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting device and a method of manufacturing the same, the organic light emitting device includes a first electrode, a second electrode, and an organic layer that has at least a multi-coated emissive layer and which is interposed between the first and second electrodes. The multi-coated emissive layer is a single layer composed of a neutral emissive material and an n | 08-11-2011 |
20110193070 | Light Emitting Device and Manufacturing Method Thereof - A light emission device manufactured by a method of forming a curved surface having a radius of curvature to the upper end of an insulator | 08-11-2011 |
20110193071 | RESIN COMPOSITION, GATE INSULATING LAYER, AND ORGANIC THIN FILM TRANSISTOR - The subject of the present invention is to provide an organic thin film transistor with a small hysteresis. The means for solving the subject is a resin composition for an organic thin film transistor gate insulating layer comprising (A) a macromolecule that comprises at least one repeating unit selected from the group consisting of repeating units represented by Formula (1), repeating units represented by Formula (1′), and repeating units represented by Formula (2) and contains two or more first functional groups in its molecule, wherein the first functional group is a functional group that generates, by the action of electromagnetic waves or heat, a second functional group that reacts with active hydrogen, and (B) at least one compound selected from the group consisting of low-molecular compounds containing two or more active hydrogens in each molecule and macromolecules containing two or more active hydrogens in each molecule. | 08-11-2011 |
20110193072 | FULLERENE DERIVATIVE, COMPOSITION, AND ORGANIC PHOTOELECTRIC CONVERSION ELEMENT - The present invention provides a fullerene derivative represented by the following formula (1). | 08-11-2011 |
20110193073 | FULLERENE DERIVATIVE, COMPOSITION AND ORGANIC PHOTOELECTRIC CONVERSION ELEMENT - The present invention provides a fullerene derivative represented by the following formula (1). | 08-11-2011 |
20110193074 | NOVEL COMPOUND AND ORGANIC DEVICE USING SAME - The present invention relates to a novel compound and an organic light emitting device using the compound, and the compound according to the present invention may largely improve a life span, efficiency, electrochemical stability and thermal stability of the organic light emitting device. | 08-11-2011 |
20110193075 | PRINTABLE LIGHT-EMITTING COMPOSITIONS - Disclosed herein are compositions comprising an electron transport compound, an emissive compound, and an organic solvent. The emissive compound comprises an organic indium complex attached to a nanoparticle core. These compositions are useful in fabricating light emitting devices and can be deposited on a substrate via a printing process. | 08-11-2011 |
20110198571 | ORGANIC COMPOUND AND ORGANIC ELECTROLUMINESCENCE DEVICE EMPLOYING THE SAME - Organic compounds and organic electroluminescence devices employing the same are provided. The organic compound has a chemical structure represented as follows: | 08-18-2011 |
20110198572 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An OLED display having an improved pad area, and a manufacturing method thereof. The OLED display includes a substrate including a display area and a pad area, an organic light emitting element formed in the display area, a plurality of pads formed in the pad area, and receiving an external signal for light emission of the organic light emitting element and transmitting the signals to the organic light emitting element, and a planarization layer insulating the pads. The planarization layer includes a recess portion formed between the pads. | 08-18-2011 |
20110198573 | CHARGE-TRANSPORTING POLYMER, COMPOSITION FOR ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC EL DISPLAY, AND ORGANIC EL LIGHTING - A subject for the invention is to provide a charge-transporting polymer having high hole-transporting ability and excellent solubility and film-forming properties and a composition for organic electroluminescent element which contains the charge-transporting polymer. Another subject for the invention is to provide an organic electroluminescent element which has a high current efficiency and high driving stability. The charge-transporting polymer comprises a group represented by the following formula (1) as a side chain: | 08-18-2011 |
20110198574 | Quinoxaline Derivative, and Light-Emitting Device, Electronic Device Using the Quinoxaline Derivative - The present invention provides a novel organic compound having excellent heat resistance. By using the novel organic compound, a light-emitting device and an electronic device having excellent heat resistance can be provided. A quinoxaline derivative represented by the general formula (1) is provided. Since the quinoxaline derivative represented by the general formula (1) has excellent heat resistance, when it is used for a light-emitting element, a light-emitting device using the light-emitting element also have excellent heat resistance. Further, electronic devices having excellent heat resistance can be provided. | 08-18-2011 |
20110198575 | COMPOSITIONS COMPRISING NOVEL COMPOUNDS AND ELECTRONIC DEVICES MADE WITH SUCH COMPOSITIONS - The present invention relates to novel compounds and polymers, compositions comprising novel compounds or polymers, and electronic devices comprising at least one layer containing the compound or polymer. | 08-18-2011 |
20110198576 | AMINO COMPOUND FOR ORGANIC LIGHT-EMITTING DEVICE AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An amino compound for an organic light-emitting device of general formula [1]: | 08-18-2011 |
20110198577 | ORGANIC ELECTROLUMINESCENT ELEMENT AND DISPLAY DEVICE - An organic electroluminescent element having high light emission efficiency, high color purity, and a long light emission lifetime, and a display device using the same are provided. In an organic electroluminescent element | 08-18-2011 |
20110198578 | SILOLES SUBSTITUTED BY FUSED RING SYSTEMS AND USE THEREOF IN ORGANIC ELECTRONICS - The present invention relates to the use of siloles substituted by fused ring systems in organic electronics applications, and to specific siloles substituted by fused ring systems and to the use thereof in organic electronics applications. | 08-18-2011 |
20110198579 | Host material for light-emitting diodes - The present invention relates to a host material comprising a compound having two carbazole moieties which is suitable for blue-emitting OLEDs. Surprisingly, it has been found that when appropriate substituents are present in the carbazole structure, the solubility of the compounds can be improved without any adverse effect on the OLED performance. The present invention further relates to the use of the host materials and to an organic light emitting device comprising the host material. | 08-18-2011 |
20110198580 | PHOTOACTIVE COMPOSITION AND ELECTRONIC DEVICE MADE WITH THE COMPOSITION - There is provided a photoactive composition including: (a) a first host material comprising a phenanthroline derivative; (b) a second host material comprising an aromatic amine; and (c) an electroluminescent dopant material. The weight ratio of first host material to second host material is in the range of 99:1 to 50:50. | 08-18-2011 |
20110198581 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Disclosed is a long-life organic EL device having high luminous efficiency even after storage at high temperatures. Also disclosed is an aromatic amine derivative which enables to realize such an organic EL device. The aromatic amine derivative is represented by the following general formula (1). | 08-18-2011 |
20110198582 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes an organic compound layer containing an organic compound in which the 3-position of a benzo[k]fluoranthene ring is bonded to the 8-position of a fluoranthene ring, and an organic compound having a pyrene ring. | 08-18-2011 |
20110204333 | PHOSPHORESCENT EMITTERS - Compounds including a ligand with a dibenzo-fused 5-membered ring substituent are provided. In particular, the compounds may be iridium complexes including imidazole coordinated to the dibenzo-substituted ligand. The dibenzo-fused 5-membered ring moiety of the ligand may be twisted or minimally twisted out of plane with respect to the rest of the ligand structure. The compound may be used in organic light emitting devices, particularly as emitting dopants in blue devices. Devices comprising the compounds may demonstrate improved stability while maintaining excellent color. | 08-25-2011 |
20110204334 | ORGANIC THIN FILM TRANSISTOR AND METHOD OF FORMING THE SAME - Provided are an organic thin film transistor and a method of forming the same. The method comprises forming a gate electrode on a substrate, forming a gate dielectric, which covers the gate electrode and includes a recess region at an upper portion, on the substrate, forming a source electrode and a drain electrode in the recess region, and forming an organic semiconductor layer between the source electrode and the drain electrode in the recess region. | 08-25-2011 |
20110204335 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a patterned first electrode, a second electrode, and a light-emitting layer therebetween. The light-emitting layer includes a first plurality of pixels having an emission color that is blue-green and a second plurality of pixels having an emission color that is red/red-orange, the second plurality of pixels being laterally spaced from the first plurality of pixels. The additive mixing of the emitted colors results in an overall emission of white light. | 08-25-2011 |
20110204336 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a patterned first electrode, a second electrode, and a light-emitting layer therebetween. The light-emitting layer includes a first plurality of pixels having an emission color that is blue and a second plurality of pixels having an emission color that is red-orange, the second plurality of pixels being laterally spaced from the first plurality of pixels. The additive mixing of the emitted colors results in an overall emission of white light. | 08-25-2011 |
20110204337 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a patterned first electrode, a second electrode, and a light-emitting layer therebetween. The light-emitting layer includes a first plurality of pixels having an emission color that is blue and a second plurality of pixels having an emission color that is yellow, the second plurality of pixels being laterally spaced from the first plurality of pixels. | 08-25-2011 |
20110204338 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a patterned first electrode, a second electrode, and a light-emitting layer therebetween. The light-emitting layer includes a first plurality of pixels having an emission color that is blue; a second plurality of pixels having an emission color that is green, the second plurality of pixels being laterally spaced from the first plurality of pixels; and a third plurality of pixels having an emission color that is orange, the third plurality of pixels being laterally spaced from the first and second pluralities of pixels. The additive mixing of all the emitted colors results in an overall emission of white light. | 08-25-2011 |
20110204339 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a patterned first electrode, a second electrode, and a light-emitting layer therebetween. The light-emitting layer includes a first plurality of pixels having an emission color that is blue; a second plurality of pixels having an emission color that is green, the second plurality of pixels being laterally spaced from the first plurality of pixels; and a third plurality of pixels having an emission color that is red-orange, the third plurality of pixels being laterally spaced from the first and second pluralities of pixels. The additive mixing of all the emitted colors results in an overall emission of white light. | 08-25-2011 |
20110204340 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a patterned first electrode, a second electrode, and a light-emitting layer therebetween. The light-emitting layer includes a first plurality of pixels having an emission color that is blue; a second plurality of pixels having an emission color that is green, the second plurality of pixels being laterally spaced from the first plurality of pixels; and a third plurality of pixels having an emission color that is red, the third plurality of pixels being laterally spaced from the first and second pluralities of pixels. | 08-25-2011 |
20110204341 | ORGANIC ELECTRONIC DEVICES AND POLYMERS, INCLUDING PHOTOVOLTAIC CELLS AND DIKETONE-BASED POLYMERS - Polymers which can be used in p-type materials for organic electronic devices and photovoltaic cells. Compounds, monomers, dimers, trimers, and polymers comprising: | 08-25-2011 |
20110204342 | Organic light-emitting display device and method of manufacturing the same - An organic light-emitting display device includes a substrate, a first electrode on the substrate, the first electrode including a reflection film, a hole injection layer on the first electrode, a hole transport layer on the hole injection layer, an emission layer on the hole transport layer, an electron injection transport layer on the emission layer, a second electrode on the electron injection transport layer, the second electrode including a semi-transmissive reflective material, and a control layer positioned between a lower surface of the hole injection layer and an upper surface of the hole transport layer, the control layer including an organic material and having a refractive index lower than that of an adjacent layer. | 08-25-2011 |
20110204343 | Light-Emitting Organic Component, Arrangement Having a Plurality of Light-Emitting Oranic Components and Electrode Structure - The invention relates to a light-emitting organic component, in particular a light-emitting organic diode, having an electrode and a counter electrode and an organic region arranged between the electrode and the counter electrode, the organic region being formed between the electrode and the counter electrode with a uniform material composition over its planar expansion, the electrode being formed by comb-shaped sub-electrodes electrically shorted among each other for which the comb-shaped electrode sections protruding from a respective comb-shaped electrode connecting section are arranged intermeshing with the organic region at least in an overlap region. Furthermore, the invention relates to an array with a serial connection of several light-emitting organic components and an electrode structure for an electronic component. | 08-25-2011 |
20110204344 | METHOD OF STORING LIQUID COMPOSITION FOR ORGANIC SEMICONDUCTOR ELEMENT - Problem to be solved by the present invention is to prevent volatilization of an organic solvent while a liquid composition for an organic semiconductor device is stored by placing in a container, and to prevent deterioration in function of an organic compound for forming an organic semiconductor device. Means for solving the problem is a method of storing a liquid composition for an organic semiconductor device comprising the step of storing the liquid composition for an organic semiconductor device containing an organic compound for forming an organic semiconductor device, and an organic solvent, in a container having a container body, a packing covering an opening part of the container body, and a lid, wherein the container body has an inner wall formed of a material which does not chemically act on the organic solvent nor on the organic compound, and a surface of the packing, which covers the opening part and which comes into contact with the opening-periphery part when the packing and the lid are mounted to the container body to form a sealed state, is formed of a material containing a resin having fluorine atom. | 08-25-2011 |
20110204345 | PROCESS FOR PRODUCING LIQUID COMPOSITION FOR ORGANIC SEMICONDUCTOR ELEMENT - A problem to be solved of the present invention is to provide a liquid composition for an organic semiconductor device having good coating property, wherein the lifetime of the function of an organic semiconductor device is prolonged when an organic layer is formed from the liquid composition for an organic semiconductor device, and a process for producing the liquid composition. A mean for solving the problem is a process for producing a liquid composition for an organic semiconductor device comprising a step of dissolving an organic compound which is solid at 1 atm and 25° C. in an organic solvent which is liquid at 1 atm and 25° C. and has a halogen compound concentration of not more than 100 ppm by weight. | 08-25-2011 |
20110204346 | SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND ELECTRONIC APPARATUS - A semiconductor device including: a substrate; a first electrode and a second electrode, each being formed on the substrate; a first region that is positioned between the first electrode and the second electrode; a second region that is connected with the first region; an organic semiconductor layer that is provided in the first region and in the second region; and a receptor of the organic semiconductor layer, the receptor being provided so as to surround the second region and having an opening that extends from the second region to the first region. | 08-25-2011 |
20110204347 | ORGANIC LIGHT-EMITTING DIODE AND METHOD FOR PREPARING THE SAME - An organic light-emitting diode including a film having a thermal evaporation material and metal particles between a first electrode and a substrate and/or on a second electrode. | 08-25-2011 |
20110204348 | WHITE LIGHT EMITTING ORGANIC ELECTROLUMINESCENCE ELEMENT, DISPLAY AND ILLUMINATOR - A white light emitting organic electroluminescent element comprising two electrodes having therebetween one or more constituting layers including a light emission layer, the one or more constituting layers comprising at least two phosphorescent compounds, wherein at least one of the phosphorescent compounds is a green light emitting ortho metalated complex; and a spectral ratio of the green light emitting ortho metalated complex in ae emission spectral distribution in a range of 400-800 nm is not less than 60%. | 08-25-2011 |
20110204349 | DIINDENOPICENE COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - The present invention provides an organic light emitting device which shows a blue light emission hue of remarkably good color purity and has a high-efficiency and high-luminance optical output. An organic light emitting device including an anode | 08-25-2011 |
20110204350 | Composition and organic insulating film prepared using the same - Disclosed is a composition, an organic insulating film including the same, an organic thin film transistor including the organic insulating film, an electronic device including the organic thin film transistor and methods of fabricating the same. In the composition, an organic polymer material having a carboxyl group and an organic silane material having an electron-donating group are included to thus realize a structure which may further stabilize an unreacted crosslinking material. Thereby, a hysteresis phenomenon may be decreased and transparency may be increased, thus making it possible to assure stability upon exposure to air. Accordingly, the lifetime of the organic thin film transistor may be lengthened. | 08-25-2011 |
20110204351 | NOVEL DIKETOPYRROLOPYRROLE POLYMERS - The present invention relates to polymers comprising a repeating unit of the formula (I) | 08-25-2011 |
20110204352 | FUSED RING AROMATIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE USING SAME - There are provided a novel fused ring aromatic compound and an organic light-emitting device which has an optical output with extremely high efficiency and luminance, and also has extremely high durability. The organic light-emitting device includes an anode, a cathode, and a layer including an organic compound interposed between the anode and the cathode, wherein the layer comprises a fused ring aromatic compound represented by the general formula (I): | 08-25-2011 |
20110204353 | Light Emitting Element and Light Emitting Device - A high efficient white emission light emitting element having peak intensity in each wavelength region of red, green, and blue is provided. Specifically, a white emission light emitting element having an emission spectrum that is independent of current density is provided. A first light emitting layer | 08-25-2011 |
20110204354 | INDENOPYRENE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE USING THE COMPOUND - Provided is an organic light-emitting device having an optical output with high luminance and high color purity with extremely high efficiency. The organic light-emitting device includes an organic layer between the anode and the cathode, in which one of the anode and the cathode is a transparent electrode or a semi-transparent electrode and at least one layer of the organic layer contains at least one kind of indenopyrene compound having a specific structure. | 08-25-2011 |
20110210315 | NOVEL DONOR-ACCEPTOR FLUORENE SCAFFOLDS: A PROCESS AND USES THEREOF - The present invention relates to novel donor-acceptor fluorene compounds, which can be used as for the fabrication of electroluminescent devices, and a process of preparing said novel compounds. More particularly, the present invention relates to amine donor and nitrile/ester acceptor fluorenes, fluorenones their π-conjugated systems and related compounds, processes for preparing the said compounds including oxidation of fluorenes to corresponding fluorenones and their use in preparing organic electronic devices such as organic light emitting diodes (OLEDs), photovoltaic/solar cell, Field effect transistors and other useful electroluminescent devices. The compounds are prepared by reacting 2H-pyran-2-ones in isolated or rigid conformations with cyclic ketones containing methylene carbonyl moiety in the presence of a base in an organic solvent. The present invention also relates to a new concept and approach to overcome the problem of ‘Green emission defect’ in 9-unsubstituted fluorene-based organic light emitting diodes which occurs due to the conversion of fluorenes to fluorenones that show emission mainly in green-yellow region. In the present invention we have placed donor-acceptor substituents in such a way that donor-acceptor fluorenones show emission in the blue region (instead of green-yellow region) thus improving the blue colour purity and overcoming the problem of green emission defect. | 09-01-2011 |
20110210316 | HETEROCYCLIC COMPOUND, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - An object is to provide a novel heterocyclic compound which can be used for a light-emitting element, as a host material of a light-emitting layer in which a light-emitting substance is dispersed. Other objects are to provide a light-emitting element having low driving voltage, a light-emitting element having high current efficiency, and a light-emitting element having a long lifetime. Provided are a light-emitting element including a compound in which a dibenzo[f,h]quinoxaline ring and a hole-transport skeleton are bonded through an arylene group, and a light-emitting device, an electronic device, and a lighting device each using this light-emitting element. The heterocyclic compound represented by General Formula (G1) below is provided. | 09-01-2011 |
20110210317 | TOP EMISSION ORGANIC LIGHT EMITTING DEVICE - A top emission organic light emitting device including a metal reflective layer, a first electrode, a first intermediate layer including a charge-transfer complex, a second intermediate layer including a fullerene-based material or a fluorine-containing compound, an emission layer, and a second electrode. | 09-01-2011 |
20110210318 | MATERIAL FOR ORGANIC ELECTRONIC DEVICE, AND ORGANIC ELECTRONIC DEVICE USING SAME - The present invention provides a novel compound that is capable of largely improving a life time, efficiency, electrochemical stability, and thermal stability of an organic electronic device, and an organic electronic device that comprises an organic material layer comprising the compound. | 09-01-2011 |
20110210319 | COMPOUND FOR ORGANIC THIN FILM TRANSISTOR AND ORGANIC THIN FILM TRANSISTOR USING THE SAME - A compound for an organic thin film transistor represented by the following formula ( | 09-01-2011 |
20110210320 | ANTHRACENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING THE SAME - The present invention relates to an anthracene derivative and an organic electroluminescent device using the same. More specifically, the present invention relates to: a novel compound which has a core (for example, an indenoanthracene core) where both an anthracene moiety with excellent device characteristics and a fluorene moiety with excellent fluorescent properties are fused, wherein substituents (for example, a heterocyclic group such as a benzimidazole group, a benzothiazole group, a benzoxazole group, a pyridinyl group or a bipyridinyl group) with an electron transfer capacity are substituted to the core; and an organic electroluminescence element which has improved luminous efficiency, brightness, thermal stability, driving voltage, and lifetime, by comprising an organic layer which is positioned between a positive electrode and negative electrode and contains the novel compound. | 09-01-2011 |
20110210321 | TRANSPARENT POLYMERIC ELECTRODES FOR ELECTRO-OPTICAL STRUCTURES, PROCESS FOR PRODUCING THE SAME, AND DISPERSIONS USED IN SUCH PROCESSES - The invention concerns a process for producing transparent multi-layer electrodes from conductive polymers, electrodes produced by this process and their use in electro-optical structures. | 09-01-2011 |
20110210322 | POLYMER COMPOUND CONTAINING NITROGEN-CONTAINING HETEROCYCLIC STRUCTURE, AND COMPOSITION, SOLUTION, THIN FILM AND POLYMER LIGHT-EMITTING ELEMENT EACH CONTAINING SAME - A polymer compound having a repeating unit represented by formula (1-0): | 09-01-2011 |
20110210323 | ORGANIC ELECTROLUMINESCENT ELEMENT AND DISPLAY DEVICE - An organic electroluminescent element, in which reduction in drive voltage is achieved, is provided. The organic electroluminescent element has an organic layer | 09-01-2011 |
20110215301 | Organic Light Emitting Device Having Multiple Separate Emissive Layers - An organic light emitting device having multiple separate emissive layers is provided. Each emissive layer may define an exciton formation region, allowing exciton formation to occur across the entire emissive region. By aligning the energy levels of each emissive layer with the adjacent emissive layers, exciton formation in each layer may be improved. Devices incorporating multiple emissive layers with multiple exciton formation regions may exhibit improved performance, including internal quantum efficiencies of up to 100%. | 09-08-2011 |
20110215302 | ORGANIC LIGHT EMITTING DIODE (OLED) DISPLAY - An organic light emitting diode display includes a substrate main body; an organic light emitting element on the substrate main body; an encapsulation substrate covering the organic light emitting element and coupled to the substrate main body; a translucent insulating layer filter formed on the encapsulation substrate; and a microcavity between the translucent insulating layer filter and the encapsulation substrate. | 09-08-2011 |
20110215303 | ELECTROPHOTOGRAPHIC PHOTORECEPTOR, PROCESS CARTRIDGE IMAGE FORMING APPARATUS, AND CURED FILM - An electrophotographic photoreceptor includes a conductive substrate and a photosensitive layer provided on the conductive substrate, and an outermost surface layer of the electrophotographic photoreceptor includes a cured film of a composition containing a charge transporting material having a chain polymerizable functional group and at least one selected from a nitroso compound, a nitrone compound or a nitro compound. | 09-08-2011 |
20110215304 | ORGANIC LIGHT-EMITTING DIODE, DISPLAY AND ILLUMINATING DEVICE - According to one embodiment, there is provided an organic light-emitting diode including an anode and a cathode arranged apart from each other, and an emissive layer interposed between the anode and the cathode and including a host material and an emitting dopant. The emitting dopant includes a copper complex represented by the formula (1): | 09-08-2011 |
20110215305 | Organic light emitting display apparatus - An organic light emitting display apparatus includes a substrate, a light conversion layer on the substrate, the light conversion layer including an oxide semiconductor, a passivation layer covering the light conversion layer, a first electrode on the passivation layer, an intermediate layer on the first electrode, the intermediate layer including an organic emission layer, and a second electrode on the intermediate layer. | 09-08-2011 |
20110215306 | ORGANIC SEMICONDUCTOR ELEMENT AND ORGANIC ELECTRODE - To provide an organic semiconductor element, containing: a source electrode containing a first organic compound layer and a second organic compound layer, at least one of the layers having an organic semiconductor active region; and a drain electrode containing the first organic compound layer and the second organic compound layer, as well as providing an organic electrode, containing: a laminated film, in which a layer of a tetrathiafulvalene derivative expressed by the following general formula I and a layer of an electron accepting compound are laminated: | 09-08-2011 |
20110215307 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A light-emitting element includes an EL layer between an anode and a cathode, and a first layer, a second layer, and a third layer between the cathode and the EL layer. The first layer provided between the cathode and the second layer is in contact with the cathode and the second layer, and includes a substance having a hole-transport property and an acceptor substance. The second layer provided between the first layer and the third layer is in contact with the first layer and the third layer, and includes a phthalocyanine-based material. The third layer provided between the second layer and the EL layer is in contact with the second layer and the EL layer, and includes an alkali metal, an alkaline-earth metal, a rare-earth metal, an alkali metal compound, an alkaline-earth metal compound, or a rare-earth metal compound. | 09-08-2011 |
20110215308 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting device and a method of manufacturing the same. The organic light-emitting device includes a substrate, a first electrode formed on the substrate, a second electrode, an emission layer between the first electrode and the second electrode, a hole transport layer between the first electrode and the emission layer, and an electron transport layer between the second electrode and the emission layer. The hole transport layer includes a first hole transport unit comprising: a first hole transport layer comprising a hole transporting material, a third hole transport layer formed on the first hole transport layer and comprising a charge generating material; and a fifth hole transport layer formed on the third hole transport layer and comprising the hole transporting material. | 09-08-2011 |
20110215309 | WHITE PHOSPHORESCENT ORGANIC LIGHT EMITTING DEVICES - A device is provided. The device includes an anode, a cathode and a double emissive layer disposed between the anode and the cathode. The double emissive layer includes a first organic emissive layer and a second organic emissive layer. The first organic emissive layer includes a first phosphorescent material having a concentration of 15-35 wt % in the first organic emissive layer, and a peak emissive wavelength in the visible spectrum at a wavelength between 400 nm and 500 nm; and a first host material having a triplet energy at least 0.2 eV and not more than 1.0 eV greater than the triplet energy of the first phosphorescent material. The second organic emissive layer includes a second phosphorescent material having a concentration of 15-35 wt % in the second organic emissive layer, and a peak emissive wavelength in the visible spectrum at a wavelength between 500 nm and 600 nm, and a third phosphorescent material having a concentration of 0.1-3 wt % in the second organic emissive layer, and a peak emissive wavelength in the visible spectrum at a wavelength between 600 nm and 700 nm. The second host material has a triplet energy greater than that of the third phosphorescent emissive material. The second organic emissive layer is disposed between the anode and the cathode, and is adjacent to the first organic emissive layer. The device also includes a blocking layer disposed adjacent to the second organic emissive layer and between the second organic emissive layer and the anode. The blocking layer has a lowest unoccupied molecular orbital that is at least 0.1 eV greater than the lowest unoccupied molecular orbital of the second host material. The device also includes a hole transport layer disposed between the blocking layer and the anode. At least one of the anode and the cathode is transmissive. | 09-08-2011 |
20110215310 | ELECTRON TRANSPORT MATERIAL AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - A compound represented by the following Formula (1) is useful as an electron transport material of an organic EL device, and an organic EL device comprising the compound in the electron transport layer is long in life, and low in drive voltage: | 09-08-2011 |
20110215311 | Electrode Device for Organic Device and Electronic Device Having the Same - To provide an electrode for an organic device which can be widely applied to organic devices by having both hole injection function and electron injection function. A carrier injection electrode layer | 09-08-2011 |
20110215312 | ORGANIC ELECTRIC FIELD LIGHT EMITTING ELEMENT AND PRODUCTION THEREFOR - A composition for an organic electroluminescent device is a composition for forming an organic light emitting layer of an organic electroluminescent device by wet coating process. The composition contains a phosphorescent material, a charge transport material, and a solvent, in which the phosphorescent material and the charge transport material are each an unpolymerized organic compound, and the first oxidation potential of the phosphorescent material E | 09-08-2011 |
20110215313 | DIKETOPYRROLOPYRROLE POLYMERS FOR USE IN ORGANIC SEMICONDUCTOR DEVICES - The present invention relates to polymers comprising one or more (repeating) unit(s) of the formula (I), and at least one (repeating) unit(s) which is selected from repeating units of the formula (II), (III) and (IV); and polymers of the formula III, or IV and their use as organic semiconductor in organic devices, especially in organic photovoltaics (solar cells) and photodiodes, or in a device containing a diode and/or an organic field effect transistor. The polymers according to the invention have excellent solubility in organic solvents and excellent film-forming properties. In addition, high efficiency of energy conversion, excellent field-effect mobility, good on/off current ratios and/or excellent stability can be observed, when the polymers according to the invention are used in organic field effect transistors, organic photovoltaics (solar cells) and photodiodes. | 09-08-2011 |
20110215314 | DUAL GATE FIELD-EFFECT TRANSISTOR AND METHOD OF PRODUCING A DUAL GATE FIELD-EFFECT TRANSISTOR - The present invention relates to a dual gate field-effect transistor ( | 09-08-2011 |
20110215315 | SWITCHING ELEMENT AND METHOD FOR FABRICATING SAME - A switching element comprises a source electrode, a drain electrode arranged apart from the source electrode, an active layer in contact with the electrodes, and a gate electrode arranged apart from the source and drain electrodes and being in contact with the active layer with a gate insulating layer interposed therebetween. The active layer is formed of a dispersion film containing predetermined carbon nanotubes and a predetermined polyether compound. | 09-08-2011 |
20110215316 | LOW VOLTAGE-DRIVEN ORGANIC ELECTROLUMINESCENCE DEVICE, AND MANUFACTURING METHOD THEREOF - The present invention provides an organic light emitting device including an organic layer of two or more organic layers including a first electrode, a second electrode and an emission layer disposed between the two electrodes, wherein the organic layer includes a first injection or transport layer including a material having a LUMO energy level of −4 eV or lower and a second hole injection or transport layer including a material having a HOMO energy level of −4 eV or lower and a material having a LUMO energy level of −4 eV or lower, which is in contact with the first hole injection or transport layer and a method for manufacturing the organic light emitting device. | 09-08-2011 |
20110220877 | ORGANIC ELECTROLUMINESCENCE ELEMENT, METHOD FOR MANUFACTURING THE SAME AND COATING SOLUTION - It is an object of the present invention to provide an organic EL element that can be easily manufactured and has a good light-emitting property and lifetime property, a method for manufacturing the same and materials used for manufacturing the same. | 09-15-2011 |
20110220878 | Thin film transistor and method of manufacturing the same - A thin film transistor (TFT) includes a substrate, and an active region on the substrate including source and drain regions at opposing ends of the active region, a lightly doped region adjacent to at least one of the source region and the drain region, a plurality of channel regions, and a highly doped region between two channel regions of the plurality of channel regions. The TFT includes a gate insulation layer on the active region, and a multiple gate electrode having a plurality of gate electrodes on the gate insulation layer, the plurality of channel regions being disposed below corresponding gate electrodes, and the source region and the drain region being disposed adjacent to outermost portions of the multiple gate electrode. The TFT includes a first interlayer insulation layer on the multiple gate electrode, and source and drain electrodes extending through the first interlayer insulation layer and contacting the respective source and drain regions. | 09-15-2011 |
20110220879 | Organic light emitting display - An organic light emitting display (OLED) including a thin film transistor (TFT) including a gate electrode, an active layer, and source and drain electrodes, the active layer being insulated from the gate electrode and including an oxide semiconductor and the source and drain electrodes being insulated from the gate electrode and contacting the active layer; a first insulation layer covering the TFT; a second insulation layer on the first insulation layer, the second insulation layer being formed of amorphous silicon without doping; a pixel electrode on the second insulation layer; a third insulation layer on the second insulation layer, the third insulation layer covering an edge of the pixel electrode; an organic light emitting layer on the pixel electrode; and a facing electrode on the organic light emitting layer and the third insulation layer. | 09-15-2011 |
20110220880 | m-TERPHENYL COMPOUND DERIVATIVES AND APPLICATION FOR ORGANIC LIGHT EMITTING DIODE - An m-terphenyl derivative has a structure of formula (I) or (II): | 09-15-2011 |
20110220881 | COMPOUND HAVING TRIPHENYLSILYL GROUP AND TRIARYLAMINE STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - The invention provides a light emitting layer host compound and an electron-blocking compound that have high excited triplet levels, and can completely confine the triplet excitons of phosphorescent material, for use as the material of a high-efficient organic electroluminescent device. The invention also provides a high-efficient, high-luminance organic electroluminescent device with the use of the compounds. | 09-15-2011 |
20110220882 | Organometallic Complex, Light-Emitting Element, Display Device, Electronic Device, and Lighting Device - A novel substance exhibiting phosphorescence is provided. The novel substance is an organometallic complex represented by General Formula (G1). In General Formula (G1), R | 09-15-2011 |
20110220883 | COMPOUND FOR ORGANIC THIN FILM TRANSISTOR AND ORGANIC THIN FILM TRANSISTOR USING THE SAME - A compound for an organic thin film transistor having a structure of the following formula (1): | 09-15-2011 |
20110220884 | COMPOUND FOR ORGANIC THIN-FILM TRANSISTOR AND ORGANIC THIN-FILM TRANSISTOR USING SAME - A compound for an organic thin film transistor having a structure represented by the following formula (1): | 09-15-2011 |
20110220885 | CHRYSENES FOR DEEP BLUE LUMINESCENT APPLICATIONS - This disclosure relates to chrysene compounds with deep blue emission that are useful in electroluminescent applications. It also relates to electronic devices in which the active layer includes such a chrysene compound. | 09-15-2011 |
20110220886 | ORGANIC ELECTROLUMINESCENCE MATERIAL COMPOSITION, THIN FILM FORMATION METHOD, AND ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescence material composition including a solvent represented by the following formula (1) and an anthracene derivative:
| 09-15-2011 |
20110227046 | OTFT and MIM Capacitor Using Silk Protein as Dielectric Material and Methods for Manufacturing the Same - An organic thin film transistor (OTFT) and a metal-insulator-metal (MIM) capacitor using silk protein as a dielectric material, and methods for manufacturing the same are disclosed. The OTFT of the present invention comprises: a substrate; a gate electrode disposed on the substrate; a gate insulating layer containing silk protein, which is disposed on the substrate and covers the gate electrode; an organic semiconductor layer; and a source electrode and a drain electrode, wherein the organic semiconductor layer, the source electrode and the drain electrode are disposed over the gate insulating layer. | 09-22-2011 |
20110227047 | ORGANIC PHOTOELECTRIC SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - An organic photoelectric semiconductor device including organic group VA salts in an organic salt-containing layer and a method for manufacturing the same are provided. The organic photoelectric semiconductor device includes: a first electrode; an organic active layer disposed over the first electrode; an organic salt-containing layer disposed over the organic active layer, where the organic salt-containing layer includes quaternary group VA salts of cations represented by the following formula (I) or derivatives thereof and anions; and a second electrode, disposed over the organic salt-containing layer, | 09-22-2011 |
20110227048 | Organic Electroluminescent Device - An organic electroluminescent device comprising: a substrate; a first electrode disposed over the substrate for injecting charge of a first polarity; a second electrode disposed over the first electrode for injecting charge of a second polarity opposite to said first polarity; an organic electroluminescent layer disposed between the first and the second electrode; and a layer of polymer dispersed liquid crystals (PDLC), wherein said layer of PDLC does not have its own associated electrodes and drive circuitry forming a switchable PDLC cell. | 09-22-2011 |
20110227049 | PHOSPHORESCENT MATERIALS - Novel organic compounds containing a twisted aryl group are provided. In particular, the compounds provided contain a 2-phenylpyridine ligand having a twisted aryl group on the pyridine portion of the ligand. The compounds may be used in organic light emitting devices, particularly as emitting dopants. Devices comprising the compounds containing twisted aryl may demonstrate improved color, efficiency, stability and manufacturing. Additionally, methods are provided for making homoleptic Ir (III) compounds which may contain a twisted aryl. | 09-22-2011 |
20110227050 | METAL COMPLEX AND ORGANIC ELETROLUMINESCENT DEVICE - A metal complex which has a metal complex structure showing light emission from triplet excited state, and has a monovalent group derived from carbazole, and a light-emitting device using said metal complex. | 09-22-2011 |
20110227051 | COMPOSITE MATERIAL, MATERIAL FOR LIGHT-EMITTING ELEMENT, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE AND ELECTRONIC DEVICE - The present invention provides a composite material for a light-emitting element including a high molecular compound having an arylamine skeleton and an inorganic compound showing an electron accepting property to the high molecular compound. The absorption spectrum of the composite material is different from absorption spectra of the high molecular compound and the inorganic compound which each form the composite material. In other words, a composite material having an absorption peak in a wavelength which is seen in the absorption spectra of neither the high molecular compound nor the inorganic compound forming the composite material is superior in carrier transporting and injecting properties and a favorable material. In addition, the composite material can be formed by a wet method such as a sol-gel method, it can be apply to the increase of substrate size easily in a manufacturing process and advantageous industrially. | 09-22-2011 |
20110227052 | NOVEL ORGANIC COMPOUND, LIGHT-EMITTING DEVICE, AND IMAGE DISPLAY APPARATUS - An organic compound represented by general formula (1) below and an organic light-emitting device including the organic compound are provided. | 09-22-2011 |
20110227053 | NOVEL CHRYSENE DERIVATIVES AND ORGANIC ELECTRICAL DEVICE USING THE SAME - The present invention relates to a novel chrysene derivative and an organic electronic device using the same. A chrysene according to the present invention may act as a hole injection, hole transport, electron injection and transport, or light emitting material in an organic light emitting device and an organic electronic device, and in particular, may be used alone as a light emitting host or a dopant. | 09-22-2011 |
20110227054 | OLED INCLUDING HOLE-CONDUCTING MATERIAL - The present invention is directed to the field of organic light emitting diode (OLED) electroluminescent devices comprising a novel hole-conducting material having a Spirobixanthen-unit. | 09-22-2011 |
20110227055 | PROCESS FOR THE PREPARATION OF ORGANIC ELECTRONIC DEVICES - The invention relates to the use of a closed field unbalanced magnetron sputter ion plating process in the preparation of organic electronic devices or components thereof, and to organic electronic devices, or components thereof, obtainable by such a process. | 09-22-2011 |
20110227056 | FORMING AGENT FOR GATE INSULATING FILM OF THIN FILM TRANSISTOR - It is an object to provide a novel forming agent for a gate insulating film that not only provides high insulating properties for the gate insulating film but also takes account of the electric characteristics of a thin film transistor element. A forming agent for a gate insulating film of a thin film transistor characterized by comprising an oligomer compound or a polymer compound including a structural unit containing a pyrimidinetrione ring having a hydroxyalkyl-containing group as a substituent on a nitrogen atom; a gate insulating film formed by the forming agent; and a thin film transistor. | 09-22-2011 |
20110227057 | PERYLENE COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE COMPOUND - Provided is an organic light emitting device having high light emitting efficiency and good emission color purity. The organic light emitting device includes an anode, a cathode, and an organic compound layer which is sandwiched between the anode and the cathode, in which one of the anode and the cathode is transparent or semi-transparent and the organic compound layer contains at least one kind of perylene compound represented by the following general formula (1): | 09-22-2011 |
20110227058 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescence element including at least one organic layer including a light emitting layer, between an anode and a cathode, wherein at least one layer of the at least one organic layer contains at least one selected from specific nitrogen-containing heterocyclic derivatives, and at least one layer of the at least one organic layer contains a specific electron-transporting phosphorous light emitting material. | 09-22-2011 |
20110233525 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic EL device is provided with a hole injection layer, a hole transport layer, a light-emitting layer, an electron transport layer and an electron injection layer between an anode and a cathode, wherein the hole injection layer is obtained by doping a hole transport material with an electron-accepting impurity, and the ionization potential Ip(HIL) of the material of the hole injection layer that composes the hole injection layer (also referred to as a hole injection material in the present description), the ionization potential Ip(HTL) of the hole transport material, and the ionization potential Ip(EML) of the material of the light-emitting layer (also referred to as a light-emitting layer material in the present description) respectively satisfy the relationship of Ip(EML)>Ip(HTL)≧Ip(HIL)≧Ip(EML)−0.4 eV. | 09-29-2011 |
20110233526 | PERYLENE DIIMIDE DERIVATIVE AND ORGANIC SEMICONDUCTOR ELEMENT USING THE SAME MATERIAL - The present invention discloses a soluble and air-stable perylene diimide (PDI) derivative to function as an N-type organic semiconductor material. In the PDI derivative of the present invention, the core thereof is substituted by electron withdrawing groups, and the side chains thereof are substituted by benzene functional groups, whereby are promoted the solubility and air-stability of the molecule. The PDI derivative of the present invention can be used to fabricate an organic semiconductor element via a soluble process at a low temperature and under an atmospheric environment. | 09-29-2011 |
20110233527 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device is disclosed. In one embodiment, the device includes a plurality of pixels formed on a substrate, wherein each of the pixels includes: a first electrode layer formed on the substrate; an organic emission layer formed on the first electrode layer and a second electrode layer formed on the organic emission layer. Further, at least one of the first electrode layers of the pixels is externally patterned. | 09-29-2011 |
20110233528 | NOVEL OLED DISPLAY ARCHITECTURE - A quad pixel device is provided. Each pixel is an organic light emitting device (OLED), such that there is a first, second, third and fourth OLED. Each of the first, second, third and fourth OLEDs independently has a first electrode and a second electrode. Each OLED also independently has an organic emissive stack having an emitting material, disposed between the first and second electrodes; a first organic stack disposed between and in contact with the first electrode and the emissive stack; and a second organic stack disposed between and in contact with the second electrode and the emissive layer. The organic emissive stack of the first OLED, the organic emissive stack of the second OLED, the organic emissive stack of the third OLED, and the organic emissive stack of the fourth OLED each have different emissive spectra. The first organic stack of the first OLED, the first organic stack of the second OLED, and the first organic stack of the third OLED are different from each other in materials or thickness, or both. The first organic stack of the third OLED and the first organic stack of the fourth OLED are the same. | 09-29-2011 |
20110233529 | Substrate including thin film transistor, method of manufacturing the substrate, and organic light emitting display apparatus including the substrate - A substrate including a thin film transistor, the substrate including an active layer disposed on the substrate, the active layer including a channel area and source and drain areas, a gate electrode disposed on the active layer, the channel area corresponding to the gate electrode, a gate insulating layer interposed between the active layer and the gate electrode, an interlayer insulating layer disposed to cover the active layer and the gate electrode, the interlayer insulating layer having first and second contact holes partially exposing the active layer, source and drain electrodes disposed on the interlayer insulating layer, the source and drain areas corresponding to the source and drain electrodes, and ohmic contact layers, the ohmic contact layers being interposed between the interlayer insulating layer and the source and drain electrodes, and contacting the source and drain areas through the first and second contact holes. | 09-29-2011 |
20110233530 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - Provided are a light-emitting element in which a driving voltage increase can be suppressed and a light-emitting device which has reduced power consumption by including the light-emitting element. The element includes an EL layer between an anode and a cathode, and has a first, a second, and a third layer between the cathode and the EL layer. The first layer is between and in contact with the cathode and the second layer and includes a hole-transport substance. The second layer is between and in contact with the first the third layers and includes a phthalocyanine-based material. The third layer is between and in contact with the second and EL layers and includes an alkali metal, an alkaline-earth metal, a rare-earth metal, an alkali metal compound, an alkaline-earth metal compound, or a rare-earth metal compound. The phthalocyanine-based material has a metal-oxygen bond. | 09-29-2011 |
20110233531 | Organic light-emitting device - An organic light-emitting device, including a first electrode, the first electrode having a smaller absolute value of a work function energy level than an absolute value of a work function energy level of ITO, a second electrode facing the first electrode, and an organic layer between the first electrode and the second electrode. | 09-29-2011 |
20110233532 | FORMATION OF CONJUGATED POLYMERS FOR SOLID-STATE DEVICES - Disclosed herein is a facile process for the formation of conjugated polymers inside or outside assembled solid-state devices. One process generally involves applying a voltage to a device comprising at least two electrodes, a combination of an electrolyte composition and a electroactive monomer disposed between the electrodes, and a potential source in electrical connection with the at least two electrodes; wherein the applying voltage polymerizes the electroactive monomer into a conjugated polymer. Also disclosed are electrochromic articles prepared from the process and solid-state devices comprising a composite of an electrolyte composition and a conjugated polymer. | 09-29-2011 |
20110233533 | ORGANIC THIN FILM DEVICE - Disclosed herein is an organic thin film device. The organic thin film device includes a UV barrier layer, which has a UV blocking effect, in addition to at least one electrode and at least one organic semiconductor layer on a substrate. The organic thin film device employs a film or a coating liquid which comprises phenolic derivatives or cyanoacrylate derivatives exhibiting a UV-blocking effect in a wavelength of 400 nm or less, so that photodecomposition of an organic material for use in fabrication of the organic thin film device by UV rays and sunlight can be minimized, thereby innovatively increasing lifetime of the device. | 09-29-2011 |
20110233534 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT COMPRISING THE SAME - An aromatic amine derivative represented by the following formula (1);
| 09-29-2011 |
20110233535 | Organic Semiconductors - A soluble oligomeric compound for forming an organic thin film transistor, has repeat units comprising two or more fused thiophene residues. The repeat units comprise the structure: | 09-29-2011 |
20110240963 | ORGANIC LIGHT-EMITTING DIODE WITH HIGH COLOR RENDERING - An organic light-emitting diode with high color rendering is provided, which comprises: a substrate with a first electrode formed thereon; a first light-emitting region disposed over the first electrode, wherein the first light-emitting region comprises at least one layer of a first light-emitting layer, and the first light-emitting layer comprises at least one first dye respectively; a spacer disposed on the first light-emitting region; a second light-emitting region disposed on the organic spacer, wherein the second light-emitting region comprises at least one layer of a second light-emitting layer, and the second light-emitting layer comprises at least one second dye respectively; and a second electrode disposed over the second light-emitting region. | 10-06-2011 |
20110240964 | Organic light emitting diode display - An organic light emitting diode (OLED) display including a substrate main body; a thin film transistor on the substrate main body; and an organic light emitting diode including a transparent electrode connected with the thin film transistor and being capable of injecting electrons, an organic emission layer on the transparent electrode, and a reflective electrode on the organic emission layer and being capable of injecting holes, wherein the organic emission layer includes an electron injection unit on the transparent electrode, the electron injection unit including an electron injection metal layer, an electron injection layer, and an electron injection dipole layer, and a light emitting unit on the electron injection unit. | 10-06-2011 |
20110240965 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including an emission layer including one or more emission layer of a red emission layer patterned in a red light-emitting region and a green emission layer patterned in a green light-emitting region and a blue emission layer formed as a common layer, wherein a blue emission is prevented in at least one region of the red light-emitting region and the green light-emitting region by adjusting the HOMO and LUMO levels of a host and a dopant of the green emission layer and/or the red emission layer. | 10-06-2011 |
20110240966 | Organic Electroluminescent Device - An organic electroluminescent device comprising: a substrate; a first electrode disposed over the substrate for injecting charge of a first polarity; a second electrode disposed over the first electrode for injecting charge of a second polarity opposite to said first polarity; an organic light emitting layer disposed between the first and the second electrode; an encapsulant can disposed over, and spaced apart from, the second electrode, defining a cavity therebetween; wherein a plurality of spacers are disposed between the encapsulant can and the second electrode forming multiple sealed cavities between the second electrode and the encapsulant can. | 10-06-2011 |
20110240967 | Organic light emitting diode device - An organic light emitting diode device, including a first electrode, a second electrode facing the first electrode, and a light emitting member disposed between the first electrode and the second electrode, the light emitting member including at least one light emitting unit. At least one of the light emitting units may include a first hole injection layer, a second hole injection layer, a hole transport layer, and an emission layer, and a difference between a HOMO energy level of the first hole injection layer and a LUMO energy level of the second hole injection layer may be smaller than about 0.5 eV. | 10-06-2011 |
20110240968 | Organic light-emitting device - An organic light-emitting device including a substrate; a first electrode on the substrate, the first electrode including a first surface and a second surface opposite to the first surface; an organic layer on the first electrode, the organic layer being adjacent to the first surface of the first electrode; a second electrode on the organic layer, the second electrode including a first surface adjacent to the organic layer and a second surface opposite to the first surface; and a luminescent efficiency improvement layer on at least one of the second surface of the first electrode and the second surface of the second electrode, the luminescent efficiency improvement layer including a condensed-cyclic compound represented by Formula 1, below: | 10-06-2011 |
20110240969 | Organic light-emitting device - An organic light-emitting device including a substrate; a first electrode disposed on the substrate; a second electrode; an organic layer disposed between the first electrode and the second electrode, the organic layer including an emission layer and an electron transport layer, the electron transport layer including an anthracene-based compound and a quinolate-based compound; and at least one first layer disposed between the first electrode and the emission layer, the at least one first layer including a cyano group-containing compound. | 10-06-2011 |
20110240970 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus is disclosed. The organic light-emitting display apparatus includes a first sub-pixel, a second sub-pixel, and a third sub-pixel, where each of said first, second and third sub-pixels displays a different color, a substrate, a first electrode disposed on the substrate, a second electrode disposed on the first electrode, facing the first electrode, an organic emission layer disposed between the first electrode and the second electrode, including a first organic emission layer, a second organic emission layer, and a third organic emission layer, and an electron acceptor layer disposed between the first electrode and the second electrode configured to contact the organic emission layer, where the first organic emission layer is disposed in the first sub-pixel, the second organic emission layer is disposed in the second sub-pixel, and the third organic emission layer is commonly disposed over the first sub-pixel, the second sub-pixel, and the third sub-pixel, and where the electron acceptor layer is disposed between the first organic emission layer and the third organic emission layer in the first sub-pixel and between the second organic emission layer and the third organic emission layer in the second sub-pixel. | 10-06-2011 |
20110240971 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A light-emitting element that emits light with high luminance and can be driven at low voltage. The light-emitting element includes n (n is a natural number greater than or equal to 2) EL layers between an anode and a cathode, and includes a first layer, a second layer, and a third layer between an m-th (m is a natural number, 1≦m≦n−1) EL layer from the anode and an (m+1)th EL layer. The first layer functions as a charge-generation region, has hole-transport properties, and contains an acceptor substance. The third layer has electron-transport properties and contains an alkali metal or the like. The second layer is formed of a phthalocyanine-based material and is provided between the first layer and the third layer, whereby an injection barrier at the time of injecting electrons generated in the first layer into the m-th EL layer through the third layer can be lowered. | 10-06-2011 |
20110240972 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A light-emitting element which emits light with high luminance and can be driven at low voltage. The light-emitting element includes n (n is a natural number of 2 or more) EL layers between an anode and a cathode, and includes a first layer, a second layer, and a third layer between an m-th (m is a natural number, 1≦m≦n−1) EL layer from the anode and an (m+1)th EL layer. The first layer functions as a charge-generation region, has hole-transport properties, and contains an acceptor substance. The third layer has electron-transport properties and contains an alkali metal or the like. The second layer formed of a metal complex having a metal-oxygen bond and an aromatic ligand is provided between the first and third layers, whereby an injection barrier at the time of injecting electrons generated in the first layer into the m-th EL layer through the third layer can be lowered. | 10-06-2011 |
20110240973 | Annellated Dithiophene Copolymers - Disclosed is an organic semiconductor material, layer or component, comprising a copolymer of the formula | 10-06-2011 |
20110240974 | NOVEL BENZO[b]CHRYSENE COMPOUND AND ORGANIC LIGHT-EMITTING ELEMENT INCLUDING THE SAME - The present invention provides a benzo[b]chrysene compound represented by general formula [1] below and an organic light-emitting element including the compound. | 10-06-2011 |
20110240975 | POLYMER COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A polymer represented by Formula 1 below: | 10-06-2011 |
20110240976 | NOVEL BENZOPYRENE COMPOUND AND ORGANIC LIGHT-EMITTING ELEMENT CONTAINING THE SAME - A benzopyrene compound represented by a general formula [1] below, | 10-06-2011 |
20110240977 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound of formula 1 and an organic light-emitting device including an organic layer containing the heterocyclic compound. The heterocyclic compound of Formula 1 may be suitable as a material for an emission layer, an electron transport layer or an electron injection layer of an organic light-emitting device. Due to the inclusion of the heterocylic group in its molecular structure, the heterocyclic compound of Formula 1 may have a high glass transition temperature (Tg) or a high melting point, and may prevent crystallization. An organic light-emitting device manufactured using the heterocyclic compound of Formula 1, which has a symmetrical structure in which a chrysene group and an indole group are fused, has excellent durability when stored or operated. | 10-06-2011 |
20110240978 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound of formula 1 and an organic light-emitting device including an organic layer containing the heterocyclic compound. The heterocyclic compound of Formula 1 may be suitable as a material for an emission layer, an electron transport layer or an electron injection layer of an organic light-emitting device. Due to the inclusion of the heterocylic group in its molecular structure, the heterocyclic compound of Formula 1 may have a high glass transition temperature (Tg) or a high melting point, and may prevent crystallization. An organic light-emitting device manufactured using the heterocyclic compound of Formula 1, in which a chrysene group and an indole group are fused, has excellent durability when stored or operated. | 10-06-2011 |
20110240979 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed-cyclic compound and an OLED including the same, the condensed-cyclic compound represented by Formula 1 below: | 10-06-2011 |
20110240980 | n-Type Doped Organic Materials and Methods Therefor - In accordance with various embodiments, an organic electronic device includes an n-type dopant material including an imidazole-based material having a hydrogen-based material bonded between nitrogen atoms. The n-type dopant material n-dopes an organic material, and can be used to mitigate degradation in mobility due to conditions such as exposure to ambient atmosphere, which can effect an undesirable reduction in charge transport. Other embodiments are directed to carbon nanotubes or graphene structures with this type of n-type dopant, wherein the Fermi level for the carbon nanotubes or graphene structures is below −2.5 eV to effect such n-type doping. | 10-06-2011 |
20110240981 | DIKETOPYRROLOPYRROLE POLYMERS FOR USE IN ORGANIC FIELD EFFECT TRANSISTORS - The present invention relates to polymers comprising a repeating unit of the formula I, or III and their use as organic semiconductor in organic devices, especially an organic field effect transistor (OFET), or a device containing a diode and/or an organic field effect transistor. The polymers according to the invention have excellent solubility in organic solvents and excellent film-forming properties. In addition, high efficiency of energy conversion, excellent field-effect mobility, good on/off current ratios and/or excellent stability can be observed, when the polymers according to the invention are used in organic field effect transistors. | 10-06-2011 |
20110240982 | BENZOINDENOCHRYSENE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE USING THE SAME - An organic light-emitting device has light emission with high efficiency, high luminance and good color purity, and durability. An organic light-emitting device includes an anode, a cathode and an organic compound layer disposed between the anode and the cathode, wherein at least one layer of the organic compound layer includes at least one kind of the benzoindenochrysene compound represented by the general formula (1) in which X | 10-06-2011 |
20110240983 | TRIAZINE COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - Provided is an organic light emitting device having a long continuous driving lifetime. The organic light emitting device includes an anode, a cathode, and an organic compound layer which is sandwiched between the anode and the cathode, in which: one of the anode and the cathode is transparent or semi-transparent; and the organic compound layer contains at least one kind of triazine compound represented by the following general formula (1): | 10-06-2011 |
20110240984 | OLED STABILITY VIA DOPED HOLE TRANSPORT LAYER - An organic light emitting device is provided. The device includes an anode and a cathode. A first organic layer is disposed between the anode and the cathode. The first organic layer is an emissive layer that includes a first organic emitting material. The device also includes a second organic layer disposed between the anode and the first organic layer. The second organic layer is a non-emissive layer. The second organic layer includes an organic small molecule hole transport material having a concentration of 50 to 99 wt %, and an organic small molecule electron transport material having a concentration of 0.1 to 5 wt %. Other materials may be present. | 10-06-2011 |
20110240985 | ORGANIC THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME, AND SEMICONDUCTOR DEVICE HAVING THE ORGANIC THIN FILM TRANSISTOR - There have been problems in that a dedicated apparatus is needed for a conventional method of manufacturing an organic thin film transistor and in that: a little amount of an organic semiconductor film is formed with respect to a usage amount of a material; and most of the used material is discarded. Further, apparatus maintenance such as cleaning of the inside of an apparatus cup or chamber has needed to be frequently carried out in order to remove the contamination resulting from the material that is wastefully discarded. Therefore, a great cost for materials and man-hours for maintenance of apparatus have been required. In the present invention, a uniform organic semiconductor film is formed by forming an aperture between a first substrate for forming the organic semiconductor film and a second substrate used for injection with an insulating film formed at a specific spot and by injecting an organic semiconductor film material into the aperture due to capillarity to the aperture. The insulating film formed at the specific spot enables formation of the organic semiconductor film with high controllability. Further, the insulating film can also serve as a spacer that holds the aperture, that is, an interval (gap) between the substrates. | 10-06-2011 |
20110248244 | INDEPENDENTLY CONTROLLED STACKED INVERTED ORGANIC LIGHT EMITTING DIODES AND A METHOD OF MANUFACTURING SAME - An OLED apparatus is provided that includes a first electrode having a first polarity, and an electrode arrangement spaced apart from the first electrode and having a second polarity. The OLED apparatus also includes a first organic emissive layer interposed between the first electrode and the electrode arrangement, and a second electrode spaced apart from the electrode arrangement in a direction opposite the first electrode. The second electrode has the first polarity. The OLED apparatus further includes a second organic emissive layer interposed between the second electrode and the electrode arrangement, and a drive circuit for providing a first energizing signal to the first electrode and the electrode arrangement and a second energizing signal to the second electrode and the electrode arrangement. A method for manufacturing an OLED array is provided. | 10-13-2011 |
20110248245 | PIXEL STRUCTURE OF ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - A pixel structure of an organic light emitting diode display includes a first transistor and a second transistor. The first transistor includes a first drain electrode and a first source electrode. When a voltage difference is provided between the first drain electrode and the first source electrode, the first transistor has a first subthreshold slope (SS). The second transistor includes a second drain electrode and a second source electrode. When the voltage difference is provided between the second drain electrode and the second source electrode, the second transistor has a second SS, and the second SS is larger than the first SS. | 10-13-2011 |
20110248246 | AROMATIC AMINE DERIVATIVE, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - Provided is a novel aromatic amine derivative represented by General Formula (G1) below. (In the formula, A represents oxygen or sulfur, and R | 10-13-2011 |
20110248247 | ORGANIC EL DISPLAY UNIT, METHOD OF MANUFACTURING THE SAME, AND SOLUTION USED IN METHOD - An organic electroluminescence display unit includes: a lower electrode for each device; a first hole injection/transport layer provided on the lower electrode for each device; a second organic light emitting layer of the first color provided on the first hole injection/transport layer for the second organic electroluminescence device; a second hole injection/transport layer provided on the entire surfaces of the second organic light emitting layer and the first hole injection/transport layer for the first organic electroluminescence device, and being made of a low molecular material; a blue first organic light emitting layer provided on the entire surface of the second hole injection/transport layer; and an electron injection/transport layer having at least one of electron injection characteristics and electron transport characteristics, and an upper electrode that are provided in sequence on the entire surface of first organic light emitting layer. | 10-13-2011 |
20110248248 | ORGANIC SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An organic semiconductor device includes a gate electrode above a substrate. A gate insulation film is over the gate electrode. A first electrode is above the gate insulation film. A second electrode is above the gate insulation film. The second electrode is annular and surrounds the first electrode. An organic semiconductor layer is above the gate insulation film and over the first electrode. The second electrode surrounds the organic semiconductor layer and defines an outer periphery of the organic semiconductor layer. A conductive guiding member is above the gate insulation film. The conductive guiding member is annular and surrounds the second electrode. A protective film is above the gate insulation film and over the organic semiconductor layer and the second electrode. The conductive guiding member surrounds the protective film and defines an outer periphery of the protective film. | 10-13-2011 |
20110248249 | STACKED WHITE OLED HAVING SEPARATE RED, GREEN AND BLUE SUB-ELEMENTS - The present invention relates to efficient organic light emitting devices (OLEDs). More specifically, the present invention relates to white-emitting OLEDs, or WOLEDs. The devices of the present invention employ three emissive sub-elements, typically emitting red, green and blue, to sufficiently cover the visible spectrum. The sub-elements are separated by charge generating layers. | 10-13-2011 |
20110248250 | ORGANIC LIGHT EMITTING DEVICE AND MATERIALS FOR USE IN SAME - The present invention provides an OLED in which an organic thin film layer comprising a single layer or plural layers is provided between a cathode and an anode, where the organic thin film layer comprises at least one light emitting layer, and the at least one light emitting layer comprises (a) a host material represented by the following Formula (1): Ra—Ar | 10-13-2011 |
20110248251 | NITROGEN-CONTAINING HETEROCYCLIC DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING NITROGEN-CONTAINING HETEROCYCLIC DERIVATIVE - Provided are a nitrogen-containing heterocyclic derivative having a specific structure containing a pyrazine skeleton in the center thereof, a hole injecting material or hole transporting material for an organic electroluminescence (EL) device, a light emitting material for an organic EL device, and an electron injecting material or electron transporting material for an organic EL device each containing the nitrogen-containing heterocyclic derivative, an organic EL device which includes one or a plurality of organic layers interposed between a cathode and an anode and in which at least one layer of the organic layers contains the nitrogen-containing heterocyclic derivative, and an apparatus including the organic EL device. The organic EL device shows high luminous brightness and high luminous efficiency even at a low voltage as compared with a conventional device. | 10-13-2011 |
20110248252 | ORGANIC EL ELEMENT AND METHOD FOR FABRICATING THE SAME - An organic EL display element ( | 10-13-2011 |
20110248253 | ORGANIC ELECTROLUMINESCENCE ELEMENT - The electroluminescence element comprises the light-reflective-electrode separated from the luminous point by distance “d” satisfying the following formula. | 10-13-2011 |
20110248254 | QUINOXALINE DERIVATIVE, AND LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE USING THE QUINOXALINE DERIVATIVE - It is an object to provide a novel bipolar organic compound. In particular, it is an object to provide a bipolar organic compound excellent in thermal stability. Further, it is another object to provide a bipolar organic compound which is electrochemically stable. A quinoxaline derivative represented by a general formula (1) is provided. Further, since the quinoxaline derivative represented by the general formula (1) is bipolar, the use of the quinoxaline derivative of the present invention allows fabrication of a light-emitting element and a light-emitting device with a low driving voltage and low power consumption. Furthermore, a light-emitting element with high luminous efficiency can be obtained. | 10-13-2011 |
20110248255 | ORGANIC THIN FILM TRANSISTOR ARRAY PANEL AND MANUFACTURING METHOD THEREOF - A method of manufacturing a thin film transistor array panel is provided, the method includes forming a gate line on a substrate; forming a gate insulating layer on the gate line; forming a data line and a drain electrode on the gate insulating layer; forming an organic semiconductor layer on the data line, the drain electrode and an exposed portion of the gate insulating layer between the data line and the drain electrodel; forming a protective member fully covering the organic semiconductor layer; forming a passivation layer on the protective layer, the data line, and the drain electrode; forming a contact hole in the passivation layer to expose a portion of the drain electrode; and forming a pixel electrode on the passivation layer, the pixel electrode connected to the drain electrode through the contact hole. | 10-13-2011 |
20110248256 | TOP-EMITTING OLED DEVICE WITH LIGHTS-SCATTERING LAYER AND COLOR-CONVERSION - A top-emitting OLED device, comprising: one or more OLEDs formed on a substrate; a light-scattering layer formed over the one or more OLEDs; a transparent cover; one or more color filters formed on the transparent cover; a color-conversion material layer formed over the color filters, or formed over or integral with the light-scattering layer; wherein the substrate is aligned and affixed to the transparent cover so that the locations of the color filters and color conversion material correspond to the location of the OLEDs, and the color-conversion material layer, color filters, and the light-scattering layer are between the cover and substrate, and a low-index gap is formed between the light-scattering layer and the color filters, with no light-scattering layer being positioned between the color conversion material layer and the low-index gap, wherein the color-conversion material layer is formed integrally with the light-scattering layer. | 10-13-2011 |
20110248257 | COMPOUND FOR AN ORGANIC PHOTOELECTRIC DEVICE, ORGANIC PHOTOELECTRIC DEVICE, AND DISPLAY DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device, an organic photoelectric device, and a display device including the same, the compound being represented by the following Chemical Formula 1: | 10-13-2011 |
20110253984 | ELECTRONIC GRADE SILK SOLUTION, OTFT AND MIM CAPACITOR WITH SILK PROTEIN AS INSULATING MATERIAL AND METHODS FOR MANUFACTURING THE SAME - An electronic grade silk solution, an organic thin film transistor (OTFT) and a metal-insulator-metal capacitor with silk protein as the insulating material manufactured by use of the silk solution, and methods for manufacturing the same are disclosed. The OTFT of the present invention comprises: a substrate; a gate disposed on the substrate; a gate insulating layer containing silk protein, which is disposed on the substrate and covers the gate; an organic semiconductor layer; and a source and a drain, wherein the organic semiconductor layer, the source and the drain are disposed over the gate insulating layer. | 10-20-2011 |
20110253985 | TRIARYLAMINE COMPOUNDS FOR ELECTRONIC APPLICATIONS - This invention relates to triarylamine compounds that are useful in electronic applications. It also relates to electronic devices in which the active layer includes such a compound. | 10-20-2011 |
20110253986 | TRIARYLAMINE COMPOUNDS FOR ELECTRONIC APPLICATIONS - This invention relates to triarylamine compounds that are useful in electronic applications. It also relates to electronic devices in which the active layer includes such a compound. | 10-20-2011 |
20110253987 | POLYSILICON LAYER, METHOD OF PREPARING THE POLYSILICON LAYER, THIN FILM TRANSISTOR USING THE POLYSILICON LAYER, AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE THIN FILM TRANSISTOR - A method of crystallizing a silicon layer. An amorphous silicon layer is formed on a buffer layer on a substrate. A catalyst metal layer is formed on the amorphous silicon layer to have a density of from about 10 | 10-20-2011 |
20110253988 | BRIDGED BENZIMIDAZOLE-CARBENE COMPLEXES AND USE THEREOF IN OLEDS - The present invention concerns a metal-carbene complex of the general formula (I) | 10-20-2011 |
20110253989 | ORGANIC ELECTRONIC CIRCUIT - The invention concerns an organically electronic circuit ( | 10-20-2011 |
20110253990 | ORGANIC ELECTROLUMINESCENCE DEVICE AND PRODUCTION METHOD THEREOF - Disclosed is an organic electroluminescence device which can be stably produced by a wet process and exhibits enhanced external quantum efficiency and reduced coating unevenness and a production method thereof. Specifically, disclosed is a method of producing the organic electroluminescence device comprising at least a layer, which is formed by a wet process comprising of coating a solution of an organic material dissolved in a solvent to form a liquid layer, followed by removal of the solvent by blowing air to form the layer, wherein the relative drying rate of the solvent to butyl acetate is from 1 to 1000, (based on the drying rate of butyl acetate being 100), the thickness of the formed liquid layer is from 1 to 100 μm, a air-blowing rate is from 0.1 to 5 m/s and the time between completion of coating and start of blowing is from 0 to 60 sec. | 10-20-2011 |
20110253991 | ORGANIC ELECTROLUMINESCENCE DEVICE - [Problem to be Solved] To provide an organic electroluminescence device with heat resistance capable of being driven by a low voltage. | 10-20-2011 |
20110253992 | ORGANIC PHOTOSENSITIVE DEVICES USING SUBPHTHALOCYANINE COMPOUNDS - An organic photosensitive optoelectronic device includes an anode, a cathode, and a donor-acceptor heterojunction between the anode and the cathode, the heterojunction including a donor-like material and an acceptor-like material, wherein at least one of the donor-like material and the acceptor-like material includes a subphthalocyanine, a subporphyrin, and/or a subporphyrazine compound, wherein the subporphyrin or subporphyrazine compound includes boron. | 10-20-2011 |
20110253993 | POLYVINYL PYRROLE HOST MATERIAL, LUMINESCENT LAYER COMPRISING THE SAME, AND ORGANIC ELECTROLUMINESCENT DEVICE COMPRISING THE LUMINESCENT LAYER - Provided are a polyvinyl pyrrole host material emitting highly efficient phosphorescence, a luminescent layer using the material, and an organic electroluminescent display device. The polyvinyl pyrrole host material shows highly efficient luminescence having improved energy transfer, and thus is useful for an organic electroluminescent display device and other various light emitting devices. | 10-20-2011 |
20110253994 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY DEVICE INCLUDING THE SAME - A compound for an organic optoelectronic device, an organic optoelectronic device including the same, and a display device including the same, the compound for an organic optoelectronic device being represented by the following Chemical Formula 1: | 10-20-2011 |
20110253995 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - Provided are an organic electroluminescence device, which shows high luminous efficiency, is free of any pixel defect, and has a long lifetime, and a material for an organic electroluminescence device for realizing the device. The material for an organic electroluminescence device is a compound having a π-conjugated heteroacene skeleton crosslinked with a carbon atom, nitrogen atom, oxygen atom, or sulfur atom. The organic electroluminescence device has one or more organic thin film layers including a light emitting layer between a cathode and an anode, and at least one layer of the organic thin film layers contains the material for an organic electroluminescence device. | 10-20-2011 |
20110260137 | PROCESS FOR MAKING CONTAINED LAYERS AND DEVICES MADE WITH SAME - There is provided a process for forming a contained second layer over a first layer, including the steps:
| 10-27-2011 |
20110260138 | BICARBZOLE CONTAINING COMPOUNDS FOR OLEDS - Novel organic compounds comprising a bicarbazole core are provided. In particular, the compound has a 3;3′-bicarbazole core substituted at the 9 | 10-27-2011 |
20110260139 | LIGHT-EMITTING MATERIAL COMPRISING PHOTOACTIVE GROUP-BONDED POLYSILSESQUIOXANE HAVING A LADDER STRUCTURE, THIN FILM USING THE SAME AND ORGANIC ELECTRONIC DEVICE COMPRISING THE SAME - Disclosed is a light-emitting material including a polysilsesquioxane having a ladder structure with photoactive groups bonded to a siloxane backbone. In addition to superior heat resistance and mechanical property, the light-emitting material provides improved cotability and film property when prepared into a thin film. Further, it provides higher luminous efficiency than the existing organic-based light-emitting material. | 10-27-2011 |
20110260140 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a first electrode, a second electrode, and an electroluminescent layer therebetween. The electroluminescent layer includes:
| 10-27-2011 |
20110260141 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a first electrode, a second electrode, and an electroluminescent layer therebetween. The electroluminescent layer includes:
| 10-27-2011 |
20110260142 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a first electrode, a second electrode, and an electroluminescent layer therebetween. The electroluminescent layer includes:
| 10-27-2011 |
20110260143 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a patterned first electrode, a second electrode, and an electroluminescent layer therebetween. The electroluminescent layer includes:
| 10-27-2011 |
20110260144 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a first electrode, a second electrode, and an electroluminescent layer therebetween. The electroluminescent layer includes:
| 10-27-2011 |
20110260145 | ORGANIC LIGHT-EMITTING DIODES FROM HOMOLEPTIC SQUARE PLANAR COMPLEXES - Homoleptic square planar complexes [M(NΛN) | 10-27-2011 |
20110260146 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including: a first electrode; a second electrode; and an organic layer that includes a carrier transport layer and an emission layer and is interposed between the first and second electrodes, wherein the emission layer and the carrier transport layer include the same material. | 10-27-2011 |
20110260147 | ORGANIC/INORGANIC HYBRID THIN FILM PASSIVATION LAYER FOR BLOCKING MOISTURE/OXYGEN TRANSMISSION AND IMPROVING GAS BARRIER PROPERTY - The present invention relates to an organic/inorganic hybrid thin film passivation layer comprising an organic polymer passivation layer prepared by a UV/ozone curing process and an inorganic thin film passivation layer for blocking moisture and oxygen transmission of an organic electronic device fabricated on a substrate and improving gas barrier property of a plastic substrate; and a fabrication method thereof. Since the organic/inorganic hybrid thin film passivation layer of the present invention converts the surface polarity of an organic polymer passivation layer into hydrophilic by using the UV/ozone curing process, it can improve the adhesion strength between the passivation layer interfaces, increase the light transmission rate due to surface planarization of the organic polymer passivation layer, and enhance gas barrier property by effectively blocking moisture and oxygen transmission. | 10-27-2011 |
20110260148 | TRANSMISSIVE ORGANIC LIGHT EMITTING DIODE AND TRANSMISSIVE LIGHTING DEVICE USING THE SAME - A transmissive organic light emitting diode (OLED) with improved external light efficiency and a transmissive lighting device including the same are provided. The OLED includes a transparent anode formed on a substrate, an organic emission layer formed on the transparent anode, a cathode formed on the organic emission layer, and a light extraction enhancing layer formed on the transparent cathode, and configured to change a path of light generated from the organic emission layer to enhance light extraction efficiency of the OLED. The external light extraction efficiency is enhanced in both-sided or single-sided emission of the OLED and the external light extraction efficiencies of bottom and top surfaces of the OLED are selectively or simultaneously enhanced. An external light extraction ratio between the bottom and top surfaces in both-sided emission is controlled. | 10-27-2011 |
20110260149 | N-Phenyl carbazole-based host material for light-emitting diodes - The present invention relates to a host material comprising a compound having a carbazole moiety which is suitable for blue-emitting OLEDs. Surprisingly, it has been found that when appropriate substituents are present in the carbazole structure, the solubility of the compounds can be improved without any adverse effect on the OLED performance. The present invention further relates to the use of the host materials and to an organic light emitting device comprising the host material. | 10-27-2011 |
20110260150 | DIBENZO[C,G]FLUORENE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE USING SAME - An organic light-emitting device is provided which efficiently emits light with high brightness and has durability. The organic light-emitting device includes a dibenzo[c,g]fluorene compound having two dibenzo[c,g]fluorene skeletons, and has an organic compound layer containing the dibenzo[c,g]fluorene compound. | 10-27-2011 |
20110260151 | NOVEL FUSED POLYCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING ELEMENT - A fused polycyclic compound is represented by general formula [1]: | 10-27-2011 |
20110260152 | ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE, AND ILLUMINATION DEVICE - Provided is an organic electroluminescence element comprising an anode, a cathode and at least one light emitting layer sandwiched between the anode and the cathode as a constituting layer, wherein the light emitting layer contains a dopant and a host; crystal grains made of the dopant, the host, or the mixture of the dopant and the host are contained in the light emitting layer; and the crystal grains exhibit an X-ray diffraction peak. | 10-27-2011 |
20110260153 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE, ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device, an organic photoelectric device including the same, and a display device including the same the compound being represented by the following Chemical Formula 1: | 10-27-2011 |
20110260154 | BOTTOM EMISSION TYPE ORGANIC ELECTROLUMINESCENT PANEL - Provided is a bottom emission type organic EL panel capable of preventing or delaying loss of light emission from an end portion of the light emission area and reduction of the light emission area in an organic EL element. This organic electro luminescence panel includes an organic electro luminescence element having at least one organic layer between an anode and a cathode arranged on a substrate. This panel has a main light emission area emitting light with a high luminance and a non-light emission area or a low light emission area emitting light with a lower luminance than the main light emission area, arranged outside the end portion of the main light emission area. By limiting the main light emission area to a smaller size than the cathode forming area, the end portion of the cathode forming area is arranged outside the end portion of the main light emission area. | 10-27-2011 |
20110260155 | BOTTOM EMISSION TYPE ORGANIC ELECTROLUMINESCENT PANEL - Provided is a bottom emission type organic EL panel capable of preventing or delaying loss of light emission from an end portion of the light emission area and reduction of the light emission area in an organic EL element. This organic electro luminescence panel includes an organic electro luminescence element having at least one organic layer between an anode and a cathode arranged on a substrate. This panel has a main light emission area emitting light with a high luminance and a non-light emission area or a low light emission area emitting light with a lower luminance than the main light emission area, arranged outside the end portion of the main light emission area. By limiting the main light emission area to a smaller size than the cathode forming area, the end portion of the cathode forming area is arranged outside the end portion of the main light emission area. | 10-27-2011 |
20110260156 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, LIGHTING DEVICE, AND ELECTRONIC DEVICE - An object is to provide a light-emitting element which exhibits light emission with high luminance and can be driven at low voltage. Another object is to provide a light-emitting device or an electronic device with reduced power consumption. Between an anode and a cathode, n (n is a natural number of two or more) EL layers are provided, where between a first EL layer and a second EL layer, a first layer containing any of an alkali metal, an alkaline earth metal, a rare earth metal, an alkali metal compound, an alkaline earth metal compound, and a rare earth metal compound, a second layer containing a material having a high electron-transporting property in contact with the first layer, and a region containing a material having a high hole-transporting property and an acceptor material in contact with the second layer are provided in this order from the anode side. | 10-27-2011 |
20110266523 | SEMICONDUCTING DEVICES AND METHODS OF PREPARING - An amic acid or amic ester precursor can be applied to a substrate to form a thin film, and is then thermally converted into a semiconducting layer of the corresponding arylene diimide. This semiconducting thin film can be used in various articles including thin-film transistor devices that can be incorporated into a variety of electronic devices. In this manner, the arylene diimide need not be coated onto the substrate but is generated in situ from a solvent-soluble, easily coated precursor compound. | 11-03-2011 |
20110266524 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a first electrode, a second electrode, and an electroluminescent layer therebetween. The electroluminescent layer includes:
| 11-03-2011 |
20110266525 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a first electrode, a second electrode, and an electroluminescent layer therebetween. The electroluminescent layer includes:
| 11-03-2011 |
20110266526 | Triphenylene-Benzofuran/Benzothiophene/Benzoselenophene Compounds With Substituents Joining To Form Fused Rings - Compounds comprising a triphenylene moiety and a benzo- or dibenzo-moiety are provided. In particular, the benzo- or dibenzo-moiety has a fused substituent. These compounds may be used in organic light emitting devices, particularly in combination with yellow, orange and red emitters, to provide devices with improved properties. | 11-03-2011 |
20110266527 | PYRROLE COMPOUND AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A pyrrole compound for an organic photoelectric device and an organic photoelectric device including the same, the pyrrole compound being represented by the following General Formula 1: | 11-03-2011 |
20110266528 | SUBSTITUTED CARBAZOLE DERIVATIVES AND USE THEREOF IN ORGANIC ELECTRONICS - An organic light-emitting diode, organic solar cell or switching element comprising at least one substituted carbazole derivative of the general formula (I), (II) or (III) | 11-03-2011 |
20110266529 | Remote doping of organic thin film transistors - Organic electronic devices comprising “remotely” doped materials comprising a combination of at least three layers. Such devices can include “remotely p-doped” structures comprising: a channel layer comprising at least one organic semiconductor channel material; a dopant layer, which comprises at least one p-dopant material and optionally at least one organic hole transport material; and a spacer layer disposed between and in electrical contact with both the channel layer and the dopant layer, comprising an organic semiconducting spacer material; or alternatively can include “remotely n-doped” structures comprising a combination of at least three layers: a channel layer comprising at least one organic semiconductor channel material; a dopant layer which comprises at least one organic electron transport material doped with an n-dopant material; and a spacer layer disposed between and in electrical contact with the channel layer and the dopant layer, comprising an organic semiconducting spacer material. Such devices include “remotely doped” field effect transistors comprising the doped structures described above. | 11-03-2011 |
20110266530 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device having improved hole injection and hole transport capabilities is disclosed, wherein the device includes a first electrode, an organic layer, and a second electrode, wherein the organic layer includes a compound represented by Formula 1 and a phenylamine-based compound. Formula 1 together with the phenylamine-based compound improves the charge mobility of the hole transport layer. | 11-03-2011 |
20110266531 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode, a mixed organic layer, an emission layer, an electron transport layer, and a second electrode. The mixed organic layer contains a fluorene derivative and a pyrazine derivative, and the electron transport layer contains a lithium quinolate and a pyridine derivative. | 11-03-2011 |
20110266532 | PHOTO-IRRADIATION OF BASE FORMS OF POLYANILINE WITH PHOTO ACID GENERATORS TO FORM CONDUCTIVE COMPOSITES - A method for forming electrically conductive polyaniline (PANI)-based composites includes mixing a base form of PANI, a photo acid generator (PAG), and when the PAG does not hydrogen bond to the base form of PANI an additive which can form hydrogen bonds with the base form of PANI or PAG, together with at least one solvent to form a mixture. The solvent is removed from the mixture. After the removing, the mixture is photo-irradiated with a wavelength within an absorption band of the PAG for converting the base form of PANI to a salt form of PANI to form a polymer composite that includes the salt form of PANI. The polymer composite has a 25° C. electrical conductivity that is at least 3 orders of magnitude higher than a 25° C. electrical conductivity of the base form of PANI, such as a 25° C. electrical conductivity of ≧0.01 S/cm. | 11-03-2011 |
20110266533 | COMPOUNDS FOR ELECTRONIC DEVICES - The present invention relates to compounds of the formula (1) and to the use thereof in organic electronic devices, and to organic electronic devices which comprise compounds of the formula (1), preferably as hole-transport materials and/or as emitting materials. | 11-03-2011 |
20110266534 | Photopolymers and Their Use in Organic Thin Film Transistors - Photopolymers are provided with composites and electronic devices including such photopolymers. Specifically, organic thin film transistors comprising a semiconductor layer, a polymeric layer in contact with the semiconductor layer, a gate electrode, a source electrode and a drain electrode are disclosed, wherein the semiconductor layer comprises an organic semiconductor compound, and the polymeric layer comprises a photocrosslinked product of a photopolymer. | 11-03-2011 |
20110266535 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - According to an embodiment of the present invention, an organic electroluminescent display device includes transistors formed on a substrate, an insulating layer on the transistors, a lower electrode formed on the insulating layer and coupled to a source or a drain of each of the transistors, a bank layer having openings to expose a part of the lower electrode, a bus electrode formed on the bank layer, an organic light-emitting layer formed to cover the lower electrode, the bank layer, and the bus electrode and patterned to expose at least a part of the bus electrode, and an upper electrode formed on the organic light-emitting layer and configured to come into contact with the exposed bus electrode. | 11-03-2011 |
20110272674 | ORGANIC THIN FILM DEVICES WITH STABILIZED THRESHOLD VOLTAGE AND MOBILITY, AND METHOD FOR PREPARING THE DEVICES - Organic thin film devices that included an organic thin film subjected to a selected dose of a selected energy of ions exhibited a stabilized mobility (μ) and threshold voltage (VT), a decrease in contact resistance R | 11-10-2011 |
20110272675 | Organic light emitting display device - A transparent organic light emitting display device having a uniform transmittance of external light and having uniformly formed transmissive windows in pixels. The device includes a substrate; pixels formed on the substrate, each of the pixels comprising: at least one light emitting region for emitting light; at least one transmissive region for transmitting external light; and at least one circuit region comprising a pixel circuit unit; an insulating layer covering the pixel circuit unit; pixel electrodes formed on the insulating layer in the light emitting region and the transmissive region of each pixel, and electrically connected to the pixel circuit unit; an organic layer formed on the pixel electrodes; and a facing electrode formed on the organic layer, integrally formed over all of the pixels, and having transmissive windows, wherein each of the transmissive windows corresponds to the transmissive region of each of the pixels. | 11-10-2011 |
20110272676 | BENZIMIDAZOLE COMPOUND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME, AND DISPLAY ELEMENT INCLUDING THE SAME - A benzimidazole compound, an organic photoelectric device, and a display element, the benzimidazole compound being represented by the following Chemical Formula 1: | 11-10-2011 |
20110272677 | ORGANIC EL DISPLAY PANEL AND MANUFACTURING METHOD THEREOF - An organic EL display panel includes pixels and an interlayer insulation film on a thin film transistor layer. The interlayer insulation film includes contact holes which each correspond to one of the pixels. A bank is a grid that defines apertures. One of the contact holes is beneath each of the apertures. A first organic light-emitting layer is disposed in each of the apertures that corresponds to one of the pixels of a first color. A second organic light-emitting layer is disposed in each of the apertures that corresponds to one of the pixels of a second color. In each of the apertures in which one of the first and second organic light-emitting layers is disposed, a contact hole region is above the contact hole. A first-material layer comprising a same material as the first organic light-emitting layer and a second-material layer comprising a same material as the second organic light-emitting layer are superimposed in each contact hole region. | 11-10-2011 |
20110272678 | ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic electroluminescent device includes a pair of electrodes and a plurality of organic layers disposed between the electrodes, and one of the organic layers comprises an organic material forming the organic layers and a polymer obtained by polymerizing a polymerizable compound capable of exhibiting charge portability. | 11-10-2011 |
20110272679 | COMPOUND HAVING TRIAZOLE RING STRUCTURE TO WHICH PYRIDYL GROUP IS BONDED, AND ORGANIC ELECTROLUMINESCENT DEVICE - A host compound for a light emitting layer, which is excellent in electron transporting capability and hole blocking capability, has a high triplet excitation level and is capable of completely confining triplet excitons of a phosphorescent material, is provided as a material for an organic electroluminescent device having a high efficiency, and an organic electroluminescent device having a high efficiency and a high luminance is provided by using this compound. | 11-10-2011 |
20110272680 | ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is a useful organic EL device which comprises a phosphorescent light-emitting layer and is endowed with improved luminous efficiency and high driving stability. Also disclosed is a hole-transporting material suitable for use in the phosphorescent light-emitting device. The hole-transporting material is a triptycene derivative which has substituents at the 9- and 10-positions and is substituted with an aromatic group containing at least one diarylamino group (—ArNAr | 11-10-2011 |
20110272681 | LIGHT-EMITTING ELEMENT MATERIAL AND LIGHT-EMITTING ELEMENT - A light-emitting element has high luminous efficiency and excellent durability. The light-emitting element includes at least a light-emitting layer between a positive electrode and a negative electrode, and emits light by electrical energy. The light-emitting element is characterized by containing a naphthacene compound that has a specific structure and organic fluorescent substance that has a fluorescence peak wavelength of not less than 500 nm but not more than 690 nm. | 11-10-2011 |
20110272682 | Flexible Barrier Film, Method Of Forming Same, And Organic Electronic Device Including Same - A flexible barrier film has a thickness of from greater than zero to less than 5,000 nanometers and a water vapor transmission rate of no more than 1×10 | 11-10-2011 |
20110272683 | NOVEL BICHRYSENE COMPOUND AND ORGANIC LIGHT EMITTING DEVICE HAVING THE COMPOUND - Provided are a novel bichrysene compound and an organic light emitting device having high light emitting efficiency and excellent driving durability. The organic light emitting device includes an anode, a cathode, and a layer formed of an organic compound interposed between the anode and the cathode. The layer formed of an organic compound has a bichrysene compound represented by the following general formula (1). | 11-10-2011 |
20110272684 | MATERIALS FOR ORGANIC ELECTROLUMINESCENCE DEVICES - The present invention describes indenofluorene derivatives of the general formula I, II, III or IV having emitting and hole-transporting properties, in particular for use in the emission and/or charge-transport layer of electro-luminescent devices. The invention furthermore relates to a process for the preparation of the compounds according to the invention and to electronic devices comprising same. | 11-10-2011 |
20110272685 | MATERIALS FOR ORGANIC ELECTROLUMINESCENCE DEVICES - The present invention describes indenofluorene derivatives containing a heteroaromatic bridge atom as a novel class of materials having emitting and hole-transporting properties, in particular for use in the emission and/or charge-transport layer of electroluminescent devices. The invention furthermore relates to a process for the preparation of the compounds according to the invention and to electronic devices comprising same. | 11-10-2011 |
20110272686 | METAPHENYLENE POLYMER COMPOUND AND LIGHT EMITTING DEVICE USING THE SAME - A polymer compound comprising a constitutional unit represented by the following formula (2) and a constitutional unit represented by the following formula (1), | 11-10-2011 |
20110272687 | ORGANIC ELECTROLUMINESCENT ELEMENT, AND ILLUMINATION DEVICE AND DISPLAY DEVICE EACH COMPRISING THE ELEMENT - Provided is an o organic electroluminescence element comprising an anode, a cathode and a plurality of composing layers including a light emitting layer sandwiched between the anode and the cathode, wherein an electron transport layer containing the compound represented by Formula (1) is included in the composing layers; the light emitting layer contains a phosphorescence emitting organic metal complex; and the cathode or one composing layer adjacent to the cathode contains a metal or a metal compound belonging to Group 1 or Group 2 of the periodic table of elements, provided that the metal exhibits a standard electrode potential larger than −3 V vs. SHE in a system of the metal ion (M | 11-10-2011 |
20110272688 | ARYL-ARYL DENDRIMERS - Light emitting devices are described which incorporate, as the light emitting element, a dendrimer of which the constituent dendrons include a conjugated dendritic structure comprising aryl and/or heteroaryl groups connected to each other via bonds between sp | 11-10-2011 |
20110278547 | ORGANIC EL DEVICE AND METHOD OF MANUFACTURING SAME - An organic EL device has an organic EL element provided on a substrate and includes a lower electrode, an organic EL layer, an upper electrode, and a protective layer for moisture protection, and a protective substrate laminated onto the organic EL element via an adhesive layer. The protective layer is a laminated body including first through nth layers, in order, from a side close to the upper electrode (where n is an integer equal to or greater than 3). Each layer of the protective layer includes silicon oxynitride or silicon nitride, and two adjacent layers layer have different chemical compositions. The first layer has a refractive index smaller than that of the upper electrode and the nth layer has a refractive index larger than that of the adhesive layer. The refractive index (k) of the kth layer satisfies a relationship: refractive index (k−1)>refractive index (k). | 11-17-2011 |
20110278548 | MICROLENS FOR ORGANIC EL ELEMENT, ORGANIC EL ELEMENT USING THE SAME, AND MANUFACTURING METHODS THEREOF - A microlens for an organic EL element, which is used by being disposed on a light-emitting surface of the organic EL element, said microlens comprising a cured resin layer having concavities and convexities formed on a surface thereof, wherein when a Fourier-transformed image is obtained by performing two-dimensional fast Fourier transform processing on a concavity and convexity analysis image obtained by analyzing a shape of the concavities and convexities by use of an atomic force microscope, the Fourier-transformed image shows a circular or annular pattern substantially centered at an origin at which an absolute value of wavenumber is 0 μm | 11-17-2011 |
20110278549 | NEW FUSED CYCLIC COMPOUND AND ORGANIC ELECTRONIC DEVICE - The present invention relates to a new fused cyclic compound, and an organic electronic device using the same. | 11-17-2011 |
20110278550 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode (OLED) display includes a display substrate, an encapsulation substrate facing the display substrate; a soft sealant disposed between the display substrate and the encapsulation substrate and adhering the display substrate and the encapsulation substrate to each other; and a brittle sealant connecting a side of the display substrate and a side of the encapsulation substrate. Therefore, the organic light emitting diode (OLED) display does not generate cracks on the attachment surface of the soft sealant and display substrate and encapsulation substrate because the soft sealant has a high fracture toughness even though a stress concentration phenomenon occurs on the attachment surface of the soft sealant, the display substrate and encapsulation substrate. Therefore, it is possible to prevent the display substrate and encapsulation substrate from being easily broken because of the external impact or deformation thereof. | 11-17-2011 |
20110278551 | AROMATIC AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT - Provided are an organic electroluminescence device that not only provides high efficiency but also has a long lifetime, and an aromatic amine derivative that realizes the device. The organic electroluminescence device includes an aromatic amine derivative, including at least one substituent A having dibenzofuran and at least one substituent B selected from groups each having dibenzofuran or carbazole, in a molecule thereof, in which the substituent A and the substituent B include groups different from each other, and the substituent A and the substituent B are bonded to the same nitrogen atom, or different nitrogen atoms, in the molecule. The molecules of the aromatic amine derivative hardly crystallize, which improves a yield in the production of the organic electroluminescence device. In the organic electroluminescence device, including an organic thin film layer formed of one or more layers including at least a light emitting layer, the organic thin film layer being interposed between a cathode and an anode, the aromatic amine derivative is contained in at least one layer, particularly a hole transport layer, in the organic thin film layer. | 11-17-2011 |
20110278552 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME - The present invention provides a material for an organic electroluminescence device having a specific structure in which a dibennzofuranyl group or a dibenzothiophenyl group is bonded at an N-position (9-position) of a carbazolyl group and an organic electroluminescence device which is provided with one or more organic thin film layers including a light emitting layer between a cathode and an anode and in which at least one layer of the organic thin film layers described above contains the material for an organic electroluminescence device according to the present invention. | 11-17-2011 |
20110278553 | ORGANIC LIGHT-EMITTING APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting apparatus having high rigidity and preventing deterioration of an organic light-emitting device therein by use of a crosslinking agent including a SiH group in the surface of a core particle. | 11-17-2011 |
20110278554 | Hybrid quantum dot/protein nanostructure, methods of making, and methods of use thereof - Embodiments of the present disclosure include hybrid quantum dot/protein nanostructure, hybrid quantum dot/protein nanostructure systems, methods of using hybrid quantum dot/protein nanostructures, and the like. | 11-17-2011 |
20110278555 | BISCARBAZOLE DERIVATIVE, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A biscarbazole derivative of the invention is represented by a formula (1A) or (1B) below. | 11-17-2011 |
20110278556 | Azaborinine Compounds As Host Materials And Dopants For PHOLEDs - Novel organic compounds comprising azaborine are provided. In particular, the compounds comprise a dibenzo-1,4,-azaborine core having a phenyl substituent on the boron atom, and aryl or heteroaryl substituents at positions 2 and 6 of the phenyl substituent. These compounds may be advantageously used in organic light-emitting devices to provide improved efficiency and lifetime. | 11-17-2011 |
20110278557 | ORGANIC LIGHT EMITTING DIODE AND LIGHT SOURCE DEVICE INCLUDING THE SAME - An organic light emitting diode has a reflection electrode, an organic layer with a luminous point, a transparent electrode, an output-side substrate, and a light scattering layer in contact with the output-side substrate. The light scattering layer is made of a base material and particles contained therein. These particles are higher in refractive index than the base material and the output-side substrate. The luminous point emits light at an emission peak wavelength λ (nm). Letting a height from an interface between the electrode and the organic layer to the luminous point be “a×d” (where d (nm) is the thickness of the organic layer, | 11-17-2011 |
20110278558 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode, a plurality of hole transport layers, a plurality of intermediate layers, an emission layer, a plurality of electron transport layers, and a second electrode. The emission layer may include a host, an emitting dopant, and an auxiliary dopant. The host and the auxiliary dopant are able to transport different types of carriers. | 11-17-2011 |
20110278559 | DOPING CONJUGATED POLYMERS AND DEVICES - Compositions comprising at least one hole transport material, such as a conjugated polymer, and at least one dopant, providing improved thermal stability. Compositions can be applied to substrates and used in HIL and HTL layers and organic electronic devices such as light emitting devices such as OLEDs or OPVs. The conjugated polymer can be a polythiophene, including a 3,4-substituted polythiophene or a regioregular polythiophene. The dopant can be a silver salt such as silver tetrakis(pentafluorophenyl)borate. Improved methods of making dopant are provided. | 11-17-2011 |
20110278560 | UV LIGHT-EMISSIVE FLUORENE-BASED COPOLYMERS - A fluorene-based copolymer of formula I includes a monomeric unit that includes a fluorene group and at least one steric hindering chemical group to provide sufficient steric interaction such that the spatial conformation of the fluorene-based copolymer is substantially non-planar. The fluorene-based copolymer exhibits UV light emission. | 11-17-2011 |
20110278561 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - To attain an organic electroluminescence device having a long lifetime and high luminous efficiency, and is capable of emitting blue light having a high color purity, and an aromatic amine derivative for realizing the device, the present invention provides an aromatic amine derivative having a specific structure, and an organic electroluminescence device including an organic thin film layer composed of one or more layers including at least a light emitting layer and interposed between a cathode and an anode, in which at least one layer of the organic thin film layer contains the aromatic amine derivative alone or as a component of a mixture. | 11-17-2011 |
20110278562 | LIGHT-EMITTING ELEMENT AND LIGHT EMITTING DEVICE USING THE SAME - The present invention provides a light-emitting element having less increase in driving voltage with the accumulation of light-emission time, and provides a light-emitting element having less increase in resistance value with the increase in film thickness. A light-emitting element includes a first layer, a second layer and a third layer between a first electrode and a second electrode. The first layer is provided to be closer to the first electrode than the second layer, and the third layer is provided to be closer to the second electrode than the second layer. The first layer is a layer including an aromatic amine compound and a substance showing an electron accepting property to the aromatic amine compound. The second layer includes a substance of which an electron transporting property is stronger than a hole transporting property, and a substance showing an electron donating property to the aforementioned substance. | 11-17-2011 |
20110284825 | ORGANIC LIGHT-EMITTING DIODES - Disclosed is an organic light-emitting diodes including a ZnO nanoparticle and an ionic group. The organic light-emitting diodes according to the present invention includes:
| 11-24-2011 |
20110284826 | Dyketopyrrolopyrrole polymers for use in organic semiconductor devices - The present invention relates to polymers comprising one or more (repeating) unit(s) of the formula | 11-24-2011 |
20110284827 | INDENOFLUORENEDIONE DERIVATIVE, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT - An indenofluorenedione derivative having a specific structure, which is useful as a material for organic electroluminescence devices because the derivative is excellent in heat resistance and can be vapor-deposited on a substrate at moderate temperature. An organic electroluminescence device including an anode, a cathode, and an organic thin layer between the anode and the cathode, which contains the material for organic electroluminescence devices in the organic thin layer, is driven at a low driving voltage and has a long lifetime. | 11-24-2011 |
20110284828 | ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device (organic EL device) which is improved in luminous efficiency, fully secured of high driving stability, and of a simple structure. The organic EL device is constituted of an anode, organic layers containing a phosphorescent light-emitting layer, and a cathode piled one upon another on a substrate and a phosphine oxide derivative represented by general formula (1) is contained in a phosphorescent light-emitting layer, an electron-transporting layer, a hole-blocking layer, or an exciton-blocking layer. In general formula (1), L | 11-24-2011 |
20110284829 | POLYMER COMPOUND AND LIGHT EMITTING DEVICE USING THE SAME - A polymer compound having a repeating unit represented by the following formula (1): | 11-24-2011 |
20110284830 | POLYMER AND POLYMER-NANOPARTICLE COMPOSITIONS - A polymer-nanoparticle composition of formula II includes a polymer of formula I. The polymer has two portions. One portion of the polymer includes a binding group that binds to a nanoparticle. The other portion of the polymer includes a hydrophobic moiety. | 11-24-2011 |
20110284831 | ORGANIC ELECTROLUMINESCENCE DEVICE - The present invention relates to white-emitting organic electroluminescent devices in which the dependence of the colour point on the luminance can be adjusted specifically. | 11-24-2011 |
20110284832 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic photoelectric device, the compound being represented by the following Chemical Formula 1: | 11-24-2011 |
20110284833 | Electroluminescent Device - An electroluminescent element which comprises host materials and guest materials in a part of an electroluminescent layer, and which is superior in device characteristics such as luminous efficiency and luminous characteristics to those of the conventional electroluminescent element is provided. According to the present invention, device characteristics (luminous efficiency, luminous characteristics, or the like) of an electroluminescent element is improved by using host materials and guest materials which have a common skeleton (represented by the following general formula 1) for an electroluminescent layer interposed between a pair of electrodes in the electroluminescent element. | 11-24-2011 |
20110284834 | Light-Emitting Element and Light-Emitting Device - To provide a light-emitting element, a light-emitting device, and an electronic device each formed using the organometallic complex represented by General Formula (G1) as a guest material and a low molecule compound as a host material. | 11-24-2011 |
20110284835 | Quinoxaline Derivative, and Light-Emitting Element, Light-Emitting Device, and Electronic Device Using the Same - The present invention provides a quinoxaline derivative represented by a general formula (G1). In the formula, α | 11-24-2011 |
20110291076 | ARTICLES CONTAINING COATINGS OF AMIC ACID SALTS - An article includes a flexible or rigid substrate and dry layer comprising an aromatic, non-polymeric amic acid salt that can be thermally converted to a corresponding arylene diimide. Upon conversion of the aromatic, non-polymeric amic acid salt, the dry layer has semiconductive properties and can be used in various devices including thin-film transistor devices. | 12-01-2011 |
20110291077 | Enhanced Semiconductor Devices Employing Photoactive Organic Materials And Methods Of Manufacturing Same - Methods and apparatus provide for a transistor, including: a semiconductor layer including molecules, protons, and/or ions, etc. diffused therein from a photoactive material; a channel disposed on or in the semiconductor layer; a source disposed on or in the semiconductor layer; a drain disposed on or in the semiconductor layer; and a gate electrically coupled to the semiconductor layer. | 12-01-2011 |
20110291078 | OTFT USING PAPER AS SUBSTRATE AND SILK PROTEIN AS INSULATING MATERIAL AND METHOD FOR MANUFACTURING THE SAME - An organic thin film transistor (OTFT) using paper as a substrate and silk protein as an insulating material and methods for manufacturing the same are disclosed. The OTFT of the present invention comprises: a paper substrate; a gate disposed on the paper substrate; a gate insulating layer containing silk protein, which is disposed on the paper substrate and covers the gate; an organic semiconductor layer; and a source and a drain, wherein the organic semiconductor layer, the source and the drain are disposed over the gate insulating layer. | 12-01-2011 |
20110291079 | SURFACE PLASMON-MEDIATED ENERGY TRANSFER OF ELECTRICALLY-PUMPED EXCITONS - An electrically pumped light emitting device emits a light when powered by a power source. The light emitting device includes a first electrode, a second electrode including an outer surface, and at least one active organic semiconductor disposed between the first and second electrodes. The device also includes a dye adjacent the outer surface of the second electrode such that the second electrode is disposed between the dye and the active organic semiconductor. A voltage applied by the power source across the first and second electrodes causes energy to couple from decaying dipoles into surface plasmon polariton modes, which then evanescently couple to the dye to cause the light to be emitted. | 12-01-2011 |
20110291080 | Electronic Device - An electronic device comprising a substrate, a first electrode, at least one organic functional layer, and a second electrode is indicated. The organic functional layer comprises a first, a second, and a third matrix material, wherein the first matrix material has a larger band gap than the second and the third matrix materials. | 12-01-2011 |
20110291081 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - An organic EL device material includes at least a unit including 3,5-biscarbazolylphenyl group, a unit including 4-carbazolylphenyl group, and a compound including a unit including a nitrogen-containing aromatic heterocyclic ring bonding the unit including 3,5-biscarbazolylphenyl group and the unit including 4-carbazolylphenyl group. | 12-01-2011 |
20110291082 | ORGANIC LIGHT EMITTING ELEMENT - An organic light emitting element includes a pair of electrodes at least one of which has visible light transmittance; and an organic EL layer provided between the pair of electrodes. The organic EL layer includes at least an organic light emitting layer that emits light when a voltage is applied between the pair of electrodes. The organic light emitting layer includes an electron transport host material; and at least first and second guest materials. Each of the first and second guest materials has an emission peak in a blue to blue-green color region. The electron transport host material has an ionization potential (IPH) and an electron affinity (AFH), and the first guest material has an ionization potential (IPG1) and an electron affinity (AFG1) that satisfy Expression (1): IPH≦IPG1 and AFH12-01-2011 | |
20110291083 | ORGANOMETALLIC COMPLEX COMPOUNDS FOR PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device and an organic photoelectric device, the compound being represented by the following Chemical Formula 1: | 12-01-2011 |
20110291084 | Display Device and Backplane - A display device comprises a plurality of electroluminescent display pixels and a plurality of semiconductor elements (“chiplets”), each pixel being electrically connected to the output of one or more of said semiconductor elements through a via hole in an electrically insulating layer for addressing the plurality of display pixels, and a plurality of colour filters and/or downconverters. The colour filters and/or downconverters and the semiconductor elements are provided on the same surface of the device. | 12-01-2011 |
20110291085 | METHOD FOR MANUFACTURING LIGHT-EMITTING ELEMENT, LIGHT-EMITTING ELEMENT, METHOD FOR MANUFACTURING LIGHT-EMITTING DEVICE, AND LIGHT-EMITTING DEVICE - A method for manufacturing a light-emitting element. An anode is formed on a main surface of a substrate. A hole-injection layer is formed at least above the anode. At least the hole-injection layer is covered with a protective film. A bank which is provided with an aperture through which a portion of the protective film is exposed, is formed on the protective film by a wet process. The portion of the protective film exposed through the aperture is removed so that a portion of the hole-injection layer is exposed, a light-emitting layer is formed on the hole-injection layer exposed through the aperture, and a cathode is formed above the light-emitting layer. The protective film is resistant to a fluid used during the wet process. | 12-01-2011 |
20110291086 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE COMPRISING LIGHT-EMITTING ELEMENT, AND METHOD FOR MANUFACTURING LIGHT-EMITTING ELEMENT - A hole injection layer and a light-emitting layer are laminated between a first electrode and a second electrode of a light emitter. A bank defines an area in which the light-emitting layer is to be formed. In the area defined by the bank, a hole injection layer has a recess in an upper surface thereof. An upper peripheral edge of the recess is covered with a part of the bank. | 12-01-2011 |
20110291087 | LIGHT-EMITTING ELEMENT, DISPLAY DEVICE, AND METHOD FOR MANUFACTURING LIGHT-EMITTING ELEMENT - A light emitter and a method of manufacturing a light emitter. The light emitter includes a first electrode, a charge injection transport layer, a light-emitting layer, and a second electrode that are layered in this order. At least the light-emitting layer is defined by a bank that has at least one liquid-repellent surface. The charge injection transport layer is principally composed of a metal compound that is more liquid-philic than the surface of the bank. The charge injection transport layer includes a recessed structure so that in a region defined by the bank, the charge injection transport layer is lower than a bottom surface of the bank. | 12-01-2011 |
20110291088 | Light Emitting Device - It is an object of the invention to provide a light emitting device which can display a superior image in which luminescent color from each light emitting layer is beautifully displayed and power consumption is lowered in a light emitting element in which light emitting layers are stacked. One feature of the invention is that, in a light emitting element which comprises light emitting layers stacked between electrodes, each distance between each light emitting layer and an electrode is approximately oddly multiplied ¼ wavelength by controlling a thickness of a layer provided therebetween to enhance luminous output efficiency. Another feature of the invention is that a drive voltage is lowered using a high conductive material for the layer compared with a conventional element. | 12-01-2011 |
20110297917 | ORGANIC ELECTROLUMINESCENT ELEMENT AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing an organic EL element, which may be a top-emitting or a transparent organic EL element, provides an organic EL element having a low driving voltage and a high efficiency. The organic EL element includes a substrate; an anode; an organic EL layer which includes at least an emissive layer, an electron transport layer and a damage-mitigating electron injection layer; and a transparent cathode composed of a transparent conductive oxide material, the damage-mitigating electron injection layer is in contact with the transparent cathode, and the damage-mitigating electron injection layer includes a crystalline oligothiophene compound. | 12-08-2011 |
20110297918 | BENZANTHRACENE COMPOUND AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A compound having the structure represented by the following formula (1) or (1)′ as at least a part: | 12-08-2011 |
20110297919 | ORGANIC LIGHT-EMITTING DEVICE - A heterocyclic compound represented by Formula 1 or Formula 2 below and an organic light-emitting device including the heterocyclic compound: | 12-08-2011 |
20110297920 | THIN FILM TRANSISTOR,METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC DEVICE - A thin film transistor with improved performance is provided. The thin film transistor includes a gate electrode, an organic semiconductor layer, and a gate insulating layer which is positioned between the gate electrode and the organic semiconductor layer and is adjacent to the organic semiconductor layer. The gate insulating layer contains a material in which a first monomer as at least one of styrene and a derivative of styrene, and a second monomer having carbon-carbon double bond and a cross-linking reaction group are copolymerized and cross-linked. | 12-08-2011 |
20110297921 | WATER-BARRIER ENCAPSULATION METHOD - The present invention generally relates to organic light emitting diode (OLED) structures and methods for their manufacture. To increase the lifetime of an OLED structure, an encapsulating layer may be deposited over the OLED structure. The encapsulating layer may fully enclose or “encapsulate” the OLED structure. The encapsulating layer may have a substantially planar surface opposite to the interface between the OLED structure and the encapsulating layer. The planar surface permits successive layers to be evenly deposited over the OLED structure. The encapsulating layer reduces any oxygen penetration into the OLED structure and may increase the lifetime of the OLED structure. | 12-08-2011 |
20110297922 | Radiation-Emitting Device - A radiation-emitting device for emitting electromagnetic radiation which is a mixture of at least three different partial radiations of a first, a second and a third wavelength range. The radiation-emitting device here comprises a substrate | 12-08-2011 |
20110297923 | Aromatic amine derivative and organic electroluminescent element using same - An aromatic amine derivative represented by the following formula (1): | 12-08-2011 |
20110297924 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT - Provided are a long-lifetime organic electroluminescence device which can be fabricated in an improved yield owing to suppressed crystallization of molecules, and an aromatic amine derivative that realizes the device, i.e., a novel aromatic amine derivative having a specific structure. Specifically provided are an organic electroluminescence device, including an organic thin film layer formed of one or more layers including at least a light emitting layer, the organic thin film layer being interposed between a cathode and an anode, and an aromatic amine derivative for at least one layer of the organic thin film layer, in particular, a hole transporting layer, the derivative having at least one such structure that a substituent in which two or more specific heterocycles are linked to each other, in particular, a substituent in which two or more specific heterocycles are linked through an aryl group is bonded to an amine through an aryl group. | 12-08-2011 |
20110297925 | ORGANIC ELECTRONIC DEVICE - The present invention relates to organic electroluminescent devices which comprise aromatic nitrogen heterocyclic compounds, in particular in a hole-injection layer and/or in a hole-blocking layer and/or in an electron-transport layer and/or in an emitting layer. | 12-08-2011 |
20110297926 | Electronic Appliance and Light-Emitting Device - An EL element having a novel structure is provided, which is suitable for AC drive. A light-emitting element of the invention is provided with material layers (material layers each having approximately symmetric I-V characteristics with respect to the zero point in a graph having the abscissa axis showing current values and the ordinate axis showing voltage values) between a first electrode and a layer including an organic compound and between the layer including the organic compound and a second electrode respectively. Specifically, each of the material layers is a composite layer including a metal oxide and an organic compound. | 12-08-2011 |
20110303900 | LIGHTING EMITTING DIODE DEVICE WITH DIRECTIVITY AND COHERENCY AND MANUFATURING METHOD FOR PROVIDING LIGHT WITH DIRECTIVITY AND COHERENCY - The present invention discloses a lighting emitting diode device with directivity and coherency and a manufacturing method for providing a light with directivity and coherency. The light emitting diode device comprises a substrate, a light emitting diode module and a masking layer. The light emitting diode module is disposed on the substrate, and is provided for emitting a light, and the masking layer is disposed on the light emitting diode module. The masking layer has an opening, and an aperture of the opening is matching with the wavelength of the light. The light with directivity and coherency is generated by the diffraction effect when the light passes through the opening. | 12-15-2011 |
20110303901 | 6H-INDOLO[2,3-b]QUINOXALINE DERIVATIVES AND ORGANIC LIGHT EMITTING DIODE USING THE SAME - A 6H-indolo[2,3-b]quinoxaline derivative has a structure of formula (I). R | 12-15-2011 |
20110303902 | ORGANIC LIGHT-EMITTING DIODE WITH HIGH COLOR RENDERING - An organic light-emitting diode with high color rendering is provided, which includes: a substrate; a first electrode disposed over the substrate; a light-emitting region disposed over the first electrode, in which the light-emitting region includes a plurality of light-emitting layers and at least one spacer, the spacer being disposed between any two of the light-emitting layers and each of the light-emitting layers individually including a dye; and a second electrode disposed over the light-emitting region. Accordingly, the organic light-emitting diode according to the present invention can exhibit high color rendering and high illumination efficiency. | 12-15-2011 |
20110303903 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND DISPLAY DEVICE - A red light emitting organic electroluminescence device ( | 12-15-2011 |
20110303904 | PHOTOVOLTAIC DEVICE AND METHOD OF MAKING SAME - A photovoltaic device and method of manufacturing is disclosed. In one embodiment, the device includes a silicon layer and first and second organic layers. The silicon layer has a first face and a second face. First and second electrodes electrically are coupled to the first and second organic layers. A first heterojunction is formed at a junction between the one of the faces of the silicon layer and the first organic layer. A second heterojunction is formed at a junction between one of the faces of the silicon layer and the second organic layer. The silicon layer may be formed without a p-n junction. At least one organic layer may be configured as an electron-blocking layer or a hole-blocking layer. At least one organic layer may be comprised of phenanthrenequinone (PQ). A passivating layer may be disposed between at least one of the organic layers and the silicon layer. The passivating layer may be organic. At least one of the organic layers may passivate a surface of the silicon layer. The device may also include at least one transparent electrode layer coupled to at least one of the electrodes. | 12-15-2011 |
20110303905 | Organic Light-Emitting Diode Having Optical Resonator in Addition to Production Method - The invention relates to an organic light-emitting diode, known under the abbreviation OLED, and to a method for the production of such an organic light-emitting diode. According to the invention, an OLED or organic light-emitting diode having an emitter layer ( | 12-15-2011 |
20110303906 | TRANSPARENT ORGANIC LIGHT EMITTING DIODE - The invention relates to a transparent organic light emitting diode (OLED) ( | 12-15-2011 |
20110303907 | ORGANIC ELECTROLUMINESCENT ELEMENT MATERIAL AND ORGANIC ELECTROLUMINESCENT ELEMENT COMPRISING SAME - A material for organic electroluminescent devices including a 2,7-disubstituted naphthalene ring in its molecule and an organic electroluminescent device including an organic thin film layer having one or more layers between a cathode and an anode. An organic electroluminescence device having the organic thin film layer which includes the material for organic electroluminescent devices and at least one kind of phosphorescent emitting materials has long lifetime and high current efficiency. | 12-15-2011 |
20110303908 | POLYMER, ORGANIC PHOTOELECTRIC DEVICE, AND DISPLAY INCLUDING THE SAME - A polymer, an organic photoelectric device, and a display device, the polymer including a repeating unit represented by the following Chemical Formula 1: | 12-15-2011 |
20110303909 | PLANAR CONJUGATED COMPOUNDS AND THEIR APPLICATIONS FOR ORGANIC ELECTRONICS - The invention relates to organic semiconducting materials, methods for their preparation and organic electronic devices incorporating the said organic semiconducting materials. The organic semiconductors contain a compound of formula (I) | 12-15-2011 |
20110303910 | Field Effect Transistor - A heterocyclic compound represented by formula (1) and a field effect transistor having a semiconductor layer comprising the compound. (In the formula, X | 12-15-2011 |
20110303911 | METHOD FOR FORMING PATTERN, METHOD FOR MANUFACTURING LIGHT EMITTING DEVICE, AND LIGHT EMITTING DEVICE - Oxidation treatment is performed to the surface of a substrate provided with a photocatalytic conductive film and an insulating film; treatment with a silane coupling agent is performed, so that a silane coupling agent film is formed and the surface of the substrate is modified to be liquid-repellent; and the surface of the substrate is irradiated with light of a wavelength (less than to equal to 390 nm) which has energy of greater than or equal to a band gap of a material for forming the photocatalytic conductive film, so that only the silane coupling agent film over the surface of the photocatalytic conductive film is decomposed and the surface of the photocatalytic conductive film can be modified to be lyophilic. | 12-15-2011 |
20110309337 | ORGANIC EL DEVICE - An organic EL device includes: an anode ( | 12-22-2011 |
20110309338 | Materical for organic electroluminescent devices and organic electroluminescent devices made by using the same - A material for electroluminescent devices which comprises a compound in which a heterocyclic group having nitrogen is bonded to carbazolyl group and an organic electroluminescent device having at least one organic thin film layer which is sandwiched between the cathode and the anode and contains the above material in at least one layer, are provided. The material can provide organic electroluminescent devices emitting bluish light with a high purity of color. The organic electroluminescence device uses the material. | 12-22-2011 |
20110309339 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device includes an active layer of a thin film transistor arranged on a substrate, a first insulating layer and a gate electrode arranged on the active layer, the gate electrode including a first transparent conductive layer and a first metal layer, a second insulating layer arranged on the gate electrode and including a plurality of contact holes that expose a source region and a drain region of the active layer, a reflective layer and a second transparent conductive layer arranged within the contact holes, a source electrode and a drain electrode arranged on the second transparent conductive layer and on the second insulating layer, the source electrode and the drain electrode each including a second metal layer, a pixel electrode arranged on the first insulating layer, the pixel electrode including the first transparent conductive layer, the reflective layer, and the second transparent conductive layer, an intermediate layer arranged on the pixel electrode and including an organic emission layer and an opposite electrode facing the pixel electrode, wherein the intermediate layer is arranged between the pixel electrode and the opposite electrode. | 12-22-2011 |
20110309340 | Organic Electronic Component and Method for the Production Thereof - An organic electronic component, comprising a substrate, a first electrode, a second electrode, an electron-conducting layer which is arranged such that it is electrically conductively connected to at least one of the electrodes, wherein the electron-conducting layer is obtained by joint vaporization of a metal complex with an organic compound. | 12-22-2011 |
20110309341 | AMINE POLYMER COMPOUND AND LIGHT EMITTING DEVICE USING THE SAME - A polymer compound comprising a constituent unit represented by the following formula (1a): | 12-22-2011 |
20110309342 | POLYMER LIGHT-EMITTING MATERIAL, METOHO FOR PRODUCING THE SAME, AND ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is a polymer light-emitting material for an organic electroluminescent device that displays excellent light-emitting performance and is applicable to a wet process. The polymer light-emitting material for an organic electroluminescent device is obtained by subjecting a thermoplastic resin having an Mw of 2,000-1,000,000 and containing a functional group in a unit constituting the backbone and a metal-containing phosphorescent dopant compound containing a reactive group capable of reacting with the said functional group to a polymer reaction so as to link a phosphorescent dopant moiety derived from the metal-containing phosphorescent dopant compound to the unit constituting the backbone of the thermoplastic resin. The content of the metal derived from the metal containing phosphorescent dopant compound in the said polymer light-emitting material is 0.001-20 wt %. | 12-22-2011 |
20110309343 | Organic electronic devices comprising a layer of a dibenzofurane compound and a 8-hydroxypquinolinolato earth alkaline metal, or alkali metal complex - The present invention provides an organic electronic device including a first electrode, a second electrode, and an organic layer interposed between the first electrode and the second electrode, wherein the organic layer comprises an organic metal complex of formula | 12-22-2011 |
20110309344 | Organic electronic devices comprising a layer of a pyridine compound and a 8-hydroxypquinolinolato earth alkaline metal, or alkali metal complex - The present invention provides an organic electronic device including a first electrode, a second electrode, and an organic layer interposed between the first electrode and the second electrode, wherein the organic layer comprises an organic metal complex of formula | 12-22-2011 |
20110309345 | COMPOUND FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE HAVING THE SAME - The present invention provides a compound of formula (I) for an organic electroluminescent device: | 12-22-2011 |
20110309346 | ORGANIC ELECTRONIC DEVICES COMPRISING A LAYER COMPRISING AT LEAST ONE METAL ORGANIC COMPOUND AND AT LEAST ONE METAL OXIDE - The present invention relates to an organic electronic device including a first electrode, a second electrode and a first organic layer interposed between the first electrode and the second electrode, wherein the first organic layer comprises at least one metal organic compound and at least one metal oxide. The present invention further relates to an apparatus comprising the organic electronic device according to the present invention. | 12-22-2011 |
20110309347 | ORGANIC ELECTROLUMINESCENCE DEVICE HAVING ANODE INCLUDING METAL OXIDE AND CONDUCTIVE POLYMER, LIGHT EMISSION APPARATUS, AND METHOD OF FABRICATING ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes a cathode, a stacked structure provided on the cathode and including an organic layer that includes an organic light emitting layer, and a transparent anode provided on the stacked structure, The transparent anode includes a metal oxide and a conductive polymer. | 12-22-2011 |
20110309348 | ORGANIC LIGHT-EMITTING DEVICE - A heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the heterocyclic compound: | 12-22-2011 |
20110309349 | ANODE STRUCTURE FOR USE IN ORGANIC EL DEVICE, PRODUCTION METHOD THEREOF AND ORGANIC EL DEVICE - The present invention relates to an anode structure for use in a top-emission type organic EL device which comprises a laminated structure comprising an anode layer made of at least one selected from the group consisting of aluminum, aluminum alloys, silver and silver alloys; and a buffer layer directly provided on the anode layer and made of an electrically conductive amorphous carbon having a hydrogen concentration of 15 at. % or less. According to the present invention, there is provided an anode structure which is superior in alkali resistance and can lengthen lifetime of an organic EL device as well as can ensure a high work function suitable for an anode for a high-luminance, high-power-efficient organic EL device. | 12-22-2011 |
20110309350 | COMPOUND AND DEVICE USING SAME - A compound which contains a structure represented by formula (1) and has a light absorption end wavelength of 600 nm or more. | 12-22-2011 |
20110309351 | Light Emitting Device - An object of the present invention is to provide a light emitting element or a light emitting device that can be formed without any regard for a work function of an electrode. Another object of the invention is to provide a light emitting element or a light emitting device in that the range of choice for a material of an electrode can be widened. In an aspect of the invention, a light emitting device includes first, second and third layers between mutually-facing first and second electrodes. The first layer has a donor level. The second layer is a single layer or a laminated body containing a light emitting substance. The third layer has an acceptor level. When a potential of the second electrode is set higher than that of the first electrode, holes generated in the second layer are injected in the third layer. | 12-22-2011 |
20110309352 | ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE AND LIGHTING DEVICE - Disclosed is an organic electroluminescent device which is free from color shift while having high efficiency and long life. Also disclosed are a display and illuminating device comprising such an organic electroluminescent device. | 12-22-2011 |
20110315963 | ORGANIC EL DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic EL display includes: lower electrodes arranged on a substrate to correspond to first organic EL elements of blue and second organic EL elements of any other color, respectively; hole injection/transport layers arranged on the lower electrodes; second organic light-emitting layers of the other color arranged on the hole injection/transport layers for the second organic EL elements; a first organic light-emitting layer of blue arranged on whole surfaces of the second organic light-emitting layers and the hole injection/transport layers for the first organic EL elements; an electron injection/transport layer arranged on a whole surface of the first light-emitting layer, the electron injection/transport layer made of a nitrogen-containing heterocyclic compound with an electron mobility of 1.0×10 | 12-29-2011 |
20110315964 | NOVEL POLYMERIZABLE MONOMER, AND MATERIAL FOR ORGANIC DEVICE, HOLE INJECTION/TRANSPORT MATERIAL, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT AND ORGANIC ELECTROLUMINESCENT ELEMENT EACH COMPRISING POLYMER (POLYMERIC COMPOUND) OF THE POLYMERIZABLE MONOMER - A polymerizable monomer represented by the following formula (1) wherein at least one of Ar | 12-29-2011 |
20110315965 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescence device and a material for producing the organic electroluminescence device. The organic electroluminescence device includes an organic thin film layer between a cathode and an anode, the organic thin film layer including one or more layers, in which the organic thin film layer includes one or more light emitting layers and at least one of the light emitting layers includes a phosphorescent material and a host material. The host material has an essential structure in which a naphthalene ring is bonded to a fluorene skeleton, dibenzofuran skeleton, or dibenzothiophene skeleton. The organic electroluminescence device is a phosphorescent device having high efficiency and long lifetime. | 12-29-2011 |
20110315966 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic electroluminescent display device ( | 12-29-2011 |
20110315967 | Organic field effect transistor with improved current on/off ratio and controllable threshold shift - The present invention provides a semiconductor device, especially an organic field effect transistor, comprising a layer comprising a polymer comprising repeating units having a diketopyrrolopyrrole skeleton (DPP polymer) and an acceptor compound having an electron affinity in vacuum of 4.6 eV, or more. The doping of the DPP polymer with the acceptor compound leads to an organic field effect transistor with improved hole mobility, current on/off ratio and controllable threshold shift. | 12-29-2011 |
20110315968 | Light-Emitting Element, Light-Emitting Device, Display, and Electronic Device - In the light-emitting element in which a plurality of EL layers is separated from each other by a charge generation layer, provided are an electron relay layer in contact with an anode side of the charge generation region and an electron transport layer in contact with the electron relay layer. The electron transport layer contains an alkaline earth metal. A concentration gradient of the alkaline earth metal contained in the electron transport layer is such that the concentration of the alkaline earth metal becomes lower from an interface between the electron transport layer and the electron relay layer to the anode. | 12-29-2011 |
20110315969 | LUMINESCENT DEVICE AND PROCESS OF MANUFACTURING THE SAME - In the case where a material containing an alkaline-earth metal in a cathode, is used, there is a fear of the diffusion of an impurity ion (such as alkaline-earth metal ion) from the EL element to the TFT being generated and causing the variation of characteristics of the TFT. Therefore, as the insulating film provided between TFT and EL element, a film containing a material for not only blocking the diffusion of an impurity ion such as an alkaline-earth metal ion but also aggressively absorbing an impurity ion such as an alkaline-earth metal ion is used. | 12-29-2011 |
20110315970 | ORGANIC EL DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic EL display device includes a first organic EL element which emits light of a first color and a second organic EL element which emits light of a second color that differs from the first color, the first organic EL element and the second organic EL element being arranged on a substrate, wherein each of the first organic EL element and the second organic EL element includes a first electrode, a second electrode which is opposed to the first electrode, and an organic layer which is interposed between the first electrode and the second electrode, the organic layer of the first organic EL element and the organic layer of the second organic EL element are formed of an identical material, and a light emission function of the first color is substantially lost in the organic layer of the second organic EL element. | 12-29-2011 |
20110315971 | METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT DEVICE - A method for manufacturing an organic electroluminescent device that comprises a substrate, a plurality of organic electroluminescent elements provided on the substrate, and a partition sectioning the plurality of organic electroluminescent elements, in which the plurality of organic electroluminescent elements comprise a light-emitting layer formed by a coating method and a pair of electrodes, and include a red light-emitting layer, a green light-emitting layer or a blue light-emitting layer emitting blue light as the light-emitting layer, the method comprising a step of preparing the partition having lyophilic properties and the substrate provided with one electrode of the pair of electrodes, ink supplying steps of supplying various inks, a step of solidifying the supplied inks, and a step of forming the other electrode of the pair of electrodes, wherein a red ink supplying step is performed at the last among the ink supplying steps. | 12-29-2011 |
20110315972 | ORGANIC ELECTRONIC ELEMENT AND ITS MANUFACTURING METHOD - The present invention provides an organic electronic element manufacturing method which provides a low manufacturing cost and excellent performance stability, and specifically an organic electronic element manufacturing method which provides a low manufacturing cost, and minimizes emission unevenness, lowering of emission efficiency and shortening of lifetime due to deterioration of as barrier property of sealing. The organic electronic element manufacturing method is featured in that it comprises the steps of forming an organic electronic structure composed of a first electrode, at least one organic layer and a second electrode on a flexible substrate, and applying a flexible sealing substrate to the organic electronic structure, followed by heating treatment, wherein a heating temperature, at which the heating treatment is carried out, is less than Tg (a glass transition temperature) of the substrate and not less than Tg of the sealing substrate. | 12-29-2011 |
20110315973 | NOVEL FUSED POLYCYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE - Provided is a fused polycyclic compound represented by the following general formula (1): | 12-29-2011 |
20110315974 | ORGANIC LIGHT-EMITTING ELEMENT MATERIAL, ORGANIC LIGHT-EMITTING ELEMENT AND PROCESS FOR PRODUCING THE SAME - [Problem] To provide an organic light-emitting element material which can be favorably used for obtaining an organic light-emitting element that emits light with high luminance, exhibits high external quantum efficiency and has excellent durability. | 12-29-2011 |
20110315975 | MATERIAL FOR USE IN PHOSPHORESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME - Provided is an organic electroluminescent device (organic EL device), in which the luminous efficiency of the device is improved, driving stability is sufficiently ensured, and the construction of the device is simple. This organic EL device is an organic electroluminescent device, including a light-emitting layer between an anode and a cathode laminated on a substrate, in which the light-emitting layer contains a phosphorescent light-emitting dopant and an indolocarbazole compound as a host material. Examples of the indolocarbazole compound include a compound represented by the following formula (1). It should be noted that in the formulae: a ring A and a ring B are represented by the formulae (1a) and (1b), respectively; Ar's each represent an aromatic hydrocarbon group or an aromatic heterocyclic group; R's each represent a hydrogen atom, an alkyl group, or a cycloalkyl group; X represents a methine group or a nitrogen atom; A represents an aromatic hydrocarbon group, an alkyl group, a cycloalkyl group, or a group represented by the formula (1c); and n represents 0 or 1. | 12-29-2011 |
20110315976 | COMPOUNDS USABLE AS MATERIALS FOR A HOLE INJECTION LAYER OR HOLE TRANSPORT LAYER, AND ORGANIC LIGHT-EMITTING DIODE USING SAME - The present invention relates to a compound which can be used as a material for a hole injection layer or a hole transporting layer of organic light emitting diodes (OLEDs) or electroluminescent elements. The compound is synthesized into a conductive polymer using liquid polymer ions, and this conductive polymer can be used as a material for a hole injection layer or a hole transporting layer of an organic light emitting diode. The compound is advantageous in that a hole injection layer formed using the compound has more excellent performance and can be maintained over a longer lifespan than a hole injection layer formed using conventional compounds. | 12-29-2011 |
20110315977 | ORGANIC ELECTRONIC PANEL AND METHOD FOR MANUFACTURING ORGANIC ELECTRONIC PANEL - Provided is an organic electronic panel wherein warping (deformation) of a metal member is suppressed when the metal member is used as a packaging board, an electrical short-circuit due to the warping is eliminated, and generation of light emission failure and deterioration of power generating performance are eliminated. In the organic electronic panel, the packaging board is composed of a metal foil, and a polymer film is laminated on the metal foil surface on the reverse side of the side having the adhesive layer. The thickness of the polymer film is not more than that of the metal foil, and heat is applied at the time of bonding/laminating the packaging board or at the time of hardening the adhesive layer. | 12-29-2011 |
20120001154 | Aromatic amine derivative and organic electroluminescence device using the same - An aromatic amine derivative represented by the following formula (1), wherein at least one of Ar | 01-05-2012 |
20120001155 | FUSING PORPHYRINS WITH POLYCYCLIC AROMATIC HYDROCARBONS AND HETEROCYCLES FOR OPTOELECTRONIC APPLICATIONS - A compound that can be used as a donor material in organic photovoltaic devices comprising a non-activated porphyrin fused with one or more non-activated polycyclic aromatic rings or one or more non-activated, heterocyclic rings can be obtained by a thermal fusion process. By heating the reaction mixture of non-activated porphyrins with non-activated polycyclic aromatic rings or heterocyclic rings to a fusion temperature and holding for a predetermined time, fusion of one or more polycyclic rings or heterocyclic rings to the non-activated porphyrin core in meso,β fashion is achieved, resulting in hybrid structures containing a distorted porphyrin ring with annulated aromatic rings. The porphyrin core can be olygoporphyrins. | 01-05-2012 |
20120001156 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display is disclosed. The organic light emitting diode display includes: a substrate including a first region and a second region, a first gate electrode formed over the first region, a second gate electrode formed over the second region, a first gate insulator formed on the first gate electrode, a second gate insulator formed on the second gate electrode, a first semiconductor layer formed on the first gate insulator, the first semiconductor layer including a first channel region, a second semiconductor layer formed on the second gate insulator, the second semiconductor layer including a second channel region, an interlayer insulator formed over the substrate and over at least part of the first and second semiconductor layers, a first etching stop layer formed over the first channel region and surrounded by the interlayer insulator, a second etching stop layer formed over the second channel region and surrounded by the interlayer insulator, a first source electrode and a first drain electrode contacting the first semiconductor layer through the interlayer insulator, and a second source electrode and a second drain electrode contacting the second semiconductor layer through the interlayer insulator. | 01-05-2012 |
20120001157 | ORGANIC MATERIAL AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - Disclosed are an organic composition including a compound represented by Chemical Formula 1, and an organic light emitting device including the composition. | 01-05-2012 |
20120001158 | ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is a highly reliable organic electroluminescent device, in particular, a phosphorescent organic electroluminescent device using a low-molecular-weight host material, wherein a good balance between electron and hole injection and an efficient mechanism of phosphorescence are maintained. The organic electroluminescent device contains a light-emitting layer between an anode layer and a cathode layer and the light-emitting layer comprises a phosphorescent dopant material and a host material with a molecular weight of not more than 10,000. The host material is composed of a first host material and a second host material that is different from the first host material and the first host material differs from the second host material by not more than 0.1 eV in the ionization potential (IP), by not more than 0.1 eV in the electron affinity (EA), and by not more than 0.1 eV in the triplet energy (T1). | 01-05-2012 |
20120001159 | INSULATING LAYER, ORGANIC THIN FILM TRANSISTOR USING THE INSULATING LAYER, AND METHOD OF FABRICATING THE ORGANIC THIN FILM TRANSISTOR - Provided is an insulating layer in which an inorganic material is added to an organic polymer to thereby improve the insulating properties, an organic thin film transistor using the insulating layer, and a method of fabricating the organic thin film transistor. An insulating layer for an organic thin film transistor including a vinyl polymer and an inorganic material is provided. Here, a weight ratio of the vinyl polymer to the inorganic material may be in the range of 1:0.0001 to 1:0.5. Accordingly, it is possible to fabricate a thin film at low temperature and, further, to fabricate an insulating layer having a high-dielectric constant, not affecting other layers formed in the previous processes during the formation of the insulating layer. | 01-05-2012 |
20120001160 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescent device ( | 01-05-2012 |
20120001161 | LIGHT EMITTING DEVICE MATERIAL AND LIGHT EMITTING DEVICE - Disclosed is an organic electroluminescent element which has achieved both high luminous efficiency and low driving voltage by containing a light-emitting element material, which comprises a specific pyrene compound, in one of the layers that constitute the light-emitting element, preferably in a light-emitting layer or in an electron-transporting layer. | 01-05-2012 |
20120001162 | ORGANIC SEMICONDUCTOR MATERIAL AND ORGANIC THIN-FILM TRANSISTOR - An organic thin-film transistor comprising a gate electrode, a gate insulator layer, an organic semiconductor layer, a source electrode and a drain electrode wherein the organic semiconductor layer consists of the organic semiconductor material having the structure represented by the general formula (1) shown below, and the organic semiconductor layer has crystallinity: | 01-05-2012 |
20120001163 | PHOTOELECTRIC CONVERSION ELEMENT, MANUFACTURING METHOD THEREOF, OPTICAL SENSOR, AND SOLAR CELL - A photoelectric conversion element is provided which includes a photoelectrode ( | 01-05-2012 |
20120001164 | ORGANIC ELECTRONIC DEVICE WITH ELECTRON TUNNELING LAYER - There is provided an organic electronic device including an anode; a photoactive layer; an electron transport layer; an electron tunneling layer having a thickness in the range of 10-50 Å; and a cathode. | 01-05-2012 |
20120001165 | MATERIAL FOR PHOSPHORESCENT LIGHT-EMITTING ELEMENT AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - Provided is an organic electroluminescent device (organic EL device), which has improved luminous efficiency, has sufficient driving stability, and has a simple construction. The organic EL device of the present invention is an organic electroluminescent device, including a light-emitting layer and a hole-transporting layer between an anode and a cathode laminated on a substrate, in which the light-emitting layer contains a phosphorescent light-emitting dopant and an indolocarbazole compound that serves as a host material, or alternatively, the hole-transporting layer contains an indolocarbazole compound. The indolocarbazole compound is represented by the following formula (1). In the formula: A | 01-05-2012 |
20120007055 | ORGANIC LIGHT EMITTING DIODE DEVICE - An organic light emitting diode device includes a first electrode, a second electrode, and an emission layer disposed between the first and second electrodes. The first electrode includes a first layer and a second layer. The first layer includes ytterbium (Yb), samarium (Sm), lanthanum (La), yttrium (Y), calcium (Ca), strontium (Sr), cesium (Cs), ruthenium (Ru), barium (Ba), or a combination thereof and having a thickness ranging from about 40 to 200 Å. The second layer includes silver (Ag), aluminum (Al), copper (Cu), chromium (Cr), or a combination thereof and having a thickness ranging from about 100 to 250 Å. | 01-12-2012 |
20120007056 | PROCESS FOR GROWING AN ELECTRON INJECTION LAYER TO IMPROVE THE EFFICIENCY OF ORGANIC LIGHT EMITTING DIODES - An organic light emitting functional device with organic electron injection layer to improve the injection of electrons from the cathode in an organic light emitting diode. In particular, the device relates to the use of electron transport layer 4,7-di phenyl-1,10 phenanthroline (herein after called as BPhen) and another organic semiconductor Tetracyano quino dimethane (herein after called as TCNQ) and optimizing the thickness and doping percentage of the composition in an organic light emitting device. The main use of the composed injection layer is to balance the injection of holes from the anode side and the injection of electrons from cathode side and thus increase the efficiency of Organic light emitting diodes. | 01-12-2012 |
20120007057 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device including a substrate; at least one thin-film transistor (TFT) formed on the substrate; a planarizing layer covering the TFT; a pixel electrode, which is formed on the planarizing layer and is connected to the TFT; a protective layer surrounding an edge of the pixel electrode; a pixel defining layer (PDL), which has an overhang (OH) structure protruding more than the top surface of the protective layer, covers the protective layer and the edge of the pixel electrode, and exposes a portion of the pixel electrode surrounded by the protective layer; a counter electrode facing the pixel electrode; and an intermediate layer, which is interposed between the pixel electrode and the counter electrode and includes a light-emitting layer and at least one organic layer, where the thickness of the intermediate layer is greater than the thickness of the protective layer. | 01-12-2012 |
20120007058 | Organic memory device using iridium organometallic compound and fabrication method thereof - Disclosed are a composition comprising a mixture of at least one iridium organometallic compound and an electrically conductive polymer, an organic active layer comprising the same, an organic memory device comprising the organic active layer and methods for fabricating the same. The organic memory device may include a first electrode, a second electrode and the organic active layer between the first and second electrodes. The organic memory device possesses the advantages of rapid switching time, decreased operating voltage, decreased fabrication costs, increased reliability and improved non-volatility. | 01-12-2012 |
20120007059 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND COMPOUND - An organic electroluminescence device composed of a cathode, an anode, and an organic thin film layer between the cathode and the anode. The organic thin film layer includes one or more layers. At least one layer of the organic thin film layer is a light emitting layer and at least one light emitting layer contains a compound which is asymmetric with respect to the central benzene ring and a phosphorescent emitting material. The compound provides an organic electroluminescence device with long lifetime and high current efficiency. | 01-12-2012 |
20120007060 | THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THIN FILM TRANSISTOR, DISPLAY DEVICE, AND ELECTRONIC EQUIPMENT - A thin film transistor includes a contact layer that contains an organic semiconductor material and an acceptor material or a donor material provided between an organic semiconductor layer and a source electrode/a drain electrode. | 01-12-2012 |
20120007061 | Luminescent Cyclometallated Iridium(III) Complexes Having Acetylide Ligands - The present invention relates to phosphorescent (triplet-emitting) organometallic materials. The phosphorescent materials of the present invention comprise Ir(III)cyclometallated alkynyl complexes for use as triplet light-emitting materials. The Ir(III)cyclometallated alkynyl complexes comprise at least one cyclometallating ligand and at least one alkynyl ligand bonded to the iridium. Also provided is an organic light emitting device comprising an anode, a cathode and an emissive layer between the anode and the cathode, wherein the emissive layer comprises a Ir(III)cyclometallated alkynyl complex as a triplet emitting material. | 01-12-2012 |
20120007062 | NOVEL LIQUID-CRYSTALLINE COMPOUND AND ORGANIC SEMICONDUCTOR DEVICE CONTAINING THE COMPOUND - Disclosed is a visible light-transmissive liquid-crystalline compound having good hole and electron-transport characteristics and useful as an organic semiconductor material. The compound is represented by a formula (1): | 01-12-2012 |
20120007063 | USE OF DIBENZOFURANS AND DIBENZOTHIOPHENES SUBSTITUTED BY NITROGEN-BONDED FIVE-MEMBERED HETEROCYCLIC RINGS IN ORGANIC ELECTRONICS - The present invention relates to the use of dibenzofurans and dibenzothiophenes which have at least one nitrogen-bonded five-membered heterocyclic ring as a substituent as host, blocker and/or charge transport material in organic electronics. The present invention further relates to dibenzofurans and dibenzothiophenes which comprise at least one nitrogen-bonded five-membered heterocyclic ring and at least one carbazolyl radical as substituents, to a process for preparation thereof, and to the use of these compounds in organic electronics. | 01-12-2012 |
20120007064 | ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR PREPARING THE SAME - The present invention relates to an organic electroluminescent device comprising a substrate, a cathode, at least three organic material layers comprising a light-emitting layer, and an anode in the sequentially laminated form, in which the organic material layers comprise an n-type organic material layer positioned between the cathode and the light-emitting layer; and an organic material layer comprising a compound having a functional group selected from the group consisting of an imidazole group, an oxazole group and a thiazole group between the cathode and the light-emitting layer. The organic electroluminescent device according to the present invention comprises an organic material layer comprising a compound having a functional group selected from the group consisting of an imidazole group, an oxazole group and a thiazole group between a cathode and a light-emitting layer, thus having an improved electron injection characteristic to provide an organic electroluminescent device of an inverted structure operating at a low voltage. | 01-12-2012 |
20120007065 | ORGANIC EL ELEMENT ARRAY - An organic EL element array is provided which can more easily be produced with a high aperture ratio and a high definition and in which light-emitting layers of organic EL elements adjacent to each other in an interpixel region mutually overlap in the interpixel region. | 01-12-2012 |
20120007066 | ANTHRACENE DERIVATIVE, MATERIAL FOR LIGHT EMITTING ELEMENT, LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND ELECTRONIC DEVICE - It is an object of the present invention to provide a novel material capable of realizing excellent color purity of blue, and a light emitting element and a light emitting device using the novel material. Further, it is an object of the present invention to provide which is highly reliable, and a light emitting element and a light emitting device using the novel material. The structure for solving the above problems in accordance with the present invention is an anthracene derivative simultaneously having a diphenylanthracene structure and a carbazole skeleton in a molecule as represented by structural formula (1): | 01-12-2012 |
20120007067 | ORGANIC ELECTROLUMINESCENT DEVICE, METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT DEVICE, IMAGE DISPLAY DEVICE, AND METHOD FOR MANUFACTURING IMAGE DISPLAY DEVICE - Provided is an organic electroluminescent device including: a substrate ( | 01-12-2012 |
20120007068 | Light Emissive Device - An organic light emissive device comprising: a first electrode; a second electrode; and an organic light emissive region between the first and second electrodes comprising an organic light emissive material which has a peak emission wavelength, wherein at least one of the electrodes is transparent and comprises a composite of a charge injecting metal and another material which is codepositable with the charge injecting metal, the other material having a different refractive index to that of the charge injecting metal and wherein the other material has a lower degree of quenching at the peak emission wavelength than the charge injecting metal whereby quenching of excitons by the at least one electrode is reduced, the charge injecting metal comprising either a low work function metal having a work function of no more than 3.5 eV or a high work function metal having a work function of no less than 4.5 eV. | 01-12-2012 |
20120007069 | NOVEL NITROGEN-CONTAINING HETEROCYCLIC COMPOUND AND ORGANIC ELECTRONIC DEVICE USING THE SAME - The present invention provides a novel nitrogen-containing heterocyclic derivative and an organic electronic device using the same. The organic electronic device according to the present invention has excellent properties in terms of efficiency, driving voltage, and a life span. | 01-12-2012 |
20120007070 | ORGANIC ELECTROLUMINESCENT DEVICE - Provided is an organic electroluminescent device (organic EL device), which has improved luminous efficiency, shows sufficiently ensured driving stability, and has a simple construction. The organic electroluminescent device includes an anode, a cathode, and organic layers including a hole-transporting layer and a light-emitting layer, the organic layers being interposed between the anode and the cathode, in which the light-emitting layer contains a phosphorescent light-emitting material and the hole-transporting layer and the light-emitting layer have an electron- and/or exciton-blocking layer therebetween, the electron- and/or exciton-blocking layer being adjacent to the light-emitting layer and containing an indolocarbazole compound represented by the general formula (2). In the formula, a ring B represents a heterocycle represented by the formula (1c) to be fused with adjacent rings, Z represents an n-valent aromatic hydrocarbon group or an aromatic heterocyclic group, and n represents 1 or 2. | 01-12-2012 |
20120007071 | ORGANIC LIGHT-EMITTING DEVICE, AND METHOD FOR MANUFACTURING SAME - An exemplary embodiment of the present invention provides an organic light-emitting device, comprising: a first electrode; a second electrode; and a light emitting layer that is disposed between the first electrode and the second electrode, wherein the organic light-emitting device further comprises a first organic material layer that is contacted with the first electrode and a second organic material layer that is contacted with the second electrode, the first and the second organic material layers comprise a compound represented by Formula 1, and a third organic material layer comprising an n-type dopant between the second organic material layer contacted with the second electrode and the light emitting layer is included, and a method for manufacturing the same. | 01-12-2012 |
20120007072 | ORGANIC ELECTROLUMINESCENT ELEMENT - Disclosed is an organic electroluminescent element which can be produced by a wet process, has improved laminated structure, and also has improved external quantum efficiency and an improved service life. The organic electroluminescent element comprises at least an anode; a cathode and a laminated structure intercalated between the anode and the cathode, all of which are arranged on a substrate, wherein the laminated structure has at least four layers formed by a wet process, and wherein the layers produced by the wet process include at least a hole injection layer, a hole transport layer and a light-emitting layer. The organic electroluminescent element is characterized in that the hole injection layer comprises an electrically conductive polymer, the hole transport layer comprises a polymeric compound having a repeating unit represented by general formula (1), and the polymeric compound has a weight average molecular weight of 50,000 to 200,000 in terms of polystyrene content. | 01-12-2012 |
20120012819 | ORGANIC SEMICONDUCTOR COMPOUNDS - The disclosure is related to organic semiconductor compounds including benzodithieno(3,2-b:2′,3′-d)thiophene (BDTT) and the derivatives of benzodithieno(3,2-b:2′,3′-d)thiophene. The organic compounds of the disclosure have high resistance to the oxidation and high electrical stability. Accordingly, the semiconductor device having an organic semiconductor layer made of the organic compounds of the disclosure has stable electrical performance, and the reliability of the semiconductor device is improved. | 01-19-2012 |
20120012820 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD FOR PRODUCING ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes: an anode; a cathode opposed to the anode; and a plurality of emitting units including at least a first emitting unit and a second emitting unit. The plurality of emitting units each includes: an emitting layer; and an intermediate unit between the first emitting unit and the second emitting unit. The intermediate unit includes an electron injecting layer, a zinc oxide layer and a hole injecting layer in this sequence from the anode. The electron injecting layer contains an electron donating material and is adjacent to the first emitting unit. The hole injecting layer contains an organic electron accepting material and is adjacent to the second emitting unit. | 01-19-2012 |
20120012821 | SILYL- AND HETEROATOM-SUBSTITUTED COMPOUNDS SELECTED FROM CARBAZOLES, DIBENZOFURANS, DIBENZOTHIOPHENES AND DIBENZOPHOSPHOLES, AND USE THEREOF IN ORGANIC ELECTRONICS - The present invention relates to silyl- and heteroatom-substituted compounds selected from carbazoles, dibenzofurans, dibenzothiophenes and disilylbenzophospholes of the formula (I) or (I*), to the use of the compounds of the formula (I) or (I*) in organic electronics applications, preferably in organic light-emitting diodes, to an organic light-emitting diode comprising at least one compound of the formula (I) or (I*), to a light-emitting layer comprising at least one compound of the formula (I) or (I*), to a blocking layer for holes/excitons comprising at least one compound of the formula (I) or (I*), and to an apparatus selected from the group consisting of stationary visual display units, mobile visual display units, illumination units, keyboards, items of clothing, furniture and wallpaper, comprising at least one inventive organic light-emitting diode. | 01-19-2012 |
20120012822 | AROMATIC COMPOUND AND METHOD FOR PRODUCING SAME - An aromatic compound represented by the following formula (1). | 01-19-2012 |
20120012823 | COLOR IMAGING ELEMENT AND METHOD OF MANUFACTURING THE SAME, PHOTOSENSOR AND METHOD OF MANUFACTURING THE SAME, PHOTOELECTRIC TRANSDUCER AND METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC DEVICE - A color imaging element, a photosensor and a photoelectric transducer which use a protein and are capable of being stably used for a long time, and methods of manufacturing them are provided. A zinc-substituted cytochrome c552 is immobilized on a gold electrode with a self-assembled monolayer in between to form a blue-light photoelectric transducer. Alternatively, a cytochrome c552 is immobilized on a gold electrode with a self-assembled monolayer in between, and a fluorescent protein absorbing blue light is bonded to the cytochrome c552, thereby forming a blue-light photoelectric transducer. These photoelectric transducers each are used as a color imaging element or a blue-light photoelectric transducer of a photosensor. | 01-19-2012 |
20120012824 | METAL COMPLEX COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME - Disclosed is a metal complex compound represented by the following Chemical Formula 1, and an organic light emitting diode device including the same. | 01-19-2012 |
20120012825 | THIN FILM TRANSISTOR AND METHOD FOR PRODUCING THE SAME - The present invention provides a method of manufacturing a thin film transistor of a top-contact structure with suppressed deterioration by a process which is easy and suitable for increase in area without damaging an organic semiconductor pattern. The organic semiconductor pattern is formed on a substrate. An electrode material film is formed on the substrate so as to cover the organic semiconductor pattern. A resist pattern is formed on the electrode material film. By wet etching using the resist pattern as a mask, the electrode material film is patterned. By the process, a source electrode and a drain electrode are formed. | 01-19-2012 |
20120012826 | ORGANIC LIGHT-EMITTING DEVICE - An amine compound represented by Formula 1 below and an organic light-emitting device including an organic layer containing the same: | 01-19-2012 |
20120012827 | ORGANIC LIGHT-EMITTING DEVICE - Provided is an organic light-emitting device that can be driven at low voltage, that produces a light output with high efficiency and high luminance, and that can emit light with high color purity. An organic light-emitting device includes an anode, a cathode, a light-emitting layer disposed between the anode and the cathode, and an organic layer disposed between the anode and the light-emitting layer. The organic layer has a fused polycyclic compound represented by general formula (1): | 01-19-2012 |
20120012828 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a substrate; an encapsulation substrate; an organic light-emitting unit interposed between the substrate and the encapsulation substrate; and a layer having an UV shielding capability interposed between the encapsulation substrate and the organic light-emitting unit. | 01-19-2012 |
20120012829 | DIBENZOTHIOPHENE-CONTAINING MATERIALS IN PHOSPHORESCENT LIGHT EMITTING DIODES - A new class of dibenzothiophene and/or dibenzofuran-containing compounds are provided. The new compounds may be useful in organic light emitting devices, particularly as the host of an emissive layer having a host and an emissive dopant, or as a material in an enhancement layer. | 01-19-2012 |
20120012830 | MATERIAL FOR ORGANIC PHOTOELECTRIC DEVICE, AND ORGANIC PHOTOELECTRIC DEVICE THEREBY - A material for an organic photoelectric device, the material including a compound represented by the following Chemical Formula 1: | 01-19-2012 |
20120012831 | COMPOUND HAVING BENZOTRIAZOLE RING STRUCTURE AND ORGANIC ELECTROLUMINESCENT ELEMENT - A compound having a benzotriazole ring structure and a formula (1): | 01-19-2012 |
20120012832 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - There are provided an aromatic monoamine derivative having a fluorene structure-containing organic group and an aromatic hydrocarbon group-containing organic group, and an organic electroluminescent element containing an organic thin film layer composed of a single layer or plural layers while including at least a light emitting layer, the organic thin film layer being between a cathode and an anode, wherein at least one layer of the organic thin film layer, particularly a hole transport layer, contains the aromatic amine derivative alone or as a component of a mixture. An organic electroluminescent element which maintains high luminous efficiency even if exposed to a high temperature environment, and has a low driving voltage and a long emission lifetime, and an aromatic amine derivative capable of realizing the organic electroluminescent element are provided. | 01-19-2012 |
20120012833 | LIGHT-EMITTING ELEMENT MATERIAL PRECURSOR AND PRODUCTION METHOD THEREFOR - A light emitting device material precursor represented by the Formula (1): | 01-19-2012 |
20120012834 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE, METHOD FOR PRODUCING SAME, COLOR FILTER SUBSTRATE AND METHOD FOR PRODUCING SAME - The present invention provides an organic EL display device and a color filter substrate that can be manufactured inexpensively and easily and that allow reducing the size of pixel regions, and also provides a manufacturing method of the organic EL display device and a manufacturing method of the color filter substrate. The organic EL display device of the present invention is an organic EL display device which comprises a substrate in which a plurality of pixel regions of first to third colors is disposed within a display area, and in which a functional material layer comprising an organic emissive layer is disposed at each of the plurality of pixel regions, wherein first to third partition parts are disposed on the substrate within the display area, first to third frame-like structures are disposed on the substrate outside the display area, the pixel region of the first color is disposed within a first demarcation region demarcated by the first partition part, the pixel region of the second color is disposed within a second demarcation region demarcated by the second partition part, the pixel region of the third color is disposed within a third demarcation region demarcated by the third partition part, the first demarcation region is connected to the interior of the first frame-like structure, the second demarcation region is connected to the interior of the second frame-like structure, and the third demarcation region is connected to the interior of the third frame-like structure. | 01-19-2012 |
20120018705 | ORGANIC EL ELEMENT AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing an organic EL element having a corrugated structure, the organic EL element comprising a transparent supporting substrate, a transparent electrode, an organic layer, and a metal electrode, the method comprises the steps of: laminating on the transparent supporting substrate a curable-resin layer having concavity and convexity formed thereon in a periodic arrangement in a way that a curable resin is applied onto the transparent supporting substrate, the curable resin is then cured with a master block being pressed thereto, and thereafter the master block is detached; and obtaining an organic EL element by laminating on the curable-resin layer the transparent electrode, the organic layer, and the metal electrode individually so that a shape of the concavity and convexity formed on a surface of the curable-resin layer can be maintained. | 01-26-2012 |
20120018706 | ORGANIC SEMICONDUCTORS CAPABLE OF AMBIPOLAR TRANSPORT - Electrodes in an organic thin film transistor based on single component organic semiconductors may be chemically modified to realize ambipolar transport. Electronic circuits may be assembled which include at least two such organic thin film transistors wherein at least one transistor is configured as a pmos transistor and at least on other transistor is configured as a nmos transistor. | 01-26-2012 |
20120018707 | Organic light emitting device - An organic light-emitting device including a substrate; a first electrode; a second electrode; an emission layer; a first electron transport layer; a second electron transport layer; a third electron transport layer; and a hole transport layer, wherein the first electron transporting material has an electron mobility smaller than an electron mobility of the second electron transporting material at an electric field of 800 to 1000 V/cm, the second electron transporting material and the third electron transporting material each independently have an electron mobility of about 10 | 01-26-2012 |
20120018708 | METHOD OF MANUFACTURING ORGANIC SEMICONDUCTOR NANOFIBRILLAR NETWORK DISPERSED IN INSULATING POLYMER USING A BLEND OF ORGANIC SEMICONDUCTOR/INSULATING POLYMER AND ORGANIC THIN FILM TRANSISTOR USING THE SAME - Disclosed is a method of manufacturing an organic semiconductor thin film for an organic thin film transistor from a blend of organic semiconductor/insulating polymer. The organic semiconductor thin film is configured such that organic semiconductor nanofibrils are dispersed in the form of a network in the insulating polymer layer. This organic semiconductor thin film is formed by dissolving the blend of organic semiconductor/insulating polymer in a marginal solvent of the organic semiconductor or mixed solvent thus preparing a blend solution, which is then applied while adjusting the solubility of the solution. An organic thin film transistor using the organic semiconductor thin film is also provided. The blend thin film of organic semiconductor/insulating polymer containing only about 3 wt % of the organic semiconductor exhibits electrical properties equal to those of a thin film composed exclusively of an organic semiconductor. The insulating polymer acts as a protective layer of the organic thin film transistor, thus obviating a need for forming the protective layer. | 01-26-2012 |
20120018709 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND METHOD OF MANUFACTURE OF SAME - An organic EL element, includes, in the order recited: a supporting substrate; an anode; an organic EL layer and having provided thereon, in the order recited: a hole transport layer; a light emission layer; an electron transport layer; and an electron injection layer, in which the hole transport layer, the light emission layer, and the electron transport layer are composed of organic materials, and the electron injection layer is composed of an n-type chalcogenide semiconductor having an optical band gap of 2.1 eV or greater; and a cathode provided on the organic EL layer and composed of a transparent conductive oxide. The organic EL element is a low-voltage, high-efficiency top-emission type or transparent organic EL element. Disclosed also is a method of manufacturing the organic EL element includes forming the electron injection layer by a physical vapor phase growth method that is free of plasma discharge. | 01-26-2012 |
20120018710 | NOVEL POLYMERIZABLE MONOMER AND POLYMER OF THE POLYMERIZABLE MONOMER, AND MATERIAL FOR ORGANIC DEVICE, HOLE INJECTION/TRANSPORT MATERIAL AND ORGANIC ELECTROLUMINESCENT ELEMENT EACH COMPRISING THE POLYMER - A polymerizable monomer represented by the following formula (1) which is substituted by one or more groups comprising a polymerizable functional group wherein Ar | 01-26-2012 |
20120018711 | PLATINUM (II) TETRADENTATE ONCN COMPLEXES FOR ORGANIC LIGHT-EMITTING DIODE APPLICATIONS - Described are novel platinum (II) containing organometallic materials. These materials show green to orange emissions with high emission quantum efficiencies. Using the materials as emitting materials; pure green emitting organic light-emitting diodes can be fabricated. Since the novel platinum (II) containing organometallic materials are soluble in common solvents, solution process methods such as spin coating and printing can be used for device fabrication. | 01-26-2012 |
20120018712 | ORGANIC ELECTROLUMINESCENT DISPLAY - An organic electroluminescent (EL) display includes a plurality of organic EL devices for red, green, and blue subpixels, each including a first electrode on a light output side, a second electrode opposite the first electrode, and an organic compound layer including a light-emitting layer therebetween. The organic EL devices have a resonator structure between a first reflective surface closer to the first electrode than the organic compound layer and a second reflective surface closer to the second electrode than the organic compound layer. A predetermined white color is displayed by mixing the three colors such that an optical distance of the organic EL devices of each color between an emission position in the light-emitting layer and the second reflective surface is set within ±10% from an optical distance corresponding to an nth-order minimum of a curve of required current density against at least the optical distance. | 01-26-2012 |
20120018713 | Nitrogen-containing heteroaromatic ligand-transition metal complexes, buffer layer comprising the complexes and organic thin film transistor comprising the buffer layer - Example embodiments provide a nitrogen-containing heteroaromatic ligand-transition metal complex, a buffer layer including the complex, which may improve the injection and transport of electrical charges, an organic thin film transistor and an electronic device including the buffer layer, in which the injection of electrons or holes and the transport of charges between layers are accelerated, thereby improving the efficiency thereof, and methods of manufacturing the same. | 01-26-2012 |
20120018714 | ORGANIC ELECTROLUMINESCENT DEVICE, DISPLAY APPARATUS, AND LIGHTING APPARATUS - An organic electroluminescent device containing:
| 01-26-2012 |
20120018715 | CONDUCTIVE POLYMER CONTAINING CARBAZOLE, AND ORGANIC PHOTOVOLTAIC DEVICE USING SAME - The present invention relates to a 2,7-carbazole-containing polymer represented by formula 1 and an organic photovoltaic device comprising the conductive polymer as a photoelectric conversion material. The conductive polymer has high photon absorption efficiency and improved hole mobility and is prepared by introducing a specific amount of a carbazole compound either into a polymer, consisting only of a donor functional group containing one or more aromatic monomers, or into a donor-acceptor type polymer comprising a repeating acceptor group introduced into a donor functional group. The conductive polymer can be used as a photoelectric conversion material for organic thin film transistors (OTFTs) or organic light-emitting diodes (OLEDs). Furthermore, the invention provides an organic photovoltaic device comprising the carbazole-containing conductive polymer as an electron donor, and thus can achieve high photoelectric conversion efficiency in organic thin film solar cells. | 01-26-2012 |
20120018716 | EMISSIVE SEMI-INTERPENETRATING POLYMER NETWORKS - An emissive semi-interpenetrating polymer network (E-semi-IPN) includes a semi-interpenetrating polymer network and an emissive material interlaced in the polymer network. The semi-interpenetrating polymer network includes in a crosslinked state one or more of a polymerized organic monomer and a polymerized organic oligomer, polymerized water soluble polymerizable agent, and one or more polymerized polyfunctional cross-linking agents. The E-semi-IPN may be employed as an E-semi-IPN layer ( | 01-26-2012 |
20120018717 | ORGANIC ELECTRONIC DEVICE, COMPOUNDS FOR SAME, AND TERMINAL - Disclosed are an organic electronic device and a compound thereof, and a terminal. | 01-26-2012 |
20120025171 | Electronic Component with at Least One Organic Layer Arrangement - An electronic component, having an anode, a cathode and at least one organic layer arrangement, arranged between the anode and cathode and is in electrical contact with the anode and cathode and has at least one of the following: a zone which generates electrical charges upon application of an electric potential to the anode and cathode and has an np-junction, which is formed with a layer of a p-type organic semiconductor material and an n-doped layer of an n-type organic semiconductor material, which is in contact with a conductive layer of the anode, and a zone which generates further electrical charges upon application of the electric potential to the anode and cathode and has a pn-junction, which is formed with a layer of an n-type organic semiconductor material and a p-doped layer of a p-type organic semiconductor material, which is in contact with a conductive layer of the cathode. | 02-02-2012 |
20120025172 | ORGANIC LIGHT EMITTING DEVICE WITH OUTCOUPLING LAYER FOR IMPROVED LIGHT EXTRACTION - An organic light emitting device comprises an outcoupling layer having relatively high aspect ratio nanowires imbedded within an optically thick transparent high optical index film. The incorporation of nanowires increases the optical index of a light emitting assembly and provides a means for extracting light from a light emitting assembly of the organic light emitting device. | 02-02-2012 |
20120025173 | SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND METHOD OF FORMING MULTILAYER SEMICONDUCTOR THIN FILM - A method of manufacturing a semiconductor device including a gate electrode, a gate insulating layer, source/drain electrodes, and a channel-forming region that are disposed on a base is provided. The method includes the steps of forming a thin film by application of a mixed solution including a polymeric insulating material and a dioxaanthanthrene compound represented by structural formula (1) below; and subsequently drying the thin film to induce phase separation of the polymeric insulating material and the dioxaanthanthrene compound, thereby forming the gate insulating layer from the polymeric insulating material and the channel-forming region from the dioxaanthanthrene compound: | 02-02-2012 |
20120025174 | PASSIVATING LAYER FOR FLEXIBLE ELECTRONIC DEVICES - An electronic device which comprises a first electrode, a second electrode, an active polymer layer between the first and the second electrodes, and a passivating layer adapted to enhance the lifetime of the electronic device. The passivating layer comprises a substantially amorphous titanium oxide having the formula of TiO | 02-02-2012 |
20120025175 | DIODE BASED ON ORGANIC MATERIAL - Semiconductor device, comprising a substrate with a first electrode and having a layer of organic material deposited over the substrate and the first electrode; and a second electrode deposited over the layer of organic material, wherein the second electrode comprises a dielectric layer that is separated from the layer of organic material by the material of the second electrode. | 02-02-2012 |
20120025176 | Organic Radiation-Emitting Component and Method for Producing an Organic Radiation-Emitting Component - An organic radiation-emitting component, comprising a first electrode ( | 02-02-2012 |
20120025177 | Phosphorescent light-emitting iridium complex containing pyridyltriazole ligand - An Ir complex having a pyridyl triazole ligand substituted with at least one substituent on its pyridyl ring, and a light emitting material comprising such Ir complex. Such light emitting material was found to have a significantly enhanced photophosphorescence quantum yield and hypsochromic blue shifted photophosphorescent emission over other Ir complexes with a pyridyl triazole ligand having no substituent in its pyridine ring. Use of such light emitting material and an organic light emitting device including the same. | 02-02-2012 |
20120025178 | Organometallic Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - An object is to provide a novel organometallic complex that has a broader emission spectrum in the wavelength range of green to blue. Other objects are to provide a light-emitting element using the organometallic complex, and a light-emitting device, an electronic device, and a lighting device each using the light-emitting element. Provided is an organometallic complex represented by a general formula (G1). Represented by the general formula (G1) is a novel organometallic complex that exhibits a broad emission spectrum in the wavelength range of green to blue. Further provided are a light-emitting element using the organometallic complex, and a light-emitting device, an electronic device, and a lighting device each using the light-emitting element. | 02-02-2012 |
20120025179 | PHOTOELECTRIC CONVERSION ELEMENT AND IMAGING DEVICE - A photoelectric conversion element includes: an electrically conductive thin layer; an organic photoelectric conversion layer containing a compound having a partial structure represented by formula (I) and a fullerene or a fullerene derivative; and a transparent electrically conductive thin layer: | 02-02-2012 |
20120025180 | ORGANIC ELECTROLUMINESCENT DEVICE - Provided is a structure of an organic EL element capable of reducing the product cost by drastically simplifying the fabrication process as compared with a conventional multi-photon organic EL element. An insulating organic layer having a low dielectric constant is interposed to smooth the transfer of electron charges between a strong electron-accepting material and an electron transport layer included in a charge generation layer of the conventional multi-photon organic EL element. | 02-02-2012 |
20120025181 | ORGANIC EL ELEMENT - An organic EL element ( | 02-02-2012 |
20120025182 | DONOR SUBSTRATE, PROCESS FOR PRODUCTION OF TRANSFER FILM, AND PROCESS FOR PRODUCTION OF ORGANIC ELECTROLUMINESCENT ELEMENT - The present invention provides a donor substrate, a process for production of a transfer film, and a process for production of an organic electroluminescent element, that allow obtaining a transfer film having a uniform composition distribution by way of a simple configuration. A donor substrate of the present invention is a substrate comprising a photothermal conversion layer and a donor layer, wherein the donor layer has a first organic layer arranged on a side of a transfer surface, and a second organic layer arranged on a side of the photothermal conversion layer; the first organic layer and the second organic layer are formed of vaporizable organic materials having dissimilar vaporization-starting temperatures; and the organic material that forms the first organic layer has a vaporization-starting temperature higher than that of the organic material that forms the second organic layer. | 02-02-2012 |
20120025183 | NOVEL ORGANIC COMPOUND, LIGHT-EMITTING DEVICE, AND IMAGE DISPLAY APPARATUS - Provided is an acenaphtho[1,2-k]benzo[e]acephenanthrene derivative represented by general formula (1): | 02-02-2012 |
20120025184 | LIGHT EMITTING DEVICE - In an electroluminescence device, highly efficient light emission is realized without reducing the durability thereof. The electroluminescence device includes electrodes, a plurality of layers deposited between the electrodes, a light emitting region between the plurality of layers, the light emitting region emitting light by application of an electric field between the electrodes. The plurality of layers include a metal thin-film in the vicinity of the light emitting region. The metal thin-film induces plasmon resonance on the surface thereof by the emitted light. Surface modification is provided on at least one of the surfaces of the metal thin-film. The surface modification includes an end group having polarity that makes the work function of the metal thin-film become close to the work function of at least a layer next to the metal thin-film. | 02-02-2012 |
20120025185 | ELECTROLUMINESCENCE DEVICE - An electroluminescence device ( | 02-02-2012 |
20120025186 | LIGHT EMITTING DEVICE - The luminance of different colors of light emitted from EL elements in a pixel portion of a light emitting device is equalized and the luminance of light emitted from the EL elements is raised. The pixel portion of the light emitting device has EL elements whose EL layers contain triplet compounds and EL elements whose EL layers contain singlet compounds in combination. The luminance of light emitted from the plural EL elements is thus equalized. Furthermore, a hole transporting layer has a laminate structure to thereby cause the EL elements to emit light of higher luminance. | 02-02-2012 |
20120032151 | COLOR CONVERSION FILM AND MULTICOLOR-EMITTING, ORGANIC ELECTROLUMINESCENT DEVICE COMPRISING THE COLOR CONVERSION FILM - A color conversion film is disclosed that absorbs light from an organic electroluminescent part emitting blue-green light and converts the light to visible light at a longer wavelength. The color conversion film includes two different dyes. A first dye is a polymer dye with an average molecular weight of 1000 to 1,000,000 that absorbs light incident on the color conversion film and transfers the energy of the light to a second dye. The second dye is a dye that receives the energy from the first dye and emits light. With a multicolor-emitting, organic electroluminescent device including the color conversion film, it is possible to achieve excellent conversion efficiency without increasing the thickness of the color conversion film as in a conventional device using a binder resin. | 02-09-2012 |
20120032152 | Asymmetrical Aryl Amine Derivative for Organic Electroluminescence Devices, Method for Preparing Same, Organic Thin Film for Organic Electroluminescence Devices and Organic Electroluminescence Device Using Same - Provided are asymmetric arylamine derivatives for an organic electroluminescent element, represented by the formula (1), which is prepared by sequentially inducing a secondary amine and a tertiary amine to an aryl compound Ar core so that they do not include a symmetrical axis and a symmetrical surface in a molecule, a manufacturing method of the same, an organic thin layer material including the asymmetric arylamine derivatives, and an organic electroluminescent element employing the same: | 02-09-2012 |
20120032153 | Organic Light-Emitting Diode Device - The present invention relates to an improved organic light-emitting diode (OLED) device which comprises a first conductive layer, a first light-emitting material layer, a second light-emitting material layer, a second conductive layer, and at least one third light-emitting material layer, wherein the first conductive layer is adapted for being an anode substrate, moreover, by way of evaporation process, the first light-emitting material layer, the third light-emitting material layer, the second light-emitting material layer, and the second conductive layer are formed on the anode substrate in turns. Besides, the phenomenon of efficiency roll-off occurring in a high luminance region of the OLED device may be improved by adding the third light-emitting material layer between the first light-emitting material layer and the second light-emitting material layer. | 02-09-2012 |
20120032154 | SEMICONDUCTOR DEVICE, DISPLAY DEVICE AND ELECTRONIC EQUIPMENT - Disclosed herein is a semiconductor device including: a gate electrode; a gate insulating film; an organic semiconductor layer; and source and drain electrodes. | 02-09-2012 |
20120032155 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, DISPLAY DEVICE, AND ELECTRONIC APPARATUS - A light-emitting element includes an anode; a cathode; a first light-emitting layer that is disposed between the anode and the cathode, the first light-emitting layer emitting light in response to application of voltage between the anode and the cathode; a second light-emitting layer that is disposed between the cathode and the first light-emitting layer, the second light-emitting layer emitting light in response to application of voltage between the anode and the cathode; and a carrier-generating layer that is disposed between the first light-emitting layer and the second light-emitting layer, the carrier-generating layer generating electrons and holes. The carrier-generating layer has an n-type electron transport layer and an electron-withdrawing layer, the n-type electron transport layer contacting the first light-emitting layer and having electron transportability, and the electron-withdrawing layer being disposed between the n-type electron transport layer and the second light-emitting layer and having electron-withdrawing properties. | 02-09-2012 |
20120032156 | Triphenylene Hosts in Phosphorescent Light Emitting Diodes - An organic emissive layer is provided. Also provided is a device in which the organic emissive layer is disposed between an anode and a cathode. The organic emissive layer includes a phosphorescent material and triphenylene compound or a compound having a repeat unit having a triphenylene moiety. The triphenylene is optionally substituted. The substituents may be the same or different and each is selected from the group consisting of alkyl, aryl, fused aryl, substituted aryl, alkenyl, alkynyl, and heteroalkyl. Triphenylene compounds are also provided. | 02-09-2012 |
20120032157 | COATING METHOD, AND ORGANIC ELECTROLUMINESCENCE ELEMENT - Disclosed are a coating method of forming a coating with a stable thickness from a coating solution with a low viscosity employing a slit-type die coater and an organic electroluminescence element prepared employing the coating method. The coating method employing a slit-type die coater comprises the steps of allowing a lip tip of the slit-type die coater to bring close to the substrate to form a coating solution bead between the lip tip and the substrate, and coating on the substrate a coating solution ejected from a slit outlet at the lip tip while relatively moving the slit-type die coater and the substrate, thereby forming at least two coating layers in the stripe shape, featured in that the lip tip has at least one groove in the coating region in the coating width direction, and a pressure at the slit outlet of the coating solution of the bead is negative or zero. | 02-09-2012 |
20120032158 | Charge transport compositions and electronic devices made with such compositions - The present invention is directed to a photoactive device comprising an anode, a cathode, and a photoactive layer, which device further comprises an electron transport and/or anti-quenching layer which minimizes both electron transfer quenching and energy transfer quenching of the photoactive layer. | 02-09-2012 |
20120032159 | DISPLAY DEVICE AND MANUFACTURING METHOD OF DISPLAY DEVICE - It is an object of the present invention to provide a reliable display device and a method for manufacturing the display device reducing the number of manufacturing steps, and with higher yield. A display device according to the invention includes a plurality of display elements each having a first electrode, a layer containing an organic compound, and a second electrode. The display device further includes a heat-resistant planarizing film over a substrate having an insulating surface, a first electrode over the heat-resistant, planarizing film, a wiring covering an end portion of the first electrode, a partition wall covering the end portion of first electrode and the wiring, a layer containing an organic compound, and a second electrode over the layer containing an organic compound. | 02-09-2012 |
20120032160 | ORGANIC FIELD EFFECT TRANSISTOR AND SEMICONDUCTOR DEVICE - It is an object to provide an organic field effect transistor including an electrode which can reduce an energy barrier at an interface between a conductive layer and a semiconductor layer, and a semiconductor device including the organic field effect transistor. A composite layer containing an organic compound and an inorganic compound is provided in at least part of one of a source electrode and a drain electrode in an organic field effect transistor, and as the organic compound, a carbazole derivative represented by the general formula (1) is used. By providing the composite layer in at least part of one of the source electrode and the drain electrode, an energy barrier at an interface between a conductive layer and a semiconductor layer can be reduced. | 02-09-2012 |
20120037888 | Radiation Emitting Device - A radiation-emitting device comprising a substrate, a first electrode and a second electrode, and an emitter layer arranged between the first and second electrode. The emitter layer here comprises a matrix material, 0.5-5% by weight of a radiation emitting emitter and 5-30% by weight of a phosphorescent exciton scavenger. The proportion by weight of the exciton scavenger here is higher than that of the radiation emitting emitter, and the emission maximum of the exciton scavenger is at a shorter wavelength than that of the radiation emitting emitter. In addition, the radiation-emitting device is characterized in that the current efficiency of the emitter layer is increased by at least 10% compared to the current efficiency of an emitter layer without exciton scavenger. | 02-16-2012 |
20120037889 | ORGANIC ELECTROLUMINESCENCE ELEMENT, DISPLAY DEVICE AND ILLUMINATION DEVICE - Provided is an organic electroluminescence element having an anode, a cathode and an organic compound layer sandwiched between the anode and the cathode, provided that the organic compound layer containing at least a phosphorescence dopant and a polymer which contains a partial structure represented by Formula (1), and a terminal end of the polymer is end-capped, wherein the phosphorescence dopant is a metal complex containing a ligand composed of a 5 or six membered aromatic hydrocarbon ring or a 5 or six membered aromatic heterocyclic group which is bonded to a five membered nitrogen containing aromatic heterocyclic group: Formula (1) | 02-16-2012 |
20120037890 | PYRROMETHENE-BORON COMPLEX COMPOUNDS AND ORGANIC ELECTROLUMINESCENT ELEMENTS USING SAME - A pyrromethene-boron complex compound represented by the following formula (1); | 02-16-2012 |
20120037891 | METHOD OF MANUFACTURING MULTILAYERED THIN FILM THROUGH PHASE SEPARATION OF BLEND OF ORGANIC SEMICONDUCTOR/INSULATING POLYMER AND ORGANIC THIN FILM TRANSISTOR USING THE SAME - Disclosed is a method of manufacturing a multilayered thin film including a crystalline small molecular organic semiconductor layer and an insulating polymer layer for use in an organic thin film transistor through phase separation and annealing. The method includes applying a blend solution of organic semiconductor and insulating polymer on a substrate thus forming a vertically phase-separated thin film, which is then annealed so that the organic semiconductor contained in the insulating polymer layer is crystallized while being transferred to the surface layer. A high-performance organic thin film transistor fabricated using the same is also provided. The multilayered thin film in which the crystalline organic semiconductor layer is located on the insulating polymer layer through transfer and crystallization of the organic semiconductor can be used to fabricate the high-performance organic thin film transistor. | 02-16-2012 |
20120037892 | NOVEL CYCLOALKENE DERIVATIVES AND ORGANIC ELECTRONIC DEVICES USING THE SAME - The present invention relates to a novel cycloalkene derivative, and an organic electronic device using the same. The cycloalkene derivative according to the exemplary embodiment of the present invention may act as a hole injection, a hole transport, an electron injection, an electron transport, or a light emitting material in an organic light emitting diode and an organic electronic device, and in particular, may be used alone as a light emitting host or a dopant. | 02-16-2012 |
20120037893 | Water-Trapping Agent and Organoelectronic Device Comprising the Same - The present invention provides a water-trapping agent disposed inside a hermetically sealed container. The water-trapping agent comprises an organometallic compound as represented by Formula 1 as a drying agent component, and is obtained by a process comprising mixing the organometallic compound with organic solvent, and at least partially substituting the organic solvent with viscous replacement material: | 02-16-2012 |
20120037894 | PROCESS FOR PRODUCING ORGANIC ELECTROLUMINESCENCE ELEMENT, ORGANIC ELECTROLUMINESCENCE ELEMENT, ORGANIC EL DISPLAY, AND ORGANIC EL LIGHTING - An organic electroluminescence element having a long working life, which has a luminescent layer containing an arylamine compound and formed by a wet film formation method, and an organic EL display and an organic EL lighting which each are equipped with the electroluminescence element. In addition, a process for producing an organic electroluminescence element having a long working life, which has a luminescent layer containing an arylamine compound and formed by a wet film formation method. The organic electroluminescence element contains a first electrode, a second electrode formed so as to be opposed to the first electrode, and a luminescent layer disposed between the first electrode and the second electrode, where the luminescent layer contains an arylamine compound, and the luminescent layer was formed by a wet film formation in an environment shielded from light having a wavelength shorter than 500 nm. | 02-16-2012 |
20120037895 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic electroluminescence device that has solved the conventional problems, and is thermally and chemically stable, has high efficiency with which an electron is injected from a cathode layer or an intermediate conductive layer to a light emitting layer, provides high luminous efficiency at a low voltage, and can maintain a long lifetime. Specifically, the organic electroluminescence device is an organic electroluminescence device, including: two electrode layers and optionally one or more intermediate conductive layers; a light emitting layer between the electrode layers, or between one of the electrode layers and the intermediate conductive layer and/or between the intermediate conductive layers; and an electron injection region formed of one or two or more layers between the light emitting layer and the cathode layer and/or between the light emitting layer and the intermediate conductive layer, in which at least one layer in the electron injection region contains at least one kind selected from the group consisting of an oxide of a transition metal belonging to any one of Groups 5 to 8 of the periodic table, a nitrogen-containing heterocyclic derivative, and a chalcogenide, and further contains a compound represented by the formula: MxAOy (in the formula, M represents Li, Na, K, Rb, or Cs, A represents Zr, V, Nb, Ta, Si, or Ge, x represents 1 or 2, and y represents an integer of 1 to 4). | 02-16-2012 |
20120037896 | ORGANIC ELECTROLUMINESCENCE DEVICE - The present invention relates to white-emitting organic electroluminescent devices which have at least one blue-fluorescent emitter layer and at least one phosphorescent emitter layer. | 02-16-2012 |
20120037897 | THIN FILM TRANSISTOR AND METHOD FOR MANUFACTURING THIN FILM TRANSISTOR - (1) Disclosed is a thin film transistor comprising elements, namely a source electrode, a drain electrode, a gate electrode, a channel layer and a gate insulating film, said thin film transistor being characterized in that the channel layer is formed of an indium oxide film that is doped with tungsten and zinc and/or tin. (2) Disclosed is a bipolar thin film transistor comprising elements, namely a source electrode, a drain electrode, a gate electrode, a channel layer and a gate insulating film, said bipolar thin film transistor being characterized in that the channel layer is a laminate of an organic material film and a metal oxide film that contains indium doped with at least one of tungsten, tin or titanium and has an electrical resistivity that is controlled in advance. (3) Disclosed is a method for manufacturing a thin film transistor comprising elements, namely a source electrode, a drain electrode, a gate electrode, a channel layer and a gate insulating film, said method for manufacturing a thin film transistor being characterized in that at least the channel layer or a part of the channel layer is formed by forming a metal oxide film by a sputtering process using an In-containing target without heating the substrate, and a heat treatment is carried out after forming the above-described elements on the substrate. | 02-16-2012 |
20120037898 | CHRYSENE COMPOUNDS FOR LUMINESCENT APPLICATIONS - This invention relates to chrysene compounds that are useful in electroluminescent applications. It also relates to electronic devices in which the active layer includes such a chrysene compound. | 02-16-2012 |
20120037899 | Light Emitting Device - When a light emitting element is actuated to allow the light emission, the generation of Joule heat occurs, leading to the decomposition or crystallization of an organic compound to cause the degradation of the light emitting device. Therefore, a light emitting element of the present invention is provided for effecting removing or decreasing the generation of heat. In the present invention, between two electrodes, layers having organic compounds and carbon-based thin films are alternatively laminated one after another for stepping down the driving voltage in the light emitting element using the tunnel effect. In addition, a carbon-based thin film is placed on a film containing an organic compound, so that it prevents the electric filed | 02-16-2012 |
20120037900 | AMBIPOLAR HOST IN ORGANIC LIGHT EMITTING DIODE - Some embodiments provide a compound represented by Formula 2B: | 02-16-2012 |
20120043529 | ORGANIC PHOTOELECTRIC CONVERSION ELEMENT - An organic photoelectric conversion element comprising a pair of electrodes, at least one of the electrodes being transparent or translucent, and an organic layer disposed between the pair of electrodes, wherein the organic layer comprises a conjugated polymer compound, and one or more compounds selected from the group consisting of a low-molecular-weight aromatic compound having a group derived by removing two hydrogen atoms from the structure represented by the following formula (1) and a hydroxyl group, estrogen and a nonconjugated polymer compound having a hydroxyl group: | 02-23-2012 |
20120043530 | Polymer compositions, polymer films, polymer gels, polymer foams, and electronic devices containing such films, gels and foams - A polymer film, polymer gel, and polymer foam each contain an electrically conductive polymer and an ionic liquid and are each useful as a component of an electronic device. | 02-23-2012 |
20120043531 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, an organic light emitting diode, and a display device including the organic light emitting diode, the compound being represented by the following Chemical Formula 1: | 02-23-2012 |
20120043532 | LIGHT EMITTING DEVICE - High light transmission efficiency is achieved in an electroluminescence device without lowering the durability of the device. The electroluminescence device includes: electrodes; a plurality of layers that are deposited one on another between the electrodes; and a light emitting region between the plurality of layers. The light emitting region emits light by application of an electric field between the electrodes. At least one microparticle that induces plasmon resonance on the surface thereof by the light emitted from the light emitting region is arranged in the vicinity of the light emitting region or in the light emitting region. The microparticle is a core-shell-type microparticle including at least one metal microparticle core and an insulation shell that covers the at least one metal microparticle core. | 02-23-2012 |
20120043533 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT COMPRISING THE SAME - An aromatic amine derivative represented by the following formula (1):
| 02-23-2012 |
20120043534 | LIGHT-EMITTING ORGANIC COMPOUND AND EL DISPLAY DEVICE UTILIZING THE SAME - By repeating a purification process of a light-emitting organic compound several times, a thin film made of the light-emitting organic compound to be used in an EL display device contains ionic impurities at the concentration of 0.1 ppm or lower and has a volume resistivity in the range of 3×10 | 02-23-2012 |
20120043535 | NOVEL COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE COMPOUND - A novel mono(benzo[k]fluoranthene) compound having a molecular structure containing at least one condensed ring aromatic group which is tricyclic or more at any of 7- to 9-positions of benzo[k]fluoranthene. Also an organic light emitting device including at least a pair of electrodes formed of an anode and a cathode, and a layer formed of an organic compound, the layer being interposed between the pair of electrodes, in which the layer formed of an organic compound contains a compound represented by the following structural formula. An organic light emitting device in which the layer is a light emitting layer. | 02-23-2012 |
20120043536 | ORGANIC EL ELEMENT AND METHOD FOR MANUFACTURING THE SAME - A donor film | 02-23-2012 |
20120056162 | NOVEL COMPOUND AND ORGANIC LIGHT-EMITTING DIODE, DISPLAY AND ILLUMINATING DEVICE USING THE SAME - According to one embodiment, there is provided a compound represented by Formula (1): | 03-08-2012 |
20120056163 | NOVEL COMPOUND AND ORGANIC LIGHT-EMITTING DIODE, DISPLAY AND LIGHTING DEVICE USING THE SAME - According to one embodiment, there is provided a compound represented by Formula (1): | 03-08-2012 |
20120056164 | DISPLAY APPARATUS - In a display apparatus including pixels, each of which has organic EL elements which emit red, green, and blue (RGB) colors and a refractive index-control layer, an electrode at a light extraction side of each organic EL element is a silver layer in contact with a charge transport layer, the refractive index-control layer is arranged on the silver layer in common with the organic EL elements which emit RGB colors, and an effective refractive index (n | 03-08-2012 |
20120056165 | ORGANIC LUMINESCENT MEDIUM - An organic luminescent medium including an aromatic amine derivative represented by the following formula (1) and an anthracene derivative represented by the following formula (I): | 03-08-2012 |
20120056166 | Organic semiconductor material, organic semiconductor thin film, and organic thin-film transistor - An organic semiconductor material is represented by the following formula (F): | 03-08-2012 |
20120056167 | METHOD FOR FABRICATION OF LAYERED HETEROJUNCTION POLYMERIC DEVICES - Method for growing multilayer polymer based heterojunction devices which uses selective breaking of C—H or Si—H bonds without breaking other bonds leading to fast curing for the production of layered polymer devices having polymer heterojunctions deposited by the common solution-based deposition methods. In one embodiment, a hydrogen plasma is maintained and protons are extracted with an electric field to accelerate them to an appropriate kinetic energy. The protons enter into a drift zone to collide with molecular hydrogen in gas phase. The cascades of collisions produce a high flux of hyperthermal molecular hydrogen with a flux many times of the flux of protons extracted from the hydrogen plasma. The nominal flux ratio of hyperthermal molecular hydrogen to proton is easily controllable by the hydrogen pressure in the drift zone, and by the length of the drift zone. The extraction energy of the protons is shared by these hyperthermal molecules so that average energy of the hyperthermal molecular hydrogen is easily controlled by extraction energy of the protons and the nominal flux ratio. Since unlike protons the hyperthermal molecular hydrogen projectiles do not carry any electrical charge, the high flux of hyperthermal molecular hydrogen can be used to engineer surface modification of both electrical insulating products and conductive products. In a typical embodiment, organic precursor molecules (or silicone, or silane molecules) with desirable chemical functionality or a set of functionalities and with desirable electrical properties are condensed on a substrate with a solution-based deposition method. The molecular layer is bombarded by the high flux of hyperthermal molecular hydrogen derived from a hydrogen plasma. The C—H or Si—H bonds are thus cleaved preferentially due to the kinematic selectivity of energy deposition from the hyperthermal hydrogen projectiles to the hydrogen atoms in the precursor molecules. The induced cross-linking reactions produce a stable molecular layer retaining the desirable chemical functionality/functionalities and electrical properties carried to the substrate by the precursor molecules. The molecular layer is thus cured and ready for additional molecular layer formation for the production of polymer devices which typically comprise one or more than one polymer heterojunction. | 03-08-2012 |
20120056168 | FLUOROPOLYMER AND THIN ORGANIC FILM COMPRISING SAME - An object of the invention is to provide a fluorine-containing polymer that is superior in both stability against doping of oxygen and solubility in an organic solvent. The invention provides a fluorine-containing polymer including a structure represented by formula (I) in a repeating unit. | 03-08-2012 |
20120056169 | ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to phosphorescent organic electroluminescent devices which comprise at least one phosphorescent emitter and a mixture of at least two matrix materials in the emitting layer. | 03-08-2012 |
20120056170 | COMPOSITION COMPRISING AT LEAST ONE EMITTER COMPOUND AND AT LEAST ONE POLYMER HAVING CONJUGATION-INTERRUPTING UNITS - The present invention relates to a composition, containing at least one emitter compound and at least one polymer with conjugation-interrupting units, to the use of said composition in an optoelectronic apparatus and to an optoelectronic apparatus which contains said inventive composition. | 03-08-2012 |
20120056171 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device, organic photoelectric device, and a display device, the compound being represented by the following Chemical Formula 1: | 03-08-2012 |
20120056172 | ORGANIC LIGHT-EMITTING DEVICE - There is provided a green-light-emitting device which has a high emission efficiency and a long continuous operational life, and which includes a pair of electrodes including an anode and a cathode; and a layer including an organic compound disposed between the pair of electrodes, wherein the layer includes a first compound represented by the general formula (I): | 03-08-2012 |
20120061650 | Transistor Structure - A transistor structure comprises a patterned N-type transparent oxide semiconductor formed over a substrate as a base, and a patterned p-type organic polymer semiconductor formed on the patterned N-type transparent oxide semiconductor comprising a first portion and a second portion so that the patterned N-type transparent oxide semiconductor and the first portion and the second portion of the patterned p-type organic polymer semiconductor form heterojunctions therebetween respectively, wherein the first portion of the patterned p-type organic polymer semiconductor is used as an emitter, and the second portion of the patterned p-type organic polymer semiconductor is used as a collector. | 03-15-2012 |
20120061651 | Heterocyclic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A substance having a hole-transport, property and a wide band gap is provided. A heterocyclic compound represented by a general formula (G1) is provided. In the formula, α | 03-15-2012 |
20120061652 | SEMICONDUCTOR DEVICE AND LIGHT-EMITTING DEVICE, AND MANUFACTUIRNG METHOD THEREOF - In a semiconductor device including an organic layer containing a light-emitting substance between a first electrode connected to a source or drain electrode layer of an enhancement-type transistor that has a channel formation region using an oxide semiconductor and a second electrode overlapped with the first electrode, an active, electrically conductive material which produces a hydrogen ion or a hydrogen molecule by reducing an impurity including a hydrogen atom (e.g., moisture) is excluded from the second electrode. The semiconductor device including an oxide semiconductor is formed using especially an inert, electrically conductive material which hardly causes production a hydrogen ion or a hydrogen molecule by reacting with water. Specifically, the semiconductor device is formed using any of a metal, an alloy of metals, and a metal oxide each having a higher oxidation-reduction potential than the standard hydrogen electrode. | 03-15-2012 |
20120061653 | Light-Emitting Element and Display Device - When a light-emitting element having an intermediate conductive layer between a plurality of light-emitting layers is formed, the intermediate conductive layer can have transparency; and thus, materials are largely limited and the manufacturing process of an element becomes complicated by a conventional method. A light-emitting element according to the present invention is formed by sequentially stacking a pixel electrode, a first light-emitting layer, an intermediate conductive layer (including an electron injecting layer and a hole-injecting layer, one of which is island-like), a second light-emitting layer and an opposite electrode. Therefore, the present invention can provide a light-emitting element typified by an organic EL element in which a range of choice of materials that can be used as the intermediate conductive layer is broadened extremely, and which can realize a high light-emitting efficiency, a low power consumption and a high reliability, and further a display device using the light-emitting element. | 03-15-2012 |
20120061654 | METAL COMPLEX COMPRISING NOVEL LIGAND STRUCTURES - Compounds comprising a metal complex having novel ligands are provided. In particular, the compound is an iridium complex comprising novel aza DBX ligands. The compounds may be used in organic light emitting devices, particularly as emitting dopants, providing improved efficiency, low operating voltage, and long lifetime. | 03-15-2012 |
20120061655 | LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND ELECTRONIC DEVICE - One feature of the present invention is to provide a buffer layer made of a composite material for a light emitting element including aromatic hydrocarbon containing at least one vinyl skeleton and metal oxide in part of a light emitting substance containing layer, in the light emitting element formed by interposing the light emitting substance containing layer between a pair of electrodes. The composite material for a light emitting element for forming the buffer layer of the present invention has high conductivity and is superior in transparency. | 03-15-2012 |
20120061656 | ORGANIC EL ELEMENT - An organic light-emitting element includes an anode, a functional layer, and a hole injection layer between the anode and the functional layer. The functional layer contains an organic material. The hole injection layer injects holes to the functional layer. The hole injection layer comprises tungsten oxide and includes an occupied energy level that is approximately 1.8 electron volts to approximately 3.6 electron volts lower than a lowest energy level of a valence band of the hole injection layer in terms of binding energy. | 03-15-2012 |
20120061657 | BINAPHTHYL COMPOUND AND ORGANIC LIGHT EMITTING ELEMENT USING THE SAME - The present invention provides a novel binaphthyl compound and an organic light emitting element having a good light emitting efficiency and a high durability at a low driving voltage. An organic light emitting element including an anode and a cathode, and a layer including an organic compound sandwiched between the anode and the cathode, wherein one of the anode and the cathode is transparent or semi-transparent, and the layer including an organic compound includes at least one binaphthyl compound represented by the following general formula [I]: | 03-15-2012 |
20120061658 | STRUCTURAL TEMPLATING FOR ORGANIC ELECTRONIC DEVICES HAVING AN ORGANIC FILM WITH LONG RANGE ORDER - An organic photosensitive device having an organic film with a desired crystalline order includes a first electrode layer and at least one structural templating layer disposed on the first electrode A photoactive region is disposed on the templating layer and includes a donor material and an acceptor material, wherein the donor or the acceptor is templated by the templating layer, and further wherein a majority of the molecules of the templated material are in a non-preferential orientation with respect to the first electrode An organic light emitting device incorporating such organic films includes a first electrode layer, a second electrode layer, at least one structural templating layer disposed between the first and second electrodes, and a functional layer disposed over the templating layer A majority of the molecules of the functional layer are in a non-preferential orientation with respect to the layer below the templating layer | 03-15-2012 |
20120061659 | ORGANIC PHOTOELECTRIC CONVERSION ELEMENT - A high photoelectric conversion efficiency is provided by an organic photoelectric conversion element comprising a first electrode, a second electrode and an active layer, wherein the active layer is located between the first electrode and the second electrode and contains an electron-donating compound and an electron-accepting compound, and the active layer side of the first electrode surface is treated with a coupling agent followed by a lyophilic treatment. | 03-15-2012 |
20120068162 | PERMEATION BARRIER FOR ENCAPSULATION OF DEVICES AND SUBSTRATES - A permeation barrier film structure for organic electronic devices includes one or more bilayers having a hybrid permeation barrier composition. Each of the one or more bilayers includes a first region having a first composition corresponding to a first CF | 03-22-2012 |
20120068163 | COLOR CONVERSION FILM CONTAINING A CONJUGATED HIGH MOLECULAR WEIGHT COPOLYMER AND MULTICOLOR LIGHT-EMITTING ORGANIC EL DEVICE INCLUDING THE SAME - Provided are a color conversion film that maintains sufficient converted light intensity over a long period of time without increasing its thickness and a multicolor light-emitting organic EL device that includes the color conversion film. The color conversion film contains a conjugated high molecular weight copolymer having a structure of formula (1) that has alternating fluorene group-containing repeating units and arylenevinylene repeating units, and has phenylene groups inserted as spacers on both ends of the fluorene groups. | 03-22-2012 |
20120068164 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT AND ORGANIC ELECTROLUMINESCENT ELEMENT EMPLOYING THE SAME - A material for organic electroluminescence devices for use as a host material in combination with at least one phosphorescent metal complex, which comprises a compound having a specific heterocyclic structure, is described. Also described is an organic electroluminescence device having an anode, a cathode and an organic thin film layer having one or more layers. The organic thin film layer is interposed between the anode and cathode and has a light emitting layer containing a host material in combination with at least one phosphorescent metal complex. At least one layer of the organic thin film layer contains the material for organic electroluminescence devices. The material for organic electroluminescence devices provides an organic electroluminescence device which has a high emitting efficiency, causes little pixel defects, is excellent in heat resistance, and show a long lifetime. | 03-22-2012 |
20120068165 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescence element including: an anode, a cathode, and at least one organic layer which includes a light emitting layer, and which is provided between the anode and the cathode, wherein at least one layer in the organic layer contains at least one selected from nitrogen-containing heterocyclic derivatives each represented by the following General Formula (1) and used as at least one of an electron injecting material and an electron transporting material, and at least one layer in the organic layer contains at least one selected from phosphorescence emitting materials having structures expressed by the following Structural Formulae (I-1) to (I-4), (I-7) to (I-12), (I-14) and (I-16) to (I-26): | 03-22-2012 |
20120068166 | AROMATIC CHALCOGEN COMPOUNDS AND THEIR USE - This invention relates to dibenzothiopyran compounds. This invention also relates to layers and devices including at least one of these compounds. | 03-22-2012 |
20120068167 | SURFACE TREATMENT METHOD FOR ELECTRODES, ELECTRODE, AND PROCESS FOR PRODUCING ORGANIC ELECTROLUMINESCENT ELEMENT - [Problem] To provide a method for increasing a work function of an electrode by a simple operation and an organic EL element which has an anode of a high work function, exhibits excellent light emission properties (luminous efficiency, lifetime), has a good luminescent surface with small unevenness of luminance and few defects and has low leakage current. | 03-22-2012 |
20120068168 | CARBAZOLE-BASED PHOSPHINE OXIDE COMPOUND, AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - The present invention relates to a carbazole-based phosphine oxide compound, and an organic electroluminescent device including the same. According to the present invention, provided are a compound for an organic electroluminescent device which can improve the thermal stability and efficiency characteristics which are unstable and low, respectively, corresponding to problems of known compounds for organic electroluminescent devices, and particularly, can implement excellent efficiency characteristics in a pure blue phosphorescent device, by using the carbazole-based phosphine oxide compound of the compound for the organic electroluminescent device, and the organic electroluminescent device. According to one aspect of the present invention, the carbazole-based phosphine oxide compound of the compound for the organic electroluminescent device is provided, and the organic electroluminescent device containing the same which can implement thermal stability and high efficiency characteristics is also provided. | 03-22-2012 |
20120068169 | ORGANIC EL DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic EL display device ( | 03-22-2012 |
20120068170 | MATERIALS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention describes indenocarbazole derivatives having electron- and hole-transporting properties, in particular for use in the emission and/or charge-transport layer of electroluminescent devices or as matrix material. The invention furthermore relates to a process for the preparation of the compounds according to the invention and to electronic devices comprising same. | 03-22-2012 |
20120068171 | ORGANIC ELECTROLUMINESCENT ELEMENT - In an organic electroluminescent element, light extraction efficiency is enhanced. An organic electroluminescent element | 03-22-2012 |
20120074388 | Organic Light-Emitting Display Device and Method of Manufacturing the Same - In an organic light-emitting display device and a method of manufacturing the same, the organic light-emitting display device includes: a first insulating layer, a transparent conductive layer, and a second insulating layer which are sequentially formed on a substrate; a thin film transistor including an active layer formed under the first insulating layer, a gate electrode including a part of the transparent conductive layer as a lower electrode layer, and source and drain electrodes connected to both sides of the active layer; an organic light-emitting device including a sequentially stacked structure comprising a part of the transparent conductive layer as a pixel electrode, an intermediate layer which includes an emission layer, and an opposite electrode; and a capacitor including a first electrode and a second electrode, which includes a part of the transparent conductive layer as a lower electrode layer; wherein the transparent conductive layer and the second insulating layer include a hole. | 03-29-2012 |
20120074389 | METAL COMPLEX COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME - Disclosed are a metal complex compound represented by the following Chemical Formula 1 or 3, and an organic light emitting diode device including the same. | 03-29-2012 |
20120074390 | Light-Emitting Element and Electronic Device - An object is to provide a light-emitting element with high emission efficiency which includes a novel carbazole derivative that has a wide energy gap and can be used for a transport layer or a host material in a light-emitting element. A carbazole derivative in which the 4-position of dibenzothiophene or dibenzofuran is bonded to the 2- or 3-position of carbazole has been able to be provided by use of the carbazole derivative. Further, a light-emitting element having high emission efficiency has been able to be provided by use of the carbazole derivative. | 03-29-2012 |
20120074391 | DISPLAY DEVICE - A pixel unit having two or more pixels different in emission color is provided with lenses in such a manner that the difference of the angular dependence of brightness in organic EL elements for every emission color of the pixels becomes small. | 03-29-2012 |
20120074392 | Tandem White OLED - Organic electroluminescent devices and components containing the organic electroluminescent devices are provided herein. The organic electroluminescent devices include a substrate, a first light emitting unit, a second light emitting unit, a first electrode, and a second electrode. The light emitting units are positioned between the first and second electrode. The light emitting units have light emitting regions containing various emitter materials. | 03-29-2012 |
20120074393 | SEMICONDUCTORS BASED ON DIKETOPYRROLOPYRROLES - The present invention relates to 1,4-diketopyrrolo[3,4-c]pyrrole (DPP) derivatives of the below formula | 03-29-2012 |
20120074394 | Polycyclic Aromatic Molecular Semiconductors and Related Compositions and Devices - Disclosed are new semiconductor materials prepared from polycyclic aromatic compounds. Such compounds can exhibit high carrier mobility and/or good current modulation characteristics. In addition, the compounds of the present teachings can possess certain processing advantages such as solution-processability and/or good stability at ambient conditions. | 03-29-2012 |
20120074395 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic EL device, including: an anode; a cathode; and an organic thin-film layer provided between the anode and the cathode, in which: the organic thin-film layer has a light emitting layer containing a host material and a light emitting material, and a hole transporting layer; and the hole transporting layer has a first hole transporting layer and a second hole transporting layer in the stated order from the anode; the first hole transporting layer contains a specific amine compound; and the second hole transporting layer contains a specific amine compound; or the hole transporting layer has a layer containing a specific electron acceptable compound and a first hole transporting layer; and the first hole-transporting layer contains a specific amine compound. The organic EL device has a reduced driving voltage, high luminous efficiency, and excellent practicality. | 03-29-2012 |
20120074396 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 03-29-2012 |
20120074397 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic electroluminescence display includes a first electrode and an auxiliary wire each either on or in a substrate. A luminescent layer is over the first electrode, and a hole transport layer is between the luminescent layer and the first electrode. The hole transport layer extends from over the first electrode to over the auxiliary wire. A second electrode is over the luminescent layer and extends from over the first electrode to over the auxiliary wire. A metal layer is over the auxiliary wire between the hole transport layer and the second electrode. The second electrode and the auxiliary wire are electrically connected via the hole transport layer and the metal layer. The metal layer comprises a metal, wherein a difference of a work function value of the metal minus an absolute value of an energy level of a lowest unoccupied molecular orbit of the hole transport layer is at most approximately 0.5 eV. | 03-29-2012 |
20120074398 | ORGANIC EL ILLUMINANT, ORGANIC EL ILLUMINATING DEVICE, AND METHOD FOR FABRICATING ORGANIC EL ILLUMINANT - An organic EL illuminant ( | 03-29-2012 |
20120080663 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD OF THE SAME - An organic light-emitting display device and a method of manufacturing the organic light-emitting display device are disclosed. The organic light-emitting display device includes a bottom capacitor electrode that is formed over the same plane as an active layer of a thin film transistor and includes a semiconductor doped with ion impurities, a pixel electrode, and a top capacitor electrode formed over the same plane as a gate electrode, wherein a contact hole entirely exposing the pixel electrode and the top capacitor electrode is formed. | 04-05-2012 |
20120080664 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display apparatus and method of manufacturing the organic light emitting display apparatus including a lower substrate having power lines in a non-display region that is outside a display region whereon an image is realized; and a functional layer formed between the power lines and an encapsulation substrate. | 04-05-2012 |
20120080665 | Ferro-Electric Device and Modulatable Injection Barrier - Described is a modulatable injection barrier and a semiconductor element comprising same. More particularly, the invention relates to a two-terminal, non-volatile programmable resistor. Such a resistor can be applied in non-volatile memory devices, and as an active switch e.g. in displays. The device comprises, in between electrode layers, a storage layer comprising a blend of a ferro-electric material and a semiconductor material. Preferably both materials in the blend are polymers. | 04-05-2012 |
20120080666 | COMPOSITION, FILM USING THE COMPOSITION, CHARGE TRANSPORT LAYER, ORGANIC ELECTROLUMINESCENCE DEVICE, AND METHOD FOR FORMING CHARGE TRANSPORT LAYER - A composition includes: (B) an arylamine derivative having at least one polymerizable group; and (A) a cyano group-free azo based polymerization initiator. | 04-05-2012 |
20120080667 | COMPOSITE MATERIAL, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - A composite material including an organic compound and an inorganic compound and having a high carrier-transport property is provided. A composite material having a high carrier-injection property to an organic compound is provided. A composite material in which light absorption due to charge transfer interaction is unlikely to occur is provided. A light-emitting element having high emission efficiency is provided by including the composite material. A light-emitting element having a low drive voltage is provided. A light-emitting element having a long lifetime is provided. A composite material including a heterocyclic compound having a dibenzothiophene skeleton or a dibenzofuran skeleton and an inorganic compound exhibiting an electron-accepting property with respect to the heterocyclic compound is provided. | 04-05-2012 |
20120080668 | ORGANIC EL LIGHTING DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic EL lighting device includes a first electrode which is formed corresponding to each of the plurality of light-emitting portions on a substrate, an organic functional layer which is formed at least in a light-emitting area, a second electrode which is formed at least on the organic functional layer, and a conductive/light-scattering layer as a layer which has a conductive property and a light-scattering property, is formed on the second electrode, and is electrically connected to the second electrode. The conductive/light-scattering layer is formed of a conductive resin binder in which fine particles as transparent conductive fine particles are dispersed. | 04-05-2012 |
20120080669 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting element having an organic compound, which can be extended its longevity is provided. According to the present invention, there is provided a constitution in which, in order to protect a light emitting element from moisture, an inorganic insulating film | 04-05-2012 |
20120080670 | COMPOUND CONTAINING A 5-MEMBERED HETEROCYCLE AND ORGANIC LIGHT-EMITTING DIODE USING SAME, AND TERMINAL FOR SAME - Disclosed are a novel-structural compound including a 5-membered heterocycle, an organic electronic device using the same, and a terminal thereof. | 04-05-2012 |
20120080671 | ORGANIC EL DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic EL display device ( | 04-05-2012 |
20120085992 | Furan Conjugated Polymers Useful for Photovoltaic Applications - The present invention provides for a polymer comprising a π-conjugated backbone comprising a furan. The polymer has a narrow or low band gap and/or is solution processable. In some embodiments, the polymer is PDPP2FT or PDPP3F. The present invention also provides for a device comprising the polymer, such as a light-emitting diode, thin-film transistor, chemical biosensor, non-emissive electrochromic, memory device, photovoltaic cells, or the like. | 04-12-2012 |
20120085993 | SEMICONDUCTING POLYMERS AND OPTOELECTRONIC DEVICES INCORPORATING SAME - Disclosed are polymeric compounds based upon a head-to-head (H—H) alkylthio-substituted bithiophene repeating units (e.g., 3,3′-bis(tetradecylthio)-2,2′-bithiophene). Such compounds can exhibit desirable electronic properties and possess processing advantages including solution-processability and/or good stability at ambient conditions. | 04-12-2012 |
20120085994 | Organic Light-Emitting Materials and Devices - An electroluminescent polymer comprising light-emissive repeat units and a non-emissive polycyclic aromatic hydrocarbon unit with greater than 12 aromatic sp2 hybridized carbon atoms, wherein the non-emissive polycyclic aromatic hydrocarbon unit comprises a structural unit having formula I: | 04-12-2012 |
20120085995 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - Provided are an organic electroluminescence device, which: shows high luminous efficiency; is free of any pixel defect; and has a long lifetime, and a material for an organic electroluminescence device for realizing the device. The material for an organic electroluminescence device is a compound of a specific structure having a n-conjugated heteroacene skeleton crosslinked with a carbon atom, nitrogen atom, or oxygen atom. The organic electroluminescence device has one or more organic thin film layers including a light emitting layer between a cathode and an anode, and at least one layer of the organic thin film layers contains the material for an organic electroluminescence device. | 04-12-2012 |
20120085996 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode, a second electrode, and a light-emitting layer disposed between the first and second electrodes. The light-emitting layer contains an organic compound emitting photoluminescent light with a peak wavelength of 430 to 480 nm. The organic compound has a profile factor of 0.02 or less at a wave number of 1,300 to 1,680 cm | 04-12-2012 |
20120085997 | ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE, ILLUMINATING DEVICE AND CONDENSED POLYCYCLIC HETEROCYCLIC COMPOUND - Disclosed is an organic electroluminescent element which is characterized in that constituent layers including at least a phosphorescent light-emitting layer are provided between a pair of electrodes, and at least one of the constituent layers contains a compound represented by general formula (1). (In the formula, A | 04-12-2012 |
20120091436 | ORDERED ORGANIC-ORGANIC MULTILAYER GROWTH - An ordered multilayer crystalline organic thin film structure is formed by depositing at least two layers of thin film crystalline organic materials successively wherein the at least two thin film layers are selected to have their surface energies within ±50% of each other, and preferably within ±15% of each other, whereby every thin film layer within the multilayer crystalline organic thin film structure exhibit a quasi-epitaxial relationship with the adjacent crystalline organic thin film. | 04-19-2012 |
20120091437 | POLYMER, ORGANIC THIN FILM COMPRISING THE POLYMER, AND ORGANIC THIN-FILM ELEMENT INCLUDING SAME - An object of the present invention is to provide a polymer having a low LUMO, a high charge transport property, and further high solubility in a solvent. The present invention provides a polymer having a repeating unit represented by the formula (I): | 04-19-2012 |
20120091438 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic EL device, including: an anode; a cathode; and an organic thin-film layer provided between the anode and the cathode, in which: the organic thin-film layer has a light emitting layer containing a host material and a light emitting material, and a hole transporting layer; and the hole transporting layer has a first hole transporting layer and a second hole transporting layer in the stated order from the anode; the first hole transporting layer contains a specific amine compound; and the second hole transporting layer contains a specific amine compound; or the hole transporting layer has a layer containing a specific electron acceptable compound. The organic EL device has a reduced driving voltage, high luminous efficiency, and excellent practicality. | 04-19-2012 |
20120091439 | ORGANIC EL DISPLAY PANEL, DISPLAY DEVICE, AND METHOD OF MANUFACTURING ORGANIC EL DISPLAY PANEL - A device and method improving luminous efficiency and luminescent color in an organic EL display panel used in electronic devices such as televisions or the like by making it easy to adjust the difference in film thickness between layers of different luminescent colors, such as intermediate layers, when the intermediate layers and light-emitting layers are formed by a wet method. By varying by color the volume of a contact hole formed in an interlayer insulation film, which is a lower layer of an organic EL element, the volume of a concavity in each anode plate is adjusted. When ink that includes material for the intermediate layer or the like is sprayed by an inkjet method, the film thickness of the intermediate layer or the like changes in accordance with the amount of ink filing the concavity. | 04-19-2012 |
20120091440 | ORGANIC LIGHT-EMITTING PANEL AND MANUFACTURING METHOD THEREOF, AND ORGANIC DISPLAY DEVICE - A non-light-emitting cell | 04-19-2012 |
20120091441 | ORGANIC LIGHT-EMITTING PANEL AND MANUFACTURING METHOD THEREOF, AND ORGANIC DISPLAY DEVICE - A non-light-emitting cell | 04-19-2012 |
20120091442 | Light-Emitting Element, Light-Emitting Device and an Electronic Device - The present invention provides a light-emitting element including an electron-transporting layer and a hole-transporting layer between a first electrode and a second electrode; and a first layer and a second layer between the electron-transporting layer and the hole-transporting layer, wherein the first layer includes a first organic compound and an organic compound having a hole-transporting property, the second layer includes a second organic compound and an organic compound having an electron-transporting property, the first layer is formed in contact with the first electrode side of the second layer, the first organic compound and the second organic compound are the same compound, and a voltage is applied to the first electrode and the second electrode, so that both of the first organic compound and the second organic compound emit light. | 04-19-2012 |
20120091443 | COMPOSITION FOR USE IN ORGANIC DEVICE, POLYMER FILM, AND ORGANIC ELECTROLUMINESCENT ELEMENT - A composition for use in an organic device, useful in producing an organic device, such as an organic electroluminescent element, having high operation stability, is a composition for use in an organic device that contains at least two cross-linking compounds, at least two of the cross-linking compounds having different numbers of cross-linking groups. A polymer film produced by forming a film of the composition for use in an organic device and then polymerizing the cross-linking compounds. An organic electroluminescent element that includes an anode and a cathode on a substrate and at least one organic layer disposed between the anode and the cathode, wherein at least one of the at least one organic layer is a layer that is produced by forming a film of the composition for use in an organic device and then polymerizing the cross-linking compounds. | 04-19-2012 |
20120091444 | Display Device and Method for Manufacturing Display Device - To provide a display device with higher image quality and reliability or a large-sized display device with a large screen at low cost with high productivity. A function layer (such as a coloring layer or a pixel electrode layer) used in the display device is formed by discharging a liquid function-layer-forming material to an opening formed with a layer including a first organic compound which has a C—N bond or a C—O bond in the main chain as a base and a layer including a second organic compound as a partition. The fluorine density exhibiting liquid repellency to the liquid function-layer-forming material, which is attached to a surface of the layers including organic compounds, is controlled, whereby a liquid repellent region and a lyophilic region can be selectively formed. | 04-19-2012 |
20120091445 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, an organic light emitting diode, and a display device, the compound including substituents represented by the following Chemical Formulae 1 and 2: | 04-19-2012 |
20120091446 | COMPOUND FOR OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, an organic light emitting diode, and a display device, the compound including sequentially combined substituents represented by the following Chemical Formulae 1 to 3: | 04-19-2012 |
20120091447 | LIGHT EXTRACTING MEMBER - A light extracting member for an organic electroluminescent element, to be provided on a side for extracting light emitted by the organic electroluminescent element, wherein a light extracting surface of the member has a concave-convex structure which is configured such that when comparing an intensity of light that enters the member and is output from the light extracting surface with an intensity of light that is output from a flat light extracting surface of a virtual member, a frontal intensity and an integrated intensity of the former are each greater by a factor of 1.3 or more. | 04-19-2012 |
20120091448 | ORGANIC ELECTRONIC DEVICE AND METHOD FOR PRODUCING THE SAME - There is provided an organic electronic device that can exhibit a long lifetime while having a facilitated production process. An organic electronic device and a method for producing it, wherein the organic electronic device comprises two or more electrodes facing each other on a substrate, and an organic functional layer that includes at least a hole injecting layer and/or a hole transporting layer situated between two electrodes, wherein the hole injecting layer and/or hole transporting layer is formed as a thin-film from a fluid material that comprises anionic metal oxide clusters that include at least one metal element selected from among elements of Group 5, Group 6 or Groups 8-10 of the Periodic Table, the metal oxide clusters being polyoxometalates, dissolved or uniformly dispersed or mixed in an aqueous solvent. | 04-19-2012 |
20120091449 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescent element comprising a pair of electrodes composed of an anode and a cathode, a light-emitting layer provided between the electrodes, and a functional layer provided between the light-emitting layer and the anode, wherein the functional layer comprises an n-type semiconductor and a macromolecular compound comprising a repeating unit having an amine residue. | 04-19-2012 |
20120091450 | NOVEL ORGANIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE - The present invention provides organic compounds which are indenobenzo[k]fluoranthene derivatives represented by the following general formula (1): | 04-19-2012 |
20120097924 | ORGANIC LIGHT-EMITTING DEVICE - A heterocyclic compound represented by a general Formula 1 and an organic light-emitting device including the heterocyclic compound. In some embodiments the heterocyclic compound of Formula 1 may be used as a light-emitting material, a hole transporting material, or an electron transporting material. The heterocyclic compound of Formula 1 having a heterocyclic group in the molecules thereof has a high glass transition temperature (Tg) or a high melting point due to the inclusion of the heterocyclic group. Thus, the heterocyclic compound has high heat resistance against Joule's heat generated in an organic layer, between organic layers, or between an organic layer and a metal electrode when light emission occurs, and has high durability in high-temperature environments. An organic light-emitting device manufactured using the heterocyclic compound of Formula 1 has high durability when stored or operated. In addition, due to the inclusion of a substituent such as an aryl group or heteroaryl group, molecular layers formed as thin films may be maintained in good condition, thereby improving the characteristics of the organic light-emitting device. | 04-26-2012 |
20120097925 | ORGANIC LIGHT-EMITTING DEVICE - A heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the heterocyclic compound: | 04-26-2012 |
20120097926 | OLED DISPLAY AND METHOD OF FABRICATING THE SAME - An OLED (OLED) display is provided with a plurality of pixels in each of the OLEDs, each of the OLEDs comprising a first electrode, an organic emission layer, and a second electrode sequentially formed on a substrate, wherein the organic emission layer comprises a mixture of at least two organic materials, and wherein a difference of sublimation temperatures between the at least two organic materials is set to be less than about 50° C. | 04-26-2012 |
20120097927 | Organic Light Emitting Diode Display - An organic light emitting diode (OLED) display may be constructed with a substrate; a first electrode formed on the substrate; a barrier rib formed on the substrate and having an opening exposing the first electrode; an organic emission layer formed on the first electrode; and a second electrode formed on the organic emission layer. The barrier rib includes an isolating groove formed between organic emission layers of neighboring pixels. Accordingly, in the organic light emitting diode (OLED) display, the isolating groove is formed at the barrier rib such that ink is prevented from crossing over the barrier rib and flowing into a neighboring pixel without a hydrophobic surface treatment of the barrier rib. | 04-26-2012 |
20120097928 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes: a substrate including a first area and a second area; a first electrode at the first area of the substrate, and a first electrode at the second area of the substrate; a reflective electrode on the first electrode at the first area; a barrier rib on the substrate, the barrier rib having openings exposing the reflective electrode and the first electrode at the second area; an organic emission layer on the reflective electrode and the first electrode at the second area; a second electrode on the organic emission layer; and a reflective layer on the second electrode at the second area. | 04-26-2012 |
20120097929 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the heterocyclic compound: | 04-26-2012 |
20120097930 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus including a substrate; a black matrix layer formed over the substrate; an insulating layer formed over the black matrix layer; a thin film transistor (TFT) formed over the insulating layer; a pixel electrode connected to the TFT; and an organic layer formed over the pixel electrode. At least one hole is formed in at least one of the black matrix layer and the insulating layer, in a region where the black matrix layer and the insulating layer overlap each other. | 04-26-2012 |
20120097931 | Method of Forming Emission Layer of Organic Light Emitting Display Device, Method of Manufacturing Organic Light Emitting Display Device Including Emission Layer, and Organic Light Emitting Display Device Thereof - A method of forming an emission layer by using droplets and an emission part on which charges with opposite polarities are induced, a method of manufacturing an organic light emitting display device including the emission layer, and the organic light emitting display device thereof, the method includes inducing charges having a first charge polarity on emission portions by facing a surface of a mask and a surface of a substrate, contacting the charge inducing units of the mask to the emission portions of the substrate, and then separating the mask from the substrate, supplying droplets exhibiting a second and opposite charge polarity to the substrate and forming the emission layer by allowing droplets exhibiting the second charge polarity to be attracted to and move to the emission portions exhibiting the first charge polarity. | 04-26-2012 |
20120097932 | CONDENSED-CYCLIC COMPOUND, ORGANIC LIGHT-EMITTING DIODE COMPRISING THE SAME AND FLAT PANEL DISPLAY DEVICE COMPRISING THE ORGANIC LIGHT-EMITTING DIODE - A condensed-cyclic compound of Formula 1, an organic light-emitting diode (OLED) including the same and a flat panel display device including the OLED. The condensed-cyclic compound of Formula 1 may be used in an organic light-emitting diode. Accordingly, an OLED according to an embodiment of the present invention includes a first electrode, a second electrode disposed opposite to the first electrode, and a first layer interposed between the first electrode and the second electrode, wherein the first layer includes the condensed-cyclic compound represented by Formula 1. The OLED may further include at least one layer selected from the group consisting of a hole injection layer, a hole transport layer, an emission layer, a hole blocking layer, an electron transport layer and an electron injection layer. | 04-26-2012 |
20120097933 | ORGANIC EL DISPLAY DEVICE AND MANUFACTURING METHOD OF THE SAME - Disclosed herein is an organic EL display device including, on a substrate: lower electrodes; first hole injection/transport layers; second organic light-emitting layers of colors other than blue; a blue first organic light-emitting layer; electron injection/transport layers; and an upper electrode. | 04-26-2012 |
20120097934 | Organic Light Emitting Diode and Method of Fabricating the Same - An organic light emitting diode includes a first electrode on a substrate; a hole transporting layer on the first electrode; a light emitting material layer on the hole transporting layer; an electron transporting layer on the light emitting material layer and doped with a metal; a second electrode on the electron transporting layer; and a buffer layer between the electron transporting layer and the second electrode and using an organic material of a triphenylene skeleton including substituted or nonsubstituted heteroatom, or a substituted or nonsubstituted Pyrazino quinoxaline derivative compound. | 04-26-2012 |
20120097935 | POLYCYCLIC DITHIOPHENES - The present invention relates to novel compounds of the formula (I) wherein R | 04-26-2012 |
20120097936 | WHITE ORGANIC LIGHT EMITTING DEVICE - Provided is a white organic light emitting device including an anode, a cathode and an organic layer disposed therebetween, the organic layer having a structure wherein an arrangement of a green emissive layer and a blue emissive layer is formed on both surfaces of a red emissive layer such that the resultant structure is symmetrical around the red emissive layer, and a spacer layer is disposed between opposing surfaces of the blue emissive layer and the green emissive layer, where the white organic light emitting device including this structure exhibits a constant luminescence spectra irrespective of any change in current density. A method of forming the white organic light emitting device is also disclosed. | 04-26-2012 |
20120097937 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE UTILIZING THE SAME - A material for organic electroluminescence devices of the invention which is for use in combination with at least one phosphorescent metal complex has a specific heterocyclic structure. The material for organic electroluminescence devices is used as a host material or a hole transporting material. An organic electroluminescence device having an anode, a cathode and an organic thin film layer having one or more layers which is interposed between the anode and cathode, in which at least one layer of the organic thin film layer contains the material for organic electroluminescence devices, has a high emitting efficiency, causes little pixel defects, is excellent in heat resistance, and shows a long lifetime. | 04-26-2012 |
20120097938 | POLYMERS COMPRISING STRUCTURAL UNITS WHICH CONTAIN ALKYLALKOXY GROUPS, BLENDS COMPRISING THESE POLYMERS, AND OPTO-ELECTRONIC DEVICES COMPRISING THESE POLYMERS AND BLENDS - The present invention relates to polymers comprising structural units which contain alkylalkoxy groups, and blends which comprise the polymers according to the invention. The invention is also directed to the use of the polymers and blends according to the invention in opto-electronic devices and to these devices themselves. | 04-26-2012 |
20120097939 | ORGANIC EL DEVICE, METHOD FOR FABRICATING ORGANIC EL DEVICE, AND ORGANIC EL ILLUMINATION SYSTEM - An organic EL device | 04-26-2012 |
20120104362 | Formation of ordered thin films of organics on metal oxide surfaces - Provided herein is a method for altering an electronic property of a structure comprising an oxide surface or an oxide surface in electronic communication with the structure, the method comprising providing a covalently-bound film comprising at least one organic acid residue on a portion of the oxide surface so that at least one of the following properties of the structure is modified: (a) the charge carrier injection barrier properties; (b) the charge conductivity properties; (c) the charge transport properties; (d) the work function properties; (e) the sub-threshold slope; and (f) the threshold voltage. | 05-03-2012 |
20120104363 | ORGANIC LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting device having a light emitting unit that includes an anode layer, a second wire, an insulating layer, first and second organic light emitting layers and a cathode layer is provided. The anode layer includes first and second sub-electrodes and a first wire connecting the first and second sub-electrodes that are arranged in a first direction. The second wire is disposed between the first and second sub-electrodes. The insulating layer is disposed on the first and second sub-electrodes and the second wire, and has a plurality of openings to expose the first sub-electrode, the second sub-electrode and the second wire. The first and second organic light emitting layers are disposed in two openings. The cathode layer is disposed on the first and second organic light emitting layers, and the cathode layer fills another opening to electrically connect to the second wire through the another opening. | 05-03-2012 |
20120104364 | 9,10-BISPHENYLPHENANTHRENE DERIVATIVE AND ORGANIC LIGHT EMITTING DIODE USING THE SAME - A 9,10-bisphenylphenanthrene derivative has a structure of formula (1): | 05-03-2012 |
20120104365 | ELECTRONIC COMPONENTS WITH INTEGRATED ENCAPSULATION - An embodiment relates to an electronic component that may consist of an organic LED or organic solar cell, that comprises at least one substrate, one active layer provided between a first and a second electrode and having an active layer protected from dioxygen and the water vapor of the air by the second electrode that encapsulates the active layer. | 05-03-2012 |
20120104366 | SURFACE-TREATED SUBSTRATE FOR AN INKJET PRINTER - The present invention relates to a substrate for inkjet printing of an organic semiconductor and, more particularly, to a substrate for inkjet printing of an organic semiconductor in which the surface energy is controlled in order to form a uniform and crystalline organic semiconductor thin film. The substrate for inkjet printing according to the present invention has the surface of a dielectric layer treated to be hydrophilic, causing the organic semiconductor molecules printed on the substrate to self-assemble with high crystallinity. | 05-03-2012 |
20120104367 | DISPLAY APPARATUS - A display apparatus includes pixel units, each including a plurality of pixels having different emission colors. The pixel unit is provided with lenses so that the difference in deterioration property among the emission colors of the pixels. | 05-03-2012 |
20120104368 | DISPLAY APPARATUS - In a display apparatus in which a plurality of pixel units including a plurality of pixels whose emission colors are different are arranged and white is displayable by the pixel unit, the pixel includes an organic EL device, and lenses are provided in the pixel unit to minimize a difference of currents supplied to the organic EL devices for respective emission colors when white of desired luminance is displayed. | 05-03-2012 |
20120104369 | Phenanthrene Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A novel compound having high triplet excitation energy and a bipolar property is provided. Specifically, a phenanthrene compound represented by General Formula (G1) is provided where R | 05-03-2012 |
20120104370 | Carbazole Compound, Light-Emitting Element Material, Organic Semiconductor Material, Light-Emitting Element, Light-Emitting Device, Lighting Device, and Electronic Device - A carbazole compound in which the 2-position of a dibenzofuran skeleton or a dibenzothiophene skeleton is bonded to the 3-position of a carbazole skeleton and nitrogen of the carbazolyl group is bonded to the 9- or 10-position of an anthracene skeleton directly or via a phenylene group was able to be synthesized. It was found out that the carbazole compound has an excellent carrier-transport property, favorable film quality, and a wide band gap, and can be suitably used as a light-emitting element material and an organic semiconductor material. | 05-03-2012 |
20120104371 | Phosphorescent Compound and Organic Electroluminescent Device Using the Same - A phosphorescent compound is represented by following Formula: | 05-03-2012 |
20120104372 | Organic Light-emitting Display Device - An organic light-emitting display device for suppressing external light reflection and reducing pixel blurring by disposing a scattering structure in a direction a light is extracted at a distance equal to or below an adjacent pixel pitch. | 05-03-2012 |
20120104373 | Organometallic Complex, and Light-Emitting Element and Display Device Using the Organometallic Complex - An object is to provide a novel organometallic complex capable of phosphorescence and having high heat resistance. Alternatively, an object is to provide a light-emitting device with high added value. The objects are achieved by providing an organometallic complex which has a structure represented by a general formula (G1) or (G2) below and is formed in such a way that a corresponding one of pyrazine derivatives represented by general formulae (G0) and (G0′) below is ortho-metalated by a Group 9 or Group 10 metal ion, or by providing a light-emitting element and a light-emitting device including the organometallic complex. | 05-03-2012 |
20120104374 | COATING COMPOSITIONS FOR FORMING NANOCOMPOSITE FILMS - Described herein are coating compositions comprising metal nanostructures and one or more conductive polymers, and nanocomposite films formed thereof. | 05-03-2012 |
20120104375 | METHOD OF MANUFACTURING ELECTRICAL CONTACTS ON ORGANIC SEMICONDUCTORS - A method for producing electrical contacts on organic semiconductors is described. The method, which involves low energy impact and can be carried out under ambient conditions, comprises covering the relevant surface of the semiconductor with a layer of an appropriate solvent: a metal leaf having an appropriate thickness and work function containing metal oxide impurities is deposited onto the area treated in this manner. Electrical contacts with elevated conductivity are obtained by evaporating the solvent. One embodiment of the invention describes a family of crystalline semiconductors, preferably based on perylene or α-quaterthiophene, provided with the electrical contacts according to the method described herein and provided with particular structural characteristics. The use of metal leaf satisfying the above-stated requirements (for example imitation gold leaf, gold leaf etc.). in the above-described method is furthermore described. | 05-03-2012 |
20120104376 | Benzoxazole Derivative, and Light-Emitting Element, Light-Emitting Device, and Electronic Device Using Benzoxazole Derivative - Disclosed is a novel benzoxazole derivative which has high excitation energy, particularly high triplet excitation energy, and is a bipolar substance. A benzoxazole derivative represented by the following General Formula (G1) is provided. | 05-03-2012 |
20120104377 | ORGANIC THIN FILM TRANSISTOR AND METHOD FOR FABRICATING THE SAME - Disclosed herein are a method for fabricating an organic thin film transistor, including treating the surfaces of a gate insulating layer and source/drain electrodes with a self-assembled monolayer (SAM)-forming compound through a one-pot reaction, and an organic thin film transistor fabricated by the method. According to example embodiments, the surface-treatment of the gate insulating layer and the source/drain electrodes may be performed in a single vessel through a single process. | 05-03-2012 |
20120104378 | CARBENE METAL COMPLEXES AS OLED MATERIALS - An organic light emitting device having an anode, a cathode and an organic layer disposed between the anode and the cathode is provided. In one aspect, the organic layer comprises a compound having at least one zwitterionic carbon donor ligand. In another aspect, the organic layer comprises a carbene compound, including the following: | 05-03-2012 |
20120104379 | ORGANIC COMPOUND, ANTHRACENE DERIVATIVE, AND LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, AND ELECTRONIC DEVICE USING ANTHRACENE DERIVATIVE - Objects of the present invention are to provide novel anthracene derivatives and novel organic compounds; a light-emitting element that has high emission efficiency; a light-emitting element that is capable of emitting blue light with high luminous efficiency; a light-emitting element that is capable of operation for a long time; and a light-emitting device and an electronic device that have lower power consumption. An anthracene derivative represented by a general formula (1) and an organic compound represented by a general formula (17) are provided. A light-emitting element that has high emission efficiency can be obtained by use of the anthracene derivative represented by the general formula (1). Further, a light-emitting element that has a long life can be obtained by use of the anthracene derivative represented by the general formula (1). | 05-03-2012 |
20120104380 | CONDUCTING FORMULATION - The present invention relates to novel formulations comprising light emitting materials and/or charge transporting materials and a conductive additive, to their use as conducting inks for the preparation of organic light emitting diode (OLED) devices, to methods for preparing OLED devices using the novel formulations, and to OLED devices prepared from such methods and formulations. | 05-03-2012 |
20120112169 | AROMATIC AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT COMPRISING SAME - An aromatic amine derivative represented by the following formula (1)
| 05-10-2012 |
20120112170 | CROSS-CONJUGATED POLYMERS FOR ORGANIC ELECTRONIC DEVICES AND RELATED METHODS - Cross-conjugated donor-acceptor polymers, methods for their preparation, devices that include polymers, and methods for the preparation and use of the devices. | 05-10-2012 |
20120112171 | NONVOLATILE SEMICONDUCTOR MEMORY DEVICE AND METHOD FOR MANUFACTURING SAME - According to one embodiment, a nonvolatile semiconductor memory device includes a first stacked structure body, a first semiconductor layer, a first organic film, a first semiconductor-side insulating film, and a first electrode-side insulating film. The first stacked structure body includes a plurality of first electrode films stacked along a first direction and a first inter-electrode insulating film provided between the first electrode films. The first semiconductor layer is opposed to side faces of the first electrode films. The first organic film is provided between the side faces of the first electrode films and the first semiconductor layer and containing an organic compound. The first semiconductor-side insulating film is provided between the first organic film and the first semiconductor layer. The first electrode-side insulating film provided between the first organic film and the side faces of the first electrode films. | 05-10-2012 |
20120112172 | DISPLAY DEVICE, METHOD OF MANUFACTURING DISPLAY DEVICE, AND ELECTRONIC APPARATUS - Disclosed herein is a display device including a plurality of kinds of pixels that emit color light beams different from each other, the pixels being provided on a substrate, wherein each of the pixels includes an organic stacked film including one or more organic light emitting layers and another kind of organic layer, with the layer structure of another kind of organic layer differing on the basis of each of the kinds of the pixels, and a first electrode and a second electrode which are disposed so that the organic stacked film is interposed therebetween. | 05-10-2012 |
20120112173 | ORGANIC EL DISPLAY DEVICE AND METHOD FOR PRODUCTION OF THE SAME - Disclosed herein is an organic electroluminescence display device including: a substrate; a plurality of lower electrodes formed thereon for each of a plurality of organic electroluminescence elements; a plurality of hole injecting/transporting layers capable of either hole injection or hole transportation which are formed on the lower electrodes for each of the organic electroluminescence elements; a plurality of organic light emitting layers containing a low-molecular weight material which are formed on the hole injecting/transporting layers for each of the organic electroluminescence elements; an electron injecting/transporting layer capable of either electron injection or electron transportation which is formed over the entire surface of the organic light emitting layers; and an upper electrode formed on the electron injecting/transporting layer. | 05-10-2012 |
20120112174 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, an organic light emitting diode, and a display device, the compound including moieties represented by the following Chemical Formula 1; Chemical Formula 4; and one of Chemical Formulae 2 and 3; | 05-10-2012 |
20120112175 | PHOTOVOLTAIC DEVICES COMPRISING ION PAIRS - A photovoltaic (PV) device having an electron donor region and electron acceptor region, the donor and acceptor regions comprising conjugated polymers and/or molecular semiconductors, ion pairs being, preferably preferentially, located at, near or towards the interface between the donor and acceptor regions. | 05-10-2012 |
20120112176 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME - Disclosed is an organic electroluminescence device in which an organic thin film which is composed of one or more layers including at least a light-emitting layer is interposed between a cathode and an anode. Since at least one layer of the organic thin film contains a novel aromatic amine derivative, which has an asymmetric structure wherein two different amine units are bonded through a linking group, by itself or as a component of a mixture, molecules are hardly crystallized, thereby improving the production yield of the organic electroluminescence device. This organic electroluminescence device has a long life. | 05-10-2012 |
20120112177 | AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT - An amine derivative represented by the following general formula (1) and exhibiting a temperature difference of 30° C. or more as defined by the difference of [decomposition temperature (° C.) minus sublimation temperature (° C.)]: | 05-10-2012 |
20120112178 | OPTOELECTRONIC DEVICES - An optoelectronic device comprises electon donor D and acceptor A semiconducting species and an intervening co-oligomeric or copolymeric species provided to alter the energy transfer characteristics of excitons to or from the interface between the said electron acceptor and donor species. The intervening species may be of the form A | 05-10-2012 |
20120112179 | FLUORANTHENE COMPOUND AND ORGANIC ELECTROLUMINESCENCE DEVICE USING SAME - A fluoranthene compound represented by the formula (1): | 05-10-2012 |
20120119190 | PHOSPHORESCENT MATERIALS - Compounds comprising a ligand having a quinoline or isoquinoline moiety and a phenyl moiety, e.g., (iso)pq ligands. In particular, the ligand is further substituted with electron donating groups. The compounds may be used in organic light emitting devices, particularly devices with emission in the deep red part of the visible spectrum, to provide devices having improved properties. | 05-17-2012 |
20120119191 | Chemical Compound for Organic Electronic Device and Organic Electronic Device - The present invention relates new compounds and to an organic electronic device comprising at least one substantially organic layer comprising a non fully conjugated chemical compound, which compound is preferably used in electron transport layers, electron injection layers. The invention also includes a process for preparing an organic electronic device, wherein the substantially organic layer comprising a non fully conjugated chemical compound is deposited on a first layer, and a second layer is deposited on the substantially organic layer, preferably a cathode being deposited on the substantially organic layer comprising the non fully conjugated chemical compound. | 05-17-2012 |
20120119192 | ORGANIC LIGHT-EMITTING DEVICE INCLUDING FLUORINE-CONTAINING COMPOUND AND CARBON-BASED COMPOUND - An organic light-emitting device includes a substrate; a first electrode disposed on the substrate; a hole transport layer disposed on the first electrode; an emitting layer disposed on the hole transport layer; and a second electrode disposed on the emitting layer, wherein an organic layer is interposed between the first electrode and the hole transport layer, the organic layer including at least one fluorine-containing compound selected from the group consisting of a fluorine-substituted phthalocyanine derivative, an aliphatic fluorocarbon compound represented by C | 05-17-2012 |
20120119193 | PHOTOELECTRIC ELEMENT - A photoelectric element provided with an electron transport layer having excellent electron transport property and sufficiently wide reaction interface, and that has excellent conversion efficiency. | 05-17-2012 |
20120119194 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is a high-luminance, long-life laminated organic electroluminescent element. The organic electroluminescent element has a composition in which a plurality of light-emitting units, including at least one organic light-emitting layer, are laminated between a positive electrode and a negative electrode, and in which a linking layer is held between the respective light-emitting units. The linking layer is formed by laminating, in succession from the positive electrode side, an electron generating/transport section, an intermediate layer, and a hole generating/transport section, which contain at least one metal selected from a group consisting of an alkali metal, alkaline earth metal, rare earth metal, alloy of these metals, and compound of these metals. Preferably the intermediate layer contains an electrical insulating non-semiconductive substance having a specific resistance which is between 1.0×10 | 05-17-2012 |
20120119195 | NOVEL ORGANIC SEMICONDUCTIVE MATERIAL AND ELECTRONIC DEVICE USING THE SAME - To provide an organic semiconductive material, expressed by the following general formula I: General Formula I where R | 05-17-2012 |
20120119196 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescence device includes a cathode, an anode, and a single-layered or multilayered organic thin-film layer provided between the cathode and the anode. The organic thin-film layer contains an organic compound represented by a formula (1) below and a phosphorescent material. The triplet energy Eg(T) of the organic compound represented by the formula (1) is larger than that of the phosphorescent material. | 05-17-2012 |
20120119197 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescence device includes an anode, a cathode and layers between the anode and the cathode, the layers at least including a hole transporting layer, a first emitting layer, a second emitting layer and an electron transporting layer, in which the first emitting layer includes a first host material and a first luminescent material and the second emitting layer is continuously formed on the first emitting layer near the cathode and includes a second host material and a second luminescent material. The second host material is a monoazine derivative, a diazine derivative, or a triazine derivative. The first and second luminescent materials are different metal complexes. | 05-17-2012 |
20120119198 | FULLERENE DERIVATIVES - A fullerene derivative having a partial structure represented by formula (1): | 05-17-2012 |
20120119199 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - The present invention provides an organic EL display device that has high out-coupling efficiency of light. The organic EL display device includes a semi-transparent cathode having high light reflectivity, high light transparency, low light absorptivity, and good electron injection properties. The organic EL display device of the present invention includes an organic EL element that includes an anode, an organic layer, an electron injection layer, and a cathode stacked in this order toward a viewing side, wherein the cathode is a thin film made of silver or a silver alloy, the electron injection layer includes a first electron injection layer arranged on the organic layer side and a second electron injection layer arranged on the cathode side, the first electron injection layer is formed as a thin film containing lithium fluoride, the second electron injection layer is formed as a thin film containing a magnesium-silver alloy that has a silver concentration of more than 70 wt % and less than 100 wt %, and an average total film thickness of the cathode and the electron injection layer is not less than 15 nm and not more than 25 nm. | 05-17-2012 |
20120119200 | DEVICE MATERIAL FOR HOLE INJECTION TRANSPORT LAYER, INK FOR FORMING HOLE INJECTION TRANSPORT LAYER, DEVICE COMPRISING HOLE INJECTION TRANSPORT LAYER, AND METHOD FOR PRODUCING THE DEVICE - Disclosed is a device material for a hole injection transport layer. A fluorine-containing organic compound is attached to the surface of a transition metal-containing nanoparticle or nanocluster which contains at least a transition metal oxide. Also disclosed are a device and an ink for a hole injection transport layer, the device and ink including the device material each, and a method for producing the device. | 05-17-2012 |
20120119201 | DEVICE MATERIAL FOR HOLE INJECTION TRANSPORT LAYER, INK FOR FORMING HOLE INJECTION TRANSPORT LAYER, DEVICE COMPRISING HOLE INJECTION TRANSPORT LAYER, AND METHOD FOR PRODUCING THE DEVICE - Disclosed is a device material for a hole injection transport layer. A fluorine-containing organic compound is attached to an organic-transition metal oxide composite which is a reaction product of an organotransition metal complex. Also disclosed are a device and an ink for a hole injection transport layer, the device and ink including the device material each, and a method for producing the device. | 05-17-2012 |
20120126205 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes an anode, an emitting layer, a blocking layer, an electron injecting layer, and a cathode in sequential order. The emitting layer includes a host and dopant. The blocking layer includes an aromatic heterocyclic derivative. A triplet energy E | 05-24-2012 |
20120126206 | ORGANIC SEMICONDUCTOR DEVICE - An organic semiconductor device according to the present invention includes a semiconductor layer | 05-24-2012 |
20120126207 | Organic Semiconductor Composition, And Transistor And Electronic Device Including A Polymer Therefrom - An organic composition for a semiconductor device includes a compound for an organic semiconductor device including a structural unit; and a metal-containing compound selected from a transition element-containing compound, a lanthanide-containing compound, and a combination thereof, which results in improved charge mobility due to a reduced grain boundary. | 05-24-2012 |
20120126208 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device that includes an anode, an emitting layer that includes a host and a fluorescent dopant, an electron transporting zone, a cathode, a blocking layer adjacent to the emitting layer in the electron transporting zone where the blocking layer includes an aromatic heterocyclic derivative with an azine ring and where the triplet energy E | 05-24-2012 |
20120126209 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device that includes an anode, an emitting layer that includes a host and a dopant, a blocking layer, an electron injecting layer, and a cathode where the blocking layer includes an aromatic heterocyclic derivative, the triplet energy E | 05-24-2012 |
20120126210 | Light-Emitting Element Material, Light-Emitting Element, Electronic Device, and Lighting Device - A light-emitting element material including an ionic iridium complex in which a 4,4′-bipyrimidine structure is coordinated to iridium is provided. Alternatively, a light-emitting element material including an ionic iridium complex represented by the following structural formula (1) is provided. In addition, a light-emitting element including the light-emitting element material is provided. | 05-24-2012 |
20120126211 | Light-Emitting Element Material, Light-Emitting Element, Electronic Device, and Lighting Device - A light-emitting element material including an ionic iridium complex in which a 2,6-bis(2-picolinyl)pyridine structure is coordinated to iridium is provided. Alternatively, a light-emitting element material including an ionic iridium complex represented by the following structural formula (1) is provided. In addition, a light-emitting element including the light-emitting element material is provided. | 05-24-2012 |
20120126212 | Light-Emitting Element, Light-Emitting Device, Lighting Device, and Electronic Devices - A light-emitting element which at least includes a monomolecular layer including a luminescent center material with a fluorescent light-emitting property, and a monomolecular layer including a host material with a carrier (electron or hole)-transport property and a band gap larger than a band gap (note that a band gap refers to the energy difference between a HOMO level and a LUMO level) of the luminescent center material, between a pair of electrodes, in which the monomolecular layer including the host material and the monomolecular layer including the luminescent center material share the same interface, is provided. | 05-24-2012 |
20120126213 | Semiconducting Component - The present invention relates to an organic semiconductor element that comprises multiple layers. One or more layers may include compounds that can function as light absorbers, charge transporting materials, and/or as a dopant. | 05-24-2012 |
20120126214 | METHODS AND INTERMEDIATES FOR THE SYNTHESIS OF DIPYRRIN-SUBSTITUTED PORPHYRINIC MACROCYCLES - The present invention provides dipyrrin substituted porphyrinic macrocycles, intermediates useful for making the same, and methods of making the same. Such compounds may be used for purposes including the making of molecular memory devices, solar cells and light harvesting arrays. | 05-24-2012 |
20120126215 | Anthracene Derivative, and Light-Emitting Element, Light-Emitting Device, Electronic Device Using Anthracene Derivative - An object is to provide a novel anthracene derivative. Another object is to provide a light-emitting element with high luminous efficiency. Yet another object is to provide a light-emitting element with a long lifetime. Still another object is to provide a light-emitting device and an electronic device having a long lifetime by using the light-emitting elements of the present invention. The anthracene derivative represented by General Formula (1) is provided. The ability of the anthracene derivative represented by General Formula (1) to exhibit high luminous efficiency allows the production of a light-emitting element with high luminous efficiency and a long lifetime. | 05-24-2012 |
20120126216 | LOW TEMPERATURE MELT-PROCESSING OF ORGANIC-INORGANIC HYBRID - The present invention provides a process for preparing a melt-processed organic-inorganic hybrid material including the steps of maintaining a solid organic-inorganic hybrid material at a temperature above the melting point but below the decomposition temperature of the organic-inorganic hybrid material for a period of time sufficient to form a uniform melt and thereafter, cooling the uniform melt to an ambient temperature under conditions sufficient to produce the melt-processed organic-inorganic hybrid material. | 05-24-2012 |
20120126217 | FLUORENE-CONTAINING AROMATIC COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - A fluorene-containing aromatic compound represented by a formula (1) below. | 05-24-2012 |
20120126218 | ORGANIC ELEMENT AND ORGANIC DEVICE INCLUDING THE SAME - An organic electric-field element includes an elongated support base member, a first electrode provided on the support base member, an organic layer provided to cover the first electrode, and a second electrode provided to cover the organic layer. At one end portion of the support base member, a two-layer structure region including the support base member and the first electrode is provided, and a three-layer structure region including the support base member, the first electrode, and the organic layer is provided, extending continuously from the other end of the two-layer structure region. At the other end portion of the support base member, a two-layer structure region including the support base member and the second electrode is provided, and a three-layer structure region including the support base member, the second electrode, and the organic layer is provided, extending continuously from one end of the two-layer structure region. | 05-24-2012 |
20120126219 | ORGANIC ELECTROLUMINESCENT ELEMENT AND METHOD FOR PRODUCING THE SAME - An organic electroluminescent element including: a first electrode; at least one organic deposition layer; and a second electrode, the first electrode, the organic deposition layer, and the second electrode being formed in this order, wherein the organic deposition layer satisfies the relationship 0.09305-24-2012 | |
20120126220 | COMPOUND HAVING SUBSTITUTED ANTHRACENE RING STRUCTURE AND PYRIDOINDOLE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - There is provided an organic compound having excellent characteristics, including excellent electron-injecting/transporting performance, hole blocking ability, and high stability in the thin-film state, for use as material of an organic electroluminescent device having high efficiency and high durability. There is also provided a high-efficient and high-durable organic electroluminescent device using the compound. The compound is represented by general formula (1) having a substituted anthracene ring structure and a pyridoindole ring structure. The organic electroluminescent device includes a pair of electrodes, and one or more organic layers sandwiched between the pair of electrodes, and the compound is used as a constituent material of at least one organic layer. | 05-24-2012 |
20120126221 | CHARGE-TRANSPORTING MATERIAL AND ORGANIC ELECTROLUMINESCENCE DEVICE - A charge-transporting material contains a compound represented by the following formula (1) in an organic layer, in which the contents of specific halogen-containing compounds are 0.1% or less to the compound represented by formula (1). In formula (1), each of A | 05-24-2012 |
20120126222 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescence device includes an anode, an emitting layer, an electron transporting zone and a cathode in sequential order. A blocking layer is provided in the electron transporting zone adjacently to the emitting layer. The blocking layer contains a fused hydrocarbon compound and at least one compound selected from an electron-donating dopant and an organic metal complex that contains an alkali metal. A triplet energy of the fused hydrocarbon compound is 2.0 eV or more. | 05-24-2012 |
20120132894 | Organic Optoelectronic Component and Method for Producing an Organic Optoelectronic Component - In at least one embodiment of the organic optoelectronic component ( | 05-31-2012 |
20120132895 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device includes at least two light-emissive units provided between a cathode electrode and an anode electrode opposed to the cathode electrode, each of the light-emissive units including at least one light-emissive layer. The light-emissive units are partitioned from each other by at least one charge generation layer, the charge generation layer being an electrically insulating layer having a resistivity of not less than 1.0×10 | 05-31-2012 |
20120132896 | Benzoxazole Derivative, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A novel benzoxazole derivative having high excitation energy, particularly high triplet excitation energy is provided. A light-emitting element having high current efficiency is provided by application of the novel benzoxazole derivative for the light-emitting element. A light-emitting device, an electronic device, and a lighting device each having reduced power consumption are provided. The benzoxazole derivative is represented by General Formula (G1). In the formula, R | 05-31-2012 |
20120132897 | DIFFRACTION GRATING, ORGANIC EL ELEMENT USING THE SAME, AND MANUFACTURING METHODS THEREOF - A diffraction grating having a transparent supporting substrate; and a cured resin layer which is stacked on the transparent supporting substrate and which has concavities and convexities formed on a surface thereof, wherein when a Fourier-transformed image is obtained by performing two-dimensional fast Fourier transform processing on a concavity and convexity analysis image obtained by analyzing a shape of the concavities and convexities formed on the surface of the cured resin layer by use of an atomic force microscope, the Fourier-transformed image shows a circular or annular pattern substantially centered at an origin at which an absolute value of wavenumber is 0 μm | 05-31-2012 |
20120132898 | ELECTRONIC DEVICES COMPRISING MULTI CYCLIC HYDROCARBONS - The present invention relates to compositions comprising functionalized or un-functionalized multi cyclic hydrocarbons and functional organic compounds, which can be used in different electronic devices. The invention further relates to an electronic device comprising one or more organic functional layers, wherein at least one of the layers comprises at least one functionalized or un-functionalized multi cyclic hydrocarbon. Another embodiment of the present invention relates to a formulation comprising functionalized or un-functionalized multi cyclic hydrocarbons, from which a thin layer comprising at least one functionalized or un-functionalized multi cyclic hydrocarbon can be formed. | 05-31-2012 |
20120132899 | NITROGENATED HETEROCYCLIC RING DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT COMPRISING SAME - A nitrogen-containing heterocyclic derivative represented by the following formula (1): | 05-31-2012 |
20120132900 | ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE, ORGANIC ELECTROLUMINESCENT ILLUMINATING DEVICE, AND METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescent element comprising: an anode ( | 05-31-2012 |
20120132901 | PYRENE DERIVATIVE AND ORGANIC LIGHT-EMITTING DEVICE USING THE SAME - An organic light-emitting device includes an anode, a cathode, and an organic compound layer interposed between the anode and the cathode. The organic compound layer contains a pyrene derivative. | 05-31-2012 |
20120138904 | ORGANIC ELECTROLUMINESCENCE DISPLAY AND PRODUCTION METHOD THEREOF - An organic electroluminescence display includes a substrate, a first electrode layer formed on the substrate, a first light emitting layer formed on the first electrode layer and emitting light with a first wavelength, a second light emitting layer formed to overlap at least a part thereof with the first light emitting layer and emitting light with a second wavelength longer than the first wavelength, and a second electrode layer formed on the first or second light emitting layer. | 06-07-2012 |
20120138905 | FLEXIBLE ORGANIC MEMORY DEVICE AND METHOD OF FABRICATING THE SAME - Provided are a flexible organic memory device and a method of manufacturing the same. The flexible organic memory device comprises a flexible substrate. A control gate electrode is disposed on the flexible substrate. A blocking organic insulating layer is disposed on the control gate electrode. A charge trapping layer is disposed on the blocking organic insulating layer, and includes a plurality of nanoparticles. A tunneling organic insulating layer is disposed on the charge trapping layer. An organic semiconductor layer is disposed on the tunneling organic insulating layer. | 06-07-2012 |
20120138906 | CAPTURE AGENTS FOR UNSATURATED METAL COMPLEXES - Compounds that act as capture agents to sequester unsaturated metal complexes are provided. In particular, the compounds may be host materials, dopant materials, or co-dopant materials containing functional groups, such as an isocyanide or a phosphine group, which are suitable for trapping an unsaturated coordination complex. These compounds may be used in organic light emitting devices, particularly blue devices, to provide improved device lifetime. | 06-07-2012 |
20120138907 | LIGHT-EMITTING DEVICE MATERIAL AND LIGHT-EMITTING DEVICE - Embodiments provide a light emitting device material characterized by containing an anthracene compound represented by the following general formula. | 06-07-2012 |
20120138908 | METHODS OF PREPARING A LI FILM AND METHODS OF PREPARING AN ORGANIC LIGHT EMITTING DEVICE - The present invention relates to a method of preparing a Li film, wherein said Li film is fabricated by directly decomposing a compound of Li under a vacuum evaporation condition, and said compound is Li | 06-07-2012 |
20120138909 | STACKED STRUCTURE AND ORGANIC THIN FILM TRANSISTOR AND ARRAY HAVING THE SAME - A stacked structure including a soluble organic semiconductor material and a water soluble photosensitive material is provided. The water soluble photosensitive material is directly disposed on the surface of the soluble organic semiconductor material. | 06-07-2012 |
20120138910 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - It is an object of the present invention to provide a light-emitting element with high light emission efficiency and with a long lifetime. A light-emitting device comprises a first electrode, a second electrode, a light-emitting layer, a first layer, and a second layer, wherein the first layer is provided between the light-emitting layer and the first electrode, the second layer is provided between the light-emitting layer and the second electrode, the first layer is a layer for controlling the hole transport, the second layer is a layer for controlling the electron transport, and light emission from the light-emitting layer is obtained when voltage is applied to the first electrode and the second electrode so that potential of the first electrode is higher than potential of the second electrode. | 06-07-2012 |
20120138911 | BISCARBAZOLE DERIVATIVE, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A biscarbazole derivative of the invention is represented by a formula (1A) or (1B) below. | 06-07-2012 |
20120138912 | BISCARBAZOLE DERIVATIVE, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A biscarbazole derivative of the invention is represented by a formula (1) below. | 06-07-2012 |
20120138913 | Electrically conductive nanostructures, method for making such nanostructures, electrically conductive polumer films containing such nanostructures, and electronic devices containing such films - A polymer film that contains a mixture of (i) an electrically conductive polymer, and (ii) anisotropic electrically conductive nanostructures, is disclosed, as well as a polymer composition that contains (a) a liquid carrier, (b) an electrically conductive polymer dissolved or dispersed in the liquid carrier, and (c) anisotropic electrically conductive nanostructures dispersed in the liquid carrier, and a method for making polymer film, that includes the steps of: (1) forming a layer of a polymer composition that contains (a) a liquid carrier, (b) one or more electrically conductive polymers dissolved or dispersed in the liquid carrier, and (c) anisotropic electrically conductive nanostructures dispersed in the liquid carrier, and (2) removing the liquid carrier from the layer. | 06-07-2012 |
20120138914 | ANTHRACENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING THE SAME - An anthracene derivative represented by the following formula (1): | 06-07-2012 |
20120138915 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes an anode, a cathode, and an organic thin-film layer interposed between the anode and the cathode, in which the organic thin-film layer includes an emitting layer at least containing a first host, a second host and a luminous dopant, the first host is a compound having one or more carbazolyl groups and one or more nitrogen-containing heterocyclic groups in a molecule, and the second host is a compound represented by the following formula (1) or (2). | 06-07-2012 |
20120138916 | DEVICE COMPRISING POSITIVE HOLE INJECTION TRANSPORT LAYER, METHOD FOR PRODUCING THE SAME AND INK FOR FORMING POSITIVE HOLE INJECTION TRANSPORT LAYER - A device including a substrate, two or more electrodes facing each other disposed on the substrate and a positive hole injection transport layer disposed between two electrodes among the two or more electrodes, wherein the positive hole injection transport layer contains a reaction product of a transition metal complex or complexes, and wherein at least one or more kinds of transition metals selected from the group consisting of vanadium, rhenium and platinum, or a mixture of molybdenum and one or more kinds of transition metals selected from the group consisting of vanadium, rhenium and platinum is contained in a central metal or metals of the transition metal complex or complexes. | 06-07-2012 |
20120138917 | BLUE-LIGHT-EMITTING IRIDIUM COMPLEX, IRIDIUM COMPLEX MONOMER, PHOSPHORUS POLYMER, AND ORGANIC ELECTROLUMINESCENCE DEVICE USING SAME - Provided are a blue-light-emitting iridium complex, an iridium complex monomer, a phosphorescent polymer, and an organic electroluminescent device using same. The blue-light-emitting iridium complex contains a ligand having a low electron density structure, such as triazole or tetrazole. The iridium complex monomer containing a ligand having a polymerizable vinyl group produces a blue phosphorescent polymer through the polymerization with carbazole derivatives. The organic electroluminescent device comprises a first electrode, a second electrode, and a light-emitting layer interposed between the first electrode and the second electrode, wherein the light-emitting layer contains the above-described iridium complex or polymer containing the iridium complex. | 06-07-2012 |
20120138918 | ORGANIC ELECTROLUMINESCENCE ELEMENT - Atop-emission organic electroluminescence device ( | 06-07-2012 |
20120146002 | Organic Semiconductor Interface Preparation - A method is provided for preparing an interface surface for the deposition of an organic semiconductor material, in the fabrication of an organic thin film transistor (OTFT). A substrate is provided and a gate electrode is formed overlying the substrate. A gate dielectric is formed overlying the gate electrode. Then, source (S) and drain (D) electrodes are formed overlying the gate dielectric, exposing a gate dielectric channel interface region between the S/D electrodes. Subsequent to exposing the OTFT to a H | 06-14-2012 |
20120146003 | THIN FILM TRANSISTOR HAVING SCHOTTKY BARRIER - A thin film transistor having Schottky barrier includes a substrate, a first gate conductive layer formed on the substrate, a first semiconductor layer having a first conductive type formed on the first gate conductive layer, a source conductive layer and a drain conductive layer electrically isolated from each other and positioned on the first semiconductor layer, a second semiconductor layer having a second conductive type formed on the source conductive layer and the drain conductive layer, and a second gate conductive layer formed on the second semiconductor layer. The first conductive type is complementary to the second conductive type. | 06-14-2012 |
20120146004 | Organic Light-Emitting Display Apparatus and Method of Manufacturing the Same - In an organic light-emitting display apparatus and a method of manufacturing the same, the organic light-emitting display apparatus comprises: at least one transistor, each including a semiconductor layer, a gate electrode, and source and drain electrodes; a first capacitor including a first electrode formed on the same plane as the semiconductor layer, a second electrode formed on the same plane as the gate electrode, and a third electrode formed on the same plane as the source and drain electrodes; a second capacitor including a first electrode formed on the same plane as the semiconductor layer and comprising ion impurities, and a second electrode formed on the same plane as the gate electrode; a pixel electrode formed on the same plane as the gate electrode and electrically connected to the transistor; a light-emitting layer disposed on the pixel electrode; and an opposite electrode disposed on the light-emitting layer and facing the pixel electrode. | 06-14-2012 |
20120146005 | POLYMER, METHOD OF MANUFACTURE THEREOF, AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE POLYMER - A polymer including a first repeating unit represented by Formula 1: | 06-14-2012 |
20120146006 | MATERIAL FOR A HOLE TRANSPORT LAYER WITH P-DOPANT - A material for a hole transport layer has a p-dopant. The dopant forms with the hole transport material a charge transfer complex. A metal component in solution is processed with the hole transport matrix material in solution to form the hole transport layer. | 06-14-2012 |
20120146007 | SOLID STATE HETEROJUNCTION DEVICE - The present invention provides a solid-state p-n heterojunction comprising a p-type material in contact with an n-type material wherein said n-type material comprises SnO | 06-14-2012 |
20120146008 | CHRYSENE COMPOUNDS FOR LUMINESCENT APPLICATIONS - This disclosure relates to chrysene compounds that are useful in electroluminescent applications. It also relates to electronic devices in which the active layer includes such a chrysene compound. | 06-14-2012 |
20120146009 | NOVEL COMPOUND, FIELD-EFFECTIVE TRANSISTOR, SOLAR CELL, METHOD FOR PRODUCING SAID COMPOUND, FIELD-EFFECTIVE TRANSISTOR, AND SOLAR CELL, COMPOSITION FOR ORGANIC SEMICONDUCTOR LAYER, AND COMPOSITION FOR P-TYPE SEMICONDUCTOR LAYER - A compound of the present invention is represented by the following formula (1): | 06-14-2012 |
20120146010 | TRANSITION METAL COMPOUND-CONTAINING NANOPARTICLE AND METHOD FOR PRODUCING THE SAME, INK FOR POSITIVE HOLE INJECTION TRANSPORT LAYER, DEVICE COMPRISING POSITIVE HOLE INJECTION TRANSPORT LAYER AND METHOD FOR PRODUCING THE SAME - The present invention is to provide a device capable of having an easy production process and achieving a long lifetime. A device comprising a substrate, two or more electrodes facing each other disposed on the substrate and a positive hole injection transport layer disposed between two electrodes among the two or more electrodes, wherein the positive hole injection transport layer contains a transition metal compound-containing nanoparticle comprising a transition metal compound containing one or more kinds selected from the group consisting of a transition metal carbide oxide, transition metal nitride oxide and transition metal sulfide oxide, wherein a protecting agent having a linking group and a hydrophobic organic group is connected to the transition metal compound by the linking group. | 06-14-2012 |
20120146011 | Current-Amplifying Transistor Device and Current-Amplifying, Light-Emitting Transistor Device - A current-amplifying transistor device is provided, between an emitter electrode and a collector electrode, with two organic semiconductor layers and a sheet-shaped base electrode. One of the organic semiconductor layers is arranged between the emitter electrode and the base collector electrode, and has a diode structure of a p-type organic semiconductor layer and an n-type p-type organic semiconductor layer. A current-amplifying, light-emitting transistor device including the current-amplifying transistor device and an organic EL device portion formed in the current-amplifying transistor device is also disclosed. | 06-14-2012 |
20120146012 | Heterocyclic Radical or Diradical, The Dimers, Oligomers, Polymers, Dispiro Compounds and Polycycles Thereof, the Use Thereof, Organic Semiconductive Material and Electronic or Optoelectronic Component - The present invention relates to heterocyclic radicals or diradicals, the dimers, oligomers, polymers, dispiro compounds and polycycles thereof, to the use thereof, to organic semiconductive materials and to electronic and optoelectronic components. | 06-14-2012 |
20120146013 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 06-14-2012 |
20120146014 | AROMATIC AMINE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT ELEMENTS USING SAME - Provided are an organic EL device material that reduce the driving voltage of an organic EL device and increase the lifetime of the device as compared with a conventional organic EL device material. Also provided are organic electroluminescence devices containing the organic EL device material. | 06-14-2012 |
20120146015 | CHARGE TRANSPORT MATERIALS FOR LUMINESCENT APPLICATIONS - There is provided a charge transport compound having Formula I: | 06-14-2012 |
20120153264 | Methods For Forming Organic Thin Film, Organic Thin Films, Thin Film Transistors Including The Same, And Electric Devices Including The Same - A method of forming an organic thin film may include providing a substrate; providing an organic solution including an organic solute and a solvent having a boiling point of about 85° C. or less; dipping the substrate into the organic solution; removing the substrate from the organic solution; and/or precipitating the organic solute on the substrate to provide an organic thin film, wherein the removing the substrate from the organic solution is performed at a speed of about 10 to about 300 μm/s from one end of the substrate to the other end of the substrate. Accordingly, an organic thin film having advantageous characteristics and a wide area may be obtained. | 06-21-2012 |
20120153265 | SOLID SOLUTION INDUCING LAYER FOR WEAK EPITAXY GROWTH OF NON-PLANAR PHTHALOCYANINE - The present invention relates to solid solution inducing layer for the preparation of weak epitaxial films of non-planar phthalocyanine and the thin film of non-planar phthalocyanine generated from the weak epitaxial growth on the solid solution inducing layer and organic thin film transistor based on the weak rpitaxy growth thin film of non-planar phthalocyanine. The solid solution inducing layer is prepared at certain substrate temperature by vapor co-deposition of any two inducing layer molecules presented by Formula I and Formula II. | 06-21-2012 |
20120153266 | FLUORESCENT ISOINDOLINE DYES - The present invention provides a new class of excited state intramolecular charge transfer (ESIPT) dye compounds based on mono or dihydroxy substituted 1,3-bisiminoisoindole motif and metal complexes containing such compounds as ligands. The present invention also provides OLEDs containing the compound and/or metal complex as the emissive material. | 06-21-2012 |
20120153267 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic EL device | 06-21-2012 |
20120153268 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes an anode, an emitting layer, an electron-transporting region, and a cathode, the anode, the emitting layer, the electron-transporting region, and the cathode being stacked in this order, the emitting layer including a host material, and a dopant material that emits fluorescence having a main peak wavelength of 550 nm or less, the electron-transporting region including a barrier layer that is adjacent to the emitting layer, and the barrier layer including a barrier material that includes an electron-transporting structural part, and a triplet barrier structural part that includes a fused polycyclic aromatic hydrocarbon compound, and satisfies the relationship “E | 06-21-2012 |
20120153269 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE USING THE SAME - An organic light-emitting device includes an anode, a cathode, and an organic compound layer interposed between the anode and the cathode. The organic compound layer contains a heterocyclic compound having 4,10-Diazachrysene. | 06-21-2012 |
20120153270 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE USING THE SAME - An organic light-emitting device includes an anode, a cathode, and an organic compound layer interposed between the anode and the cathode. The organic compound layer contains a heterocyclic compound represented by general formula [1]:
| 06-21-2012 |
20120153271 | LEAVING SUBSTITUENT-CONTAINING COMPOUND, ORGANIC SEMICONDUCTOR MATERIAL, ORGANIC SEMICONDUCTOR FILM CONTAINING THE MATERIAL, ORGANIC ELECTRONIC DEVICE CONTAINING THE FILM, METHOD FOR PRODUCING FILM-LIKE PRODUCT, PI-ELECTRON CONJUGATED COMPOUND AND METHOD FOR PRODUCING THE PI-ELECTRON CONJUGATED COMPOUND - A leaving substituent-containing compound including a partial structure represented by the following General Formula (I): where a pair of X | 06-21-2012 |
20120153272 | ORGANIC ELECTROLUMINESCENCE DEVICE - An object of the present invention is to provide an organic electroluminescence device having excellent light emission efficiency and durability, in particular, durability when driving at a high temperature. Provided is an organic electroluminescence device including on a substrate a pair of electrodes, and at least one layer of an organic layer including a light emitting layer containing a light emitting material disposed between the electrodes, wherein the light emitting layer includes at least each one of specific indolocarbazole derivatives and specific condensed ring metal complexes. | 06-21-2012 |
20120153273 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE - A material for an organic electroluminescence device including a phosphorescent metal complex containing a monoanionic bidentate ligand represented by the following formula (A1-1) or formula (A3-1) as defined in the specification and a non-radiative metal having an atomic weight of 40 or more, an organic electroluminescence device including the material for an organic electroluminescence device, and a light emitting unit, a display unit and an illumination unit each including the organic electroluminescence device are provided. | 06-21-2012 |
20120153274 | AMBIPOLAR POLYMERIC SEMICONDUCTOR MATERIALS AND ORGANIC ELECTRONIC DEVICES - There is provided compounds of formula I, ambipolar semiconductor material derived from such compounds and devices comprising such ambipolar semiconductor material. | 06-21-2012 |
20120161107 | ORGANIC ELECTROLUMINESCENT DEVICE - [Problem] To provide an organic EL device having high efficiency, low driving voltage and long life by combining various materials for the organic EL device which are excellent in hole and electron injection/transport performances, stability as a thin film and durability. | 06-28-2012 |
20120161108 | TETRAHYDROTETRAAZAPENTACENES IN THIN-FILM TRANSISTORS - Compounds of Formula (I) are disclosed: | 06-28-2012 |
20120161109 | SMALL MOLECULE SEMICONDUCTOR - Disclosed is a small molecule semiconductor of Formula (I): | 06-28-2012 |
20120161110 | SEMICONDUCTOR COMPOSITION - An electronic device, such as a thin-film transistor, includes a semiconducting layer formed from a semiconductor composition. The semiconductor composition comprises a polymer binder and a small molecule semiconductor of Formula (I): | 06-28-2012 |
20120161111 | WHITE ORGANIC LIGHT ELECTROLUMINESCENCE DEVICE - A white organic light electroluminescence device includes a first light emitting unit, a second light emitting unit and a connecting layer between the first light emitting unit and the second light emitting unit. The connecting layer electrically connects the first light emitting unit and the second light emitting unit in series. The first light emitting unit includes a first electrode layer, a first light emitting layer on first electrode layer, and an intrinsic layer. The first light emitting layer has a first blue light emitting layer and a red light emitting layer, and the intrinsic layer is between the first blue light emitting layer and the red light emitting layer. The second light emitting unit includes a second light emitting layer and a second electrode layer on the second light emitting layer. The second light emitting layer has a second blue light emitting layer and a green light emitting layer. | 06-28-2012 |
20120161112 | Diode for a Printable Composition - An exemplary printable composition of a liquid or gel suspension of diodes comprises a plurality of diodes, a first solvent and/or a viscosity modifier. An exemplary diode comprises: a light emitting or absorbing region having a diameter between about 20 and 30 microns and a height between about 2.5 to 7 microns; a first terminal coupled to the light emitting region on a first side, the first terminal having a height between about 1 to 6 microns; and a second terminal coupled to the light emitting region on a second side opposite the first side, the second terminal having a height between about 1 to 6 microns. | 06-28-2012 |
20120161113 | Diode for a Printable Composition - An exemplary printable composition of a liquid or gel suspension of diodes comprises a plurality of diodes, a first solvent and/or a viscosity modifier. An exemplary diode comprises: a light emitting or absorbing region having a diameter between about 20 and 30 microns and a height between 2.5 to 7 microns; a plurality of first terminals spaced apart and coupled to the light emitting region peripherally on a first side, each first terminal of the plurality of first terminals having a height between about 0.5 to 2 microns; and one second terminal coupled centrally to a mesa region of the light emitting region on the first side, the second terminal having a height between 1 to 8 microns. | 06-28-2012 |
20120161114 | White Organic Light Emitting Device and Display Device Using the Same - A white organic light emitting device and a display device using the same to which a 2-peak spectrum is applied to execute white display comprises a first electrode and a second electrode disposed opposite each other on a substrate, and a blue light emitting unit and a phosphorescent light emitting unit provided between the first electrode and the second electrode, and a 2-peak white spectrum is formed through a first light emitting peak of the blue light emitting unit at a wavelength of 430 nm to 460 nm and a second light emitting peak of the phosphorescent light emitting unit at a wavelength of 530 nm to 630 nm. | 06-28-2012 |
20120161115 | Light-Emitting Device and Lighting Device - A light-emitting device including a reflection member, a sealing member having a light-transmitting property, and a light-emitting element between the reflection member and the sealing member is provided. In the light-emitting device, the light-emitting element includes a first transparent electrode; a second transparent electrode; and an EL layer between the first transparent electrode and the second transparent electrode, the reflection member includes a reflective electrode having projections and an electric resistance lower than an electric resistance of the first transparent electrode; and a planarization film covering the reflective electrode, a through hole that reaches the reflective electrode is formed in the planarization film, and the reflective electrode is electrically connected to the first transparent electrode. | 06-28-2012 |
20120161116 | Lighting Device - A lighting device includes a plurality of organic EL light-emitting devices having organic EL elements, and a plurality of LEDs. The LEDs are provided as point light sources, and the organic EL light-emitting devices are provided as surface light sources. Using an LED which emits blue light and an organic EL element which emits yellow light, white light can be obtained. The LEDs are provided on the back side or the front side of the organic EL light-emitting devices so that light from the LEDs pass between the two organic EL light-emitting devices. Accordingly, light can be extracted from the LEDs without allowing the LED light to pass through the organic EL elements. Further, the organic EL element is sealed by two substrates and a sealant, whereby deterioration due to moisture or oxygen can be prevented. | 06-28-2012 |
20120161117 | P-TYPE MATERIALS AND ORGANIC ELECTRONIC DEVICES - There is presently provided compounds of formula (I), which are useful as p-type semiconductor materials and in devices comprising such p-type semiconductor materials. | 06-28-2012 |
20120161118 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed are a semiconductor device, which forms two insulation layers having different patterns by one mask process, and a method of manufacturing the same. In a semiconductor device having double insulation layers, a photosensitive material is included in an upper insulation layer. During a manufacture of the semiconductor device, the photosensitive material is used as a photo resist layer in order to reduce the number of masks. | 06-28-2012 |
20120161119 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE - An aromatic amine derivative having a specific structure. An organic electroluminescence device which is composed of one or more organic thin film layers sandwiched between a cathode and an anode, wherein at least one of the organic thin film layers, especially a hole transporting layer, contains the aromatic amine derivative. The aromatic amine derivative has at least one substituted or unsubstituted dibenzofuran skeleton and at least one substituted or unsubstituted terphenylene skeleton. Because the molecules in the aromatic amine derivate hardly crystallize, organic electroluminescence devices improving their production yield and having prolonged lifetime are provided. | 06-28-2012 |
20120161120 | COMPOSITIONS OF ELECTRICALLY CONDUCTING POLYMERS MADE WITH ULTRA-PURE FULLY-FLUORINATED ACID POLYMERS - There is provided an electrically conductive polymer composition. The composition contains an electrically conductive polymer made with an ultra-pure fully-fluorinated acid polymer. | 06-28-2012 |
20120168725 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device including a first electrode layer, a second electrode layer, a light emitting layer and a hole injection layer is provided. The light emitting layer is disposed between the first electrode layer and the second electrode layer. The hole injection layer is disposed between the first electrode layer and the light emitting layer, wherein the hole injection layer includes a first material layer and a second material layer. The second material layer is disposed on the first material layer and includes a main material and a doping material, wherein the doping material of the second material layer and a material of the first material layer are substantially the same. | 07-05-2012 |
20120168726 | ORGANIC SEMICONDUCTOR COMPOUND, AND TRANSISTOR AND ELECTRONIC DEVICE INCLUDING THE SAME - An example embodiment relates to an organic semiconductor compound, represented by Chemical Formula 1 herein, which may be polymerized and used in transistors and electronic devices. The organic semiconductor compound includes a base structure of four fused benzene rings with functional groups R | 07-05-2012 |
20120168727 | LOW BAND-GAP ORGANIC SEMICONDUCTOR COMPOUNDS, AND TRANSISTORS AND ELECTRONIC DEVICES INCLUDING THE SAME - An organic semiconductor compound including a structural unit represented by Chemical Formula 1. | 07-05-2012 |
20120168728 | Organic Electronic Devices Prepared Using Decomposable Polymer Additives - A method of fabricating an organic device is provided comprising providing a first solution comprising an organic semiconductor or a precursor thereof; a solvent and a decomposable polymer additive, where the polymer additive is heated so that it decomposes into gas. The method is applicable to large scale fabrication of OLEDs, OPVs and OFET devices. | 07-05-2012 |
20120168729 | Organic Semiconductor Compound, And Transistor And Electronic Device Including The Same - An example embodiment relates to an organic semiconductor compound, represented by Chemical Formula 1 herein, which may be polymerized and used in transistors and electronic devices. The organic semiconductor compound includes a base structure of four fused benzene rings with functional groups R | 07-05-2012 |
20120168730 | ACRIDINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE INCLUDING THE SAME - Disclosed are an acridine derivative and an organic electro-luminescence device including the same. Specifically, the disclosed acridine derivative compound has an aryl moiety or a heteroaryl moiety, linked to an acridine moiety and an amine moiety, and the disclosed organic electro-luminescence device including the acridine derivative compound requires a low operating voltage, shows high efficiency, and is enhanced in life-span. | 07-05-2012 |
20120168731 | PHENANTHROAZOLE COMPOUNDS AS HOLE TRANSPORTING MATERIALS FOR ELECTRO LUMINESCENT DEVICES - The present invention relates to electroluminescent devices, comprising a compound of the formula (I) as a component of the transporting/injecting and/or electron blocking layer. The compounds of formula (I) may function alone, or in combination with dopants to provide improved efficiency, driving voltage and/or lifetime of electroluminescent devices. | 07-05-2012 |
20120168732 | AMBIPOLAR SMALL MOLECULE HOSTS FOR PHOSPHORESCENT GUEST EMITTERS - The inventions describe disclosed and described herein relate to ambipolar small molecule host materials for guest phosphorescent metal complexes. Methods of making the ambipolar small molecules are also described. These ambipolar small molecules, which comprise both an oxadiazole and one or more carbazole groups, can be used to make the emission layers of unexpectedly efficient OLED devices containing the materials of the inventions, wherein (I) at least one of the R | 07-05-2012 |
20120168733 | PYRENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING THE SAME - A pyrene derivative represented by the following formula (1), wherein Ar | 07-05-2012 |
20120168734 | COMPOUND CONTAINING 5-MEMBERED HETEROCYCLES, ORGANIC LIGHT-EMITTING DEVICE USING SAME, AND TERMINAL COMPRISING THE LATTER - Disclosed are a compound including 5-membered heterocycles, an organic electronic device using the same, and a terminal including the latter. | 07-05-2012 |
20120168735 | ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to organic electroluminescent devices which comprise a thick electron-transport layer between the emitting layer and the cathode. | 07-05-2012 |
20120168736 | NOVEL PYRENE COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - A pyrene compound represented by general formula (1):
| 07-05-2012 |
20120168737 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes an anode, a cathode, and an organic compound layer including at least a light-emitting sublayer and being arranged between the anode and the cathode, the light-emitting sublayer containing a host serving as a main component, a first dopant, and a second dopant, in which for the host, the first dopant, and the second dopant, the following relationships (a) to (c) hold, whereby only the second dopant emits light:
| 07-05-2012 |
20120168738 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - Light-emitting elements in which an increase of driving voltage can be suppressed are provided. Light-emitting devices whose power consumption is reduced by including such light-emitting elements are also provided. In a light-emitting element having an EL layer between an anode and a cathode, a first layer in which carriers can be produced is formed between the cathode and the EL layer and in contact with the cathode, a second layer which transfers electrons produced in the first layer is formed in contact with the first layer, and a third layer which injects the electrons received from the second layer into the EL layer is formed in contact with the second layer. | 07-05-2012 |
20120168739 | FLUORENE COMPOUND AND ORGANIC ELECTROLUMINESCENCE DEVICE - Provided is a novel fluorene compound, which is represented by the following general formula (1): | 07-05-2012 |
20120168740 | ORGANIC ELECTROLUMINESCENCE ELEMENT - In an organic electroluminescence device having a pair of electrodes and an organic medium which has a light emitting layer or a plurality of layers including the light emitting layer, contains a light emitting material formed with an organometallic complex compound having a heavy metal and is disposed between the pair of electrodes, the organic medium contains an amine derivative having a specific structure. The organic electroluminescence device exhibits a high efficiency of light emission even at a high luminance of several thousand cd/m | 07-05-2012 |
20120168741 | Stilbene Derivatives, Light-Emitting Element, Display Device, and Electronic Device - A novel stilbene derivative is provided with motivation of providing a blue emissive material showing excellent color purity. The use of the stilbene derivative of the present invention allows the fabrication of a blue-emissive light-emitting element with excellent color purity. The invention also includes an electronic device equipped with a display portion in which the stilbene derivative is employed. The stilbene derivative of the present invention is represented by formula (1), in which Ar | 07-05-2012 |
20120175596 | ELECTRICALLY CONDUCTIVE POLYMER COMPOSITIONS FOR COATING APPLICATIONS - The present invention relates to electrically conductive polymer compositions, and their use in electronic devices. The compositions contain a semi-aqueous dispersion of at least one electrically conductive polymer doped with at least one highly-fluorinated acid polymer, non-conductive oxide nanoparticles, at least one high-boiling organic liquid, and at least one lower-boiling organic liquid. | 07-12-2012 |
20120175597 | Image Sensor And Method Of Manufacturing The Same - An image sensor and a method of manufacturing the same. The image sensor includes a plurality of photoelectric conversion units that are horizontally arranged and selectively emit electric signals by absorbing color beams. | 07-12-2012 |
20120175598 | CARBAZOLE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICES UTILIZING THE SAME AND FABRICATION METHOD THEREOF - The present invention provides a carbazole derivative of formula (I) for an organic electroluminescent device: | 07-12-2012 |
20120175599 | COMPOUND HAVING CARBAZOLE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - [Problem] An organic compound of excellent characteristics is provided that exhibits excellent hole-injecting/transporting performance with electron blocking ability, and that has high stability in the thin-film state and high luminous efficiency, the organic compound being provided as material for an organic electroluminescent device having high efficiency and high durability. The invention also provides a high-efficient, high-durable organic electroluminescent device using the compound. | 07-12-2012 |
20120175600 | AROMATIC AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic electroluminescence device that provides high efficiency and a long lifetime. The device includes an aromatic amine including at least one substituent A having dibenzofuran and at least one substituent B selected from groups having dibenzofuran or carbazole. The substituent A and the substituent B include groups different from each other and are bonded to the same or different nitrogen atoms in the molecule. The molecules of the aromatic amine hardly crystallize, improving yield in producing the organic electroluminescence device. The device includes an organic thin film layer formed of one or more layers including at least a light emitting layer, the organic thin film layer being interposed between a cathode and an anode. The aromatic amine is contained in at least one layer, particularly a hole transport layer, in the organic thin film layer. | 07-12-2012 |
20120175601 | DISPLAY UNIT - A display unit that secures favorable display performance and has a simple structure is provided. The display unit includes a multilayer structure in which an organic light emitting device group respectively having a plurality of organic light emitting devices that emits cyan light and a plurality of organic light emitting devices that emits magenta light and a color filter group having a plurality of blue filters that transmit blue light and a plurality of yellow filters that transmit yellow light are sequentially layered. In the display unit, the cyan light and the magenta light entering from the organic light emitting device group to the color filter group is converted to blue light by the blue filter, and is respectively converted to green light and red light by the yellow filter. Therefore, compared to a case that the organic light emitting device group emits white light, color separation is more facilitated. | 07-12-2012 |
20120175602 | N-TYPE ORGANIC THIN FILM TRANSISTOR, AMBIPOLAR FIELD-EFFECT TRANSISTOR, AND METHOD OF FABRICATING THE SAME - An N-type organic thin film transistor, an ambipolar field-effect transistor, and methods of fabricating the same are disclosed. The N-type organic thin film transistor of the present invention comprises: a substrate; a gate electrode locating on the substrate; a gate-insulating layer covering the gate electrode, and the gate-insulating layer is made of silk protein; a buffering layer locating on the gate-insulating layer, and the buffering layer is made of pentacene; an N-type organic semiconductor layer locating on the buffering layer; and a source and a drain electrode, wherein the N-type organic semiconductor layer, the buffering layer, the source and the drain electrode are disposed over the gate dielectric layer. | 07-12-2012 |
20120175603 | ORGANIC EL DISPLAY PANEL AND METHOD FOR PRODUCING SAME - Provided is an organic EL display panel that improves aperture ratio by providing a contact hole beneath an aperture in a bank, and that prevents shortening of the display panel's lifetime by avoiding electric field concentration. An organic EL display panel includes a TFT layer; an interlayer insulation film on the TFT layer and having a plurality of contact holes one per pixel; a plurality of first electrodes, one per pixel, on the interlayer insulation film; a bank defining a plurality of apertures, at least one per pixel, and at least one contact hole is located beneath each aperture; a plurality of organic light-emitting layers each in an aperture; and a second electrode above the organic light-emitting layers. In each aperture, a thickness of the organic light-emitting layer is greater at a portion within the contact hole region than at a portion outside the contact hole region. | 07-12-2012 |
20120175604 | LIQUID ORGANIC SEMICONDUCTOR MATERIAL - An organic material having at least one aromatic conjugated π-electron system is selected. The purity of the organic material is improved by purification, and a conduction mechanism of the organic material is confirmed by a time-of-flight method, whereby a liquid phase of the organic material is usable as an organic semiconductor. A method that enables the usage of a liquid phase of an organic material as an organic semiconductor is provided. The method involves confirming the electronic conduction of the organic material having at least one aromatic conjugated π-electron system by evaluation of a charge transport property using a time-of-flight method, and by evaluation of a dilution effect caused by addition of a diluent. | 07-12-2012 |
20120181511 | 5-Substituted 2 Phenylquinoline Complexes Materials for Light Emitting Diode - Compounds are provided that comprise a ligand having a 5-substituted 2-phenylquinoline. In particular, the 2-phenylquinoline may be substituted with a bulky alkyl at the 5-position. These compounds may be used in organic light emitting devices, in particular as red emitters in the emissive layer of such devices, to provide devices having improved properties. | 07-19-2012 |
20120181512 | Organic Transistor with Fluropolymer Banked Crystallization Well - A method is provided for fabricating a printed organic thin film transistor (OTFT) with a patterned organic semiconductor using a fluropolymer banked crystallization well. In the case of a bottom gate OTFT, a substrate is provided and a gate electrode is formed overlying the substrate. A gate dielectric is formed overlying the gate electrode, and source (S) and drain (D) electrodes are formed overlying the gate dielectric. A gate dielectric OTFT channel interface region is formed between the S/D electrodes. A well with fluropolymer containment and crystallization banks is then formed, to define an organic semiconductor print area. The well is filled with an organic semiconductor, covering the S/D electrodes and the gate dielectric OTFT channel interface. Then, the organic semiconductor is crystallized. Predominant crystal grain nucleation originates from regions overlying the S/D electrodes. As a result, an organic semiconductor channel is formed, interposed between the S/D electrodes. | 07-19-2012 |
20120181513 | POLYMER AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME - A polymer and an organic light-emitting device including the polymer. | 07-19-2012 |
20120181514 | NOVEL COMPOUND AND ORGANIC LIGHT-EMITTING DIODE, DISPLAY AND ILLUMINATING DEVICE USING THE COMPOUND - According to one embodiment, there is provided a compound represented by Formula (1): | 07-19-2012 |
20120181515 | ORGANIC LIGHT-EMITTING DIODE, DISPLAY AND ILLUMINATING DEVICE - According to one embodiment, there is provided an organic light-emitting diode including an anode and a cathode which are arranged apart from each other, and an emissive layer interposed between the anode and the cathode and including a host material and an emitting dopant. The emitting dopant includes a compound represented by the formula (1): | 07-19-2012 |
20120181516 | LIGHT-ABSORBING MATERIAL AND PHOTOELECTRIC CONVERSION ELEMENT - The present invention provides a light-absorbing material capable of providing high photoelectric conversion efficiency when applied to a photoelectric conversion element. | 07-19-2012 |
20120181517 | PROTEIN PHOTOELECTRIC CONVERSION DEVICE, PHOTOELECTRIC CONVERSION SYSTEM, PROTEIN PHOTOELECTRIC CONVERSION DEVICE MANUFACTURING METHOD, PHOTOELECTRIC CONVERSION SYSTEM MANUFACTURING METHOD AND PROTEIN-IMMOBILIZED ELECTRODE - A protein photoelectric conversion device including a gold electrode; and a substance selected from the group consisting of a metal-substituted cytochrome b | 07-19-2012 |
20120181518 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes: an anode; a cathode; and at least hole transporting layer and emitting layer both provided between the anode and the cathode. The hole transporting layer contains a hole transporting material. The emitting layer is adjacent to the hole transporting layer, and contains a first host material, a second host material and a phosphorescent dopant material. An ionization potential IP(HT) of the hole transporting material, an ionization potential IP(h | 07-19-2012 |
20120181519 | Organic Semiconductor Device And Method Of Manufacturing The Same - An organic semiconductor device includes an organic semiconductor, an electrode electrically connected to the organic semiconductor, and a self-assembled monolayer positioned between the organic semiconductor and the electrode, the self-assembled monolayer including a monomer having an anchor group at one end and an ionic functional group at another end. | 07-19-2012 |
20120181520 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A condensed-cyclic compound represented by Formula 1 below, and an organic light-emitting diode including the condensed-cyclic compound. | 07-19-2012 |
20120181521 | AROMATIC AMINE DERIVATIVE AND ELECTROLUMINESCENCE DEVICE USING THE SAME - Provided are a novel aromatic amine derivative having a specific structure and an organic electroluminescence device in which an organic thin layer comprising a single layer or plural layers including a light emitting layer is interposed between a cathode and an anode, wherein at leas one layer of the above organic thin layer contains the aromatic amine derivative described above in the form of a single component or a mixed component. Thus, the organic electroluminescence device is less liable to be crystallized in molecules, improved in a yield in producing the organic electroluminescence device and extended in a lifetime. | 07-19-2012 |
20120181522 | LIGHT EMITTING DEVICE - The present invention provides a light-emitting device comprising a first light-emitting element that emits red light, a second light-emitting element that emits green light, a third light-emitting element that emits blue light, and a color filter, where the color filter comprises a first coloring layer that selectively transmits red light, a second coloring layer that selectively transmits green light, and a third coloring layer that selectively transmits blue light, the first to third light-emitting elements respectively correspond to the first to third coloring layers, wherein each of the first to third light-emitting elements has a first electrode, an electroluminescent layer on the first electrode, and a second electrode on the electroluminescent layer, and wherein the electroluminescent layer includes a layer in contact with the second electrode, and a metal oxide or a benzoxazole derivative is included in the layer in contact with the second electrode. | 07-19-2012 |
20120181523 | AROMATIC AMINE COMPOUND, AND LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND ELECTRONIC DEVICE USING AROMATIC AMINE COMPOUND - An object is to provide an aromatic amine compound with excellent heat resistance. Another object is to provide a light emitting element, a light emitting device, and an electronic device with excellent heat resistance. An aromatic amine compound represented by General Formula (1) is provided. The aromatic amine compound represented by General Formula (1) has a high glass transition point and excellent heat resistance. By using the aromatic amine compound represented by General Formula (1) for a light emitting element, a light emitting device, and an electronic device, a light emitting element, a light emitting device, and an electronic device with excellent heat resistance can be obtained. | 07-19-2012 |
20120181524 | NITROGEN-CONTAINING AROMATIC HETEROCYCLIC DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A nitrogen-containing aromatic heterocyclic derivative represented by the following formula, wherein X | 07-19-2012 |
20120181525 | ORGANIC EL DEVICE - An organic EL device ( | 07-19-2012 |
20120181526 | ORGANIC EL DEVICE - The present invention provides an organic EL device. The organic EL device is provided with a first substrate, an anode that is located on the first substrate, a light emitting layer that is located on the anode, a partition wall that is formed of an insulating material and partitions the light emitting layer, a cathode that covers the light emitting layer and extends on the partition wall, and a second substrate that is superimposed onto the first substrate via a seal member, and in this structure, the cathode has a multilayer structure including an electron injection layer that injects electrons to the light emitting layer, and at least one electrically conductive layer, and the electron injection layer covers the light emitting layer, and the at least one electrically conductive layer covers the electron injection layer. | 07-19-2012 |
20120181527 | MATERIAL FOR A PHOTOACTIVE LAYER IN ORGANIC PHOTODIODES, USE THEREFOR, AND AN ORGANIC PHOTODIODE - A photoactive layer in organic photodiodes includes organic photoactive dyes, including squaraines with donor-substituted aromatic substituents as the electron donor component, used as an alternative to polymer hold conductors for bulk heterojunctions typically found in the organic active layer of organic photodiodes. | 07-19-2012 |
20120181528 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE, AND ORGANIC ELECTROLUMINESCENCE DEVICE - A material for an organic electroluminescence device containing at least phosphorescent metal complex A and phosphorescent metal complex B, metal complex A and metal complex B are both organic metal complexes containing a metal having an atomic weight of 40 or more and a ligand, metal complex A has a specific structure, and metal complex B has the same structure with metal complex A except that one or more atoms directly bonding to ligand structures are substituted with atoms belonging to the same group of the atoms and having a greater atomic weight, and ratio of the content of metal complex B to the content of metal complex A is 0.005% by mass or more and 2% by mass or less. | 07-19-2012 |
20120181529 | LAYERED STRUCTURE, POLYMER, ELECTROLUMINESCENT DEVICE, AND PHOTOELECTRIC CONVERSION DEVICE - Provided is a layered structure having a first electrode, a second electrode, a light emitting layer or a charge separation layer located between the first electrode and the second electrode, and a layer located between the light emitting layer or the charge separation layer and the first electrode and containing a polymer having a repeating unit containing one or more ionic groups selected from two specific groups and one or more specific polar groups. Also provided is a polymer having, as the repeating unit containing one or more ionic groups selected from two specific groups and one or more specific polar groups, one or more repeating units selected from four specific repeating units containing an aromatic group. The layered structure of the present invention provides an electroluminescent device capable of emitting light at a high luminance and a photoelectric conversion device having a high photoelectric conversion efficiency. | 07-19-2012 |
20120181530 | MATERIAL FOR ORGANIC ELECTRONICS, ORGANIC ELECTRONIC ELEMENT, ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY ELEMENT USING ORGANIC ELECTROLUMINESCENT ELEMENT, ILLUMINATING DEVICE, AND DISPLAY DEVICE - Provided is a material for organic electronics which can produce an organic electronic element capable of lowering the driving voltage or capable of performing stable driving for a long time. The material for organic electronics contains at least an ionic compound and a compound having a charge transporting unit (hereinafter, referred to as charge transporting compound), and the ionic compound is composed of a counter cation and a counter anion, while the counter cation is any one kind or two or more kinds selected from H | 07-19-2012 |
20120187379 | ELECTRONIC DEVICE - A thin film transistor has a dual semiconducting layer comprising two semiconducting sublayers. The first sublayer comprises a polythiophene and carbon nanotubes. The second sublayer comprises the polythiophene and has no carbon nanotubes. Devices comprises the dual semiconducting layer exhibit high mobility. | 07-26-2012 |
20120187380 | SEMICONDUCTOR COMPOSITION - A thin film transistor has a semiconducting layer comprising a polythiophene and carbon nanotubes. The semiconducting layer exhibits high mobility and high current on/off ratio. | 07-26-2012 |
20120187381 | Electron Transporting Compounds - Compounds comprising an aza-dibenzo moiety and a condensed aromatic moiety having at least three benzene rings are provided. In particular, the compounds may comprise an azadibenzofuran, azadibenzothiophene, or azadibenzoselenophene joined directly or indirectly to an anthracene. The compounds may be used in the electron transport layer of organic light emitting devices to provide devices with improved properties. | 07-26-2012 |
20120187382 | ELECTRONIC DEVICES HAVING LONG LIFETIME - An organic light-emitting diode is provided having an anode, a cathode, and an organic active layer therebetween. The organic active layer includes a deuterated compound and the device has a calculated half-life at 1000 nits of at least 5000 hours. | 07-26-2012 |
20120187383 | ELECTROACTIVE COMPOUND AND COMPOSITION AND ELECTRONIC DEVICE MADE WITH THE COMPOSITION - There is provided an a host material and a dopant material, wherein the host material is a compound having one of Formulae I-VI: | 07-26-2012 |
20120187384 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND DISPLAY MEDIUM - An organic electroluminescence element includes: a pair of electrodes composed of a positive electrode and a negative electrode, one of which is transparent or semitransparent; and one or more organic compound layers that are sandwiched between the pair of electrodes, in which at least one layer of the organic compound layers contains one or more of charge-transporting polyesters represented by formula (I). | 07-26-2012 |
20120187385 | Conjugated Polymers and Their Use in Optoelectronic Devices - Disclosed are certain oligomeric and polymeric compounds and their use as organic semiconductors in organic and hybrid optical, optoelectronic, and/or electronic devices such as photovoltaic cells, light emitting diodes, light emitting transistors, and field effect transistors. The disclosed compounds can provide high power conversion efficiency, fill factor, open circuit voltage, field-effect mobility, on/off current ratios, and/or air stability when used in photovoltaic cells or transistors. The disclosed compounds can have good solubility in common solvents enabling device fabrication via solution processes. | 07-26-2012 |
20120187386 | ORGANIC ELECTRO LUMINESCENCE DISPLAY DEVICE AND METHOD FOR MANUFACTURING SAME - Disclosed herein is an organic electro luminescence display device including: on a substrate, a plurality of lower electrodes provided correspondingly in number to organic electro luminescence elements for a plurality of color light emissions; an organic layer provided on the lower electrodes and including a plurality of hole injection/transport layers having at least one of hole injection and hole transport characteristics, a plurality of organic light-emitting layers; and a plurality of electron injection/transport layers having at least one of electron injection and electron transport characteristics, and an upper electrode formed on the organic layer. The hole injection/transport layer, the organic light-emitting layer and the electron injection/transport layer are classified into an individual layer and a common layer. A thickness of the common layer is larger than a thickness of the individual layer. | 07-26-2012 |
20120187387 | PHOTOELECTRIC ELEMENT - Disclosed is a photoelectric element having an excellent conversion efficiency and provided with a hole transporting layer that is endowed with excellent hole transporting properties and a sufficiently large reaction interface. | 07-26-2012 |
20120187388 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - The present invention has an object of providing a light-emitting device including an OLED formed on a plastic substrate, which prevents degradation due to penetration of moisture or oxygen. On a plastic substrate, a plurality of films for preventing oxygen or moisture from penetrating into an organic light-emitting layer in the OLED (“barrier films”) and a film having a smaller stress than the barrier films (“stress relaxing film”), the film being interposed between the barrier films, are provided. Owing to a laminate structure, if a crack occurs in one of the barrier films, the other barrier film(s) can prevent moisture or oxygen from penetrating into the organic light emitting layer. The stress relaxing film, which has a smaller stress than the barrier films, is interposed between the barrier films, making it possible to reduce stress of the entire sealing film. Therefore, a crack due to stress hardly occurs. | 07-26-2012 |
20120187389 | ORGANIC ELECTROLUMINESCENCE DEVICE, ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL, AND METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL - It is possible to obtain a display panel that can maintain efficiency while preventing defects caused by foreign substances in such a way that, after a hole injection layer formed so as to cover projections or foreign substances on electrodes is formed before partitioning pixels with barrier ribs, the barrier ribs are formed, and then a thin film is formed on the hole injection layer so that efficiency is not lowered by leaked current. | 07-26-2012 |
20120187390 | ORGANIC ELECTROLUMINESCENCE ELEMENT, DISPLAY DEVICE USING ORGANIC ELECTROLUMINESCENCE ELEMENT, AND METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE ELEMENT - One embodiment of the present invention is an organic electroluminescence element including: a substrate; a first electrode that is formed on the substrate; a luminescent medium layer that includes at least an organic luminescent layer and one or more functional layers other than the organic luminescent layer formed on the first electrode; and a second electrode that faces the first electrode with the luminescent medium layer interposed therebetween, wherein at least one functional layer formed between the first electrode and the organic luminescent layer includes first and second metal compounds and the functional layer is a functional layer in which a gradient is obtained at least partially in a film thickness direction for a ratio of the first metal compound to the second metal compound. | 07-26-2012 |
20120187391 | AROMATIC AMINE DERIVATIVE, ORGANIC DEVICE MATERIAL AND HOLE-INJECTION/TRANSPORT MATERIAL AND ORGANIC ELECTROLUMINESCENT ELEMENT MATERIAL EACH COMPRISING THE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT - An aromatic amine derivative represented by the following formula (1), wherein L is a linking group, Z is a group represented by the following formula (2) and A | 07-26-2012 |
20120187392 | IMIDAZOPYRIDINE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT ELEMENTS CONTAINING SAME - An imidazopyridine derivative shown by the following formula (1). | 07-26-2012 |
20120193611 | CURABLE MATERIAL AND ITS APPLICATION - A curable material is provided. The curable material has the structure of formula I or formula II: | 08-02-2012 |
20120193612 | ORGANIC LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREOF - The present invention relates to a heat emitting body comprising a transparent board, a bus bar, a power supply connected to the bus bar, a heat emitting pattern line provided on the transparent board and electrically connected to the bus bar, and a non-heat emitting pattern line provided on the transparent board and not electrically connected to the bus bar, and a method for manufacturing the same. | 08-02-2012 |
20120193613 | HETEROCYCLIC COMPOUND - Provided is a novel heterocyclic compound which can be used for a light-emitting element, as a host material of a light-emitting layer in which a light-emitting substance is dispersed. A heterocyclic compound represented by a general formula (G1) is provided. In the formula, A represents any of a substituted or unsubstituted dibenzothiophenyl group, a substituted or unsubstituted dibenzofuranyl group, and a substituted or unsubstituted carbazolyl group, R | 08-02-2012 |
20120193614 | HOST MATERIAL FOR LIGHTING DEVICES - Optionally substituted bispyridinylbenzene compounds useful in light-emitting devices include, but are not limited to, 1,3-bis(5-(9H-carbazol-9-yl)pyridin-3-yl)benzene and 9,9′-(5,5′-(5-methyl-1,3-phenylene)bis(pyridine-5,3-diyl))bis(9H-carbazole). | 08-02-2012 |
20120193615 | ORGANIC EL ELEMENT AND PRODUCTION METHOD THEREOF - A technology having resistance to moisture and oxygen, and in which the occurrence and expansion of non-light-generating portions, such as dark spots, are suppressed is provided. An organic EL element in which an organic functional layer including at least one or more light-emitting layers is arranged between a first electrode and a second electrode, wherein the organic functional layer includes a bipolar charge generation layer which generates electrons and holes, and one of the first electrode and the second electrode is a hole-receiving electrode. | 08-02-2012 |
20120193616 | Organic Electroluminescent Device - An organic electroluminescence device of the present invention adapts a new concept in its configuration to improve its efficiency in addition to obtain a high reliability and good yielding. The organic electroluminescent device having an electroluminescent film containing an organic material capable of causing an electroluminescence and being arranged between a first electrode and a second electrode, includes: a carrier, generation layer, which is a floating electrode, is embodied in the electroluminescent film; an insulting film between the first electrode and the electroluminescent film, and an insulating film between the second electrode and the electroluminescent film, wherein the organic electroluminescent device is driven by an alternating current bias. | 08-02-2012 |
20120193617 | LOW-MOLECULAR COMPOUND, POLYMER, ELECTRONIC-DEVICE MATERIAL, COMPOSITION FOR ELECTRONIC DEVICE, ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC SOLAR CELL ELEMENT, DISPLAY AND LIGHTING - A subject for the invention is to provide compounds where a film formation can be made by a wet film formation method, a heating temperature at the film formation is low, the film formed therefrom has high stability, and the other layers can be laminated thereon by a wet film formation method or another method. The compounds are usable as a material for electronic device which decreases little in charge transport efficiency or luminescent efficiency and which have excellent driving stability. The invention resides in a compound and a polymer which are characterized by having a elimination group of a specific structure and in an organic compound characterized by having a elimination group having a low elimination temperature. | 08-02-2012 |
20120193618 | METHOD FOR MANUFACTURING ORGANIC SEMICONDUCTOR FILM, AND ORGANIC SEMICONDUCTOR FILM ARRAY - A raw material solution containing an organic semiconductor material and a solvent is supplied onto a substrate | 08-02-2012 |
20120193619 | ORGANIC ELECTROLUMINESCENT ELEMENT AND LIGHTING DEVICE USING SAME - An organic EL element having a high productivity and a multi-unit structure is produced by using an organic EL material which can meet the demands of an increased area and high productivity, uses a high-speed process at atmospheric pressure, that is, the non-discharge type coating process, and which has a high process adaptability. An organic electroluminescent element is provided, between a plurality of light-emitting units, with a charge generating layer which generates a hole and an electron by applying an electric field, wherein the charge generating layer comprises one or more layers, at least one layer of which is formed by means of the non-discharge type solution coating process, and the plurality of light-emitting units are formed by means of the non-discharge type solution coating process. | 08-02-2012 |
20120199816 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD OF MANUFACTURING THE SAME - A photoelectric conversion device according to the present invention includes, between a pair of electrodes, an electron donor layer having an interdigitated shape in cross section comprising a stripe-like part in cross section and a base, a plurality of strip-like parts in cross section extending in a direction intersecting electrode main surfaces being formed at intervals in the stripe-like part in cross section; and an electron acceptor layer having an interdigitated shape in cross section comprising a stripe-like part in cross section and a base, a plurality of strip-like parts in cross section extending in a direction intersecting the electrode main surfaces being formed at intervals in the stripe-like part in cross section, the photoelectric conversion device further including an active layer in which the plurality of strip-like parts in cross section of the electron donor layer and the plurality of strip-like parts in cross section of the electron acceptor layer are alternately joined. A stripe width a of the stripe-like part in cross section of the electron donor layer and a stripe width b of the stripe-like part in cross section of the electron acceptor layer are both 5 to 100 nm. When a=b, a thickness c of the active layer is twice to 40 times as large as a (=b). When a≠b, the thickness c of the active layer is twice or more of one of a and b which is smaller and 40 times or less of one of a and b which is larger. | 08-09-2012 |
20120199817 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes an anode, a cathode, and an organic thin-film layer interposed between the anode and the cathode. The organic thin-film layer includes a phosphorescent-emitting layer containing a host and a phosphorescent dopant, and an electron transporting layer that is provided closer to the cathode than the phosphorescent-emitting layer. The host contains a substituted or unsubstituted polycyclic fused aromatic skeleton. | 08-09-2012 |
20120199818 | TRIAZOLE DERIVATIVE, AND LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, AND ELECTRONIC DEVICE WITH THE USE OF TRIAZOLE DERIVATIVE - It is an object of the present invention to provide a novel triazole derivative. Further, it is another object of the present invention to provide a light-emitting element having high luminous efficiency with the use of the novel triazole derivative. Moreover, it is still another object of the present invention to provide a light-emitting device and electronic devices which have low power consumption. A light-emitting element having high luminous efficiency can be manufactured with the use of a triazole derivative which is a 1,2,4-triazole derivative, in which an aryl group or a heteroaryl group is bonded to each of 3-position, 4-position, and 5-position, and in which any one of the aryl group or heteroaryl group has a 9H-carbazol-9-yl group. | 08-09-2012 |
20120199819 | Light Emitting Element, Light Emitting Device, and Electronic Device - A light emitting element with a high contrast is realized. A light emitting device with a high contrast is achieved by using the light emitting element with an excellent contrast. The light emitting element has a layer containing a light emitting substance interposed between a first electrode and a second electrode, and the layer containing the light emitting substance includes a light emitting layer, a layer containing a first organic compound, and a layer containing a second organic compound. The first electrode has a light-transmitting property, and the layer containing the first organic compound and the layer containing the second organic compound are interposed between the second electrode and the light emitting layer. Furthermore, color of the first organic compound and color of the second organic compound are complementary. | 08-09-2012 |
20120199820 | MONOAMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - An organic electroluminescence device includes: an anode; a cathode being opposed to the anode; and an emitting layer being provided between the anode and the cathode. The emitting layer includes a host material and a phosphorescent dopant material. The host material includes a monoamine derivative represented by a formula-(1A) below. | 08-09-2012 |
20120199821 | ORGANIC DUAL-GATE MEMORY AND METHOD FOR PRODUCING SAME - The organic memory device is a double-gate transistor that successively comprises a first gate electrode, a first gate dielectric, an organic semi-conductor material, a second gate dielectric and a second gate electrode. Source and drain electrodes are arranged in the organic semiconductor material and define an inter-electrode surface. A trapping area is arranged between the organic semiconductor material and one of the gate electrodes and is in electric contact with one of the gate electrodes or the organic semi-conductor material. The trapping area is at least facing the inter-electrode surface. | 08-09-2012 |
20120199822 | ORGANIC TRANSISTOR - An organic transistor ( | 08-09-2012 |
20120199823 | DINUCLEAR PLATINUM-CARBENE COMPLEXES AND THE USE THEREOF IN OLEDS - The present invention relates to dinuclear Pt-carbene complexes comprising carbene ligands and pyrazole bridges, to a process for preparing the dinuclear Pt-carbene complexes by contacting suitable Pt compounds with the corresponding ligands or ligand precursors and/or pyrazole or corresponding pyrazole derivatives, to organic electronic components comprising at least one such dinuclear Pt-carbene complex, to an OLED comprising at least one such dinuclear Pt-carbene complex, to a light-emitting layer comprising at least one such dinuclear Pt-carbene complex, to an OLED comprising such a light-emitting layer, to a device selected from the group consisting of stationary visual display units, mobile visual display units and illumination means, comprising such an OLED, and to the use of an inventive dinuclear Pt-carbene complex in OLEDs. | 08-09-2012 |
20120199824 | ORGANIC SEMICONDUCTOR MATERIAL, ORGANIC SEMICONDUCTOR THIN FILM, AND ORGANIC THIN FILM TRANSISTOR - Provided are an organic semiconductor material, organic semiconductor thin film and organic thin-film transistor, which contain a perylene tetracarboxylic diimide derivative represented by the following formula (1): | 08-09-2012 |
20120199825 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device comprising an anode, a cathode, a light emitting layer that is disposed between the anode and the cathode and contains a first light emitting layer material containing a phosphorescent compound and a second light emitting layer material containing a charge transporting polymer compound (that is, a light emitting layer containing a first light emitting layer material and a second light emitting layer material), and a hole transporting layer that is disposed between the anode and the light emitting layer so as to be adjacent to the light emitting layer and is composed of a hole transporting polymer compound, wherein the lowest excitation triplet energy T1 | 08-09-2012 |
20120205628 | SEMICONDUCTING COMPOSITION - A compound of Formula (I): | 08-16-2012 |
20120205629 | SEMICONDUCTOR COMPOUND - A semiconducting tetrahydroacridinoacridine compound of Formula (I): | 08-16-2012 |
20120205630 | SEMICONDUCTOR COMPOUND - A thiaxanthenothiaxanthene compound of Formula (I): | 08-16-2012 |
20120205631 | Display Device and Method for Manufacturing Thereof - It is a problem to provide an electric apparatus less in consumption power and long in life by the manufacture using the display device. An insulating bank is provided in a form surrounding the pixel portions on first electrodes over a substrate. The entire surface is applied, by a wet scheme (method), with an organic conductive film which has a thickness form of T | 08-16-2012 |
20120205632 | LIGHT-EMITTING ELEMENT - A light-emitting element having high external quantum efficiency is provided. A light-emitting element having a long lifetime is provided. A light-emitting element is provided which includes a light-emitting layer containing a phosphorescent compound, a first organic compound, and a second organic compound between a pair of electrodes, in which a combination of the first organic compound and the second organic compound forms an exciplex (excited complex). The light-emitting element transfers energy by utilizing an overlap between the emission spectrum of the exciplex and the absorption spectrum of the phosphorescent compound and thus has high energy transfer efficiency. Therefore, a light-emitting element having high external quantum efficiency can be obtained. | 08-16-2012 |
20120205633 | Light-Emitting Device and Manufacturing Method Thereof - An object of the present invention is to decrease the conductivity of a hole-injection layer (or a hole-transport layer) containing molybdenum oxide without decreasing the amount of molybdenum oxide so that crosstalk is prevented from occurring. A light-emitting element includes, between an anode and a cathode, an EL layer including at least a hole-injection layer and a light-emitting layer. In the light-emitting element, the hole-injection layer is provided between the anode and the light-emitting layer, and the hole-injection layer contains molybdenum oxide and an additive. The additive may be an alkali metal, an alkaline earth metal, a substance having a LUMO level of lower than or equal to −5.8 eV, or a substance having a hole mobility of lower than or equal to 10 | 08-16-2012 |
20120205634 | Light Emitting Unit, Light Emitting Device, and Lighting Device - The light-emitting unit has at least a first light-emitting element, a second light-emitting element, and a separation layer. The separation layer has a leg portion and a stage portion which protrudes outside of a bottom surface of the leg portion over the leg portion. An upper electrode of the first light-emitting element is electrically connected to a lower electrode of the second light-emitting element in a region where the upper electrode and the lower electrode overlap with the stage portion of the separation layer. By providing the separation layer, the light-emitting unit can be formed without using a metal mask. The upper electrode can be a composite material including an organic compound and a metal oxide or a stacked layer of the composite material and a metal material or a light-transmitting conductive material. | 08-16-2012 |
20120205635 | MONOAMINE COMPOUND, CHARGE TRANSPORT MATERIAL, COMPOSITION FOR CHARGE TRANSPORT FILM, ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC EL DISPLAY, AND ORGANIC EL LIGHTING - A monoamine compound characterized by being represented by the following general formula (1). | 08-16-2012 |
20120205636 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device an organic photoelectric device, and a display device, the compound being represented by the following Chemical Formula 1: | 08-16-2012 |
20120205637 | LIQUID COMPOSITIONS FOR INKJET PRINTING OF ORGANIC LAYERS OR OTHER USES - A method of forming an organic layer by using a liquid composition comprising a small molecule organic semiconductor material mixed in a ketone solvent. The liquid composition is deposited on a surface to form the organic layer. The ketone solvent may be an aromatic ketone solvent, such as a tetralone solvent. The organic semiconductor material may be cross-linkable to provide a cross-linked organic layer. The method can be used to make organic electronic devices, such as organic light emitting devices. In another aspect, the liquid composition comprises a small molecule organic semiconductor material mixed in an aromatic ether solvent. Also, provided are liquid compositions which can be used to make organic layers. | 08-16-2012 |
20120205638 | ELECTROLUMINESCENT DEVICE USING AZOMETHINE-LITHIUM-COMPLEX AS ELECTRON INJECTION LAYER - In OLEDs, improved efficiency is obtained by compounds which can form inter alia electron injection layers of the formula (I) | 08-16-2012 |
20120205639 | ORGANIC LIGHT EMITTING DEVICE - Provided is an organic light emitting device with enhanced durability during continuous driving. The organic light emitting device includes: an anode, a cathode, and an organic compound layer being placed between the anode and the cathode and including at least a hole transport layer and an emission layer, in which: the emission layer contains a blue light emitting material; the hole transport layer contains a plurality of kinds of organic compounds; and an organic compound having the smallest ionization potential among the organic compounds includes a compound having no absorption spectrum peak in a blue color wavelength region in a radical cation state. | 08-16-2012 |
20120205640 | ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device (organic EL device) which is improved in luminous efficiency, fully secure of driving stability, and of a simple configuration. The organic EL device comprises organic layers comprising a hole-transporting layer and a light-emitting layer sandwiched between an anode and a cathode. The light-emitting layer contains a fluorescent light-emitting material and an electron- and/or exciton-blocking layer containing an indolocarbazole derivative represented by general formula (2) is disposed between the hole-transporting layer and the light-emitting layer so as to be adjacent to the light-emitting layer. In general formula (2), ring B is a heterocyclic ring fused to the adjacent rings and represented by formula (1c), Z is an n-valent aromatic hydrocarbon group or aromatic heterocyclic group, and n is 1 or 2. | 08-16-2012 |
20120205641 | PHOTOVOLTAIC CELL - The present invention provides a photovoltaic cell having a large short-circuit current density and a large photoelectric conversion efficiency. | 08-16-2012 |
20120205642 | ORGANIC ELECTROLUMINESCENT DEVICE - A high-efficiency, high-durability organic electroluminescent device, particularly a phosphorescent organic electroluminescent device is provided by using an organic compound of excellent characteristics that exhibits excellent hole-injecting/transporting performance and has high triplet exciton confining capability with an electron blocking ability, and that has high stability in the thin-film state and high luminous efficiency. | 08-16-2012 |
20120205643 | ORGANIC ELECTRONIC DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided is an organic electronic device exhibiting excellent conductivity and transparency of an electrode, and low driving voltage, together with en excellent storing property and excellent lifetime. Also disclosed is an organic electronic device possessing a transparent substrate and provided thereon, a first transparent electrode, a second electrode and an organic functional layer provided between the first transparent electrode and the second electrode, wherein the first transparent electrode and the second electrode are opposed to each other, and a transparent conductive layer containing a conductive polymer and an aqueous binder is provided between the first transparent electrode and the organic functional layer. | 08-16-2012 |
20120205644 | PHOTOVOLTAIC CELL - The present invention provides a photovoltaic cell having a large short-circuit current density and a large photoelectric conversion efficiency. | 08-16-2012 |
20120205645 | HETEROLEPTIC CARBENE COMPLEXES AND THE USE THEREOF IN ORGANIC ELECTRONICS - The present invention relates to heteroleptic complexes comprising a phenylimidazole or phenyltriazole unit bonded via a carbene bond to a central metal atom, and phenylimidazole ligands attached via a nitrogen-metal bond to the central atom, to OLEDs which comprise such heteroleptic complexes, to light-emitting layers comprising at least one such heteroleptic complex, to a device selected from the group consisting of illuminating elements, stationary visual display units and mobile visual display units comprising such an OLED, to the use of such a heteroleptic complex in OLEDs, for example as emitter, matrix material, charge transport material and/or charge blocker. | 08-16-2012 |
20120211728 | Light-Emitting Element, Light-Emitting Device, and Electronic Appliance - By use of a 2,9,10-triaryl anthracene derivative in which a diarylamino-substituted aryl group is bonded to the 2-position of a 9,10-diarylanthracene for a light-emitting element, a light-emitting element having high efficiency can be obtained. The emission wavelength of the anthracene derivative is suitable for use in a white light-emitting element. By use of the anthracene derivative for a white light-emitting element, a white light-emitting element having high efficiency can be obtained. | 08-23-2012 |
20120211729 | POLYMER LIGHT-EMITTING DEVICE - The problem to be solved of the present invention is to provide a polymer light-emitting device having a long luminance half-decay lifetime. Means for solving the problem is a polymer light-emitting device in which the cathode comprises a first cathode layer and a second cathode layer in this order from a light-emitting layer side, the first cathode layer contains one or more metal compounds selected from the group consisting of sodium fluoride, potassium fluoride, rubidium fluoride and cesium fluoride, and the second cathode layer contains one or more metals selected from the group consisting of alkaline earth metals and aluminum, and in which a functional layer between an anode and the light-emitting layer contains a polymer compound including a repeating unit represented by the formula (1): | 08-23-2012 |
20120211730 | Hole Blocking Layer and Method for Producing Same, and Photoelectric Conversion Element Comprising the Hole Blocking Layer and Method for Manufacturing Same - Provided is a hole-blocking layer which has excellent basic characteristics such as high photoelectric conversion efficiency, while exhibiting excellent productivity. The hole-blocking layer is produced by a process that has a step of bringing an aqueous solution containing hydrogen peroxide and titanium (IV) oxysulfate into contact with the surface of a member on which the hole-blocking layer is to be formed, and holding the contact between the aqueous solution and the member at 50 to 120° C., so that an amorphous titanium oxide precursor precipitates on the surface of the member; and a step of drying the amorphous titanium oxide precursor that has precipitated on the member. | 08-23-2012 |
20120211731 | NOVEL ADDUCT COMPOUND, METHODS FOR PURIFICATION AND PREPARATION OF FUSED POLYCYCLIC AROMATIC COMPOUND, SOLUTION FOR FORMATION OF ORGANIC SEMICONDUCTOR FILM, AND NOVEL ALPHA-DIKETONE COMPOUND - Provided are a novel adduct compound and a novel α-diketone compound, from which organic semiconductor layers consisting of a fused polycyclic aromatic compound can be formed by a solution method, said solution method being generally easier than a deposition method. Also provided are a method for the purification of the adduct compound, and a solution for the formation of organic semiconductor film, which contains the adduct compound. The adduct compound has a structure wherein a compound having a double bond is added in an eliminable state to a fused polycyclic aromatic compound of general formula (I): Ar | 08-23-2012 |
20120211732 | SEMICONDUCTING POLYMER - A semiconducting polymer formed from an insulator polymer and an ionic liquid is disclosed. In at least one embodiment, the semiconducting polymer may be formed from a homogenous blend of two or more insulator polymers and two or more ionic liquids. The homogenous mixture of non-conducting polymers and ionic liquid may be formed as a film of semiconducting polymer with a controllable thickness. The semiconducting polymer may be used in a multitude of different applications, including, but not limited to, storage devices. | 08-23-2012 |
20120211733 | ORGANIC LIGHT EMITTING DEVICE AND FLAT PANEL DISPLAY DEVICE COMPRISING THE SAME - Provided are an organic light emitting device including: a substrate; a first electrode; a second electrode; and an organic layer interposed between the first electrode and the second electrode and including an emission layer, wherein one of the first electrode and the second electrode is a reflective electrode and the other is a semitransparent or transparent electrode, and wherein the organic layer includes a layer having at least one of the compounds having at least one carbazole group, and a flat panel display device including the organic light emitting device. The organic light emitting device has low driving voltage, excellent current density, high brightness, excellent color purity, high efficiency, and long lifetime. | 08-23-2012 |
20120211734 | SUBSTITUTED PYRENES AND ASSOCIATED PRODUCTION METHODS FOR LUMINESCENT APPLICATIONS - A substituted pyrene for electroluminescent applications and a method to produce the substituted pyrenes. | 08-23-2012 |
20120211735 | ORGANIC EL ELEMENT AND ORGANIC LIGHT-EMITTING DEVICE - To provide an organic EL element having high efficiency and long life, without accumulating holes at the interface between a light-emitting layer and a layer on the cathode side, and an organic light-emitting device. | 08-23-2012 |
20120211736 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device, the compound being represented by the following Chemical Formula (“CF”) 1: | 08-23-2012 |
20120211737 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - In view of the problem that an organic semiconductor layer of an organic TFT is likely to deteriorate due to water, light, oxygen, or the like, it is an object of the present invention to simplify a manufacturing step and to provide a method for manufacturing a semiconductor device having an organic TFT with high reliability. According to the invention, a semiconductor layer containing an organic material is formed by patterning using a mask, and thus an organic TFT is completed in the state where the mask is not removed but to remain over the semiconductor: layer. In addition, a semiconductor layer can be protected from deterioration due to water, light, oxygen, or the like by using the remaining mask. | 08-23-2012 |
20120211738 | Quinoxaline Derivative, and Light Emitting Element, Light Emitting Device, and Electronic Appliance Using the Same - A quinoxaline derivative expressed by the general formula (1) is provided. (Each of R | 08-23-2012 |
20120211739 | ORGANIC ELECTRONIC DEVICE - The organic electronic device prevents short-circuiting between electrodes and improves lifetime without deteriorating transmittance, driving voltage stability and storage stability thereof. The organic electronic device has a substrate and provided thereon, a first electrode and a second electrode opposed to each other and at least one organic functional layer located between the first and second electrodes. At least one of the first and second electrodes has an electrically conductive polymer-containing layer containing a hydrophilic polymer binder and an electrically conductive polymer having a π conjugated electrically conductive polymer component and a polyanion component. At least a part of the electrically conductive polymer-containing layer is subjected to crosslinking, and the electrically conductive polymer-containing layer has been subjected to wet washing treatment. | 08-23-2012 |
20120211740 | Method for Fabricating Organic Devices - The present invention relates to a method for fabricating an organic device, said method comprising: (i) Providing a substrate ( | 08-23-2012 |
20120211741 | ORGANIC PHOTOVOLTAIC CELL - Provided is an organic photovoltaic cell having high photovoltaic efficiency. The photovoltaic cell of the present invention comprises an anode, a cathode, and an organic active layer provided between the anode and the cathode. The organic active layer comprises a multiexciton generator. For the multiexciton generator, a compound semiconductor comprising one or more elements selected from among Cu, In, Ga, Se, S, Te, Zn and Cd is used. The photovoltaic cell preferably has multiple energy levels in the energy gap of the compound semiconductor. The compound semiconductor is preferably a nanosize particle, and preferably has a p-type semiconductor adhering on the surface thereof. | 08-23-2012 |
20120211742 | NOVEL FLUORENYLAMINE COMPOUND, ORGANIC LIGHT EMITTING DEVICE CONTAINING THE SAME, MATERIAL FOR ORGANIC LIGHT EMITTING DEVICE, DISPLAY APPARATUS, AND IMAGE INPUT APPARATUS - A novel fluorenylamine compound represented by a general formula below, where R | 08-23-2012 |
20120211743 | BENZO[k]FLUORANTHENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE CONTAINING THE SAME - A benzo[k]fluoranthene derivative represented by the following formula (1): | 08-23-2012 |
20120217482 | SEMICONDUCTOR MATERIALS PREPARED FROM DITHIENYLVINYLENE COPOLYMERS - Disclosed are new semiconductor materials prepared from dithienylvinylene copolymers with aromatic or heteroaromatic π-conjugated systems. Such copolymers, with little or no post-deposition heat treatment, can exhibit high charge carrier mobility and/or good current modulation characteristics. In addition, the polymers of the present teachings can possess certain processing advantages such as improved solution-processability and low annealing temperature. | 08-30-2012 |
20120217483 | Quinone Compounds as Dopants in Organic Electronics - The invention relates to novel quinone compounds and to the use thereof as dopants in organic electronics. | 08-30-2012 |
20120217485 | NOVEL ORGANIC ELECTROLUMINESCENT COMPOUNDS AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Provided are novel organic electroluminescent compounds and organic electroluminescent devices using the same. Since the organic electroluminescent compound exhibits good luminous efficiency and excellent life property compared to the existing material, it may be used to manufacture OLED devices having superior operation life and consuming less power due to improved power efficiency. | 08-30-2012 |
20120217486 | LIGHT-EMITTING LAYER AND LIGHT-EMITTING ELEMENT - To provide a highly efficient organic light-emitting element. An extremely thin layer (a monomolecular film or the like) containing an organic light-emitting material such as an iridium complex is provided between a layer of an n-type organic material (an organic material having a high electron-transport property) and a layer of a p-type organic material (an organic material having a high hole-transport property). In a structure described above, in a layer of the organic light-emitting material, electrons are injected from the LUMO of the n-type organic material to the LUMO of the organic light-emitting material, and holes are injected from the HOMO of the p-type organic material to the HOMO of the organic light-emitting material, whereby the organic light-emitting material is brought into an excited state and emits light. | 08-30-2012 |
20120217487 | Light-Emitting Device - A light-emitting element includes a light-emitting layer including a guest, an n-type host and a p-type host between a pair of electrodes, where the difference between the energy difference between a triplet excited state and a ground state of the n-type host (or p-type host) and the energy difference between a triplet excited state and a ground state of the guest is 0.15 eV or more. Alternatively, in such a light-emitting element, the LUMO level of the n-type host is higher than the LUMO level of the guest by 0.1 eV or more, or the HOMO level of the p-type host is lower than the HOMO level of the guest by 0.1 eV or more. | 08-30-2012 |
20120217489 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - The light-emitting element includes: a light-emitting layer and a layer for controlling the movement of carriers between a first electrode and a second electrode. The layer for controlling the movement of carriers contains a first organic compound and a second organic compound, and is provided between the light-emitting layer and the second electrode. The first organic compound has an electron transporting property, and the second organic compound has an electron trapping property. The weight percent of the first organic compound is higher than that of the second organic compound. The light-emitting layer emits light when a voltage is applied such that the potential of the first electrode is higher than that of the second electrode. | 08-30-2012 |
20120217490 | POLAR SEMICONDUCTOR HOLE TRANSPORTING MATERIAL - A semiconductive hole transport material containing polar substituent groups, the polar substituent groups substantially not affecting the electronic properties of the hole transport material and the hole transport material being soluble in a polar solvent. | 08-30-2012 |
20120217491 | COMPOSITION FOR ANODE BUFFER LAYER, HIGH-MOLECULAR WEIGHT COMPOUND FOR ANODE BUFFER LAYER, ORGANIC ELECTROLUMINESCENCE ELEMENT, AND PRODUCTION PROCESS AND USES OF THE SAME - There is provided a material for an anode buffer layer which permits the use of an organic solvent as a solvent of an anode buffer layer-forming solution, and is capable of forming an anode buffer layer that does not dissolve even when coated with a luminescent layer-forming material solution containing an organic solvent as a solvent, and which is capable of producing an organic EL element having high electrical power efficiency and long life. | 08-30-2012 |
20120217492 | ORGANIC COMPOUND, ORGANIC ELECTRONIC DEVICE USING SAME, AND TERMINAL FOR SAME - Disclosed are an organic compound, an organic electronic device using the same, and a terminal thereof. | 08-30-2012 |
20120223293 | Biodegradable Electronic Devices - Biodegradable electronic devices may include a biodegradable semiconducting material and a biodegradable substrate layer for providing mechanical support to the biodegradable semiconducting material. | 09-06-2012 |
20120223294 | SPIN FILTER DEVICE, METHOD FOR ITS MANUFACTURE AND ITS USE - The present invention relates to a method and a device for providing a current of spin-polarised electrons. More particularly, the present invention is suited for use in spin electronics or detection of spin-polarised electrons. | 09-06-2012 |
20120223295 | BISCARBAZOLE DERIVATIVES AND ORGANIC ELECTROLUMINESCENCE - Provided are an organic electroluminescence device having high current efficiency and a long lifetime, and a biscarbazole derivative for realizing the device. The biscarbazole derivative has a specific substituent. The organic EL device has a plurality of organic thin-film layers including a light emitting layer between a cathode and an anode, and at least one layer of the organic thin-film layers contains the biscarbazole derivative. | 09-06-2012 |
20120223296 | Organic Semiconducting Materials and Organic Component - An organic semiconductive material comprising at least one matrix material and at least one doping material, wherein the doping material is selected from an organic compound and wherein the matrix material is selected from an diamine compound, also an organic component and a mixture for producing a doped semiconductor layer. | 09-06-2012 |
20120223297 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, DISPLAY DEVICE, AND ELECTRONIC APPARATUS - A light-emitting element includes an anode, a cathode, a luminescent layer that is disposed between the anode and the cathode and emits light by applying a current between the anode and the cathode, and an organic layer that is disposed in contact with the anode and the luminescent layer between the anode and the luminescent layer and functions to transport holes. The organic layer includes a hole injection layer and a hole transport layer. The hole injection layer and the hole transport layer each contain an electron transport material that can transport electrons. The electron transport material content in the hole injection layer is different from that in the hole transport layer. | 09-06-2012 |
20120223298 | Triarylamine Derivative, Light-Emitting Substance, Light-Emitting Element, Light-Emitting Device, and Electronic Device - A triarylamine derivative represented by a general formula (G1) given below is provided. Note that in the formula, Ar represents either a substituted or unsubstituted phenyl group or a substituted or unsubstituted biphenyl group; α represents a substituted or unsubstituted naphthyl group; β represents either hydrogen or a substituted or unsubstituted naphthyl group; n and m each independently represent 1 or 2; and R | 09-06-2012 |
20120228583 | PYRIDYL CARBENE PHOSPHORESCENT EMITTERS - Organometallic compounds comprising an imidazole carbene ligand having a N-containing ring fused to the imidazole ring are provided. In particular, the N-containing ring fused to the imidazole ring may contain one nitrogen atom or more than one nitrogen atom. These compounds may demonstrate high photoluminescent (PL) efficiency, Gaussian emission spectra, and/or short excited state lifetimes. These materials may be especially useful as blue phosphorescent emitters. | 09-13-2012 |
20120228584 | XANTHENE BASED SEMICONDUCTOR COMPOSITIONS - A small molecule semiconductor of Formula (I): | 09-13-2012 |
20120228585 | ORGANIC LIGHT-EMITTING DIODE, DISPLAY AND ILLUMINATING DEVICE - According to one embodiment, there is provided an organic light-emitting diode including an anode and a cathode which are arranged apart from each other, and an emissive layer interposed between the anode and the cathode and including a host material and an emitting dopant. The host material includes a polymer containing dibenzothiophene backbones represented by the following formula (1) as repeating units: | 09-13-2012 |
20120228586 | ORGANIC PHOTOSENSITIVE OPTOELECTRONIC DEVICES WITH TRIPLET HARVESTING - There is disclosed an organic photosensitive optoelectronic devices comprising organic photoconductive materials, which comprise singlet fission host materials doped with triplet forming materials. There is also disclosed devices made from such materials, such as an organic photovoltaic cell, a photoconductor cell, a photodetector, organic photosensors, chemical sensors, and biological sensors. Methods of fabricating such devices are also disclosed. | 09-13-2012 |
20120228587 | PHOTOELECTRIC CONVERSION ELEMENT, PRODUCTION METHOD FOR A PHOTOELECTRIC CONVERSION ELEMENT, SOLID-STATE IMAGE SENSOR, PRODUCTION METHOD FOR A SOLID-STATE IMAGE SENSOR, ELECTRONIC APPARATUS, PHOTOCONDUCTOR, PRODUCTION METHOD FOR A PHOTOCONDUCTOR AND MULTILAYER TRANSPARENT PHOTOELECTRIC CONVERSION ELEMENT - Provided is a photoelectric conversion element including a photoconductor containing a complex of a conductive polymer and/or polymer semiconductor and a protein containing at least one dye having a long-lived excited state. | 09-13-2012 |
20120228588 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, DISPLAY DEVICE, AND ELECTRONIC APPARATUS - A light-emitting element includes an anode, a cathode, a luminescent layer between the anode and the cathode that emits light by applying a current between the anode and the cathode, and an electron transport layer between the cathode and the luminescent layer that transports electrons from the cathode to the luminescent layer. The electron transport layer includes an n-type transport layer and a buffer layer in contact with the n-type electron transport layer. The n-type electron transport layer contains a first electron transport material and an electron donor material and is disposed to the cathode side of the buffer layer. The buffer layer contains a second electron transport material and is disposed to the luminescent layer side of the n-type electron transport layer so as to prevent the electron injection material from diffusing from the n-type electron transport layer to the luminescent layer. | 09-13-2012 |
20120228589 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING APPARATUS, DISPLAY APPARATUS, AND ELECTRONIC DEVICE - A light-emitting element has an anode, a cathode, a first light-emitting layer, a second light-emitting layer, and a carrier-generating layer. The first light-emitting layer is disposed between the anode and the cathode and, when electric current flows between the anode and the cathode, emits light. The second light-emitting layer is disposed between the cathode and the first light-emitting layer and, when electric current flows between the anode and the cathode, emits light. The carrier-generating layer is disposed between the first light-emitting layer and the second light-emitting layer and can generate holes and electrons. The carrier-generating layer has two layers stacked in contact with each other, an n-type electron transport layer and an electron-accepting layer. The n-type electron transport layer can transport electrons and is formed to face the first light-emitting layer, whereas the electron-accepting layer can accept electrons and is formed to face the second light-emitting layer. The n-type electron transport layer and the electron-accepting layer both contain an electron injection material. | 09-13-2012 |
20120228590 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE AND ELECTRONIC APPARATUS - An organic electroluminescence display device includes a pixel isolating film that is provided over a substrate and has a plurality of apertures, and a plurality of pixels provided corresponding to the plurality of apertures. Each of the plurality of pixels has a first electrode, a functional layer including at least an organic light emitting layer, and a second electrode sequentially from the side of the substrate, and part or whole of the first electrode is separate from an edge part of the aperture on the side of the substrate. | 09-13-2012 |
20120228591 | ORGANIC ELECTROLUMINESCENT LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, an organic electroluminescent light emitting device includes a transparent substrate, an intermediate layer, a first electrode, an organic light emitting layer, and a second electrode. The intermediate layer includes a plurality of fine particles and a flattened layer. The fine particles are adhered to a major surface of the transparent substrate. The flattened layer covers the fine particles and has a refractive index different from a refractive index of the fine particles. The flattened layer is transparent. The first electrode is provided on the intermediate layer. The first electrode is transparent. The organic light emitting layer is provided on the first electrode. The second electrode is provided on the organic light emitting layer. | 09-13-2012 |
20120228592 | Light-Emitting Element, Light-Emitting Device, and Manufacturing Method of Light-Emitting Element - Provided is a highly reliable light-emitting element in which damage to an EL layer is reduced even when an auxiliary electrode for an upper electrode is provided. Further, a highly reliable light-emitting device in which luminance unevenness is suppressed is provided. The light-emitting element includes a first electrode; an insulating layer over the first electrode; an auxiliary electrode having a projection and a depression on a surface, over the insulating layer; a layer containing a light-emitting organic compound over the first electrode and the auxiliary electrode; and a second electrode over the layer containing the light-emitting organic compound. At least part of the auxiliary electrode is electrically connected to the second electrode. | 09-13-2012 |
20120228593 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 09-13-2012 |
20120228594 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME - Disclosed is an organic electroluminescence device in which an organic thin film which is composed of one or more layers including at least a light-emitting layer is interposed between a cathode and an anode. Since at least one layer of the organic thin film contains a novel aromatic amine derivative, which has an asymmetric structure wherein two different amine units are bonded through a linking group, by itself or as a component of a mixture, molecules are hardly crystallized, thereby improving the production yield of the organic electroluminescence device. This organic electroluminescence device has a long life. | 09-13-2012 |
20120228595 | COMPOSITION FOR PRODUCING INSULATOR AND ORGANIC INSULATOR USING THE SAME - Disclosed herein is a composition for producing an insulator. More specifically, the composition comprises a silane-based organic-inorganic hybrid material containing one or more multiple bonds, an acrylic organic crosslinking agent and a silane-based crosslinking agent having six or more alkoxy groups. Also disclosed herein is an organic insulator produced using the insulator composition. The organic insulator is highly crosslinked to facilitate the fabrication of an organic thin film transistor in terms of processing. | 09-13-2012 |
20120228596 | LIGHT EMITTING PANEL DEVICE WHEREIN A PLURALITY OF PANELS RESPECTIVELY HAVING LIGHT EMITTING SECTIONS ARE CONNECTED, AND IMAGE DISPLAY DEVICE AND ILLUMINATING DEVICE PROVIDED WITH THE LIGHT EMITTING PANEL DEVICE | 09-13-2012 |
20120228597 | LIGHT-EMITTING ELECTROCHEMICAL DEVICE, A SYSTEM COMPRISING SUCH A DEVICE AND USE OF SUCH A DEVICE - A light-emitting device is provided having an anode, a cathode, and a light-emitting material contacting and separating the cathode and anode. Wherein the cathode includes a graphene and/or graphene oxide. | 09-13-2012 |
20120228598 | COMPOUND HAVING A SUBSTITUTED ANTHRACENE RING STRUCTURE AND PYRIDOINDOLE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to a compound having a substituted anthracene ring structure and a pyridoindole ring structure, which is represented by the following general formula (1) or the following general formula (2); and an organic electroluminescent device having a pair of electrodes and at least one organic layer interposed therebetween, in which the compound having a substituted anthracene ring structure and a pyridoindole ring structure, which is represented by the following general formula (1) or the following general formula (2), is used as a constituent material of the aforementioned at least one organic layer. | 09-13-2012 |
20120228599 | ORGANIC ELECTROLUMINESCENT DEVICES - This invention relates to an organic electroluminescent device ( | 09-13-2012 |
20120228600 | ORGANIC ELECTROLUMINESCENT DEVICES - The invention relates to an organic electroluminescent device ( | 09-13-2012 |
20120228601 | ORGANIC ELECTROLUMINESCENCE ELEMENT, METHOD FOR PRODUCING ORGANIC ELECTROLUMINESCENCE ELEMENT, AND ILLUMINATION DEVICE USING ORGANIC ELECTROLUMINESCENCE ELEMENT - Provided is an organic electroluminescence element containing a light transmissive base material laminated thereon a transparent electrode, a light emitting layer and a counter electrode in this order, wherein the light transmissive base material contains a light transmissive resin substrate (resin substrate B) provided with a hard coat layer on both surfaces of the light transmissive resin substrate, the hard coat layers containing metal oxide nano particles; and the transparent electrode is formed on one hard coat layer (H1); and a rugged structure is formed on one surface of the other hard coat layer (H2), the one surface being opposite to another surface of the other hard coat layer (H2) which is contacted with the light transmissive resin substrate (resin substrate B). | 09-13-2012 |
20120228602 | ORGANIC EL DEVICE AND METHOD FOR MANUFACTURING SAME - Disclosed is an organic EL device which comprises: a pixel electrode that is arranged on a substrate; a hole injection layer that is arranged on the pixel electrode and has a photocatalytic function; an organic functional layer that is formed on the hole injection layer by a coating method; a bank made of a fluorine-containing resin and defines the region where the organic functional layer is arranged; and a counter electrode that is arranged so as to cover the bank and the organic functional layer. Due to the photocatalytic function of the hole injection layer, the bank residuals can be removed by low-energy ultraviolet light that has a longer wavelength (300-400 nm) than conventional high-energy ultraviolet light that has a short wavelength (254 nm or 172 nm). | 09-13-2012 |
20120228603 | ORGANIC EL DISPLAY - An object of the present invention is to provide an organic EL display that has a reduced optical loss and high efficiency, and can be manufactured by an inexpensive method. The organic EL display of the present invention is formed by bonding an organic EL element substrate including a substrate, reflective electrode, organic EL layer, separation wall, barrier layer, transparent electrode, and color conversion layer; and a sealing substrate together, wherein: the reflective electrode includes a plurality of partial electrodes; the organic EL layer is formed on the reflective electrode and includes a plurality of parts separated by the separation wall; the transparent electrode is formed on the organic EL layer; the barrier layer covers the separation wall and the transparent electrode, and has a recessed part in a location corresponding to the reflective electrode; and the color conversion layer is formed in the recessed part. | 09-13-2012 |
20120235120 | VERTICAL ELECTRO-OPTICAL COMPONENT AND METHOD OF FABRICATING THE SAME - A vertical electro-optical component and a method for forming the same are provided. The vertical electro-optical component includes a substrate, a first electrode layer formed on the substrate, a patterned insulating layer formed on the first electrode layer, a metal layer formed on the patterned insulating layer, a semiconductor layer formed on the first electrode layer, and a second electrode layer formed on the semiconductor layer, wherein the semiconductor layer encapsulates the patterned insulating layer and the metal layer. The vertical electro-optical component thus has a low operational voltage of a vertical transistor and a high reaction speed of a photo diode, and may be used to form light-emitting transistors. | 09-20-2012 |
20120235121 | ORGANIC LIGHT EMITTING DEVICE AND METHOD FOR FORMING THE SAME - According to an embodiment of the disclosure, an organic light emitting device is provided, which includes: an inflexible tube comprising an external surface and an internal surface; a transparent conductive layer on the internal surface of the inflexible tube; an organic light emitting layer disposed in the inflexible tube and on the transparent conductive layer; and a conductive layer disposed in the inflexible tube and on the organic light emitting layer. According to an embodiment of the disclosure, a method for forming an organic light emitting device is also provided. | 09-20-2012 |
20120235122 | Organic Memory Element - The invention relates to an organic memory with an electrode and a counter-electrode, comprising at least one oxide layer, an electrically undoped organic layer and an electrically doped organic layer between the electrode and the counter-electrode, wherein the oxide layer is adjacent to the electrode and the undoped organic layer. | 09-20-2012 |
20120235123 | NOVEL ORGANIC ELECTROLUMINESCENT COMPOUNDS AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Provided are a novel organic electroluminescent compound and an organic electroluminescent device using the same. More particularly, the organic electroluminescent compound disclosed herein is represented by Chemical Formula 1: | 09-20-2012 |
20120235124 | Organic Optoelectronic Device and Method - An organic optoelectronic device, such as an organic light emitting device, includes an anode, a cathode and an active organic layer between the anode and the cathode. The cathode includes a layer including a complex salt, a first conductive layer, and a second conductive layer over the first conductive layer, and provides a stable device. | 09-20-2012 |
20120235125 | ORGANIC PHOTOVOLTAIC CELL INCORPORATING ELECTRON CONDUCTING EXCITON BLOCKING LAYERS - The present disclosure relates to photosensitive optoelectronic devices comprising a compound blocking layer located between an acceptor material and a cathode, the compound blocking layer comprising: at least one electron conducting material, and at least one wide-gap electron conducting exciton blocking layer. For example, 3,4,9,10 perylenetetracarboxylic bisbenzimidazole (PTCBI) and 1,4,5,8-napthalene-tetracarboxylic-dianhydride (NTCDA) function as electron conducting and exciton blocking layers when interposed between the acceptor layer and cathode. Both materials serve as efficient electron conductors, leading to a fill factor as high as 0.70. By using an NTCDA/PTCBI compound blocking layer structure increased power conversion efficiency is achieved, compared to an analogous device using a conventional blocking layers shown to conduct electrons via damage-induced midgap states. | 09-20-2012 |
20120235126 | Light-Emitting Device and Manufacturing Method Thereof - To provide a method for manufacturing light-emitting devices with different emission colors using EL layers formed using the same apparatus and condition, and a light-emitting device with high added value. A substrate in which a transparent conductive layer is formed in advance on a surface over which an EL layer is to be formed and another substrate in which a transparent conductive layer with a thickness different from that of the transparent conductive layer are prepared, and EL layers are formed over the substrates using the same apparatus and condition. Thus, even when the EL layers are formed using the same apparatus and condition, optical path lengths of the substrates can be different. | 09-20-2012 |
20120235127 | ORGANIC LIGHT-EMITTING DIODE, DISPLAY AND ILLUMINATING DEVICE - According to one embodiment, there is provided an organic light-emitting diode including an anode and a cathode which are arranged apart from each other, an emissive layer arranged between the anode and the cathode including a blue emissive layer located at the anode side and a green and red emissive layer located at the cathode side, the blue emissive layer containing a host material and a blue fluorescent dopant, and the green and red emissive layer containing a host material and a green phosphorescent dopant and/or a red phosphorescent dopant. | 09-20-2012 |
20120235128 | ELEMENT SUBSTRATE AND LIGHT EMITTING DEVICE - A light emitting device and an element substrate which are capable of suppressing variations in the luminance intensity of a light emitting element among pixels due to characteristic variations of a driving transistor without suppressing off-current of a switching transistor low and increasing storage capacity of a capacitor. According to the invention, a depletion mode transistor is used as a driving transistor. The gate of the driving transistor is fixed in its potential or connected to the source or drain thereof to operate in a saturation region with a constant current flow. A current controlling transistor which operates in a linear region is connected in series to the driving transistor, and a video signal for transmitting a light emission or non-emission of a pixel is inputted to the gate of the current controlling transistor through a switching transistor. | 09-20-2012 |
20120235129 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICES AND ORGANIC ELECTROLUMINESCENT DEVICES MADE BY USING THE SAME - A material for electroluminescent devices which comprises a compound in which a heterocyclic group having nitrogen is bonded to carbazolyl group and an organic electroluminescent device having at least one organic thin film layer which is sandwiched between the cathode and the anode and contains the above material in at least one layer, are provided. The material can provide organic electroluminescent devices emitting bluish light with a high purity of color. The organic electroluminescence device uses the material. | 09-20-2012 |
20120235130 | Stilbene Derivatives, Light-Emitting Element and Light-Emitting Device - The present invention provides a novel substance having an excellent color purity of blue, a light-emitting element and a light-emitting device using the novel substance. A stilbene derivative has a structure shown by the general formula (1). In the general formula (1), R | 09-20-2012 |
20120235131 | ORGANIC ELECTROLUMINESCENCE ELEMENT, MANUFACTURING METHOD THEREOF, AND ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic EL element ( | 09-20-2012 |
20120235132 | IRIDIUM COMPLEX COMPOUND, ORGANIC ELECTROLUMINESCENT ELEMENT, AND USES THEREOF - It is an object of the present invention to provide a red phosphorescent compound having a high emission quantum yield, which is used for producing organic EL elements. The red phosphorescent compound of the present invention is an iridium complex compound represented by the following formula (1). In the formula (1), L | 09-20-2012 |
20120235133 | ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device (organic EL device) which is improved in luminous efficiency, sufficiently secures driving stability, and has a simple configuration. The organic EL device of this invention comprises a light-emitting layer between an anode and a cathode piled one upon another on a substrate and the light-emitting layer contains a phosphorescent dopant and an unsymmetrical indolocarbazole compound as a host material. The unsymmetrical indolocarbazole compound has a structure in which two or more groups having an indolocarbazole structure are linked together by a linking group and at least one of the groups has an isomeric indolocarbazole skeleton different from those of the other groups. Examples of the unsymmetrical indolocarbazole compound include compounds represented by the following formula (2) wherein A is a substituent, each of R | 09-20-2012 |
20120235134 | NOVEL IRIDIUM COMPLEX AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A novel iridium complex includes a ligand including a phenyl ring and a pyrazole ring. The phenyl group is bonded to a triazine ring to form a backbone of the novel iridium complex. An organic light-emitting device includes the novel iridium complex. | 09-20-2012 |
20120235135 | NOVEL IRIDIUM COMPLEX, ORGANIC LIGHT-EMITTING DEVICE, AND IMAGE DISPLAY APPARATUS - There is provided a novel iridium complex having a small half-width of an emission spectrum and an organic light-emitting device that contains the iridium complex. There is provided a novel iridium complex that has a phenyl ring and a pyrazole ring as ligands and that has a basic skeleton in which the phenyl ring is bonded to a triazine ring. | 09-20-2012 |
20120235136 | ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device (organic EL device) which is improved in luminous efficiency, sufficiently secures driving stability, and has a simple configuration. The organic EL device of this invention comprises a light-emitting layer between an anode and a cathode piled one upon another on a substrate wherein the light-emitting layer contains a phosphorescent dopant and a 1,9-substituted carbazole compound as a host material. An example of the 1,9-substituted carbazole compound is represented by the following general formula (1). In formula (1), Ar is an aromatic hydrocarbon group or aromatic heterocyclic group; L is an aromatic hydrocarbon group or aromatic heterocyclic group; each of R | 09-20-2012 |
20120241725 | ORGANIC LIGHT-EMITTING DIODE, DISPLAY AND ILLUMINATING DEVICE - According to one embodiment, there is provided an organic light-emitting diode including an anode and a cathode which are arranged apart from each other, a red and green emissive layer on the anode side and a blue emissive layer on the cathode side, which are arranged to be separated from each other between the anode and the cathode, and a spacer layer which is inserted between the red and green emissive layer and the blue emissive layer, and consists of a hole transport material which prevents energy transfer from the blue emissive layer to the red and green emissive layer. The red and green emissive layer contains a host material having hole transportability, a red phosphorescent emitting dopant, and a green phosphorescent emitting dopant, and the blue emissive layer contains a host material having electron transportability and a blue fluorescent emitting dopant. | 09-27-2012 |
20120241726 | DISPLAY ELEMENT, DISPLAY DEVICE, AND ELECTRONIC APPARATUS - Disclosed herein is a display element, including plural light emitting units laminated through a connection layer between a first electrode and a second electrode. The connection layer contains therein at least one or more kinds of materials having a photoelectric conversion function. A display device includes plural display elements. Each of the display elements includes plural light emitting units laminated through a connection layer between a first electrode and a second electrode, and the connection layer contains therein at least one or more kinds of materials having a photoelectric conversion function. An electronic apparatus includes a display device including plural display elements and serving as a display portion. Each of the display elements includes plural light emitting units laminated through a connection layer between a first electrode and a second electrode, and the connection layer contains therein at least one or more kinds of materials having a photoelectric conversion function. | 09-27-2012 |
20120241727 | COMPOSITE MATERIAL, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - A composite material which includes an organic compound and an inorganic compound and has a high carrier-transport property is provided. A composite material having a good property of carrier injection into an organic compound is provided. A composite material in which light absorption due to charge-transfer interaction is unlikely to occur is provided. A composite material having a high visible-light-transmitting property is provided. A composite material including a hydrocarbon compound and an inorganic compound exhibiting an electron-accepting property with respect to the hydrocarbon compound is provided. The hydrocarbon compound has a substituent bonded to a naphthalene skeleton, a phenanthrene skeleton, or a triphenylene skeleton and has a molecular weight of 350 to 2000, and the substituent has one or more rings selected from a benzene ring, a naphthalene ring, a phenanthrene ring, and a triphenylene ring. | 09-27-2012 |
20120241728 | Anthracene Derivative, Light-Emitting Material, Material for Light-Emitting Element, Composition for Coating, Light-Emitting Element, Light-Emitting Device, and Electronic Device - An anthracene derivative represented by the general formula (G1) is provided. The anthracene derivative represented by the general formula (G1) is a novel anthracene derivative having a wide band gap. Further, the anthracene derivative has a large energy gap and can be very suitably used as a material for a light-emitting element which exhibits blue light emission. | 09-27-2012 |
20120241729 | Optoelectronic Organic Component and Method for the Production Thereof - Optoelectronic organic component, comprising: a first electrode, a first planarization layer which is disposed on the first electrode, a first injection layer which is disposed on the planarization layer, an organic functional layer which is disposed on the injection layer, a second electrode which is disposed on the organic functional layer,
| 09-27-2012 |
20120241730 | ORGANIC EL ELEMENT AND METHOD FOR MANUFACTURING ORGANIC EL ELEMENT - An organic El element includes an organic compound layer including at least an emissive layer interposed between a pair of electrodes, wherein the emissive layer includes a naphthacene derivative and an amount of a naphthacene precursor compound present in the emissive layer is 5.0 parts by weight or less with respect to 100 parts by weight of the naphthacene derivative. | 09-27-2012 |
20120241731 | LIGHTING DEVICE - The present invention provides a lighting device, including: a second OLED layer formed on a window; a solar cell formed on the second OLED layer; and a first OLED layer formed on the solar cell. | 09-27-2012 |
20120241732 | ORGANIC LIGHT-EMITTING MATERIAL AND ORGANIC LIGHT-EMITTING ELEMENT - Fluorescence-emitting material which improves luminous efficiency of an organic light-emitting element such as an organic EL element or an organic PL element and an organic light-emitting element using the fluorescence-emitting material. The fluorescence-emitting material includes a compound having an indolocarbazole skeleton represented by the following general formula (1), as defined in the specification. | 09-27-2012 |
20120248418 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic electroluminescent display device includes a first substrate including a display region including a plurality of pixel regions; a first electrode in each pixel region; an organic light emitting layer on the first electrode; a second electrode on the organic light emitting layer and in the display region, wherein the second electrode includes a first metal material having a first wt %, a first work function, and a first sheet resistance, and a second metal material having a second wt % less than the first wt %, a second work function less than the first work function, and a second sheet resistance greater than the first sheet resistance. | 10-04-2012 |
20120248419 | ORGANIC PHOTOSENSITIVE DEVICES COMPRISING ARYL SQUARAINES AND METHODS OF MAKING THE SAME - There is disclosed squaraine compounds of formula I: | 10-04-2012 |
20120248420 | PYRENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING THE SAME - A pyrene derivative represented by the following formula (1); wherein R | 10-04-2012 |
20120248421 | LIGHT-EMITTING ELEMENT - A light-emitting element having extremely high efficiency of approximately 25% is provided. The light-emitting element includes a light-emitting layer which contains a phosphorescent guest, an n-type host, and a p-type host, where the light-emitting layer is interposed between an n-type layer including the n-type host and a p-type layer including the p-type host, and where the n-type host and the p-type host are able to form an exciplex in the light-emitting layer. The light-emitting element exhibits an extremely high emission efficiency (power efficiency of 74.3 lm/W, external quantum efficiency of 24.5%, energy efficiency of 19.3%) at a low driving voltage (2.6 V) at which luminance of 1200 cd/m | 10-04-2012 |
20120248422 | OPTICAL SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - In a device having an anode electrode, an organic EL layer, and a cathode electrode formed on a substrate in this order from a main surface side of the substrate, and an encapsulating film provided on the substrate so as to cover the emission layer, the encapsulating film includes a laminated film obtained by alternately laminating buffer films serving as flattening films and barrier films having high moisture barrier property, and the flattening film and the barrier film include a silicon oxynitride film. In the manufacturing process of the device, the buffer film including silicon oxynitride is formed by an optical CVD method using vacuum ultraviolet light, and in this process, radical irradiation by remote plasma is performed during the irradiation of the vacuum ultraviolet light. | 10-04-2012 |
20120248423 | POLYMERIC MATERIAL AND POLYMERIC LUMINESCENT ELEMENT - A luminescent or charge-transporting polymer which has in the backbone optionally substituted fluorenediyl groups as repeating units and further has a functional side chain comprising at least one functional group selected from the group consisting of a hole-injection/transporting group containing one or more heteroatoms other than nitrogen or two or more nitrogen atoms, an electron-injection/transporting group containing one or more heteroatoms other than nitrogen or two or more nitrogen atoms, and a luminescent group comprising a fused aromatic hydrocarbon or heterocycle, characterized in that the functional group is directly bonded to the saturated carbon atom of any of the fluorenediyl groups or is bonded to any of the fluorenediyl groups through —R | 10-04-2012 |
20120248424 | ORGANIC ELECTROLUMINESCENT ELEMENT - Disclosed is a high-efficiency, long-life white-emission organic electroluminescent element, in particular, a white-emission organic electroluminescent element. The organic electroluminescent element of the present invention has a red phosphorescent light emitting-layer | 10-04-2012 |
20120248425 | Hybrid Layer Including Oxide Layer or Organic Layer and Organic Polymer Layer and Manufacturing Method Thereof - The present invention relates to a hybrid layer including an oxide layer or organic layer, and organic polymer layer, an insulating layer including the hybrid layer, and an electronic device such as an organic field-effect transistor. A hybrid layer according to the present invention may include an oxide layer or an organic layer, and an organic polymer layer chemically combined with the oxide layer or the organic layer. | 10-04-2012 |
20120248426 | AROMATIC AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT COMPRISING SAME - Provided is an aromatic amine derivative represented by the formula N(Ar | 10-04-2012 |
20120248427 | IRIDIUM COMPLEX AND ORGANIC LIGHT-EMITTING ELEMENT INCLUDING THE SAME - The present invention provides a novel iridium complex and an organic light-emitting element including the same. The novel iridium complex includes phenylpyrazole as a ligand and has a basic skeleton in which a pyrimidine ring is bonded to a phenyl ring. | 10-04-2012 |
20120248428 | THIENOPYRAZINE COMPOUND AND FIELD EFFECT TRANSISTOR CONTAINING THE SAME - A thienopyrazine compound which is useful for an organic semiconductor material. An organic field effect transistor, which includes an organic semiconductor layer that is easily produced from an organic semiconductor material containing the thienopyrazine compound by a coating method or a printing method, and which exhibits high carrier mobility and high on/off current ratio; and an organic field effect transistor which has ambipolar characteristics. The thienopyrazine compound is represented by chemical formula (I): | 10-04-2012 |
20120248429 | TRIPHENYLENE BASED AROMATIC COMPOUNDS AND OLEDS UTILIZING THE SAME - Disclosed is a triphenylene based aromatic compound, wherein a benzene center is substituted with a triphenylene group and another aromatic group such as triphenylenyl, pyrenyl, phenylvinyl, carbazolylphenyl, or arylanthryl in the meta position of the benzene center. The meta-substituted aromatic compound of the invention has better thermal stability (Tg) than the conventional para-substituted aromatic compound. The meta-substituted aromatic compound, served as a hole transporting layer or a host material applied in a light emitting layer in an OLED, is more preferable than the conventional para-substituted aromatic compound. | 10-04-2012 |
20120248430 | LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND ELECTRONIC DEVICE - One feature of the present invention is to provide a buffer layer made of a composite material for a light emitting element including aromatic hydrocarbon containing at least one vinyl skeleton and metal oxide in part of a light emitting substance containing layer, in the light emitting element formed by interposing the light emitting substance containing layer between a pair of electrodes. The composite material for a light emitting element for forming the buffer layer of the present invention has high conductivity and is superior in transparency. | 10-04-2012 |
20120256169 | SUBSTITUTED OLIGOAZACARBAZOLES FOR LIGHT EMITTING DIODES - Novel compounds containing substituted oligoazacarbazole chains are provided. These compounds are useful in organic light emitting devices, in particular as hosts in the emissive layer of such devices. | 10-11-2012 |
20120256170 | Organic Component and Method for the Production Thereof - A device comprising: a first substrate ( | 10-11-2012 |
20120256171 | PHOSPHINE OXIDE COMPOUND, ORGANIC ELECTROLUMINESCENCE ELEMENT, PRODUCTION METHOD AND USES THEREOF - A compound having a stable deposition rate suitable for forming an electron-transporting layer of an organic El element. The compound is represented by the following formula (1): | 10-11-2012 |
20120256172 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device sequentially includes an anode, an emitting layer, an electron-transporting region, and a cathode, the electron-transporting region including an electron-transporting material that includes a cyano group and an aromatic ring group. | 10-11-2012 |
20120256173 | CHARGE TRANSPORTING MATERIAL AND ORGANIC ELECTROLUMINESCENCE DEVICE - In order to provide an organic electroluminescence device with high luminous efficiency and good durability, the present invention provides a charge transporting material including a compound represented by Formula (Cz-1) wherein the content of a particular halogen-containing impurity in the charge transporting material is from 0.000% to 0.10% when the content is calculated as a proportion of the absorption intensity area of the impurity with respect to the total absorption intensity area of the charge transporting material, as measured by high-performance liquid chromatography at a measurement wavelength of 254 nm, and an organic electroluminescence device wherein the charge transporting material is included in an organic layer: | 10-11-2012 |
20120256174 | COMPOUND FOR AN ORGANIC PHOTOELECTRIC DEVICE, ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE ORGANIC PHOTOELECTRIC DEVICE - A compound for an organic photoelectric device, the compound being represented by Chemical Formula 1 or 2: | 10-11-2012 |
20120256175 | NANOTUBE ENABLED, GATE-VOLTAGE CONTROLLED LIGHT EMITTING DIODES - Embodiments of the invention relate to vertical field effect transistor that is a light emitting transistor. The light emitting transistor incorporates a gate electrode for providing a gate field, a first electrode comprising a dilute nanotube network for injecting a charge, a second electrode for injecting a complementary charge, and an electroluminescent semiconductor layer disposed intermediate the nanotube network and the electron injecting layer. The charge injection is modulated by the gate field. The holes and electrons, combine to form photons, thereby causing the electroluminescent semiconductor layer to emit visible light. In other embodiments of the invention a vertical field effect transistor that employs an electrode comprising a conductive material with a low density of states such that the transistors contact barrier modulation comprises barrier height lowering of the Schottky contact between the electrode with a low density of states and the adjacent semiconductor by a Fermi level shift. | 10-11-2012 |
20120261648 | SEMICONDUCTOR COMPOSITION - An electronic device, such as a thin-film transistor, includes a semiconducting layer formed from a semiconductor composition. The semiconductor composition comprises a polymer binder and a small molecule semiconductor. The small molecule semiconductor in the semiconducting layer has a crystallite size of less than 100 nanometers. Devices formed from the composition exhibit high mobility and excellent stability. | 10-18-2012 |
20120261649 | Image Sensor - An example embodiment of the image sensor includes a light-sensing device including a first electrode, a second electrode disposed opposite to the first electrode, and a photoelectric conversion layer positioned between the first electrode and the second electrode. The photoelectric conversion layer includes a block copolymer including electron donating blocks and electron accepting blocks. The electron donating blocks are deposited together and connected to the first electrode and the second electrode. The electron accepting blocks are deposited together and connected to the first electrode and the second electrode. A color filter may be positioned on the second electrode of the light-sensing device. | 10-18-2012 |
20120261650 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device comprising: a pair of electrodes comprising an anode and a cathode, and one or more layers arranged between the anode and the cathode; wherein at least one of the one or more layers is a light emitting layer and wherein the one or more layers, comprises an organic compound represented by a substituted 2,2′-biimidazole. The substitutents on the biimidazole may be selected form a range of suitable substituents, including: hydrogen, a substituted or unsubstituted heterocyclic group, a substituted or unsubstituted alkyl group, a substituted or unsubstituted aralkyl group, a substituted aryl group containing at least one atom selected from the group consisting of N, O, S, Si, P, F, Cl, Br; and/or comprising at least three organic rings, the organic rings being fused or non-fused, or a number of the adjacent substituent sites may together form a substituted or unsubstituted cyclic group; furthermore some substituents may be the same or different and may be selected from the group consisting of: hydrogen, deuterium, a substituted or unsubstituted alkyl group, a halogen atom or a cyano group. | 10-18-2012 |
20120261651 | ORGANIC ELECTROLUMINESCENT ELEMENT AND NOVEL ALCOHOL-SOLUBLE PHOSPHORESCENT MATERIAL - Object of the present invention is to provide an organic electroluminescent element having an emissive layer that may be formed by wet process in the fabrication of the organic electroluminescence device with multi-layer structure and has excellent electron-injection property, electron-transfer property, durability and luminescent efficiency and a novel alcohol-soluble organic phosphorescent material that may be preferably applicable to the fabrication of the same. An organic electroluminescent element | 10-18-2012 |
20120261652 | Electro-Optical, Organic Semiconductor Component and Method for the Production Thereof - The invention relates to an electro-optical, organic semiconductor component with a flat arrangement of stacked, organic layers. The invention further relates to a method for producing an electro-optical, organic semiconductor component. | 10-18-2012 |
20120261653 | ORGANIC ELECTROLUMINESCENCE ELEMENT, MANUFACTURING METHOD THEREOF, AND ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic EL element ( | 10-18-2012 |
20120261654 | ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE AND LIGHTING DEVICE - Provided is an organic electroluminescent element comprising a substrate having thereon an anode, a cathode, and a plurality of organic layers sandwiched between the anode and the cathode,
| 10-18-2012 |
20120267610 | Organic light emitting device - An organic light emitting device may include an emission layer between a reflecting electrode and one of a transmitting or transflective electrode, and an optical control layer formed with an organic material that is 5000 to 10,000 Å thick between the transmitting or transflective electrode and the emission layer. | 10-25-2012 |
20120267611 | Organic light-emitting display device - Embodiments may disclose an organic light-emitting display device including a first substrate including a pixel area emitting light in a first direction, and a transmittance area that is adjacent to the pixel area and transmits external light; a second substrate facing the first substrate and encapsulating a pixel on the first substrate; an optical pattern array on the first substrate or the second substrate to correspond to the transmittance area, the optical pattern array being configured to transmit or block external light depending on the transmittance area according to a coded pattern; and a sensor array corresponding to the optical pattern array, the sensor array being arranged in a second direction that is opposite to the first direction in which the light is emitted, the second array receiving the external light passing through the optical pattern array. | 10-25-2012 |
20120267612 | CROSS-LINKABLE COPPER PHTHALOCYANINE COMPLEXES - Cross-linkable copper complexes comprising a copper phthalocyanine core and one or more cross-linkable functionalities linked to the phthalocyanine core. The copper complex may have a spacer group with the one or more cross-linkable functionalities on the spacer group. The spacer group contains a chain or one or more aryl groups. These cross-linkable copper complexes may be used in making organic electronic devices, such as OLEDs, by solution processing techniques. | 10-25-2012 |
20120267613 | ORGANIC LIGHT-EMITTING DEVICE - A heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the heterocyclic compound: | 10-25-2012 |
20120267614 | LIGHT EMITTING ELEMENT, METHOD FOR MANUFACTURING LIGHT EMITTING ELEMENT, IMAGE DISPLAY DEVICE, AND ILLUMINATING DEVICE - Provided is a light-emitting element having light emitting sections ( | 10-25-2012 |
20120267615 | THIADIAZOLE-BASED COMPOUND, LIGHT EMITTING ELEMENT COMPOUND, LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AUTHENTICATION DEVICE, AND ELECTRONIC DEVICE - Provided is a thiadiazole compound with high efficiency and long life which emits light in a near-infrared region and represented by Formula (I). | 10-25-2012 |
20120267616 | METHOD FOR PREPARING MULTILAYER OF NANOCRYSTALS, AND ORGANIC-INORGANIC HYBRID ELECTROLUMINESCENCE DEVICE COMPRISING MULTILAYER OF NANOCRYSTALS PREPARED BY THE METHOD - Disclosed herein is a method for preparing a multilayer of nanocrystals. The method comprises the steps of (i) coating nanocrystals surface-coordinated by a photosensitive compound, or a mixed solution of a photosensitive compound and nanocrystals surface-coordinated by a material miscible with the photosensitive compound, on a substrate, drying the coated substrate, and exposing the dried substrate to UV light to form a first monolayer of nanocrystals, and (ii) repeating the procedure of step (i) to form one or more monolayers of nanocrystals on the first monolayer of nanocrystals. | 10-25-2012 |
20120267617 | ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to organic electroluminescent devices which, in addition to the emitting layer, have a further layer which comprises the same materials as the emitting layer. | 10-25-2012 |
20120267618 | Multilayer Organic Device - In a multilayer organic device ( | 10-25-2012 |
20120267619 | ORGANIC ELECTROLUMINESCENT ELEMENT, METHOD FOR MANUFACTURING SAME, AND ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic EL element ( | 10-25-2012 |
20120267620 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE, ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE ORGANIC PHOTOELECTRIC DEVICE - A compound for an organic photoelectric device, an organic photoelectric device including the same, and a display device including the organic photoelectric device, the compound being represented by the following Chemical Formula 1: | 10-25-2012 |
20120273764 | COMPOSITION FOR ORGANIC PHOTOELECTRIC DEVICE, ORGANIC PHOTOELECTRIC DEVICE USING THE SAME, AND DISPLAY DEVICE INCLUDING THE SAME - A composition for an organic photoelectric device, the composition including a first host compound including substituents represented by the following Chemical Formulas 1 to 3 sequentially combined; and a second host compound represented by the following Chemical Formula 4, | 11-01-2012 |
20120273765 | LIGHT EMITTING DEVICES AND COMPOSITIONS - A light emitting composition includes a light-emitting lumophore-functionalized nanoparticle, such as an organic-inorganic light-emitting lumophore-functionalized nanoparticle. A light emitting device includes an anode, a cathode, and a layer containing such a light-emitting composition. In an embodiment, the light emitting device can emit white light. | 11-01-2012 |
20120273766 | AROMATIC HETEROCYCLIC DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - An aromatic heterocyclic derivative represented by the following formula (1), a material for an organic electroluminescence device and an organic electroluminescence device including these: | 11-01-2012 |
20120273767 | ORGANIC ELECTROLUMINESCENT DEVICE - A high-efficiency, high-durability organic electroluminescent device, particularly a phosphorescent organic electroluminescent device is provided by using an organic compound of excellent characteristics that exhibits excellent hole-injecting/transporting performance and has high triplet exciton confining capability with an electron blocking ability, and that has high stability in the thin-film state and high luminous efficiency. | 11-01-2012 |
20120273768 | HETEROCYCLE-CONTAINING ASYMMETRIC AROMATIC COMPOUND, COMPOUND FOR ORGANIC THIN FILM TRANSISTOR, AND ORGANIC THIN FILM TRANSISTOR USING THE SAME - A compound represented by the following formula (I), provided that the compound in which all of R | 11-01-2012 |
20120273769 | NOVEL IRIDIUM COMPLEX, ORGANIC LIGHT-EMITTING DEVICE, AND IMAGE DISPLAY APPARATUS - There is provided a novel iridium complex having a small half-width of an emission spectrum and an organic light-emitting device that contains the iridium complex. There is provided a novel iridium complex that has a phenyl ring and an imidazole ring as ligands and that has a basic skeleton in which the phenyl ring is bonded to a triazine ring. | 11-01-2012 |
20120273770 | POLYCYCLIC RING-FUSED COMPOUND AND ORGANIC THIN FILM TRANSISTOR UTILIZING SAME - A compound for an organic thin film transistor represented by the following formula (1): | 11-01-2012 |
20120273771 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device is represented by Chemical Formula 1, | 11-01-2012 |
20120273772 | CHARGE TRANSPORT LAYERS AND ORGANIC ELECTRON DEVICES COMPRISING SAME - Provided are organic n-doped electron transport layers comprising at least one electron transport material and at least one electron rich dopant material and organic p-doped hole transport layers comprising at least one hole transport material and at least one electron deficient dopant material. | 11-01-2012 |
20120280214 | ORGANIC EL ELEMENT HAVING CATHODE BUFFER LAYER - An organic EL element having at least a cathode, a cathode buffer layer comprising a HAT derivative, an electron injection transport layer and a light-emitting layer in that order from a substrate side. The organic EL element of the present invention provides the particular advantages of (1) preventing damage to the electron injection transport performance of the electron injection transport layer due to oxygen and/or moisture adsorbed on the cathode, thereby ensuring a supply of electrons to the light-emitting layer, (2) reducing the drive voltage of the organic EL element, (3) preventing an increase in the drive voltage to provide the same current density over the course of the drive time, and (4) controlling the occurrence of current leaks and pixel defects, thereby improving the quality and manufacturing yield of the organic EL element. | 11-08-2012 |
20120280215 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus including: a substrate; a plurality of pixels on a first surface of the substrate, each pixel of the pixels having a first region in which visible rays are emitted and a second region through which external light penetrates, such that the plurality of pixels provide a plurality of first and second regions; a plurality of pixel circuit units in the first region of each pixel, each pixel circuit unit of the pixel circuit units including at least one thin film transistor (TFT); a plurality of first electrodes independently disposed in the first region of each pixel, each first electrode of the first electrodes being electrically connected to each pixel circuit unit; a second electrode facing the first electrodes, the second electrode being electrically connected throughout the pixels; and an intermediate layer including an organic emitting layer between the first electrodes and the second electrode. | 11-08-2012 |
20120280216 | PATTERNING - The present invention provides a method of patterning an electronic or photonic material on a substrate comprising: forming a film (polymer A) of said electronic or photonic material on said substrate; and using a fluoropolymer (e.g. cytop) to protect regions of said electronic or photonic material during a patterning process. | 11-08-2012 |
20120280217 | ELECTRODE FOIL AND ORGANIC DEVICE - There are provided an electrode foil which has both the functions of a supporting base material and a reflective electrode and also has a superior thermal conductivity and heat resistance; and an organic device using the same. The electrode foil comprises a metal foil; a diffusion prevention layer for preventing diffusion of metal derived from the metal foil, the diffusion prevention layer being provided directly on the metal foil; and a reflective layer provided directly on the diffusion prevention layer. | 11-08-2012 |
20120280218 | ORGANIC ELECTROLUMINESCENCE DEVICE - As an organic electroluminescence device that exhibits superior external quantum efficiency and durability during driving at high temperature, and small variation in chromaticity and small increase in voltage after driving at high temperatures and has long lifespan, it is provided that the organic electroluminescence device including on a substrate a pair of electrodes and at least one layer of an organic layer including a light emitting layer disposed between the electrodes, wherein the light emitting layer contains at least one specific iridium complex and any layer of the at least one layer of an organic layer contains at least one compound represented by Formula (1): | 11-08-2012 |
20120280219 | LIGHT EMITTING ELEMENT - An object of the present invention is to provide a material which does not substantially have a hole injection barrier from an electrode. A composite material containing an organic compound and an inorganic compound, in which measured current-voltage characteristics of a thin-film layer formed from the composite material which is sandwiched between a pair of electrodes each having a work function of 3.5 eV to 5.5 eV follow Formula (1) below, is manufactured. | 11-08-2012 |
20120280220 | Organometallic Complex, and Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device Using the Organometallic Complex - To provide a novel organometallic complex capable of emitting phosphorescence by using an organic compound with which a variety of derivatives can be easily synthesized as a ligand. In addition, to provide an organometallic complex which exhibits red emission. To provide an organometallic complex formed by ortho-metalation of an m-alkoxyphenyl pyrazine derivative represented by General Formula (G0) below with respect to an ion of a metal belonging to Group 9 or Group 10. In addition, to provide an organometallic complex which exhibits red emission formed by ortho-metalation of an m-alkoxyphenyl pyrazine derivative represented by General Formula (G0) below with respect to an ion of a metal belonging to Group 9 or Group 10. | 11-08-2012 |
20120280221 | MATERIAL FOR ORGANIC PHOTOELECTRIC DEVICE INCLUDING ELECTRON TRANSPORTING UNIT AND HOLE TRANSPORTING UNIT, AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A material for an organic photoelectric device, the material including a compound including a pyridine ( | 11-08-2012 |
20120280222 | FABRICATION METHOD FOR ORGANIC ELECTRONIC DEVICE AND ORGANIC ELECTRONIC DEVICE FABRICATED BY THE SAME METHOD - The present invention provides a fabrication method for an organic electronic device comprising a step of stacking sequentially a first electrode made of a metal, one or more organic material layers, and a second electrode on a substrate, wherein the method comprises the steps of: 1) forming a layer on the first electrode using a metal having a higher oxidation rate than the first electrode before forming the organic material layer, 2) treating the layer formed using a metal having a higher oxidation rate than the first electrode with oxygen plasma to form a metal oxide layer, and 3) treating the metal oxide layer with inert gas plasma to remove a native oxide layer on the first electrode, and an organic electronic device fabricated by the same method. | 11-08-2012 |
20120286244 | CARBON FIELD EFFECT TRANSISTORS HAVING CHARGED MONOLAYERS TO REDUCE PARASITIC RESISTANCE - Carbon transistor devices having channels formed from carbon nanostructures, such as carbon nanotubes or graphene, and having charged monolayers to reduce parasitic resistance in un-gated regions of the channels, and methods for fabricating carbon transistor devices having charged monolayers to reduce parasitic resistance. For example, a carbon field effect transistor includes a channel comprising a carbon nanostructure formed on an insulating layer, a gate structure formed on the channel, a monolayer of DNA conformally covering the gate structure and a portion of the channel adjacent the gate structure, an insulating spacer conformally formed on the monolayer of DNA, and source and drain contacts connected by the channel | 11-15-2012 |
20120286245 | Dynamic OLED Lighting - A flexible OLED is provided. The substrate on which the flexible OLED is deposited on may be expanded without plastic deformation. | 11-15-2012 |
20120286246 | CONDENSED-CYCLIC COMPOUND, ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME, AND FLAT PANEL DISPLAY APPARATUS - A condensed-cyclic compound represented by Formula 1 below, an organic light-emitting device including the same, and a flat panel display apparatus including the organic light-emitting device: | 11-15-2012 |
20120286247 | CONDENSED-CYCLIC COMPOUND, ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME, AND FLAT PANEL DISPLAY APPARATUS - A condensed-cyclic compound represented by Formula 1 below, an organic light-emitting device including the same, and a flat panel display apparatus including the organic light-emitting device: | 11-15-2012 |
20120286248 | ORGANIC LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - There are provided an organic light emitting diode and a method of manufacturing the same. The organic light emitting diode includes: an anode formed on a substrate; a protective layer having at least one inorganic material layer stacked therein, the at least one inorganic material layer containing at least one of a nano-clay and a graphite oxide; a light emitting polymer layer formed on the protective layer; and a cathode formed on the light emitting polymer layer. In the case of the organic light emitting diode, the injection concentration of holes may be controlled, such that the stability of an element may be improved and the lifespan thereof may be increased. | 11-15-2012 |
20120286249 | CONDENSED-CYCLIC COMPOUND, ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME, AND FLAT PANEL DISPLAY APPARATUS INCLUDING THE DEVICE - A condensed-cyclic compound is represented by Formula 1 below. An organic light-emitting device includes the condensed-cyclic compound. A flat panel display apparatus includes the organic light-emitting device. | 11-15-2012 |
20120286250 | MANUFACTURING METHOD FOR SUBSTRATE WITH ELECTRODE ATTACHED - A process for producing a substrate with electrode for an organic electroluminescent device comprising a low-refractive index layer, a functional layer, and a transparent electrode that are laminated in this order, the substrate being for an organic electroluminescent device wherein the refractive index n1 of the electrode, the refractive index n2 of the functional layer, and the refractive index n3 of the low-refractive index layer satisfy the following formula (1): | 11-15-2012 |
20120286251 | NOVEL COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Embodiments of the present invention are directed to a compound represented by Formula 1, and an organic light-emitting device including an organic film that includes the compound of Formula 1: | 11-15-2012 |
20120286252 | Light-Emitting Element and Light-Emitting Device - Provided are a light-emitting element capable of reducing power consumption by increasing its light extraction efficiency and a light-emitting device using the light-emitting element. A light-emitting element includes a composite material, which contains an organic compound having a high hole-transport property and an electron acceptor and in which the spin density measured by an electron spin resonance (ESR) method is less than or equal to 1×10 | 11-15-2012 |
20120286253 | Organic Electronic Device and Method for the Production Thereof - An organic electronic device comprising: a substrate; ( | 11-15-2012 |
20120286254 | ELECTRONIC DEVICE COMPRISING METAL COMPLEXES - The present invention relates to electronic devices, in particular organic electroluminescent devices, comprising metal complexes of the formula (1), and to the preferred metal complexes. | 11-15-2012 |
20120286255 | ORGANIC EL ELEMENT AND ORGANIC EL PANEL - An organic EL element having a reflective layer, a first electrode, a light-emitting layer, a second electrode, and a semi-transparent reflective layer disposed in that order. The semi-transparent reflective layer comprises an optical adjustment layer formed of an insulating material which is provided so as to contact said second electrode on an opposite side from said light-emitting layer, and said optical adjustment layer has a refractive index at a wavelength of 450 nm of not less than 1.915, and has an optical film thickness, calculated as an arithmetic product of said refractive index and a film thickness, of not less than 70.174 nm and not more than 140.347 nm. | 11-15-2012 |
20120286256 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, AND ELECTRONIC APPLIANCE - An object of the present invention is to provide a light-emitting element with high luminous efficiency, and a light-emitting element of low-voltage driving. Another object is to provide a light-emitting device with low power consumption by using the light-emitting element. Another object is to provide an electronic appliance with low power consumption by using the light-emitting device in a display portion. A light-emitting element includes, between a pair of electrodes, a layer containing a composite material of a first organic compound and an inorganic compound and a layer containing a second organic compound being in contact with the layer containing the composite material, wherein the second organic compound does not have a peak of an absorption spectrum in a wavelength region of 450 to 800 nm if the second organic compound is compounded with the inorganic compound. | 11-15-2012 |
20120286257 | Quinoxaline Derivative, and Organic Semiconductor Device, Electric Field Light Emitting Device, and Electronic Device Which have the Same - Target is to provide an organic compound material having a bipolar character. | 11-15-2012 |
20120286258 | ORGANIC ELECTROLUMINESCENT ELEMENT AND LIGHTING DEVICE - An organic EL device ( | 11-15-2012 |
20120292599 | CHARGE TRANSPORT MOLECULE GRADIENT - The present embodiments are generally directed to layers that are useful in imaging apparatus members and components, for use in electrophotographic, including digital, apparatuses. More particularly, the embodiments pertain to an electrophotographic imaging member having a charge transport layer in which a charge transport molecule (CTM) concentration gradient is formed through a single coating pass using only a single charge transport layer solution, and time-of-flight based methods of measuring the CTM gradient through the thickness of the charge transport layer. | 11-22-2012 |
20120292600 | PHOSPHORESCENT HETEROLEPTIC PHENYLBENZIMIDAZOLE DOPANTS - Novel phosphorescent heteroleptic iridium complexes with benzimidazole and phenylpyridine ligands are provided. These iridium complexes can improve OLED properties, and are useful in white light applications. | 11-22-2012 |
20120292601 | PHOSPHORESCENT HETEROLEPTIC PHENYLBENZIMIDAZOLE DOPANTS AND NEW SYNTHETIC METHODOLOGY - Novel heteroleptic iridium complexes are provided. These iridium complexes are useful compounds in OLED devices. The ligands for these novel complexes may be obtained using a new synthetic methodology that utilizes manganese dioxide. | 11-22-2012 |
20120292602 | SELF-ALIGNED CARBON ELECTRONICS WITH EMBEDDED GATE ELECTRODE - A device and method for device fabrication includes forming a buried gate electrode in a dielectric substrate and patterning a stack comprising a high dielectric constant layer, a carbon-based semi-conductive layer and a protection layer over the buried gate electrode. An isolation dielectric layer formed over the stack is opened to define recesses in regions adjacent to the stack. The recesses are etched to form cavities and remove a portion of the high dielectric constant layer to expose the carbon-based semi-conductive layer on opposite sides of the buried gate electrode. A conductive material is deposited in the cavities to form self-aligned source and drain regions. | 11-22-2012 |
20120292603 | Heterocyclic compound, organic light-emitting device including the heterocyclic compound, and flat display device including the organic light-emitting device - A heterocyclic compound includes compounds represented by Formula 1 or Formula 2 below: | 11-22-2012 |
20120292604 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device is provided. The organic light emitting device includes a substrate, at least one organic scattering layer, a first electrode layer, an organic light emitting layer, and a second electrode layer. The organic scattering layer is disposed on a surface of the substrate, and a glass transition temperature Tg of a material of the organic scattering layer is lower than | 11-22-2012 |
20120292605 | ORGANIC LIGHT EMITTING DIODE DEVICE - In one aspect, an organic light emitting diode device that includes a first electrode, a second electrode facing the first electrode, an emission layer interposed between the first electrode and the second electrode and an auxiliary layer interposed between either one of the first electrode and the second electrode and the emission layer, wherein the auxiliary layer includes a substituted or unsubstituted pyrene-based compound having at least one appended phenyl group is provided. | 11-22-2012 |
20120292606 | AROMATIC AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT - An aromatic amine derivative including a substituent A and a substituent B each represented by the formula (1) or (2) and having an arylene group bound to a carbazole structure, in which the substituent A and the substituent B include groups different from each other in the position at which the arylene group is bonded to the carbazole structure, and the substituent A and the substituent B are bonded to the same nitrogen atom or different nitrogen atoms in the molecule; an organic electroluminescent device including an organic thin-film layer formed of one or more layers including at least a light emitting layer, the organic thin-film layer being interposed between a cathode and a anode, in which at least one layer of the organic thin-film layer contains the aromatic amine derivative, and the molecules are rarely crystallized. | 11-22-2012 |
20120292607 | ORGANIC ELECTROLUMINESCENCE DEVICE - As an organic electroluminescence device that exhibits superior external quantum efficiency and durability during driving at high temperature, and small variation in chromaticity and small increase in voltage after high-temperature driving, it is provided that the organic electroluminescence device including on a substrate a pair of electrodes and at least one layer of an organic layer including a light emitting layer disposed between the electrodes, wherein the light emitting layer contains at least one specific blue phosphorescent iridium complex and any layer of the at least one layer of an organic layer contains at least one compound represented by Formula (1): | 11-22-2012 |
20120292608 | ORGANIC ELECTROLUMINESCENCE ELEMENT - A light emitting organic thin film including at least one compound represented by Formula (PQ-1) and at least one compound represented by Formula (BN-1). | 11-22-2012 |
20120292609 | COMPOUND HAVING TRIPHENYLAMINE STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - There is provided an organic compound of excellent characteristics that exhibits excellent hole-injecting/transporting performance and has an electron blocking ability and a highly stable thin-film state with excellent heat resistance. The compound of the present invention is an arylamine compound having a triphenylamine structure. The arylamine compound is used as a constituent material of at least one organic layer in an organic electroluminescent device that includes a pair of electrodes, and one or more organic layers sandwiched between the pair of electrodes. | 11-22-2012 |
20120298966 | Host materials for oled - Novel aryl silicon and aryl germanium host materials are described. These compounds improve OLED device performance when used as hosts in the emissive layer of the OLED. | 11-29-2012 |
20120298967 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device is provided and suitable for being disposed on a substrate. The organic light emitting device includes a cathode layer, a buffer layer, a material layer, an organic light emitting layer and an anode layer. The cathode layer is disposed on the substrate. The buffer layer is disposed on and contacts the cathode layer, and the cathode layer is disposed between the substrate and the buffer layer. The material layer is disposed on and contacts the buffer layer, and the buffer layer is disposed between the cathode layer and the material layer, wherein a difference between a lowest unoccupied molecular orbital of the buffer layer and a highest occupied molecular orbital of the material layer is smaller than 2 eV. The organic light emitting layer is disposed on the material layer. The anode layer is disposed on the organic light emitting layer. | 11-29-2012 |
20120298968 | ORGANIC LIGHT-EMITTING DEVICE - Provided is an organic light-emitting device including a plurality of pixels, each including a first sub-pixel, a second sub-pixel, and a third sub-pixel having different colors from each other. Each of the pixels includes a substrate, a first electrode layer on the substrate, a first light-emitting layer disposed on the first electrode in the first, second and third sub-pixels, an auxiliary layer disposed on the first light-emitting layer in the second and third sub-pixels, a second light-emitting layer disposed on the auxiliary layer in the second sub-pixel, a third light-emitting layer disposed on the auxiliary layer in the third sub-pixel, and a second electrode layer on the first, second, and third light-emitting layers. | 11-29-2012 |
20120298969 | COMPOUND HAVING PYRIDOINDOLE RING STRUCTURE HAVING SUBSTITUTED PYRIDYL GROUP ATTACHED THERETO, AND ORGANIC ELECTROLUMINESCENCE ELEMENT - The invention relates to a compound having a pyridoindole ring structure having a substituted pyridyl group of formula (1); and to an organic electroluminescent device comprising a pair of electrodes and at least one organic layer interposed between the electrodes, wherein at least one of the organic layer(s) contains the compound: | 11-29-2012 |
20120298970 | Patterning Slit Sheet Assembly, Organic Layer Deposition Apparatus, Method of Manufacturing Organic Light-Emitting Display Apparatus, and the Organic Light-Emitting Display Apparatus - A patterning slit sheet assembly to perform a deposition process to deposit a thin film on a substrate in a fine pattern. A patterning slit sheet assembly includes a patterning slit sheet including a slit unit, and a non-slit region that is located along edges of the slit unit, the patterning slit sheet being smaller than the substrate in at least one of a first direction or a second direction perpendicular to the first direction; a frame combined with the patterning slit sheet to support the patterning slit sheet; and a shielding unit extending on at least one inner side of the frame and overlapping an area including the edges of the slit unit. | 11-29-2012 |
20120298971 | ELECTRODE AND ELECTRONIC DEVICE COMPRISING THE SAME - A graphene electrode having a surface modified to have a high work function, and an electronic device including the same. | 11-29-2012 |
20120298972 | ORGANIC TFT ARRAY SUBSTRATE AND MANUFACTURE METHOD THEREOF - According to an embodiment of the disclosed technology, a manufacture method of an organic thin film transistor array substrate is provided. The method comprises: forming a first pixel electrode, a source electrode, a drain electrode and a data line in a first patterning process; forming an organic semiconductor island and a gate insulating island in a second patterning process; forming a data pad region in a third patterning process; and forming a second pixel electrode, a gate electrode and a gate line in a fourth patterning process. | 11-29-2012 |
20120298973 | Method of Manufacturing Light-Emitting Device and Light-Emitting Device - A highly reliable light-emitting device is provided. A light-emitting device in which problems due to a metal mask are prevented is provided. A light-emitting device in which a problem due to the resistance of an upper electrode layer of a light-emitting element is prevented is provided. An electrode layer is provided over a substrate in advance, and an EL layer and an upper electrode layer are formed in the same pattern without use of a metal mask so as to overlap with the electrode layer. After that, the electrode layer is electrically connected to the upper electrode layer. As a connection method, a laser light irradiation method, a method in which physical pressure is applied, a method in which heating is performed under the state where physical pressure is applied, or the like is used. | 11-29-2012 |
20120298974 | SIMPLIFIED ORGANIC ELECTRONIC DEVICE EMPLOYING POLYMERIC ANODE WITH HIGH WORK FUNCTION - An electronic device employing a polymeric anode with high work function. | 11-29-2012 |
20120298975 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICES AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE MATERIAL - A material for organic electroluminescence devices comprising a compound in which a heterocyclic group having nitrogen is bonded to an arylcarbazolyl group or a carbazolylalkylene group and an organic electroluminescence device comprising an anode, a cathode and an organic thin film layer comprising at least one layer and disposed between the anode and the cathode, wherein at least one layer in the organic thin film layer comprises the material for organic electroluminescence devices described above. The material can provide an organic electroluminescence device emitting bluish light with a high purity of color. The organic electroluminescence device uses the material. | 11-29-2012 |
20120298976 | N-Type Materials And Organic Electronic Devices - There is presently provided organic compounds of formula I, n-type acceptor materials derived from such compounds and devices comprising such n-type acceptor materials. | 11-29-2012 |
20120298977 | ORGANIC ELECTROLUMINESCENCE DEVICE - Provided is an organic electroluminescence device including a pair of electrodes composed of an anode and a cathode, a light emitting layer between the electrodes and an organic layer which is adjacent to the light emitting layer between the light emitting layer and the cathode, on a substrate, the light emitting layer contains at least one compound having a carbazole structure and the organic layer adjacent to the light emitting layer contains at least one hydrocarbon compound which is composed only of carbon atoms and hydrogen atoms, has a molecular weight in a range of 400 to 1,200, and contains a condensed polycyclic structure with a total carbon number of 13 to 22. | 11-29-2012 |
20120298978 | LIGHT EMITTING DEVICE AND ELECTRONIC APPLIANCE USING THE SAME - A light emitting device comprises a pair of electrodes and a mixed layer provided between the pair of electrodes. The mixed layer contains an organic compound which contains no nitrogen atoms, i.e., an organic compound which dose not have an arylamine skeleton, and a metal oxide. As the organic compound, an aromatic hydrocarbon having an anthracene skeleton is preferably used. As such an aromatic hydrocarbon, t-BuDNA, DPAnth, DPPA, DNA, DMNA, t-BuDBA, and the like are listed. As the metal oxide, molybdenum oxide, vanadium oxide, ruthenium oxide, rhenium oxide, and the like are preferably used. Further, the mixed layer preferably shows absorbance per 1 μm of 1 or less or does not show a distinct absorption peak in a spectrum of 450 to 650 nm when an absorption spectrum is measured. | 11-29-2012 |
20120298979 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device includes: a pair of electrodes; and at least one organic compound layer therebetween, the at least one organic compound layer comprises at least a light-emitting layer, wherein at least one of the at least one organic compound layer comprises at least one compound represented by a particular formula. | 11-29-2012 |
20120298980 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device, which comprises: a pair of electrodes; and an organic compound layer including a light-emitting layer between the pair of electrodes, wherein the organic compound layer comprises a compound represented by formula (I): | 11-29-2012 |
20120298981 | STYRENE-BASED COPOLYMERS, IN PARTICULAR FOR USE IN OPTOELECTRONIC COMPONENTS - The present invention relates to styrene-based copolymers having recurring units which contain substituted anthra | 11-29-2012 |
20120305894 | BLUE PHOSPHORESCENT COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Disclosed are a blue phosphorescent compound with a high color purity and a high efficiency, and an organic electroluminescent device using the same. The blue phosphorescent compound is represented by the following Formula: | 12-06-2012 |
20120305895 | LIGHT EMITTING DEVICES AND COMPOSITIONS - A light emitting composition includes a light-emitting iridium-functionalized nanoparticle, such as a compound of formula (I). The compound of formula (I) further comprises at least one host attached to the core. A light emitting device includes an anode, a cathode, and a layer containing such a light-emitting composition is also disclosed. In an embodiment, the light emitting device can emit white light. | 12-06-2012 |
20120305896 | Organometallic Complex, Organic Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A novel and highly reliable organometallic complex which has an emission region in the wavelength band of blue to yellow is provided. A light-emitting element using the organometallic complex, a light-emitting device, an electronic device, and a lighting device each using the light-emitting element are provided. An organometallic complex including a structure represented by General Formula (G1) is provided. The organometallic complex including the structure represented by General Formula (G1) is a novel and highly reliable organometallic complex which has an emission region in the wavelength band of blue to yellow. In addition, a light-emitting element using the organometallic complex, a light-emitting device, an electronic device, and a lighting device each including the light-emitting element are provided. | 12-06-2012 |
20120305897 | Methods of Making Patterned Structures of Fluorine-Containing Polymeric Materials and Fluorine-Containing Polymers - Methods and compositions for obtaining patterned structures comprising fluorine-containing polymeric materials. The fluorine-containing polymeric materials have sufficient fluorine content such that the materials can be patterned using conventional photolithographic/pattern transfer methods and maintain desirable mechanical and physical properties. The patterned structures can be used, for example, in light-emitting devices. | 12-06-2012 |
20120305898 | ORGANIC ELECTROLUMINESCENCE ELEMENT, MANUFACTURING METHOD THEREOF, AND ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic EL element ( | 12-06-2012 |
20120305899 | POLYMER COMPOUND, AND THIN FILM AND INK COMPOSITION EACH CONTAINING SAME - An object of the present invention is to provide a polymer compound providing high charge mobility. The polymer compound of the present invention has a repeating unit represented by the formula ( | 12-06-2012 |
20120305900 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device, the compound being represented by the following Chemical Formula (“CF”) 1: | 12-06-2012 |
20120305901 | QUINOXALINE DERIVATIVES AND ORGANIC LIGHT-EMITTING DIODES COMPRISING THE SAME - A quinoxaline derivative represented by Formula (I) of | 12-06-2012 |
20120305902 | MICROCAVITY OLEDS FOR LIGHTING - Various methods and systems are provided for related to organic light emitting diodes (OLEDs) having a microcavity In one embodiment, a white-light source includes a first microcavity organic light emitting diode (OLED) configured to emit a narrow spectrum of blue light, a second microcavity OLED configured to emit a narrow spectrum of green light, and a third microcavity OLED configured to emit a narrow spectrum of red light In another embodiment, a light source includes a plurality of OLEDs disposed on a glass substrate Each of the OLEDs is configured to emit light in substantially orthogonal to the glass substrate in a predefined spectrum Each of the OLEDs includes a semi-reflecting mirror, and an emitting layer, where the emitting layer in each OLED corresponds to a respective color of light emitted by the OLED. | 12-06-2012 |
20120305903 | ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device (organic EL device) that is improved in luminous efficiency, sufficiently secures driving stability, and has a simple configuration. This organic EL device is constituted of an anode, organic layers comprising a phosphorescent light-emitting layer, and a cathode piled one upon another on a substrate and at least one organic layer selected from a light-emitting layer, an electron-transporting layer, and a hole-blocking layer contains an indolocarbazole compound represented by general formula (1). In the case where the indolocarbazole compound is incorporated in the light-emitting layer containing a phosphorescent dopant and a host material, it is incorporated as the host material. Some of such indolocarbazole compounds are represented by the following formula (2): wherein each of A | 12-06-2012 |
20120305904 | Organic Electroluminescent Device - Disclosed is an organic electroluminescent device (organic EL device) that is improved in luminous efficiency, sufficiently secures driving stability, and has a simple configuration. This organic EL device has a light-emitting layer between an anode and a cathode piled one upon another on a substrate and the said light-emitting layer contains a fused polycyclic compound in which seven or more rings are fused together as a host material. The aforementioned fused polycyclic compound has a structure formed by fusing two or more indole rings to a carbazole ring. A specific example thereof is the compound represented by the following formula. | 12-06-2012 |
20120305905 | METHOD OF PRODUCING PHOTOELECTRIC CONVERSION ELEMENT, PHOTOELECTRIC CONVERSION ELEMENT AND PHOTOELECTROCHEMICAL CELL - A method of producing a photoelectric conversion element, which contains an electrically conductive support, a photosensitive layer having porous semiconductor fine particles that have adsorbed a dye formed on the support, a charge transfer layer; and a counter electrode; containing the steps of: applying a dispersion liquid, in which the content of solids excluding semiconductor fine particles is 1% by mass or less based on the total amount of the dispersion liquid, on the support, to form a coating; heating the coating, to obtain porous semiconductor fine particles; and sensitizing the porous semiconductor fine particles by a dye having a structure represented by Formula (1): | 12-06-2012 |
20120305906 | COMPOUND INCLUDING INDOLE DERIVATIVE, ORGANIC ELECTRONIC ELEMENT USING SAME, AND TERMINAL THEREOF - Disclosed are a compound including an indole derivative, an organic electronic element using the same, and a terminal thereof. | 12-06-2012 |
20120305907 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND METHOD FOR FABRICATING LIGHT-EMITTING ELEMENT - Objects of the present invention are to provide a light-emitting element that does not readily deteriorate, a light-emitting device and an electronic device that do not readily deteriorate, and a method of fabricating the light-emitting element that does not readily deteriorate. A light-emitting element having an EL layer between a pair of electrodes is covered with a layer containing an inorganic compound and halogen atoms or a layer containing an organic compound, an inorganic compound, and halogen atoms, whereby deterioration by moisture penetration can be inhibited. Thus, a light-emitting element with a long life can be obtained. | 12-06-2012 |
20120305908 | ORGANIC ELECTROLUMINESCENCE DEVICE AND DISPLAY - In an organic electroluminescence device including a cathode and an anode, at least an emitting layer and an electron transporting layer are provided between the cathode and the anode. The emitting layer contains a host material formed of a naphthacene derivative represented by the following formula (1) and a dopant material formed of a compound having a pyrromethene skeleton represented by the following formula (2) or a metal complex of the compound. The electron transporting layer is preferably a benzoimidazole derivative. | 12-06-2012 |
20120305909 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - Disclosed is a light-emitting element with a good carrier balance and manufacturing method thereof which does not require the formation of the heterostructure. The light-emitting element includes an organic compound film containing a first organic compound as the main component (base material) between an anode and a cathode, wherein the organic compound film is provided in contact with the anode and with the cathode. The first organic compound further includes a light-emitting region to which a light-emitting substance is added and includes a hole-transport region to which a hole-trapping substance is added and/or an electron-transport region to which an electron-trapping substance is added. The hole-transport region is located between the light-emitting region and the anode, and the electron-transport region is located between the light-emitting region and the cathode. | 12-06-2012 |
20120313080 | Semiconductor photocapacitor device - A photocapacitor device is provided for responding to a photon having at least a specified energy. The photocapacitive device includes a first portion composed of a photocapacitive material; a second portion composed of a non-photocapacitive material; and a depletion region disposed between the first and second portions. The ph otocapacitive and non-photocapacitive materials respectively have first and second Fermi-energy differences, with the second Fermi-energy difference being higher than the first Fermi-energy difference. | 12-13-2012 |
20120313081 | ELECTRONIC DEVICE - An electronic device, such as a thin-film transistor, includes a semiconducting layer formed from a semiconductor composition. The semiconductor composition comprises a polymer binder and a small molecule semiconductor. The semiconducting layer has been deposited on an alignment layer that has been aligned in the direction between the source and drain electrodes. The resulting device has increased charge carrier mobility. | 12-13-2012 |
20120313082 | OPTOELECTRONIC DEVICE AND STACKING STRUCTURE - Disclosed is an optoelectronic device that includes a light source, an emission layer disposed on the light source including a light emitting particle dispersed in a matrix polymer, and a polymer film disposed on the emission layer, the polymer film including a polymerized polymer of a first monomer including at least two thiol (—SH) groups and a second monomer including at least two carbon-carbon unsaturated bond-containing groups at a terminal end. | 12-13-2012 |
20120313083 | 6,12-DINAPHTHYLCHRYSENE DERIVATIVE AND ORGANIC LIGHT-EMITTING DEVICE USING THE DERIVATIVE - Provided are an organic compound having high heat stability suitable for use in an organic light-emitting device, and an organic light-emitting device using the organic compound. The organic light-emitting device is an organic light-emitting device, including: an anode; a cathode; and an organic compound layer disposed between the anode and the cathode, in which at least one layer of the organic compound layer has a 6,12-dinaphthylchrysene derivative represented by one of the following general formulae (1) and (2): | 12-13-2012 |
20120313084 | METAL OXIDE SEMICONDUCTOR TRANSISTOR - A metal oxide semiconductor transistor includes a gate, a metal oxide active layer, a gate insulating layer, a source, and a drain. The metal oxide active layer has a first surface and a second surface, and the first surface faces to the gate. The gate insulating layer is disposed between the gate and the metal oxide active layer. The source and the drain are respectively connected to the metal oxide active layer. The second surface defines a mobility enhancing region between the source and the drain. An oxygen content of the metal oxide active layer in the mobility enhancing region is less than an oxygen content of the metal oxide active layer in the region outside the mobility enhancing region. The metal oxide semiconductor transistor has high carrier mobility. | 12-13-2012 |
20120313085 | NOVEL ORGANIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE - A novel organic compound suitable for blue light emission and an organic light-emitting device containing the novel organic compound are provided. | 12-13-2012 |
20120313086 | Organic Semiconductor Material, Organic Semiconductor Composition, Organic Thin Film, Field-Effect Transistor, And Manufacturing Method Therefor - A field-effect transistor having a specific top-gate bottom-contact structure, the field-effect transistor containing as organic semiconductor materials a compound represented by the formula (1) and a compound represented by the formula (2): | 12-13-2012 |
20120313087 | FLUORINE-FLUORINE ASSOCIATES - The present invention relates, inter alia, to compositions comprising, a compound which is able to emit and/or absorb light and a compound which is able either to absorb or emit light, where both compounds each include at least one fluorine radical. The present invention is furthermore directed to a process for the preparation of the composition, to the use of the composition in electronic devices and to the device itself. | 12-13-2012 |
20120313088 | PHOTOELECTRIC CONVERSION DEVICE, IMAGING DEVICE AND PRODUCTION METHODS THEREOF - A photoelectric conversion device is provided and includes: a first electrode, a second electrode, and a photoelectric conversion layer between the first and second electrodes, the photoelectric conversion layer containing a mixture of an organic photoelectric conversion dye, a fullerene or a fullerene derivative, and a fullerene polymer; various embodiments of the device, a photosensor, an imaging device, and production methods for these devices. | 12-13-2012 |
20120313089 | ORGANIC ELECTROLUMINESCENCE DEVICE - A material for a light emitting device containing a compound represented by the following formula (1): | 12-13-2012 |
20120313090 | PHENYL-SUBSTITUTED 1,3,5-TRIAZINE COMPOUND, PROCESS FOR PRODUCING THE SAME, AND ORGANIC ELECTROLUMINESCENT DEVICE CONTAINING THE SAME AS COMPONENT - A phenyl-substituted 1,3,5-triazine compound represented by the general formula (1): | 12-13-2012 |
20120313091 | COMPOUND FOR AN ORGANIC PHOTOELECTRIC DEVICE, ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE ORGANIC PHOTOELECTRIC DEVICE - A compound for an organic photoelectric device, an organic photoelectric device including the same, and a display device including the organic photoelectric device, the compound being represented by the following Chemical Formula 1: | 12-13-2012 |
20120319086 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DIODE COMPRISING THE SAME - A condensed-cyclic compound and an organic light-emitting diode including the same. | 12-20-2012 |
20120319087 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE CONTAINING SAME - A heterocyclic compound, organic light-emitting device, and a flat panel display device, the heterocyclic compound being represented by Formula 1 or 2 below: | 12-20-2012 |
20120319088 | CARBORANE COMPOUND, ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME AND FLAT DISPLAY DEVICE INCLUDING ORGANIC LIGHT-EMITTING DIODE - A compound represented by Formula 1 below: | 12-20-2012 |
20120319089 | Organic Light Emitting Structures, Methods of Forming Organic Light Emitting Structures, Organic Light Emitting Display Devices and Methods of Manufacturing Organic Light Emitting Display Devices - An organic light emitting display device includes a hole transport layer (HTL) having a first region and a second region, an emitting layer (EML) disposed on the hole transport layer in the first region, a hydrophobic pattern disposed on the hole transport layer in the second region and an electron transport layer (ETL) disposed on the hydrophobic pattern and the emitting layer. | 12-20-2012 |
20120319090 | DEVICE, THIN FILM TRANSISTOR, METHOD FOR MANUFACTURING THE DEVICE AND METHOD FOR MANUFACTURING THE THIN FILM TRANSISTOR - A problem of the present invention is to provide a device having good characteristics and long life, wherein a functional thin film is formed in a desired region by a coating method; a thin film transistor; a method for producing the device; and a method for producing the thin film transistor. This problem can be solved by a device comprising: a substrate, a first electrode formed on the substrate, a functional thin film formed above the first electrode, and a second electrode disposed above the functional thin film, characterized by further comprising, in a region surrounding the region where the functional thin film is formed, a film containing a compound in which a group containing fluorine and a π-conjugated system are bound together by a cycloalkene structure or a cycloalkane structure. | 12-20-2012 |
20120319091 | AROMATIC AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT COMPRISING SAME - Provided are: an aromatic amine derivative having a terminal substituent selected from a dibenzofuran, a dibenzothiophene, a substituted carbazole, and a substituted fluorene bound to the central skeleton having a specific structure through a nitrogen atom; an organic electroluminescence device, including an organic thin-film layer formed of one or more layers including at least a light emitting layer, the organic thin-film layer being interposed between a cathode and an anode, in which at least one layer of the organic thin-film layer contains the aromatic amine derivative alone or as a component of a mixture, the organic electroluminescence device having a long lifetime and high luminous efficiency; and an aromatic amine derivative for realizing the device. | 12-20-2012 |
20120319092 | SEALED BODY, METHOD FOR MANUFACTURING SEALED BODY, LIGHT-EMITTING DEVICE, AND METHOD FOR MANUFACTURING LIGHT-EMITTING DEVICE - A highly productive method for sealing substrates with the use of glass frit is provided. A method for sealing substrates with the use of glass frit, which can be used for a substrate provided with a material having low heat resistance, is provided. A highly airtight sealed body which is manufactured by such a method is provided. A light-emitting device having high productivity and high reliability and a manufacturing method thereof are provided. A heat generation layer containing a conductive material which generates heat by induction heating is formed to overlap with a region where a paste including a frit material and a binder is applied. Alternatively, a conductive material which generates heat by induction heating is added to the paste itself. The paste is locally heated by induction heating to remove the binder included in the paste. | 12-20-2012 |
20120319093 | ORGANIC ELECTROLUMINESCENCE GENERATING DEVICES - An electroluminescence generating device comprising a channel of organic semiconductor material, said channel being able to carry both types of charge carriers, said charge carriers being electrons and holes; an electron electrode, said electron electrode being in contact with said channel and positioned on top of a first side of said channel layer or within said channel layer, said electron electrode being able to inject electrons in said channel layer; a hole electrode, said hole electrode being spaced apart from said electron electrode, said hole channel and positioned on top of within said channel layer, said hole electrode being able to inject holes into said channel; a control electrode positioned on said first side or on a second side of said channel; whereby light emission of said electroluminescence generating device can be acquired by applying an electrical potential difference between said electron electrode and said hole electrode. | 12-20-2012 |
20120319094 | METHOD OF MANUFACTURING ORGANIC ELECTRONIC DEVICE, AND ORGANIC ELECTRONIC DEVICE - Provided is a method of manufacturing an organic electronic device, wherein an organic electronic device that controls the injection and mobility of carriers in an organic charge transport layer thereof is manufactured by laminating organic layers comprising the same charge transportable organic compound, when manufacturing the organic electronic device with the coating method. In this method of manufacturing an organic electronic device, which comprises a pair of electrodes on a substrate, and at least an organic functional layer and an organic charge transport layer in between the electrodes, the organic charge transport layer is formed by, in the following order: 1) a process for forming a first organic layer by coating, on the organic functional layer, a first organic layer coating-liquid, containing a charge transportable organic compound; and 2) a process for forming a second organic layer by coating, on the first organic layer, a second organic layer coating-liquid containing the charge transportable organic compound and a metallic compound. The method of manufacturing an organic electronic device is further specified by sections 3), 4), and 5). | 12-20-2012 |
20120319095 | ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device (organic EL device) that is improved in luminous efficiency, sufficiently secures driving stability, and has a simple configuration. This organic EL device comprises organic layers between an anode and a cathode piled one upon another on a substrate and at least one organic layer selected from a light-emitting layer, a hole-transporting layer, an electron-transporting layer, and a hole-blocking layer contains a carbazole compound represented by the following formula (1). In the case where the light-emitting layer of the organic electroluminescent device contains a phosphorescent dopant and a host material, it is the carbazole compound that is contained as the host material. In formula (1), X is C—Y or a nitrogen atom; Y is a hydrogen atom, an alkyl group, a cycloalkyl group, or an aromatic group; n is an integer of 2 to 4: A is an n-valent aromatic group; L is a direct bond or a divalent aromatic group; and R is a hydrogen atom, an alkyl group, or a cycloalkyl group. | 12-20-2012 |
20120319096 | SEMICONDUCTOR DEVICES INCLUDING AN ELECTRICALLY PERCOLATING SOURCE LAYER AND METHODS OF FABRICATING THE SAME - Various embodiments are provided for semiconductor devices including an electrically percolating source layer and methods of fabricating the same. In one embodiment, a semiconductor device includes a gate layer, a dielectric layer, a memory layer, a source layer, a semiconducting channel layer, and a drain layer. The source layer is electrically percolating and perforated. The semiconducting channel layer is in contact with the source layer and the memory layer. The source layer and the semiconducting channel layer form a gate voltage tunable charge injection barrier. | 12-20-2012 |
20120319097 | ELECTRODE TREATMENT PROCESS FOR ORGANIC ELECTRONIC DEVICES - The present invention relates to a process for the treatment of electrodes in organic electronic (OE) devices, in particular organic field effect transistors (OFETs), to devices prepared by such a process, and to materials and formulations used in such a process. | 12-20-2012 |
20120319098 | SUBSTITUTED PYRIDYL COMPOUND AND ORGANIC ELECTROLUMINESCENT ELEMENT - The present invention relates to a substituted pyridyl compound represented by the following general formula (1), (2), or (3) and an organic electroluminescent element containing a pair of electrodes and at least one organic layer interposed therebetween, in which the at least one organic layer contains the substituted pyridyl compound represented by the following general formula (1), (2), or (3). | 12-20-2012 |
20120319099 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICES AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE MATERIAL - A material for organic electroluminescence devices comprising a compound in which a heterocyclic group having nitrogen is bonded to an arylcarbazolyl group or a carbazolylalkylene group and an organic electroluminescence device comprising an anode, a cathode and an organic thin film layer comprising at least one layer and disposed between the anode and the cathode, wherein at least one layer in the organic thin film layer comprises the material for organic electroluminescence devices described above. The material can provide an organic electro-luminescence device emitting bluish light with a high purity of color. The organic electroluminescence device uses the material. | 12-20-2012 |
20120326131 | ORGANIC LIGHT EMITTING DIODE DISPLAY - A solar cell integrated organic light emitting diode (OLED) display is disclosed. In one embodiment, the organic light emitting diode (OLED) display includes i) a substrate, ii) an organic light emitting element formed on the substrate and including a reflection electrode, an organic emission layer, and a transparent electrode sequentially deposited from the substrate. The OLED display may further include a solar cell unit positioned on the organic light emitting element and an encapsulation member positioned on one of the organic light emitting element and the solar cell unit. | 12-27-2012 |
20120326132 | ORGANIC LIGHT EMITTING ELEMENT - An organic light emitting element includes a first electrode, a second electrode, and an organic layer. The organic layer includes a first emission layer between the first electrode and the second electrode, a second emission layer between the first emission layer and the second electrode, and an electron injection layer (EIL) between the first emission layer and the second emission layer, the electron injection layer (EIL) containing fullerene (C60). | 12-27-2012 |
20120326133 | NOVEL COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A compound represented by Formula 1 below and an organic light-emitting device including an organic layer containing the compound of Formula 1: | 12-27-2012 |
20120326134 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE AND FLAT DISPLAY DEVICE INCLUDING THE HETEROCYCLIC COMPOUND - A heterocyclic compound, an organic light-emitting diode, and a flat display device, the heterocyclic compound being represented by Formula 1, below: | 12-27-2012 |
20120326135 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE AND FLAT DISPLAY DEVICE INCLUDING THE HETEROCYCLIC COMPOUND - A heterocyclic compound, an organic light-emitting diode, and a flat display device, the heterocyclic compound being represented by Formula 1, below: | 12-27-2012 |
20120326136 | MATERIALS AND METHODS FOR CONTROLLING PROPERTIES OF ORGANIC LIGHT-EMITTING DEVICE - The present teachings provide methods for depositing and patterning organic light-emitting device (OLED) buffer layers. The method can use a thermal printing process and one or more additional processes, such as vacuum thermal evaporation (VTE), to create an OLED stack. OLED stack structures are also provided wherein which at least one of the charge injection or charge transport layers is formed by a thermal printing method at a high deposition rate. The organic layer can be subject to post-deposition treatment such as baking. The structure of the organic layer can be amorphous, crystalline, porous, dense, smooth, rough, or a combination thereof, depending on deposition parameters and post-treatment conditions. The organic layer can improve light out-coupling efficiency of an OLED, increase conductivity, decrease index of refraction, and/or modify the emission chromaticity of an OLED. | 12-27-2012 |
20120326137 | ORGANIC LIGHT-EMITTING DIODE AND FLAT DISPLAY DEVICE INCLUDING THE SAME - An organic light-emitting diode including a first electrode; a second electrode facing the first electrode; an emission layer interposed between the first electrode and the second electrode; a first hole transport layer including a first hole transporting compound; a second hole transport layer including a second hole transporting compound, the first and second hole transport layers being interposed between the first electrode and the emission layer; an electron transport layer interposed between the emission layer and the second electrode; a first mixing layer interposed between the first electrode and the first hole transport layer, contacting the first hole transport layer, and including the first hole transporting compound and a first cyano group-containing compound; and a second mixing layer interposed between the first electrode and the second hole transport layer, contacting the second hole transport layer, and including the second hole transporting compound and a second cyano group-containing compound. | 12-27-2012 |
20120326138 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 or Formula 2 below, an organic light-emitting device including the heterocyclic compound, and a flat display device including the organic light-emitting device: | 12-27-2012 |
20120326139 | MATERIALS AND METHODS FOR CONTROLLING PROPERTIES OF ORGANIC LIGHT-EMITTING DEVICE - The present teachings provide methods for forming organic layers for an organic light-emitting device (OLED) using an inkjet printing or thermal printing process. The method can further use one or more additional processes, such as vacuum thermal evaporation (VTE), to create an OLED stack. OLED stack structures are also provided wherein at least one of the charge injection or charge transport layers is formed by an inkjet printing or thermal printing method at a high deposition rate. The structure of the organic layer can be amorphous, crystalline, porous, dense, smooth, rough, or a combination thereof, depending on deposition parameters and post-treatment conditions. An OLED microcavity is also provided and can be formed by one of more of the methods. | 12-27-2012 |
20120326140 | POLYMER COMPOUND AND LIGHT-EMITTING DEVICE USING SAME - It is an object of the invention to provide a polymer compound that, when used in a light-emitting device, results in excellent luminance life for the obtained light-emitting device. The invention provides a polymer compound comprising a constitutional unit represented by formula (1). | 12-27-2012 |
20120326141 | ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to organic electro-luminescent devices which in a hole transport layer have a mixture of two or more materials. | 12-27-2012 |
20120326142 | ORGANIC LIGHT EMITTING DIODES HAVING INCREASED ILLUMINATION - OLEDs having increased illumination are disclosed. The OLEDs have light emitting layers with periodic grain sizes. In particular, by depositing smaller particles at the boundaries of the emitting layers, the injection rate of carriers is improved in the emitting layers and by depositing larger particles in the middle of the emitting layers, the carrier density is increased, which increases electron-hole recombination. Increased recombination facilitates radiative emission of exitons from the OLED. As a result of the periodic grain size structure of the emitting layers, the electroluminescence and durability of the OLEDs are improved. | 12-27-2012 |
20130001520 | PHOTODETECTORS AND PHOTOVOLTAICS BASED ON SEMICONDUCTOR NANOCRYSTALS - A composite material is described. The composite material comprises semiconductor nanocrystals, and organic molecules that passivate the surfaces of the semiconductor nanocrystals. One or more properties of the organic molecules facilitate the transfer of charge between the semiconductor nanocrystals. A semiconductor material is described that comprises p-type semiconductor material including semiconductor nanocrystals. At least one property of the semiconductor material results in a mobility of electrons in the semiconductor material being greater than or equal to a mobility of holes. A semiconductor material is described that comprises n-type semiconductor material including semiconductor nanocrystals. At least one property of the semiconductor material results in a mobility of holes in the semiconductor material being greater than or equal to a mobility of electrons. | 01-03-2013 |
20130001521 | APPLICATION OF TRIPHENYLENE DERIVATIVES IN ORGANIC ELECTROLUMINESCENT DEVICES - Triphenylene derivatives having a structure of formula (1) are provided. Ar represents an aromatic compound, n is 1 to 3, and each of R and R | 01-03-2013 |
20130001522 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the same: | 01-03-2013 |
20130001523 | ORGANIC LIGHT-EMITTING DIODE AND FLAT DISPLAY DEVICE INCLUDING THE SAME - An organic light-emitting diode includes: a substrate; a first electrode; a second electrode; an emission layer between the first electrode and the second electrode; and a hole blocking layer between the emission layer and the second electrode. The hole blocking layer includes a first layer including a first material and a second material, and a second layer formed on the first layer and including the first material. The first material includes a hole blocking material having a highest occupied molecular orbital (HOMO) energy level in the range of about 5.5 to about 6.9 eV, and the second material includes a green or red phosphorescent host material. | 01-03-2013 |
20130001524 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME - A heterocyclic compound represented by Formula 1 below and an organic light-emitting device including an organic layer that includes the following heterocyclic compound: | 01-03-2013 |
20130001525 | THIN FILM TRANSISTOR AND PRESS SENSING DEVICE USING THE SAME - A thin film transistor controlled by a pressure includes a source electrode, a drain electrode, a semiconductor layer, a gate electrode, and an insulative layer. The drain electrode is spaced from the source electrode. The semiconductor layer includes a polymer composite layer and is electrically connected with the source electrode and the drain electrode. The polymer composite includes a polymer substrate and a plurality of carbon nanotubes dispersed in the polymer substrate. An elastic modulus of the polymer substrate is ranged from about 0.1 MPa to about 10 MPa. The gate electrode is electrically insulated from the source electrode, the drain electrode, and the semiconductor layer by the insulative layer. A press sensing device using the above-mentioned thin film transistor is also provided. | 01-03-2013 |
20130001526 | ORGANIC LIGHT EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - A highly efficient organic light emitting diode having simple manufacturing processes, and a method of manufacturing the same. | 01-03-2013 |
20130001527 | HETEROCYCLIC COMPOUND, ORGANIC LIGHT-EMITTING DIODE INCLUDING THE HETEROCYCLIC COMPOUND, AND FLAT DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT-EMITTING DIODE - Provided are a heterocyclic compound represented by Formula 1 below, and an organic light-emitting diode and a flat display device each including the heterocyclic compound. | 01-03-2013 |
20130001528 | Organic Light-Emitting Diode - An organic light-emitting diode (OLED) having first, second and third sub-pixels of different colors includes: a substrate; first and second electrodes; an organic emission layer (OEL) between the electrodes including a first OEL in the first sub-pixel, a second OEL in the second sub-pixel, and a common third OEL in the first, second and third sub-pixels; a hole transport layer (HTL) between the first electrode and OEL; a hole injection layer (HIL) between the first electrode and HTL; an intermediate layer between the HTL and HIL; a first optical thickness auxiliary layer (OTAL) between the first OEL and third OEL in the first sub-pixel and including a first hole transporting compound and a cyano group-containing compound; and a second OTAL including a second hole transporting compound between the third OEL and HTL in the first sub-pixel, and between the second OEL and HTL in the second sub-pixel. | 01-03-2013 |
20130001529 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the heterocyclic compound: | 01-03-2013 |
20130001530 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES - An organic light emitting display device comprising a first electrode; a hole transport layer, an emitting layer and an electron transport layer disposed sequentially on the first electrode; and a second electrode formed on the electron transport layer, wherein the emitting layer comprises a host material comprising a calixarene compound represented by Chemical Formula (1) | 01-03-2013 |
20130001531 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - In one aspect, an organic light-emitting display apparatus is provided including a first sub-pixel, a second sub-pixel, and a third sub-pixel that are each a different color, the apparatus including: a substrate; a first electrode disposed on the substrate; a second electrode disposed on the first electrode so as to face the first electrode; an organic emission layer disposed between the first electrode and the second electrode and comprising a first organic emission layer, a second organic emission layer, and a third organic emission layer; a hole transport layer disposed between the first electrode and the organic emission layer; and an electron accepting layer disposed between the first electrode and the second electrode. The organic light-emitting display apparatus has improved image quality and lifetime. | 01-03-2013 |
20130001532 | ORGANIC LIGHT EMITTING DIODE - A full color organic light emitting diode having high efficiency and high color purity while having low manufacturing costs due to simple manufacturing processes. | 01-03-2013 |
20130001533 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES - An organic light emitting display device is provided. Thin film transistors may be located on a substrate. An insulating interlayer having a first contact hole to a third contact hole may be disposed on the substrate. First electrodes electrically connecting the thin film transistors may be located on the insulating interlayer and sidewalls of the first to the third contact holes. A pixel defining layer may be disposed on the insulating interlayer, portions of the first electrodes and the sidewalls of the first to the third contact holes. Light emitting structures may be disposed on the first electrodes in pixel regions. A second electrode may be located on the light emitting structures. Planarization patterns may be disposed on the pixel defining layer to fill the first and the second contact holes. A spacer may be disposed on the pixel defining layer to fill the third contact hole. | 01-03-2013 |
20130001534 | LUMINESCENT COMPOSITION AND LIGHT-EMITTING ELEMENT USING SAID COMPOSITION - A luminescent composition comprising a luminescent organic compound and a conjugated polymer compound having a luminescence maximum further toward the short wavelength end than the luminescence maximum of the luminescent organic compound at 350 nm to 500 nm, and satisfying the following formula (1); | 01-03-2013 |
20130001535 | LIGHT-EMITTING ORGANIC PLATINUM COMPLEX, LIGHT-EMITTING MATERIAL CONTAINING THIS COMPLEX AND FUNCTIONAL DEVICE - The present invention provides a light-emitting organic platinum complex, a light-emitting material containing this complex, and a functional device containing this complex. The light-emitting organic platinum complex is represented by any one of the following structural formulae: | 01-03-2013 |
20130001536 | NOVEL BENZOXAZOLYL CARBAZOLE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A novel organic compound which can be preferably used as a phosphorescent host material is provided. A benzoxazolyl carbazole compound represented by the general formula [1] shown in the specification is provided. | 01-03-2013 |
20130001537 | NOVEL ORGANIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE HAVING THE SAME - The present invention provides a novel organic compound that is chemically stable and can be used as a host material for phosphorescence emission. | 01-03-2013 |
20130001538 | Triphenylene Hosts in Phosphorescent Light Emitting Diodes - An organic emissive layer is provided. Also provided is a device in which the organic emissive layer is disposed between an anode and a cathode. The organic emissive layer includes a phosphorescent material and triphenylene compound or a compound having a repeat unit having a triphenylene moiety. The triphenylene is optionally substituted. The substituents may be the same or different and each is selected from the group consisting of alkyl, aryl, fused aryl, substituted aryl, alkenyl, alkynyl, and heteroalkyl. Triphenylene compounds are also provided. | 01-03-2013 |
20130001539 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 01-03-2013 |
20130001540 | COMPOUND INCLUDING INDOLE DERIVATIVE IN WHICH TWO TERTIARY AMINES ARE SUBSTITUTED, ORGANIC ELECTRONIC ELEMENT USING SAME, AND TERMINAL THEREOF - Disclosed are a compound including an indole derivative in which two tertiary amines are substituted, an organic electronic element using the same, and a terminal thereof. | 01-03-2013 |
20130001541 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD FOR PRODUCING THE SAME - An organic electroluminescence device including an anode, a cathode, an organic layer disposed between the anode and the cathode, the organic layer containing a hole injection layer, a hole transport layer and an emission layer containing a host material, wherein the hole injection layer, the hole transport layer and the emission layer each contain a phosphorescent light-emitting material, wherein the hole injection layer contains the phosphorescent light-emitting material in an amount of 10% by mass or more but less than 50% by mass, and wherein a concentration of the phosphorescent light-emitting material contained in the hole transport layer is lower than that in the hole injection layer, and a concentration of the phosphorescent light-emitting material contained in the emission layer is lower than that in the hole injection layer and higher than that in the hole transport layer. | 01-03-2013 |
20130001542 | ORGANIC LIGHT-EMITTING DEVICE - Aspects of the present invention provide a blue organic light-emitting device having a continuous operation lifetime. | 01-03-2013 |
20130001543 | NOVEL ORGANIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE HAVING THE SAME - Provided are a novel organic compound suitable for emitting green light and an organic light-emitting device including the compound. The organic compound is that shown in claim 1. The organic compound shown in claim 1 has substituents that are each independently selected from the group consisting of hydrogen atoms, halogen atoms, optionally substituted alkyl groups, optionally substituted alkoxy groups, substituted amino groups, optionally substituted aryl groups, and optionally substituted heterocyclic groups. | 01-03-2013 |
20130009134 | ORGANIC EL DEVICE AND METHOD FOR MANUFACTURING THE SAME - Provided is an organic EL device comprising: an organic EL element including an anode | 01-10-2013 |
20130009135 | ELECTRONIC DEVICE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - There is provided an electronic device including at least a first electrode, a second electrode disposed to be spaced apart from the first electrode, and an active layer disposed over the second electrode from above the first electrode and formed of an organic semiconductor material. A charge injection layer is formed between the first electrode and the active layer and between the second electrode and the active layer, and the charge injection layer is formed of an organic material having an increased electric conductivity when the charge injection layer is oxidized. | 01-10-2013 |
20130009136 | TRIPHENYLENE-BASED COMPOUNDS AND ORGANIC ELECTROLUMINESCENT DEVICE COMPRISING SAME - The present invention relates to a triphenylene-based compound represented by the following Formula 1 and an organic electroluminescent device including the same, and the compound of the present invention has excellent hole injection and/or transporting ability, electron transporting ability, and/or light emitting ability, and particularly, green and red light emitting ability, and thus in an organic electroluminescent device containing the same as a light emitting host material, characteristics such as luminous efficiency, luminance, thermal stability, driving voltage, service life and the like may be improved. | 01-10-2013 |
20130009137 | VERTICALLY PHASE-SEPARATING SEMICONDUCTING ORGANIC MATERIAL LAYERS - Improved OLED devices and methods of making the same using vertical phase separation to simplify processing. Vertically phase separated material can include at least one lower first layer disposed on the electrode, and at least one upper second layer different from the first layer and disposed away from the electrode or optionally on one layer comprising at least one semiconducting organic material. The first layer can be enriched with at least one first semiconducting organic material (SOM 1) and the second layer can be enriched with at least one second semiconducting organic material (SOM 2) different from the SOM 1. The ink composition can be adapted so that the film vertically phase separates into the first and second layers. Compositions and devices are also embodied herein. | 01-10-2013 |
20130009138 | COMPOSITE MATERIAL, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, LIGHTING DEVICE, AND ORGANIC COMPOUND - A composite material including an organic compound and an inorganic compound, which has a high carrier-transport property; a composite material having an excellent property of carrier injection to an organic compound; a composite material in which light absorption due to charge transfer interaction is unlikely to occur; and a composite material having a high visible-light-transmitting property are provided. A composite material which includes an organic compound and an inorganic compound exhibiting an electron-accepting property with respect to the organic compound, in which the rings of the organic compound are all benzene rings and the number of the benzene rings of the organic compound is greater than or equal to 4 and less than or equal to 25, is provided. | 01-10-2013 |
20130009139 | Light-Emitting Element, Light-Emitting Device, Display Device, Lighting Device, and Electonic Device - A light-emitting element is disclosed where a host material and a hole-transport material each consist of a carbazole skeleton and another skeleton other than the carbazole skeleton. Both the highest occupied molecular orbital (HOMO) and the lowest unoccupied molecular orbital (LUMO) of the hole-transport material are distributed over the carbazole skeleton, while the HOMO of the host material is distributed over the carbazole skeleton and the LUMO thereof is distributed over the skeleton other than the carbazole skeleton. This combination of the hole-transport material and the host material allows the fabrication of a light-emitting element with high emission efficiency and low driving voltage. | 01-10-2013 |
20130009140 | Light-Emitting Element, Lighting Device, Light-Emitting Device, and Electronic Device - A light-emitting element whose degree of deterioration with driving time is improved and of which emission colors are easily controlled. A light-emitting emitting element having a first electrode, a second electrode, and a layer containing an organic compound located between the first electrode and the second electrode, in which the layer containing the organic compound at least has, from the second electrode side, a light-emitting layer in which a first layer, a second layer, and a third layer are stacked, and a hole-transporting layer provided in contact with the third layer; the first layer contains a first organic compound and a second organic compound; the second layer contains a third organic compound and a fourth organic compound; and the third layer contains the first organic compound and a fifth organic compound. | 01-10-2013 |
20130009141 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - A light-emitting element with improved emission efficiency is provided. The light-emitting element includes a light-emitting layer in which a first light-emitting layer and a second light-emitting layer are stacked in contact with each other over an anode, and a first substance serving as an emission center substance in the second light-emitting layer constitutes the first light-emitting layer. A second substance serving as a host material to disperse the first substance serving as an emission center substance is included in the second light-emitting layer. In the light-emitting element, the second substance is a substance having an energy gap (or triplet energy) larger than the first substance. | 01-10-2013 |
20130009142 | CHARGE TRANSPORT COMPOSITIONS AND ELECTRONIC DEVICES MADE WITH SUCH COMPOSITIONS - The present invention relates to charge transport compositions. The invention further relates to electronic devices in which there is at least one active layer comprising such charge transport compositions. | 01-10-2013 |
20130015430 | Composite Organic/Inorganic Layer for Organic Light-Emitting DevicesAANM Kwong; RaymondAACI PlainsboroAAST NJAACO USAAGP Kwong; Raymond Plainsboro NJ USAANM Xia; ChuanjunAACI LawrencevilleAAST NJAACO USAAGP Xia; Chuanjun Lawrenceville NJ USAANM Harikrishna Mohan; SiddharthAACI PlainsboroAAST NJAACO USAAGP Harikrishna Mohan; Siddharth Plainsboro NJ USAANM Cheon; Kwang-OhkAACI HollandAAST PAAACO USAAGP Cheon; Kwang-Ohk Holland PA USAANM Brooks; JasonAACI PhiladelphiaAAST PAAACO USAAGP Brooks; Jason Philadelphia PA US - Organic electronic devices comprising a covalently bonded organic/inorganic composite layer. The composite layer may be formed by the reaction of a metal alkoxide with a charge transport compound having one or more hydroxyl groups. Examples of metal alkoxides that can be used include vanadium alkoxides, molybdenum alkoxides, titanium alkoxides, or silicon alkoxides. This composite layer can be used for any of the various charge conducting layers in an organic electronic device, including the hole injection layer. | 01-17-2013 |
20130015431 | LITHIUM METAL QUINOLATES AND PROCESS FOR PREPARATION THEREOF AS GOOD EMITTING, INTERFACE MATERIALS AS WELL AS N-TYPE DOPENT FOR ORGANIC ELECTRONIC DEVICESAANM Kamalasanan; Modeeparampil NarayananAACI New DelhiAACO INAAGP Kamalasanan; Modeeparampil Narayanan New Delhi INAANM Srivastava; RituAACI New DelhiAACO INAAGP Srivastava; Ritu New Delhi INAANM Kumar; AmitAACI New DelhiAACO INAAGP Kumar; Amit New Delhi INAANM Singh; IshwarAACI RohtakAACO INAAGP Singh; Ishwar Rohtak INAANM Dhawan; Sandeep KumarAACI New DelhiAACO INAAGP Dhawan; Sandeep Kumar New Delhi INAANM Bawa; Sukhwant SinghAACI New DelhiAACO INAAGP Bawa; Sukhwant Singh New Delhi IN - Invention relates to a single step preparation of alkali metal quinolate of general formula 1 | 01-17-2013 |
20130015432 | INORGANIC HOSTS IN OLEDS - A novel electronic device is reported containing a host comprising an inorganic material with a band gap of less than 4 eV. The use of an inorganic material is advantageous due to its desirable physical properties, including increased stability and charge mobility. | 01-17-2013 |
20130015433 | PENTACENE-CARBON NANOTUBE COMPOSITE, METHOD OF FORMING THE COMPOSITE, AND SEMICONDUCTOR DEVICE INCLUDING THE COMPOSITE - A method of forming a carbon nanotube-pentacene composite layer, includes depositing on a substrate a dispersion of soluble pentacene precursor and carbon nanotubes, heating the dispersion to remove solvent from the dispersion, and heating the substrate to convert the pentacene precursor to pentacene and form the carbon nanotube-pentacene composite layer. | 01-17-2013 |
20130015434 | POLYMER MATRIX ELECTROLUMINESCENT MATERIALS AND DEVICES - Photoluminescent and electroluminescent compositions are provided which comprise a matrix comprising aromatic repeat units and a luminescent metal ion or luminescent metal ion complex. Methods for producing such compositions, and the electroluminescent devices formed therefrom, are disclosed. | 01-17-2013 |
20130015435 | PHOTOELECTRIC CONVERSION DEVICE AND IMAGING DEVICEAANM Sawaki; DaigoAACI KanagawaAACO JPAAGP Sawaki; Daigo Kanagawa JPAANM Yofu; KatsuyukiAACI KanagawaAACO JPAAGP Yofu; Katsuyuki Kanagawa JP - A photoelectric conversion device includes an organic photoelectric conversion layer, and suppresses sensitivity degradation caused by the light irradiation. A photoelectric conversion device | 01-17-2013 |
20130020558 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes a pair of electrodes and an organic compound layer between the pair of electrodes. The organic compound layer includes an emitting layer including a host material and a phosphorescent dopant material. The host material is selected from a compound satisfying the following formula (1) with respect to a difference ΔST between singlet energy EgS and an energy gap Eg | 01-24-2013 |
20130020559 | ORGANIC ELECTROLUMINESCENCE DEVICE - Provided is an organic electroluminescence device including a pair of electrodes composed of an anode and a cathode, a light emitting layer between the electrodes and an organic layer which is adjacent to the light emitting layer between the light emitting layer and the cathode, on a substrate, and the light emitting layer contains at least one compound having a carbazole structure and the organic layer adjacent to the light emitting layer contains at least one hydrocarbon compound having a specific structure. | 01-24-2013 |
20130020560 | BIPHENYL-METAL COMPLEXES-MONOMERIC AND OLIGOMERIC TRIPLET EMITTERS FOR OLED APPLICATIONS - The present invention relates to light-emitting devices and novel emitter materials as well as emitter systems and, in particular, organic light-emitting devices (OLEDs). In particular, the invention relates to the use of luminescent complexes as emitters in such devices. | 01-24-2013 |
20130020561 | Dibenzo[c,g]Carbazole Compound, Light-Emitting Element, Light-Emitting Device, Display Device, Lighting Device and Electronic Device - Provided is a novel compound which can be used for a transport layer or as a host material or a light-emitting material in a light-emitting element and with which a high-performance light-emitting element can be manufactured. A dibenzo[c,g]carbazole compound in which an aryl group having 14 to 30 carbon atoms and including at least anthracene is bonded to nitrogen of a dibenzo[c,g]carbazole derivative is synthesized. By use of the dibenzo[c,g]carbazole compound, a light-emitting element having very good characteristics can be obtained. | 01-24-2013 |
20130020562 | POLYMER COMPOUND, NET-LIKE POLYMER COMPOUND PRODUCED BY CROSSLINKING THE POLYMER COMPOUND, COMPOSITION FOR ORGANIC ELECTROLUMINESCENCE ELEMENT, ORGANIC ELECTROLUMINESCENCE ELEMENT, ORGANIC EL DISPLAY, AND ORGANIC EL LIGHTING - An object of the invention is to provide a polymer compound having a high hole transport capacity, excellent in electrochemical stability, and suitable to film formation according to a wet film formation method. Another object of the invention is to provide an organic electroluminescence element having a high current efficiency, a low drive voltage, and a long derive lifetime. The polymer compound has a crosslinking group bonding to the arylamine moiety in the repeating unit via at least one single bond therebetween. | 01-24-2013 |
20130020563 | POLYCYCLIC COMPOUNDS AND ORGANIC ELECTROLUMINESCENCE DEVICE EMPLOYING THE SAME - Provided are a polycyclic compound of a compound having such a structure that two benzene rings bond to a central benzene ring each other to form a fused ring and another fused ring bonds to a terminal thereof, and an organic electroluminescence device including one or more organic thin film layers containing a light emitting layer between a cathode and an anode, in which at least one of the organic thin film layers includes the polycyclic compound of the present invention. The organic electroluminescence device has high luminous efficiency, no defect in pixels, and long lifetime. In addition, provided is a polycyclic compound realizing the organic electroluminescence device. | 01-24-2013 |
20130020564 | ORGANIC ELECTROLUMINESCENT ELEMENT AND PRODUCTION METHOD THEREFOR - A transparent organic EL element comprising: a transparent substrate, a first transparent electrode layer formed on the transparent substrate in stripe form, an insulating partition wall formed in stripe form in a direction orthogonal to the longitudinal direction of the first transparent electrode layer on the transparent substrate with the first transparent electrode layer formed, an organic EL layer including a light emitting layer and formed on the first transparent electrode layer in the light emitting region between the partition walls, a second transparent electrode layer formed on the organic EL layer and divided with the partition wall, and a first auxiliary electrode group formed on the second transparent electrode layer with a plurality of metal fine lines disposed parallel with each other, characterized in that an angle θ | 01-24-2013 |
20130020565 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - Provided is a material for an organic electroluminescence device having a specific structure in which a dibenzothiophenyl group or a carbazolyl group is bonded to a carbazolyl group at its N-position (9-position) directly or through a linking group. Further provided is an organic electroluminescence device including one or more organic thin film layers including a light emitting layer between a cathode and an anode, in which at least one organic thin film layer contains the material for an organic electroluminescence device of the present invention. | 01-24-2013 |
20130020566 | PHOTOELECTRIC CONVERSION DEVICE AND IMAGING DEVICE - Provided is a solid-state imaging device using an organic photoelectric conversion device which functions as a photoelectric conversion device having high photoelectric conversion efficiency when applied to the photoelectric conversion device, having a small absolute value of a dark current, and exhibiting favorable characteristics at a room temperature to 60° C. The photoelectric conversion device includes a pair of electrodes, a photoelectric conversion layer interposed between the pair of electrodes, which is a bulk hetero layer where fullerene or a fullerene derivative and a p-type organic semiconductor material are mixed and having an ionization potential of from 5.2 eV to 5.6 eV, and at least one electron blocking layer between at least one electrode of the pair of electrodes and the photoelectric conversion layer, and the ionization potential of the electron blocking layer adjacent to the photoelectric conversion layer being higher than the ionization potential of the photoelectric conversion layer. | 01-24-2013 |
20130026452 | HETEROLEPTIC IRIDIUM COMPLEXES AS DOPANTS - Novel phosphorescent heteroleptic iridium complexes with phenylpyridine and dibenzo-containing ligands are provided. Alkyl substitution at specific positions on the ligands gives rise to compounds with improved OLED properties, including saturated green emission. | 01-31-2013 |
20130026453 | Methods of Polymerizing Silanes and Cyclosilanes Using N-Heterocyclic Carbenes, Metal Complexes Having N-Heterocyclic Carbene Ligands, and Lanthanide Compounds - Compositions and methods for controlled polymerization and/or oligomerization of silane (and optionally cyclosilane) compounds, including those of the general formulae Si | 01-31-2013 |
20130026454 | PhotoSensor And Photodiode Therefor - According to example embodiments, a photodiode includes a photoelectric layer on a first electrode, a second electrode on the photoelectric layer, and a first phosphorescence layer on the second electrode. | 01-31-2013 |
20130026455 | HYBRID ORGANIC-INORGANIC THIN FILM AND PRODUCING METHOD OF THE SAME - The present disclosure relates to a hybrid organic-inorganic thin film producing method including an interlayer connection between an inorganic cross-linked layer and an organic polymer through a molecular layer deposition (MLD) method, a hybrid organic-inorganic thin film produced by the producing method, and an organic electronic device and a thin film transistor containing the hybrid organic-inorganic thin film. | 01-31-2013 |
20130026456 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display, which includes: a first electrode; a second electrode facing the first electrode; and an emission layer interposed between the first electrode and the second electrode. Herein the first electrode includes: a first layer including a material having a work function of about 4.0 eV or less and an electron injection material; and a second layer including a material having a resistivity of about 10 μΩcm or less. The first layer is disposed between the second layer and the emission layer. | 01-31-2013 |
20130026457 | POLYMER BLEND, ORGANIC LIGHT-EMITTING DIODE INCLUDING POLYMER BLEND, AND METHOD OF CONTROLLING CHARGE MOBILITY OF EMISSION LAYER INCLUDING POLYMER BLEND - A polymer blend including a first polymer having a unit represented by Formula 1 and a second polymer having a unit represented by Formula 2: | 01-31-2013 |
20130026458 | CROSSLINKABLE COMPOSITION - To provide a composition (e.g., a coating composition) useful for forming an organic semiconductor having excellent conductivity, solvent resistance, heat resistance, durability, and other properties, and an organic semiconductor formed with the composition. | 01-31-2013 |
20130026459 | POLYMER COMPOUND - A photoelectric conversion device that contains a polymer compound having a structural unit represented by formula (1) has high photoelectric conversion efficiency. | 01-31-2013 |
20130026460 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - Disclosed is a light-emitting element having a light-emitting layer which includes a first layer, a second layer, and a third layer provided in this order on an anode side between the anode and a cathode. The first layer has a hole-transporting property, the second layer has a bipolar property, and the third layer has an electron-transporting property, wherein the first layer contains a first fluorescent compound and a hole-transporting organic compound, the second layer contains a phosphorescent compound and a host material, and the third layer contains a second fluorescent compound and an electron-transporting organic compound. The light-emitting layer is also arranged so that the triplet-excitation energy of both the hole-transporting organic compound and the electron-transporting organic compound are greater than that of the host material. The use of the light-emitting layer with the above-mentioned structure enables production of a light-emitting element with improved luminous efficiency and reduced power consumption. | 01-31-2013 |
20130026461 | ORGANIC LED ELEMENT, TRANSLUCENT SUBSTRATE, AND METHOD FOR MANUFACTURING ORGANIC LED ELEMENT - The present invention provides an organic LED element having the significantly larger light emission area than conventional ones. The invention relates to an organic LED element, comprising: a transparent substrate; a light scattering layer; a transparent first electrode; an organic light-emitting layer; and a second electrode formed in this order, wherein the light scattering layer has a base material comprising a glass, and a plurality of scattering materials dispersed in the base material; the light scattering layer has side surfaces, and each of the side surfaces has a surface tilted at an angle larger than right angle from an upper surface on the first electrode side toward a bottom surface on the transparent substrate side; and the first electrode is placed so as to continuously cover the side surfaces. | 01-31-2013 |
20130032785 | MATERIALS FOR ORGANIC LIGHT EMITTING DIODE - Organometallic compounds comprising a phenylquinoline or phenylisoquinoline ligand having the quinoline or isoquinoline linked to the phenyl ring of the phenylquinoline or phenylisoquinoline, respectively, via two carbon atoms. These compounds also comprise a substituent other than hydrogen and deuterium on the quinoline, isoquinoline or linker. These compounds may be used as red emitters in phosphorescent OLEDs. In particular, these compounds may provide stable, narrow and efficient red emission. | 02-07-2013 |
20130032786 | ORGANIC COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE EMPLOYING THE SAME - Organic compounds and organic electroluminescence devices employing the same are provided. The organic compound has a chemical structure represented below: | 02-07-2013 |
20130032787 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 or Formula 2 below, and an organic light-emitting device including the heterocyclic compound. The organic light-emitting device may include an organic layer containing the heterocyclic compound, and thus may have a low driving voltage, a high-emission efficiency, and long lifespan characteristics. | 02-07-2013 |
20130032788 | CARBAZOLE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE COMPRISING THE SAME - A carbazole-based compound of Formula 1 and an organic light-emitting diode including the same. The carbazole-based compound represented by Formula 1 has a triarylamine structure, wherein at least one of R | 02-07-2013 |
20130032789 | ORGANIC ELECTROLUMINESCENT ELEMENT AND DISPLAY MEDIUM - An organic electroluminescent element includes a pair of electrodes formed of a positive electrode and a negative electrode, with at least one of the electrodes being transparent or semi-transparent, and one or more organic compound layers interposed between the pair of electrodes, with at least one layer containing one or more charge transporting polyesters represented by the following formula (I), wherein A | 02-07-2013 |
20130032790 | ORGANIC ELECTROLUMINESCENT ELEMENT AND DISPLAY - Provided is an organic electroluminescent element which includes a pair of electrodes composed of a positive electrode and a negative electrode, with at least one of the electrodes being transparent or semi-transparent, and an organic compound layer interposed between the pair of electrodes and containing one or more charge transporting polyesters represented by the following formula (I) [in the formula (I), A | 02-07-2013 |
20130032791 | CONJUGATED POLYMERS HAVING AN IMINE GROUP AT THE INTRACHAIN ELECTRON DONOR BRIDGEHEAD POSITION USEFUL IN ELECTRONIC DEVICES - Described herein are novel light absorbing conjugated polymeric electron donor materials for organic photovoltaic devices and other applications. In one embodiment, the polymer structure comprises a conjugated electron rich donor unit with an imine functionality at the bridgehead position and a conjugated electron deficient unit in the polymer backbone arranged in an alternating fashion. Monomers suitable for making the polymers, and devices utilizing the polymers, are also disclosed. | 02-07-2013 |
20130032792 | Suspension or Solution for Organic Optoelectronic Device, Making Method thereof, and Applications - A suspension or solution for organic optoelectronic device is disclosed in this invention. The composition of the suspension or solution includes at least one kind of micro/nano transition metal oxide and a solvent. The composition of the suspension or solution can selectively include at least one kind of transition metal oxide ions or a precursor of transition metal oxide. Moreover, the making method and applications of the suspension or solution is also disclosed in this invention. | 02-07-2013 |
20130037782 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 below and an organic light-emitting diode (OLED) including the same: | 02-14-2013 |
20130037783 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus is disclosed. In one aspect, the apparatus includes a thin film transistor comprising an active layer, a gate electrode, and source and drain electrodes. The apparatus also includes at least two capacitors each comprising a first electrode having a first region doped with ion impurities and a second region not doped with ion impurities, and formed on the same plane as the active layer. Each capacitor also includes a second electrode formed on the same plane as the gate electrode and disposed corresponding to the second region. The apparatus also includes a pixel electrode formed on the same plane as the gate electrode and connected to one of the source and drain electrodes, a light-emitting layer disposed on the pixel electrode, and an opposite electrode disposed on the light-emitting layer. | 02-14-2013 |
20130037784 | THIADIAZOLE, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING APPARATUS, AUTHENTICATION APPARATUS, AND ELECTRONIC DEVICE - The thiadiazole represented by formula ( | 02-14-2013 |
20130037785 | LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND ELECTRONIC DEVICE - A light emitting element including an anode, a cathode, a visible light emitting layer which emits visible light and an infrared light emitting layer which emits infrared light installed between the anode and the cathode is provided. Also, it is preferable that the infrared light emitting layer contain a thiadiazole-based compound as a light emitting material. | 02-14-2013 |
20130037786 | NANOCOMPOSITE, PROCESS FOR PREPARING THE SAME, AND SURFACE EMITTING DEVICE - A nanocomposite including: a matrix including a polyimide; and surface-modified inorganic oxide particles disposed in the matrix, wherein a surface of a particle of the surface-modified inorganic oxide particles is modified with an imide functional group. | 02-14-2013 |
20130037787 | ORGANIC LIGHT EMITTING DEVICE - The invention relates to an organic light-emitting device (OLED) comprising at least: a first electrode ( | 02-14-2013 |
20130037788 | NOVEL m-TERPHENYL COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - An organic light emitting device which includes a m-terphenyl compound having a high T1 energy is provided. In addition, a novel m-terphenyl compound is provided. | 02-14-2013 |
20130037789 | NOVEL NAPHTHOTHIOPHENE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - The present invention provides a novel naphthothiophene compound having a high lowest excited triplet level (T1). | 02-14-2013 |
20130037790 | NOVEL 10,10-DIALKYLANTHRONE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A novel stable 10,10-dialkylanthrone compound is provided. | 02-14-2013 |
20130037791 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device that achieves highly efficient emission and low-voltage operation is provided. The organic light-emitting device contains a 9H-xanthen-9-one derivative. | 02-14-2013 |
20130037792 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display is disclosed. In one embodiment, the OLED display includes an organic light emitting element formed over a substrate and an encapsulation portion covering the organic light emitting element. Further, the encapsulation portion may include at least one organic layer and at least one inorganic layer, wherein ends of the inorganic layer and the organic layer directly contact the substrate, and wherein the organic layer is thicker than the inorganic layer. | 02-14-2013 |
20130043460 | CARBAZOLE SERIAL COMPOUNDS - Disclosed is a carbazole serial compound, having a general formula as: | 02-21-2013 |
20130043461 | ORGANIC ELECTROLUMINESCENT ELEMENT AND DISPLAY MEDIUM - An organic electroluminescent element includes a pair of electrodes composed of a positive electrode and a negative electrode, with at least one of the electrodes being transparent or semi-transparent, and one or more organic compound layers interposed between the pair of electrodes, with at least one layer containing one or more charge transporting polyesters represented by the following formula (I), wherein A | 02-21-2013 |
20130043462 | Asphaltene Components as Organic Electronic Materials - Asphaltene components are useful as organic electronic materials, especially in the form of thin films, in organic electronic devices, such as optoelectronic devices, for example, photodiodes (e.g., photovoltaic cells), phototransistors, photomultipliers, integrated optical circuits, photoresistors, and the like. | 02-21-2013 |
20130043463 | NOVEL ORGANIC COMPOUND - There are provided a novel organic compound that has an excellent luminescent hue and that can produce high-efficiency, high-intensity, and long-life light output, and an organic light-emitting device containing the novel organic compound. | 02-21-2013 |
20130048955 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE CONTAINING THE SAME - A heterocyclic compound represented by one of Formulae 1-4 below and an organic light-emitting device including an organic layer that includes the heterocyclic compound. The heterocyclic compounds have excellent light-emitting characteristics and excellent electron transporting characteristics, and thus may be used as electron injecting materials or electron transporting materials suitable for all-color fluorescent and phosphorescent devices, such as red, green, blue, and white fluorescent and phosphorescent devices. In particular, the heterocyclic compounds are efficiently used as light-emitting materials of green, blue, and while fluorescent devices. By using the heterocyclic compounds, organic light-emitting devices having high efficiency, low driving voltage, high brightness, and long lifespan may be prepared. | 02-28-2013 |
20130048956 | COMPOUND FOR ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICES USING THE SAME - The present invention provides a compound of formula (I) for an organic electroluminescent device: | 02-28-2013 |
20130048961 | ORGANIC LIGHT EMITTING DEVICE WITH ENHANCED EMISSION UNIFORMITY - A light emitting device with high light emission uniformity is disclosed. The device contains a first electrically conductive layer having a positive polarity and an electrically conductive uniformity enhancement layer in contact with the first electrically conductive layer. The device also contains a second electrically conductive layer having a negative polarity and a light-emitting structure situated between the first and the second electrically conductive layers. The light-emitting structure contains an organic material in direct contact with the second electrically conductive layer. The uniformity enhancement layer transmits essentially all wavelengths of light emitted by the light-emitting structure. Compared to devices lacking a uniformity enhancement layer, the device exhibits higher spatial uniformity in luminance and in color spectrum. | 02-28-2013 |
20130048962 | ORGANIC ELECTROLUMINESCENT ELEMENT, METHOD FOR PRODUCING SAME, AND DEVICE FOR PRODUCING SAME - Problems to be solved of the present invention are to provide a method for producing an organic electroluminescent device capable of producing an organic electroluminescent device having long lifetime, an organic electroluminescent device having long lifetime, a planar light source, an illumination apparatus and a display apparatus each having long lifetime. Means for solving the problem is a method for producing an organic electroluminescent device comprising a first electrode, a second electrode and a light emitting layer arranged between the first and second electrodes, the light emitting layer containing an organic film, the method comprising a step of applying a solution containing an organic compound onto the surface of a layer which is to be located just below the light emitting layer, to form the organic film in a dark place. | 02-28-2013 |
20130048963 | Cyclometallated Tetradentate Pt (II) Complexes - Novel phosphorescent tetradentate platinum (II) compounds are provided. The compounds contain an isoimidazole moiety, optionally further substituted with a twisted aryl. These compounds may be advantageously used in OLEDs. | 02-28-2013 |
20130048964 | Light-Emitting Element, Light-Emitting Device, Electronic Device, Lighting Device, and Novel Organic Compound - A light-emitting element includes an EL layer between a pair of electrodes. The EL layer contains a first compound and a second compound. The first compound is a phosphorescent iridium metal complex having a LUMO level of greater than or equal to −3.5 eV and less than or equal to −2.5 eV, and the second compound is an organic compound having a pyrimidine skeleton. The light-emitting element includes an EL layer between a pair of electrodes. The EL layer contains a first compound and a second compound. The first compound is a phosphorescent iridium metal complex having a diazine skeleton, and the second compound is an organic compound having a pyrimidine skeleton. | 02-28-2013 |
20130048965 | CONDENSED POLYCYCLIC COMPOUND AND ORGANIC LIGHT EMITTING ELEMENT INCLUDING THE SAME - A condensed polycyclic compound which emits green light and which has a high chemical stability and an organic light emitting element including the same are provided. A condensed polycyclic compound represented by the general formula [1] or [2] described in claim | 02-28-2013 |
20130048966 | CONDENSED POLYCYCLIC COMPOUND AND ORGANIC LIGHT EMITTING ELEMENT INCLUDING THE SAME - An organic light emitting element which realizes a high efficiency and a long light emission life is provided. An organic compound represented by the general formula [1] described in claim | 02-28-2013 |
20130048967 | Light-Emitting Device, Electronic Device, Lighting Device, and Method for Manufacturing the Light-Emitting Device - A light-emitting device in which deterioration of an organic EL element due to impurities such as moisture or oxygen is suppressed is provided. The light-emitting device includes a first substrate and a second substrate facing each other, a light-emitting element provided over the first substrate, a first sealant provided so as to surround the light-emitting element, and a second sealant provided so as to surround the first sealant. One of the first sealant and the second sealant is a glass layer and the other is a resin layer. A dry agent is provided in a first space surrounded by the first sealant, the second sealant, the first substrate, and the second substrate, or in the resin layer. The light-emitting element is included in a second space surrounded by the first sealant, the first substrate, and the second substrate. | 02-28-2013 |
20130048968 | DISPLAY APPARATUS AND IMAGE PICKUP APPARATUS - A first light-emitting layer of a first organic electroluminescent element is disposed in common to a second organic electroluminescent element, a second light-emitting layer of the second organic electroluminescent element is disposed in contact with the first light-emitting layer and in the cathode side, and the first light-emitting layer is a light-emitting layer having an electron trapping property. | 02-28-2013 |
20130048971 | HETEROCYCLIC COMPOUND, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - Provided is a novel heterocyclic compound which can be used in a light-emitting layer of a light-emitting element as a host material in which a light-emitting substance is dispersed. A heterocyclic compound represented by a general formula (G1) is provided. Any one of R | 02-28-2013 |
20130048972 | Method of Manufacturing Laminated Body and Laminated Body - A laminated body includes a lower electrode formed on a substrate and a basic insulating film which is formed above the lower electrode and covers the lower electrode on the substrate, in which the lower electrode has a film thickness reduction section in which the film thickness of the lower electrode in a portion which is not covered by the basic insulating film is smaller than the film thickness of the lower electrode in a portion which is covered by the basic insulating film in the lower electrode. | 02-28-2013 |
20130048973 | Organic Light-Emitting Diode Comprising At Least Two Electroluminescent Layers - The invention provides an organic light-emitting diode which includes at least two electroluminescent layers (ELR, ELB), both of which are fluorescent or phosphorescent and emit at different wavelengths, as well as a hole- and electron-conducting buffer layer (T) arranged between the electroluminescent layers. The buffer layer is a bi-layer having an electron-transport layer (T | 02-28-2013 |
20130048974 | ORGANIC LUMINESCENT MATERIALS, COATING SOLUTION USING SAME FOR ORGANIC EMITTING LAYER, ORGANIC LIGHT EMITTING DEVICE USING COATING SOLUTION AND LIGHT SOURCE DEVICE USING ORGANIC LIGHT EMITTING DEVICE - It is an object of the present invention to provide an organic light-emitting device which can emit white light by easily controlling dopant concentrations. The organic light-emitting device has a first electrode ( | 02-28-2013 |
20130056710 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a first insulating layer, a second insulating layer on the first insulating layer and including an unevenness portion, a third insulating layer on the second insulating layer, a pixel electrode on the third insulating layer, an opposite electrode facing the pixel electrode, and an organic emission layer between the pixel electrode and the opposite electrode; a thin film transistor including an active layer, a gate electrode, and source/drain electrodes connected to the active layer, the first insulating layer being between the active layer and the gate electrode and the second insulating layer being between the gate electrode, and the source/drain electrodes; and a capacitor including a lower electrode on a same layer as the gate electrode, a dielectric layer of a same material as the third insulating layer, and an upper electrode on a same layer as the pixel electrode. | 03-07-2013 |
20130056711 | ORGANIC LIGHT EMITTING DIODES AND METHODS OF MANUFACTURING THE SAME - The inventive concept provides organic light emitting diodes and methods of manufacturing an organic light emitting diode. The organic light emitting diode includes a substrate, a first electrode layer and a second electrode layer formed on the substrate, an organic light emitting layer disposed between the first electrode layer and the second electrode layer and generating light, and a scattering layer between the first electrode layer and the substrate or between the first electrode layer and the organic light emitting layer. The scattering layer scatters the light. | 03-07-2013 |
20130056712 | Static-Electrical-Field-Enhanced Semiconductor-Based Devices and Methods of Enhancing Semiconductor-Based Device Performance - Devices that include one or more functional semiconductor elements that are immersed in static electric fields (E-fields). In one embodiment, one or more electrets are placed proximate the one or more organic, inorganic, or hybrid semiconductor elements so that the static charge(s) of the electret(s) participate in creating the static E-field(s) that influences the semiconductor element(s). An externally applied electric field can be used, for example, to enhance charge-carrier mobility in the semiconductor element and/or to vary the width of the depletion region in the semiconductor material. | 03-07-2013 |
20130056713 | ORGANIC LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME - The inventive concept provides organic light emitting diodes and methods of fabricating the same. The method may include forming an insulating layer on a substrate, coating a metal ink on the insulating layer, thermally treating the substrate to permeate the metal ink into the insulating layer, thereby forming an assistant electrode layer the insulating layer and the metal ink embedded in the insulating layer, and sequentially forming a first electrode, an organic light emitting layer, a second electrode on the assistant electrode layer. | 03-07-2013 |
20130056714 | ORGANIC EL DISPLAY, METHOD OF PRODUCING ORGANIC EL DISPLAY, AND ELECTRONIC UNIT - An organic EL display includes: a plurality of first electrodes provided in a display region on a drive substrate, the plurality of first electrodes each including a laminated film having two or more layers; an organic layer provided on the plurality of first electrodes and including a light emitting layer; an electrode pad provided in a peripheral region around the display region; and a second electrode provided on the organic layer as well as the electrode pad, wherein the laminated film includes a first conductive film functioning as a reflective film, and a second conductive film provided below the first conductive film, and having a reflectance lower than that of the first conductive film, and the electrode pad corresponds to a part of the laminated film, and includes a conductive film made of a material same as that of the second conductive film. | 03-07-2013 |
20130056715 | PHOTOELECTRIC CONVERSION DEVICE - To provide a photoelectric conversion device which has little light loss caused by light absorption in a window layer, the photoelectric conversion device includes a first electrode, a first semiconductor layer formed over the first electrode, a second semiconductor layer formed over the first semiconductor layer, a third semiconductor layer formed over the second semiconductor layer, and a second electrode formed over the third semiconductor layer; and the first semiconductor layer is a light-transmitting semiconductor layer containing an organic compound and an inorganic compound, and the second semiconductor layer and the third semiconductor layer are each a semiconductor layer containing an organic compound. | 03-07-2013 |
20130056716 | TRANSITION METAL CARBENE COMPLEXES AND THE ELECTROLUMINESCENT APPLICATION THEREOF - This invention provides a transition metal carbene complexes and the electroluminescent application thereof. Through employing different N̂N heteroleptic ligand, the transition metal carbene complex can display wide-range color tuning ability from deep blue to red. The mentioned transition metal carbene complex can be applied in luminescent device, and the luminescent device can display wide-range color tuning ability with high luminescent efficiency while employing different N̂N heteroleptic ligand in the transition metal carbene complex. | 03-07-2013 |
20130056717 | ORGANIC LIGHT-EMITTING DEVICE AND LIGHT SOURCE APPARATUS USING SAME - An organic light-emitting device including a first substrate, a second substrate, a light drawing-out layer disposed between the first substrate and the second substrate, a transparent electrode disposed between the light drawing-out layer and the first substrate, a reflection electrode disposed between the transparent electrode and the first substrate, and a first light-emitting unit disposed between the transparent electrode and the reflection electrode. The first light-emitting unit includes a first light-emitting layer that emits a first light-emitting color. In the organic light-emitting device, relations of the equation L | 03-07-2013 |
20130056718 | Electroluminescent Organic Semiconductor Element and a Method for Repair of an Electroluminescent Organic Semiconductor Element - An electroluminescent organic semiconductor element includes a substrate and a first electrode arranged on the substrate. The semiconductor element additionally contains a second electrode and at least one organic layer, which is arranged between the first electrode and the second electrode. The organic layer is a layer that generates light by recombination of charge carriers. At least one of the first and the second electrode contains a highly conductive organic sublayer. | 03-07-2013 |
20130056719 | ORGANIC EL DISPLAY PANEL AND ORGANIC EL DISPLAY APPARATUS - Provided is organic EL display panel and an organic EL display apparatus that can be driven at a low voltage and that exhibit excellent light-emitting efficiency. Included are a substrate, a first electrode, an auxiliary wiring, a hole injection layer, a functional layer, and a second electrode. The hole injection layer and the second electrode are formed to be continuous above the first electrode and above the auxiliary wiring. The second electrode and the auxiliary wiring are electrically connected by the hole injection layer in an organic EL display panel. The hole injection layer is a metal oxide film, and metal atoms constituting the metal oxide include both metal atoms at a maximum valence thereof and metal atoms at a valence less than the maximum valence. The metal oxide film includes a metal oxide crystal with a particle diameter on the order of nanometers. | 03-07-2013 |
20130056720 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device and an organic photoelectric device including the same are provided. A compound for an organic optoelectronic device represented by Chemical Formula 1 is provided to fabricate an organic photoelectric device having excellent electrochemical and thermal stability and life-span characteristics, and high luminous efficiency at a low driving voltage. | 03-07-2013 |
20130056721 | ORGANIC EL ILLUMINATION DEVICE - In the organic EL illumination device including m (m is an integer greater than 1) series circuits each of which includes n (n is an integer greater than 1) organic EL panels connected in series, each of the organic EL panels is provided with one organic EL element, a positive electrode and a negative electrode, the m series circuits are connected in parallel between the positive electrode and the negative electrode, and among the organic EL panels in the m series circuits, the organic EL panels having the same place in series connections in the direction from the positive electrode to the negative electrode are connected with each other in parallel. | 03-07-2013 |
20130056722 | NOVEL ORGANIC COMPOUND - A novel organic compound is suitable for emitting green light. An organic light-emitting device includes the novel organic compound. | 03-07-2013 |
20130062595 | PHOTODIODE - A photodiode according to example embodiments includes an anode, a cathode, and an intrinsic layer between the anode and the cathode. The intrinsic layer includes a P-type semiconductor and an N-type semiconductor, and composition ratios of the P-type semiconductor and the N-type semiconductor vary within the intrinsic layer depending on a distance of the intrinsic layer from one of the anode and the cathode. | 03-14-2013 |
20130062596 | DISPLAY, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC UNIT - A display includes: a first light-emitting device disposed in a first region on a substrate and including a transfer organic layer; a second light-emitting device disposed in a second region adjacent to the first region on the substrate and not including a transfer organic layer; and a level difference provided between the first region and the second region, and being large enough to inhibit transfer of the transfer organic layer to the second region when the transfer organic layer is formed in the first region. | 03-14-2013 |
20130062597 | NITROGEN-CONTAINING HETEROAROMATIC RING COMPOUND - A nitrogen-containing heteroaromatic compound is represented by the following formula (A). | 03-14-2013 |
20130062598 | Compounds Having Semiconducting Properties and Related Compositions and Devices - Disclosed are new compounds having semiconducting properties. Such compounds can be processed in solution-phase at a temperature of less than about 50° C. into thin film semiconductors that exhibit high carrier mobility and/or good current modulation characteristics. | 03-14-2013 |
20130062599 | ORGANIC LIGHT EMITTING DEVICES HAVING GRADED EMISSION REGIONS - Organic light-emitting devices having an emissive region comprising a hole transport material and an electron transport material in varying material concentration across the devices. Variation of the concentration of the hole transport material and electron transport material is provided continuously or in a graded manner, as opposed to using multiple layers arranged to form a step-like gradient. | 03-14-2013 |
20130069042 | ELECTRODE FOIL AND ORGANIC DEVICE - There are provided an electrode foil which has both the functions of a supporting base material and a reflective electrode and also has a superior thermal conductivity; and an organic device using the same. The electrode foil comprises a metal foil and a reflective layer provided directly on the metal foil. | 03-21-2013 |
20130069043 | Electro Optic Devices - An electro optic device comprising a first electrode and a second electrode and an emissive layer located between the first and second electrodes, the emissive layer comprising a polymeric semiconductor, or semiconducting and luminescent material having a thickness of 200 nm to 3000 nm. | 03-21-2013 |
20130069044 | ORGANIC LIGHT-EMITTING DIODE WITH ENHANCED EFFICIENCY - Generally, the devices provided herein comprise at least a hole-transport layer, two light-emitting layers, and an electron-transport layer, each having a highest occupied molecular orbital (HOMO) energy level and a lowest unoccupied molecular orbital (LUMO) energy level, wherein at least one of the HOMO energy levels and/or the LUMO energy levels of at least one of the light-emitting layers does not decrease in a stepwise fashion. | 03-21-2013 |
20130069045 | ORGANIC EL DISPLAY AND METHOD OF MANUFACTURING ORGANIC EL DISPLAY - It is possible to manufacture a large-size, high-accuracy organic EL display using a plastic substrate and an organic EL display using a roll-shaped long plastic substrate. | 03-21-2013 |
20130069046 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic electroluminescent element that achieves increased emission intensity in the front direction of the organic electroluminescent element without reducing the size of the light-emitting region thereof. The organic electroluminescent element includes: a reflective electrode; an organic electroluminescent layer including a light-emitting layer; a transparent electrode; and a light extraction structure between the reflective electrode and the organic electroluminescent layer, the structure being of a protruding shape having inclined portions whose widths gradually narrow from the reflective electrode toward a light extraction side, in which: the light extraction structure is provided on the reflective electrode to surround a light-emitting region; in a cross section taken along a plane perpendicular to the reflective electrode where an angle of an inclination angle formed between the reflective electrode and each of the inclined portions is largest, the angle of the inclination angle is 23° or more to 33° or less. | 03-21-2013 |
20130069047 | PHOTOELECTRIC CONVERSION ELEMENT AND IMAGING DEVICE - A photoelectric conversion element is provided and includes: an electrically conductive thin layer; an organic photoelectric conversion layer; and a transparent electrically conductive thin layer. The organic photoelectric conversion layer contains: a compound represented by formula (I); and a fullerene or a fullerene derivative. | 03-21-2013 |
20130069048 | LUMINESCENT DEVICE AND METHOD OF PRODUCING THE SAME - An electroluminescent device including a substrate on which a first electrode is formed; a transparent substrate disposed on the first electrode side of the substrate; a color filter disposed between the first electrode and the transparent substrate; an electroluminescent layer disposed between the first electrode and the color filter; and a second electrode disposed between the electroluminescent layer and the color filter. | 03-21-2013 |
20130069049 | COMPOUND COMPRISING A FIVE-MEMBERED HETERO RING, AN ORGANIC ELECTRICAL ELEMENT USING THE SAME AND A TERMINAL THEREOF - Disclosed are a compound comprising a five-membered hetero ring, an organic electrical element using the same and a terminal thereof. | 03-21-2013 |
20130069050 | OPTOELECTRONIC DEVICE HAVING AN EMBEDDED ELECTRODE - An optoelectronic device including a first electrode arranged on a substrate, a second electrode that includes a first surface facing the first electrode, and a semiconductor material layer that is in electric contact with the first and second electrodes. The second electrode includes a side wall that is adjacent to the first surface and is covered with the semiconductor material layer by the insertion of a self-assembled monolayer. | 03-21-2013 |
20130069051 | ORGANIC EL ELEMENT - Disclosed is an organic EL element which requires a low electric power and can exhibit high reliability under high temperature environments. Specifically disclosed is an organic EL element produced by forming, between an anode ( | 03-21-2013 |
20130075704 | HETEROCYCLIC COMPOUND, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, LIGHTING DEVICE, AND ORGANIC COMPOUND - Provided is a novel heterocyclic compound which can be used in a light-emitting layer of a light-emitting element as a host material in which a light-emitting material is dispersed, i.e., a heterocyclic compound represented by a general formula (G1). Any one of R | 03-28-2013 |
20130075705 | Carbazole Compound, Light-Emitting Element Material, and Organic Semiconductor Material - A carbazole compound which can be used for a transport layer or as a host material or a light-emitting material of a light-emitting element is provided. Specifically, a carbazole compound which makes it possible to obtain a light-emitting element having good characteristics when used in a light-emitting element emitting blue phosphorescence is provided. In the carbazole compound, the 9-position of one carbazole, the 9-position of the other carbazole, and the 1-position of a benzimidazole skeleton are bonded to the 1-position, the 3-position, and the 5-position of benzene. | 03-28-2013 |
20130075706 | SUBSTITUTED BIARYL COMPOUNDS FOR LIGHT-EMITTING DEVICES - Some substituted biaryl ring systems may be useful in light-emitting devices, such as those comprising a light-emitting diode. For example, substituted bipyridinyl or substituted phenylpyridinyl may be useful in these devices. The substituted biaryl ring system may have at least two different substituents, including one on each ring on the biaryl system. The first substituent may include optionally substituted carbazolyl, optionally substituted diphenylamine, optionally substituted diphenylaminophenyl, and optionally substituted carbazolylphenyl. The second substituent may include optionally substituted benzimidazol-2-yl, optionally substituted benzoxazol-2-yl, and an optionally substituted benzothiazol-2-yl. | 03-28-2013 |
20130075707 | LIGHT-EMITTING DEVICE AND LIGHTING APPARATUS - The light output surface structure layer has a concavo-convex structure on a surface opposite to the organic EL element. The concavo-convex structure includes flat surface portions parallel to one surface of the organic EL element and an inclined surface portion tilted relative to the flat surface portions. The projected area which is formed by projecting the inclined surface portion in a direction perpendicular to the flat surface portions onto a plane parallel to the flat surface portions is equal to or less than 0.1 times the total area of the flat surface portions. On a cross section of a flat surface portion cut along a plane parallel to the width and thickness directions thereof, the ratio H/W is 1 or greater and 2.5 or less, where H and W are the height and the width thereof, respectively. | 03-28-2013 |
20130075708 | LIGHT EMITTING DEVICE - The present invention provides a light emitting device | 03-28-2013 |
20130075709 | Light Emitting Device and Electronic Equipment - A display device capable of keeping the luminance constant irrespective of temperature change is provided as well as a method of driving the display device. A current mirror circuit composed of transistors is placed in each pixel. A first transistor and a second transistor of the current mirror circuit are connected such that the drain current of the first transistor is kept in proportion to the drain current of the second transistor irrespective of the load resistance value. The drain current of the first transistor is controlled by a driving circuit in accordance with a video signal and the drain current of the second transistor is caused to flow into an OLED, thereby controlling the OLED drive current and the luminance of the OLED. | 03-28-2013 |
20130075710 | ORGANIC ELECTRO-LUMINESCENCE DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An OLED device is disclosed. The OLED device includes a first substrate including a driver element and a connection electrode connected to the driver element, a second substrate including an organic light emission diode element, a contact spacer electrically connected to the connection electrode, and a sealant disposed into a cavity which is formed by the first and second substrates, the connection electrode, the organic light emission diode element, and the contact space. Herein, the sealant is bonded to the contact spacer and the connection electrode and maintains the electric connection between the contact spacer and the connection electrode. In this manner, the driver element and the organic light emission diode element are protected from external oxygen and/or moisture, and the electric connection between the connection electrode and the contact spacer is reinforced. Accordingly, the contact defect between the connection electrode and the contact spacer can be prevented and the structural strength of the OLED device can be greatly improved. | 03-28-2013 |
20130075711 | THIN-FILM SEMICONDUCTOR DEVICE FOR DISPLAY APPARATUS, METHOD FOR MANUFACTURING THIN-FILM SEMICONDUCTOR DEVICE FOR DISPLAY APPARATUS, EL DISPLAY PANEL, AND EL DISPLAY APPARATUS - A thin-film semiconductor device for a display apparatus according to the present disclosure includes: a gate electrode above a substrate; a gate insulating film above the gate electrode; a semiconductor layer above the gate electrode; a first electrode above the semiconductor layer; a second electrode in a same layer as the first electrode; an interlayer insulating film covering the first electrode and the second electrode; a gate line above the interlayer insulating film; a first power supply line electrically connected to the second electrode and in a same layer as the second electrode; and a second power supply line in a same layer as the gate line. Furthermore, the gate electrode and the gate line are electrically connected via a first conductive portion, and the first power supply line and the second power supply line are electrically connected via a second conductive portion. | 03-28-2013 |
20130075712 | Light-Emitting Device - A light-emitting device includes a first electrode area on a substrate and a functional light-emitting layer on the first electrode area. A second electrode area is disposed on the functional light-emitting layer. A light outlet layer is disposed in a radiation path of the functional light-emitting layer. The light outlet layer incorporates a number of optical elements whose distribution and/or geometrical shape vary across a surface of the light outlet layer. | 03-28-2013 |
20130075713 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - A light-emitting element disclosed in the present invention includes a light-emitting layer and a first layer between a first electrode and a second electrode, in which the first layer is provided between the light-emitting layer and the first electrode. The present invention is characterized by the device structure in which the first layer comprising a hole-transporting material is doped with a hole-blocking material or an organic compound having a large dipole moment. This structure allows the formation of a high performance light-emitting element with high luminous efficiency and long lifetime. The device structure of the present invention facilitates the control of the rate of the carrier transport, and thus, leads to the formation of a light-emitting element with a well-controlled carrier balance, which contributes to the excellent characteristics of the light-emitting element of the present invention. | 03-28-2013 |
20130075714 | POLYMER, POLYMER COMPOSITION AND ORGANIC LIGHT-EMITTING DEVICE - Light-emitting composition comprising a host polymer and a light emitting dopant wherein the host polymer comprises conjugating repeat units and non-conjugating repeat units in a backbone of the polymer and wherein: the conjugating repeat units provide at least one conjugation path between repeat units linked thereto; and the non-conjugating repeat units comprise an at least partially saturated ring having at least one ring atom that breaks any conjugation path between repeat units linked to the non-conjugating repeat unit such that a highest occupied molecular orbital level of the polymer is further from vacuum level by at least 0.1 eV and/or a lowest unoccupied molecular orbital level of the polymer is closer to vacuum level by at least 0.1 eV as compared to a polymer in which the non-conjugating repeat units are absent. | 03-28-2013 |
20130075715 | COMPOUND HAVING ACRIDAN RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - An organic compound with characteristics excelling in hole-injecting/transporting performance and having an electron blocking ability, a highly stable thin-film state, and excellent heat resistance is provided as material for an organic electroluminescent device of high efficiency and high durability, and the organic electroluminescent device of high efficiency and high durability is provided using this compound. The compound of a general formula (Chemical Formula 1) having a substituted acridan ring structure is used as a constituent material of at least one organic layer in the organic electroluminescent device that includes a pair of electrodes and one or more organic layers sandwiched between the pair of electrodes. | 03-28-2013 |
20130075716 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescence device ( | 03-28-2013 |
20130082244 | OLED DEVICES COMPRISING HOLLOW OBJECTS - Embodiments of the present disclosure include organic light emitting diode (OLED) devices having hollow objects configured to scatter otherwise trapped light out of the device, thereby improving the performance of the device. The hollow objects are dispersed in one or more organic layers of the OLED device. The hollow objects may have a similar refractive index to that of air, such that visible light emitted by the emissive layer may contact the hollow objects in the OLED device and may be scattered out of the device. In some embodiments, the hollow objects may be spherical or tubular, and may be sized to be larger than the visible light wavelength spectrum. | 04-04-2013 |
20130082245 | TETRADENTATE PLATINUM COMPLEXES - Novel phosphorescent tetradentate platinum compounds of Formula I are provided. The complexes contain a dibenzo moiety, which allows for the creation of OLED devices with improved properties when compounds of Formula I are incorporated into such devices. Compounds of Formula I′ that comprise two ligands that contain a 5-membered carbocyclic or heterocyclic ring, one of which contains an imidazole ring with a twisted aryl group attached to N−1 and a second aromatic ring that is attached to the platinum via a carbon atom. These compounds may be advantageously used in OLEDs. | 04-04-2013 |
20130082246 | DISPLAY UNIT - A display unit having satisfactory emission characteristics can be produced more easily and stably. Organic light emitting devices comprise an organic compound layer including at least a first and a second light emitting layer common in the respective organic devices. A layer constituting the organic layer has the same thickness in all the organic devices. The first layer contains a first and a second light emission dopant which are different in an emission color, a light emission spectrum, and a light emitting position in a thickness direction. The second layer contains a third light emission dopant different from the first and the second dopant in the emission color and the light emission spectrum. In at least two kinds of dopants, a distance between the first electrode and the light emitting position in the thickness direction satisfies an optical constructive condition in optical interference. | 04-04-2013 |
20130082247 | Light-Emitting Device - To provide a light-emitting device which can emit bright light without increasing the projected area of a light-emitting element and be manufactured with high yield. A light-emitting device of one embodiment of the present invention includes a plurality of projections; a first electrode formed along the plurality of projections; a layer containing a light-emitting organic compound formed along the plurality of projections and over the first electrode; and a second electrode formed along the plurality of projections and over the layer containing a light-emitting organic compound. Further, the plurality of projections each have a bottom surface having a side in contact with a bottom surface of an adjacent projection; a plurality of side surfaces each having a certain angle greater than 0° and less than or equal to 80° with respect to the bottom surface; and a vertex having a first continuously curved surface. | 04-04-2013 |
20130082248 | ELECTROLUMINESCENT DEVICES BASED ON PHOSPHORESCENT IRIDIUM AND RELATED GROUP VIII METAL MULTICYCLIC COMPOUNDS - Disclosed herein are phosphorescent materials comprising a complex of a metal atom M selected from Ir, Pt, Rh, Pd, Ru and Os and at least one ligand L, wherein the ligand L is represented by formula (1). Also disclosed are organic electroluminescent devices including such phosphorescent materials. | 04-04-2013 |
20130082249 | METHOD FOR MANUFACTURING ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC DISPLAY DEVICE, ORGANIC LIGHT-EMITTING DEVICE, METHOD FOR FORMING FUNCTIONAL LAYER, FUNCTIONAL MEMBER, DISPLAY DEVICE, AND LIGHT-EMITTING DEVICE - A functional layer of an organic light-emitting element is formed by using an ink including a first solvent and a second solvent having equal or similar boiling points, and a functional material. The first solvent is such that an imitatively formed functional layer formed by replacing the second solvent with the first solvent, in a light-emitting region of an organic light-emitting element, is thicker at both end portions than at a central portion and top surfaces of the end portions are positioned higher than a top surface of the central portion. The second solvent is such that an imitatively formed functional layer formed by replacing the first solvent with the second solvent, in a light-emitting region of an organic light-emitting element, is thicker at a central portion than at both end portions and a top surface of the central portion is positioned higher than top surfaces of the end portions. | 04-04-2013 |
20130082250 | PHOTOELECTRIC CONVERSION FILM, PHOTOELECTRIC CONVERSION DEVICE AND COLOR IMAGE SENSOR HAVING THE PHOTOELECTRIC CONVERSION DEVICE - A blue color photoelectric conversion film includes: a p-type layer formed by depositing tetracene; a p,n-type layer formed by co-depositing tetracene and naphthalene- tetracarboxylic-dianhydride (“NTCDA”) on the p-type layer; and an n-type layer formed by depositing NTCDA on the p,n-type layer. | 04-04-2013 |
20130082251 | ELECTROACTIVE MATERIALS - There is disclosed a compound having Formula I or Formula I′: | 04-04-2013 |
20130087768 | HETEROCYCLIC COMPOUND, ORGANIC LIGHT-EMITTING DIODE COMPRISING THE HETEROCYCLIC COMPOUND, AND FLAT PANEL DISPLAY DEVICE COMPRISING THE ORGANIC LIGHT-EMITTING DIODE - A heterocyclic compound represented by Formula 1 below, an organic light-emitting diode including the same, and a flat panel display device including the organic light-emitting diode: | 04-11-2013 |
20130087769 | Organic Light Emitting Display Device - An organic light emitting display device which includes a base member; an organic light emitting display unit provided on one surface of the base member and configured to generate an image; an intermediate layer provided over the one surface of the base member and formed to be in contact with the organic light emitting display unit; and a capping member including a bulkhead member and a first member, wherein one surface of the first member is in contact with the intermediate layer, and the bulkhead member is protruded from an opposite surface of the first member to define a plurality of capping areas. | 04-11-2013 |
20130087770 | Organic Light Emitting Display Device - An organic light emitting display device which includes a base member; an organic light emitting display unit disposed on the base member and configured to generate an image; a sealing layer configured to seal the organic light emitting display unit; a capping substrate disposed on the sealing layer and having a plurality of metal layers, one of the metal layers being in contact with the sealing layer and having at least one groove; and a moisture absorbent provided in the groove. | 04-11-2013 |
20130087771 | ORGANIC MATERIALS AND ORGANIC ELECTROLUMINESCENT APPARATUSES USING THE SAME - Organic materials and organic electroluminescent apparatuses using the same are provided. The structural general formula of the materials is shown below, wherein Ar is selected from residues of C6-C30 fused-ring aromatic hydrocarbons, Ar | 04-11-2013 |
20130087772 | EMISSIVE COMPOUNDS FOR ORGANIC LIGHT-EMITTING DIODES - Disclosed herein are compounds comprising an optionally substituted (2-phenylpyridinato-N,C2′)(2,4-pentanedionato)Pt(II). Some embodiments provide a light-emitting device, comprising: an anode layer; a cathode layer; and a light-emitting layer positioned between, and electrically connected to, the anode layer and the cathode layer, wherein the light-emitting layer comprises a compound disclosed herein. | 04-11-2013 |
20130087773 | Light-Emitting Element, Light-Emitting Device, Electronic Device, Lighting Device, and Pyrene-Based Compound - A highly efficient light-emitting element capable of providing a plurality of emission colors is provided, which does not easily deteriorate and can minimize a decrease in external quantum efficiency even when a light-emitting layer has a stacked structure. A light-emitting device, an electronic device, and a lighting device which have low power consumption and long lifetime are provided. A light-emitting element includes a plurality of light-emitting layers stacked between a pair of electrodes. The light-emitting layers each contain a host material and a guest material. The guest materials of the light-emitting layers are substances which have different HOMO levels but have substantially the same LUMO levels and emit light of different colors. A light-emitting device, an electronic device, and a lighting device are fabricated using the light-emitting element. | 04-11-2013 |
20130087774 | ORGANIC LIGHT EMITTING DIODE LIGHTING EQUIPMENT - An organic light emitting diode lighting equipment includes a transparent substrate main body, a first electrode formed on the substrate main body, a subsidiary electrode formed on the first electrode to partition the first electrode at a predetermined distance, an organic emissive layer formed on the first electrode, and a second electrode formed on the organic emissive layer. The subsidiary electrode has an inclined lateral side facing toward the organic emissive layer. | 04-11-2013 |
20130087775 | Light Emitting Device - The present invention provides a TFT that has a channel length particularly longer than that of an existing one, specifically, several tens to several hundreds times longer than that of the existing one, and thereby allowing turning to an on-state at a gate voltage particularly higher than the existing one and driving, and allowing having a low channel conductance gd. According to the present invention, not only the simple dispersion of on-current but also the normalized dispersion thereof can be reduced, and other than the reduction of the dispersion between the individual TFTs, the dispersion of the OLEDs themselves and the dispersion due to the deterioration of the OLED can be reduced. | 04-11-2013 |
20130087776 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device, the compound being represented by the following Chemical Formula 1: | 04-11-2013 |
20130087777 | SURFACE LIGHT SOURCE DEVICE AND LIGHTING APPARATUS - A surface light source device including an organic EL element of a double-side emission type and a light output surface structure layer provided on at least one surface of the organic EL element, wherein the light output surface structure layer includes a concavo-convex structure on a surface opposite to the organic electroluminescent element, the concavo-convex structure having flat surface portions parallel to the surface and an inclined surface portion tilted relative to the flat surface portions, and a projected area formed by projecting the inclined surface portion in a direction perpendicular to the flat surface portions onto a plane parallel to the flat surface portions is not more than 0.1 times a total area of the flat surface portions. | 04-11-2013 |
20130087778 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENCE ELEMENT - Disclosed are: an organic electroluminescent element which has high power efficiency, excellent resistance to luminance decrease due to continuous operation and excellent storage stability at high temperatures, while being reduced in chromatically change of color developing light; and a method for manufacturing the organic electroluminescent element. Specifically disclosed is an organic electroluminescent element that has a positive electrode and a negative electrode on a supporting substrate, while comprising an organic layer, which contains at least one light-emitting layer, between the positive electrode and the negative electrode. The organic electroluminescent element is characterized in that at least one layer in the organic layer contains a crown ether compound and a compound having a dibenzofuran skeleton. | 04-11-2013 |
20130087779 | PROCESS AND MATERIALS FOR MAKING CONTAINED LAYERS AND DEVICES MADE WITH SAME - There is provided a process for forming a contained second layer over a first layer, including the steps: forming the first layer having a first surface energy; treating the first layer with a priming material to form a priming layer; exposing the priming layer patternwise with radiation resulting in exposed areas and unexposed areas; developing the priming layer to effectively remove the priming layer from the unexposed areas resulting in a first layer having a pattern of priming layer, wherein the pattern of priming layer has a second surface energy that is higher than the first surface energy; and forming the second layer by liquid depositions on the pattern of priming layer on the first layer. | 04-11-2013 |
20130092903 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE CONTAINING THE SAME - A heterocyclic compound represented by Formula 1, and an organic layer including the heterocyclic compound: | 04-18-2013 |
20130092904 | ORGANIC THIN-FILM TRANSISTOR, METHOD OF MANUFACTURING ORGANIC THIN-FILM TRANSISTOR, AND DISPLAY - An organic thin-film transistor includes: a semiconductor layer made of an organic material; a gate electrode; a source electrode and a drain electrode each at least partially provided above the semiconductor layer; and a conductive layer containing an oxide having conductivity that changes due to reduction, the conductive layer being provided in each of a first region and a second region facing the source electrode and the drain electrode provided above the semiconductor layer, respectively. | 04-18-2013 |
20130092905 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE ELEMENT, AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME - Provided is a material for an organic electroluminescence device, which further has a bulky carbazolyl group at each of the 3-position and 6-position of its central carbazole skeleton, and which has a dibenzofuran skeleton or a dibenzothiophene skeleton at the N atom of the central carbazole skeleton through a linking group as required. Also provided is an organic electroluminescence device, including one or more organic thin film layers including a light emitting layer between a cathode and an anode, in which at least one layer of the organic thin film layers contains the material for an organic electroluminescence device. | 04-18-2013 |
20130092906 | ORGANIC LIGHT-EMITTING ELEMENT - An object of the invention is to provide an organic light emitting device having a high current density at the time of driving. A means for achieving the object is an organic light emitting device which has an anode and a cathode, has between the anode and the cathode a light emitting layer containing a light emitting organic compound, and has between the anode and the light emitting layer a functional layer containing an ionic liquid and an organic compound. | 04-18-2013 |
20130092907 | Organic Light-Emitting Element - An object of the invention is to provide an organic light emitting device having a high current density at the time of driving. A means for achieving the object is an organic light emitting device which has an anode and a cathode, has between the anode and the cathode a light emitting layer containing a light emitting organic compound, and has between the anode and the light emitting layer a first functional layer containing a first organic compound, a second functional layer containing a second organic compound and a hole transport layer in this order from the anode side, wherein the first organic compound is an electron accepting organic compound, and the second organic compound is an organic compound having a fused ring or not less than three aromatic rings. | 04-18-2013 |
20130092908 | ARRANGEMENT FOR GENERATING ELECTROMAGNETIC RADIATION - The invention relates, inter alia, to an arrangement ( | 04-18-2013 |
20130092909 | WHITE ORGANIC LIGHT EMITTING DEVICE - A device for achieving reduced driving voltage and enhanced color stability under high luminance conditions includes an anode and cathode arranged on a substrate to be opposite each other, a charge generation layer between the anode and the cathode, a first stack formed between the anode and the charge generation layer and including a first light emitting layer, and a second stack formed between the charge generation layer and the cathode and including a second light emitting layer in which first and second hosts are doped with phosphorescent dopant, a HOMO level of the first host is greater than that of the second host, and a LUMO level of the first host is greater than an LUMO level of the second host. | 04-18-2013 |
20130092910 | ORGANIC LIGHT EMITTING DEVICE AND DISPLAY PANEL USING THE SAME - An organic light emitting device includes an anode, a hole injection layer, a hole transport layer, a light emitting layer, an electron transport layer, an electron injection layer and a cathode laminated in this order, wherein the hole injection layer comprises a mixture of an inorganic material comprising at the least of one of an alkali metal oxide, an alkaline earth metal oxide, a halogen compound of an alkali metal, a halogen compound of an alkaline earth metal, and an organic material comprising at least one of compounds represented by Formula 1: | 04-18-2013 |
20130092911 | Light-Emitting Device - A light-emitting device having the quality of an image high in homogeneity is provided. A printed wiring board (second substrate) ( | 04-18-2013 |
20130092912 | POLYMERS WITH TUNABLE BAND GAPS FOR PHOTONIC AND ELECTRONIC APPLICATIONS - The present invention provides, among other things, a copolymer comprising at least one donor monomer and at least one acceptor monomer. The polymer may optionally further comprise at least one additional comonomer. The polymers are useful as a charge-transport, semiconducting, electrochemical conducting, photoconducting, or light emitting material. Microelectronic devices comprising such polymers (e.g., as a heterojunction therein) are also described. | 04-18-2013 |
20130092913 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescence device, including: an anode; a cathode opposed to the anode; and a first emitting layer and a second emitting layer between the anode and the cathode in this sequence from the anode, wherein: the first emitting layer and the second emitting layer each include a host and a phosphorescent dopant; the host of the first emitting layer and the host of the second emitting layer each have a triplet energy of 2.8 eV or more; the host of the first emitting layer has an ionization potential of 5.5 eV or less; and an affinity Af | 04-18-2013 |
20130092914 | TRANSPARENT LIGHT EMITTING DEVICE WITH CONTROLLED EMISSION - The present invention relates to a light emitting device comprising: a transparent substrate ( | 04-18-2013 |
20130092915 | ORGANIC EL DISPLAY UNIT, METHOD OF MANUFACTURING THE SAME, AND SOLUTION USED IN METHOD - An organic electroluminescence display unit including: a lower electrode for each device; a first hole injection/transport layer provided on the lower electrode for each device; a second organic light emitting layer of the first color provided on the first hole injection/transport layer for the second organic electroluminescence device; a second hole injection/transport layer provided on the entire surfaces of the second organic light emitting layer and the first hole injection/transport layer for the first organic electroluminescence device, and being made of a low molecular material; a blue first organic light emitting layer provided on the entire surface of the second hole injection/transport layer; and an electron injection/transport layer having at least one of electron injection characteristics and electron transport characteristics, and an upper electrode that are provided in sequence on the entire surface of first organic light emitting layer. | 04-18-2013 |
20130092916 | THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THIN FILM TRANSISTOR, DISPLAY DEVICE, AND ELECTRONIC EQUIPMENT - A thin film transistor includes a contact layer that contains an organic semiconductor material and an acceptor material or a donor material provided between an organic semiconductor layer and a source electrode/a drain electrode. | 04-18-2013 |
20130092917 | ORGANIC EL DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic EL display includes: lower electrodes arranged on a substrate so as to correspond to red, green and blue organic EL elements, respectively; hole injection/transport layers arranged on the lower electrodes so as to correspond to the red, green and blue organic EL elements, respectively, the hole injection/transport layers having one or both of hole injection and hole transport properties; red and green organic light-emitting layers arranged on the hole injection/transport layers for the red and green organic EL elements, respectively, and including a low-molecular material; a blue organic light-emitting layer arranged on whole surfaces of the red and green organic light-emitting layers and the hole injection/transport layer for the blue organic EL element; and an electron injection/transport layer and an upper electrode arranged on a whole surface of the blue light-emitting layer, the electron injection/transport layer having one or both of electron injection properties and electron transport properties. | 04-18-2013 |
20130092918 | ORGANIC EL ELEMENT AND METHOD FOR PRODUCING SAME - The present invention is to provide a method of favorably forming an organic EL device with the inverted structure by the wet process. On that account, an organic EL device includes a cathode, an electron injection layer, a light emitting layer, a hole transport layer, a hole injection layer, an anode are formed in this order on a substrate. The electron injection layer is formed by applying ink between banks and drying the ink. The ink is formed by dissolving a polymer compound having an organic phosphine oxide skeleton in an alcohol solvent. The light emitting layer is formed by applying ink between components of the bank and the drying the ink. The ink is formed by dissolving material for light emitting layer such as polyphenylene vinylene (PPV) derivative or polyfluorene derivative in a nonpolar solvent. | 04-18-2013 |
20130092919 | Light Emitting Device and Method for Manufacturing the Same - When attaching a substrate with an EL element formed thereon and a transparent sealing substrate, the periphery of a pixel portion is surrounded with a first sealing agent that maintains a gap between the two pieces of substrates, an entire surface of the pixel portion is covered with a second transparent sealing agent so that the two pieces of substrate is fixed with the first sealing agent and the second sealing agent. Consequently, the EL element can be encapsulated by curing the first sealing agent and the second sealing agent without enclosing a drying agent and doing damage to the EL element due to UV irradiation even when a sealing device only having a function of UV irradiation is used. | 04-18-2013 |
20130092920 | ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD OF FABRICATING THE SAME - An organic electroluminescent device including a driving element having a driving gate electrode connected to the switching element, the driving gate electrode formed uniformly on the substrate, a driving source electrode having a first driving source electrode along a first direction and a plurality of second driving source electrodes extending from the first driving source electrode along a second direction crossing the first direction, a driving drain electrode spaced apart from the driving source electrode, the driving drain electrode having a first driving drain electrode along the first direction and a plurality of second driving drain electrodes extending from the first driving drain electrode along the second direction, wherein the plurality of second driving source electrodes alternate with the plurality of second driving drain electrodes, wherein the driving source electrode and the driving drain electrode including an interval therebetween are facing the driving gate electrode. | 04-18-2013 |
20130092921 | PROCESS FOR PRODUCING AN ORGANIC SEMICONDUCTOR LAYER CONSISTING OF A MIXTURE OF A FIRST AND A SECOND SEMICONDUCTOR - A method for manufacturing an organic semiconductor layer formed of a mixture of a first and of a second organic semiconductor materials includes the steps of: forming a porous solid volume formed of the first semiconductor material, of intercommunicating porosity and capable of receiving a second semiconductor material; depositing, at least on an external surface of the porous solid volume, a liquid including the second semiconductor material dissolved or dispersed in a solvent, the solvent being inert with respect to the first semiconductor material and having an evaporation temperature lower than the evaporation temperature of the second semiconductor material; and once the porous solid volume has been at least partially impregnated with the liquid, evaporating the solvent by heating up to a temperature higher than the evaporation temperature of said solvent and lower than the evaporation temperature of the first and of the second semiconductor materials. | 04-18-2013 |
20130092922 | MATERIALS FOR ELECTRONIC DEVICES - The present invention relates to an electronic device comprising anode, cathode and at least one organic layer which comprises a compound of the formula (I) to (IV). The invention furthermore encompasses the use of compounds of the formula (I) to (IV) in an electronic device and to a compound of the formula (Ic) to (IVc). | 04-18-2013 |
20130099206 | HETEROCYCLIC COMPOUND, ORGANIC LIGHT-EMITTING DIODE INCLUDING THE HETEROCYCLIC COMPOUND, AND FLAT DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT-EMITTING DIODE - A heterocyclic compound represented by Formula 1 below, and an organic light-emitting diode including the heterocyclic compound, and a flat display device including the organic light-emitting diode. | 04-25-2013 |
20130099207 | BIPOLAR COMPOUND AS A HOST MATERIAL FOR ORGANIC LIGHT EMITTING DIODES - The present invention provides a bipolar compound represented by formula (I) and the derivatives thereof as a host material having excellent bipolar transporting properties for organic light-emitting diodes (OLEDs). The present invention also relates to a device including at least a layer of the bipolar compound and/or the derivatives thereof as a host material and a method of making the same. | 04-25-2013 |
20130099208 | CONDENSED-CYCLIC COMPOUND, METHOD FOR PREPARING THE CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE CONDENSED-CYCLIC COMPOUND - A condensed-cyclic compound represented by Formula 1 below, a method for preparing the condensed-cyclic compound, and an organic light-emitting device including the condensed-cyclic compound. | 04-25-2013 |
20130099209 | Dopant for a Hole Conductor Layer for Organic Semiconductor Components, and Use Thereof - The invention relates to novel metal-organic materials for hole injection layers in organic electronic components. For example, in light-emitting components such as organic light diodes (OLED) or organic light-emitting electrochemical cells (OLEEC) or organic field effect transistors or organic solar cells or organic photo detectors. Luminescence (cd/m | 04-25-2013 |
20130099210 | Light-Emitting Module and Method for Manufacturing the Same - A light-emitting module with improved light extraction efficiency and reliability is provided. In the light-emitting module, an element substrate with gas barrier properties is used; a light-emitting element is optically connected to one surface side of the element substrate; and a diffuse reflection layer is in contact with the other surface side of the element substrate. The diffuse reflection layer has a diffuse reflectance of greater than or equal to 75% and less than 100%. The light-emitting element includes a layer containing a light-emitting organic compound between a pair of light-transmitting electrodes. The element substrate transmits light emitted from the light-emitting element; the refractive index of the element substrate is different from that of layer containing a light-emitting organic compound by 0.2 or less. | 04-25-2013 |
20130099211 | DEVICES HAVING HIGH DIELECTRIC CONSTANT, IONICALLY-POLARIZABLE MATERIALS - An electronic or electro-optic device has a first electrode, a second electrode spaced apart from the first electrode, and a dielectric layer disposed between the first and second electrodes. The dielectric layer has electrically insulating planar layers with intercalated ions therebetween such that the electrically insulating planar layers provide a barrier to impede movement of the intercalated ions to the first and second electrodes under an applied voltage while permitting a polarization of the dielectric layer while in operation. | 04-25-2013 |
20130099212 | LIGHT EMITTING DIODE - A light emitting diode that includes: a light source; a buffer layer disposed on the light source and including a first matrix polymer; a polymer layer disposed on the buffer layer and including an organic/inorganic hybrid polymer; and an emission layer disposed on the polymer layer and including a light emitting particle dispersed in a second matrix polymer, wherein one selected from the light source, the buffer layer, the emission layer, and a combination thereof includes one selected from sulfurous component, a nitrogenous component, and a combination thereof. | 04-25-2013 |
20130099213 | SEMICONDUCTOR NANOCRYSTAL-POLYMER COMPOSITE, METHOD OF PREPARING THE SAME, AND COMPOSITE FILM AND OPTOELECTRONIC DEVICE INCLUDING THE SAME - A semiconductor nanocrystal-polymer composite including a semiconductor nanocrystal, a polymer comprising a plurality of carboxylate anion groups (—COO | 04-25-2013 |
20130099214 | MATERIAL FOR ORGANIC PHOTOELECTRIC DEVICE, AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A material for an organic photoelectric device includes a compound represented by the following Formula 1: | 04-25-2013 |
20130099215 | ORGANIC SEMICONDUCTOR FILM, PRODUCTION METHOD THEREOF, AND CONTACT PRINTING STAMP - Disclosed is an organic semiconductor film ( | 04-25-2013 |
20130099216 | ORGANIC ELECTROLUMINESCENT MATERIAL, ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE AND LIGHTING DEVICE - Disclosed are: an organic EL material which emits light having a short wavelength and has high luminous efficiency and long light emission life; an organic EL element which contains the organic EL material; and a lighting device and a display device, each of which comprises the organic EL element. The organic EL material is a compound represented by Formula ( | 04-25-2013 |
20130099217 | DISPLAY APPARATUS - Provided is a display apparatus and a method of manufacture. The display apparatus includes a first substrate with a plurality of organic electroluminescence devices, a second substrate with a color filter, the second substrate facing the first substrate, and an adhesive layer disposed between the first substrate and the second substrate so as to cover the plurality of organic electroluminescence devices, the adhesive layer being made of a material selected from the group consisting of a phenol resin, a melanin resin, an unsaturated polyester resin, an epoxy resin, a silicon resin and a polyurethane resin. | 04-25-2013 |
20130099218 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic electro-luminescence device capable of reducing a resistance of a cathode electrode to enhance brightness uniformity at each location within the device is described. The organic electro-luminescence device includes a bank layer formed over a substrate, the bank layer including a first, second, and third portion. A first electrode is formed between the first and second portions of the bank layer. An auxiliary electrode is formed where at least a part of the auxiliary electrode is formed between the second and third portions of the bank layer. A voltage drop prevention pattern is formed on the auxiliary electrode. An organic material layer formed between the first and second portions of the bank layer. A second electrode formed on the organic material layer, where at least a portion of the second electrode is electrically coupled to the auxiliary electrode. | 04-25-2013 |
20130099219 | LUMINANCE-ENHANCING POLARISING PLATE FOR AN ORGANIC LIGHT-EMITTING ELEMENT - There is provided a polarizer for organic light emitting diodes (OLED) having improved brightness. The polarizer, which comprises a linear polarizer and a ¼ retardation plate, comprises a reflective polarizer film disposed between the linear polarizer and the ¼ retardation plate and transmitting a polarized light horizontal to the transmission axis of the linear polarizer while reflecting a polarized light vertical to the transmission axis of the linear polarizer. The polarizer may be useful to highly improve the brightness of the OLED device when the polarizer is used in the OLED device. | 04-25-2013 |
20130099220 | Transistor Structure - A transistor structure comprises a patterned N-type transparent oxide semiconductor formed over a substrate as a base, and a patterned p-type organic polymer semiconductor formed on the patterned N-type transparent oxide semiconductor comprising a first portion and a second portion so that the patterned N-type transparent oxide semiconductor and the first portion and the second portion of the patterned p-type organic polymer semiconductor form heterojunctions therebetween respectively, wherein the first portion of the patterned p-type organic polymer semiconductor is used as an emitter, and the second portion of the patterned p-type organic polymer semiconductor is used as a collector. | 04-25-2013 |
20130099221 | ORGANIC EL DISPLAY PANEL, AND METHOD FOR PRODUCING SAME - An organic EL display panel includes a substrate; an interlayer insulating layer on the substrate; first electrodes on the interlayer insulating layer to correspond to element formation regions in rows and columns; banks extending in columns to partition the regions in rows; organic light-emitting layers above the first electrodes, and each containing organic light-emitting material having light-emitting color differing between each two adjacent regions in rows; and second electrodes above the light-emitting layers, and being opposite in polarity to the first electrodes, wherein the interlayer insulating layer has first opening corresponding to interval between each two adjacent first electrodes in rows, the banks each have integrally formed buried part and main part, the buried part fills the interval and the first opening, and the main part is protrusion of the buried part and has recess on top thereof along with shapes of the interval and the first opening. | 04-25-2013 |
20130099222 | DISPLAY, METHOD FOR DRIVING DISPLAY, AND ELECTRONIC APPARATUS - Disclosed herein is a display including: a pixel array part configured to include pixels that are arranged in a matrix and each have an electro-optical element, a write transistor for writing a video signal, a drive transistor for driving the electro-optical element based on the video signal written by the write transistor, and a holding capacitor connected between gate and source of the drive transistor, wherein the holding capacitor includes a first electrode, a second electrode disposed to face one surface of the first electrode for forming a first capacitor, and a third electrode disposed to face the other surface of the first electrode for forming a second capacitor, and the first capacitor and the second capacitor are connected in parallel to each other electrically. | 04-25-2013 |
20130099223 | ANTHANTHRENE BASED COMPOUND AND SEMICONDUCTOR DEVICE - An anthanthrene based compound of the structural formula (1) is disclosed: | 04-25-2013 |
20130099224 | LIGHT-EMITTING DEVICE AND PHOTOVOLTAIC CELL, AND METHOD FOR MANUFACTURING THE SAME - Provided are a light-emitting device and a photovoltaic cell having excellent characteristics. A light-emitting device ( | 04-25-2013 |
20130099225 | PHOTOELECTRIC CONVERSION ELEMENT AND SOLID-STATE IMAGING DEVICE - Provided is a photoelectric conversion element including a photoelectric conversion material layer that is constituted by an organic material having more excellent sensitivity and responsiveness than those of conventional ones. | 04-25-2013 |
20130105766 | Method For Removing Halogens From An Aromatic Compound | 05-02-2013 |
20130105767 | CARBAZOLE DERIVATIVES AND ORGANIC LIGHT EMITTING DIODES COMPRISING THE SAME | 05-02-2013 |
20130105768 | PHOTODIODE | 05-02-2013 |
20130105769 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 05-02-2013 |
20130105770 | OPTO-ELECTRICAL DEVICES INCORPORATING METAL NANOWIRES | 05-02-2013 |
20130105771 | COMPOUND FOR OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME, AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE | 05-02-2013 |
20130105772 | SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SEMICONDUCTOR DEVICE, AND A THIN FILM | 05-02-2013 |
20130105773 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE | 05-02-2013 |
20130105774 | OLED DISPLAYS FOR ACCURATE GRAY SCALES | 05-02-2013 |
20130105775 | DISPLAY APPARATUS | 05-02-2013 |
20130105776 | DISPLAY APPARATUS | 05-02-2013 |
20130105777 | REDUCING OLED DEVICE EFFICIENCY AT LOW LUMINANCE | 05-02-2013 |
20130105778 | ORGANIC LIGHT-EMITTING DIODE DISPLAY DEVICE | 05-02-2013 |
20130105779 | METHODS OF PREPARING THE SURFACE OF METAL SUBSTRATES FOR ORGANIC PHOTOSENSITIVE DEVICES | 05-02-2013 |
20130105780 | METHOD FOR PRODUCING ORGANIC EL ELEMENT, DISPLAY DEVICE, LIGHT-EMITTING APPARATUS, AND ULTRAVIOLET IRRADIATION DEVICE | 05-02-2013 |
20130105781 | ORGANIC LIGHT-EMITTING ELEMENT AND PROCESS FOR PRODUCTION THEREOF, AND ORGANIC DISPLAY PANEL AND ORGANIC DISPLAY DEVICE | 05-02-2013 |
20130105782 | ORGANIC LIGHT-EMITTING PANEL, MANUFACTURING METHOD THEREOF, AND ORGANIC DISPLAY DEVICE | 05-02-2013 |
20130105783 | ORGANIC EL PANEL AND METHOD FOR MANUFACTURING THE SAME | 05-02-2013 |
20130105784 | ORGANIC TRANSISTOR, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND ORGANIC TRANSISTOR | 05-02-2013 |
20130105785 | NOVEL ORGANIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 05-02-2013 |
20130105786 | NOVEL SPIRO(ANTHRACENE-9,9'-FLUOREN)-10-ONE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 05-02-2013 |
20130105787 | LIGHT EMITTING ELEMENT | 05-02-2013 |
20130112946 | NOVEL HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the heterocyclic compound: | 05-09-2013 |
20130112947 | ORGANIC PHOTOELECTRONIC DEVICE AND IMAGE SENSOR - An organic photoelectric device may include an anode and a cathode configured to face each other, and an active layer between the anode and cathode, wherein the active layer includes a quinacridone derivative and a thiophene derivative having a cyanovinyl group. | 05-09-2013 |
20130112948 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1A below and an organic light-emitting diode including the same: | 05-09-2013 |
20130112949 | ORGANIC LIGHT-EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, AND FLAT PANEL DISPLAY DEVICE INCLUDING THE SAME - An organic light-emitting device including: a substrate; a first electrode; a second electrode; an emission layer between the first electrode and the second electrode; and an electron transport layer between the emission layer and the second electrode, wherein the emission layer includes a blue emission layer, the electron transport layer includes a unit that includes a first single layer including a first material, a first mixed layer on the first single layer and including the first material and a second material, a second single layer on the first mixed layer and including the second material, a second mixed layer on the second single layer and including the first and second materials, and a third single layer on the second mixed layer and including the first material, wherein the first mixed layer has a thickness that is larger than that of the second mixed layer. | 05-09-2013 |
20130112950 | COMPOUND HAVING CARBAZOLE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - There is provided an organic compound of excellent characteristics that exhibits excellent hole-injecting/transporting performance and has high triplet exciton confining capability with an electron blocking ability, and that has high stability in the thin-film state and high luminous efficiency. The compound is used to provide a high-efficiency, high-durability organic electroluminescent device, particularly a phosphorescent organic electroluminescent device. The present invention is a compound of the following general formula having a carbazole ring structure. The compound is used as a constituent material of at least one organic layer in an organic electroluminescent device that includes a pair of electrodes, and one or more organic layers sandwiched between the pair of electrodes. | 05-09-2013 |
20130112951 | SOLUTION PROCESSABLE DOPED TRIARYLAMINE HOLE INJECTION MATERIALS - Methods for fabricating a solution-processed OLED are provided. The methods include depositing an organic layer comprising mixture of an organic electron acceptor and an organic electron donor to form a layer that is insoluble to a non-polar solvent. Devices containing the organic layer may demonstrate improved lifetime and have a lower operating voltage while maintaining good luminous efficiency. | 05-09-2013 |
20130112952 | DEPOSITING PREMIXED MATERIALS - A combination of host materials suitable for co-evaporation or premix evaporation, and devices containing the combination of host materials are provided. The combination of host materials provides improved lifetime and efficiency. A method for fabricating devices containing the host material combination is also provided. | 05-09-2013 |
20130112953 | ORGANIC LIGHT-EMITTING DEVICE - An object of the present invention is to provide an organic light-emitting device, wherein light trapped in a substrate due to total reflection at the interface between air and a substrate is efficiently extracted to the air side. | 05-09-2013 |
20130112954 | Dibenzo[f,h]Quinoxaline Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A novel compound which can be used as a material for a light-emitting element is provided. Specifically, a novel compound is provided which can be suitably used as a material for a light-emitting element where a phosphorescent compound enabling high emission efficiency of the light-emitting element is used as a light-emitting substance. In addition, a novel compound is provided which can be easily synthesized and inexpensively manufactured as well as having the above-described characteristics. A compound is provided in which at least one dibenzothiophenyl group or dibenzofuranyl group is directly bonded to a dibenzo[f,h]quinoxaline skeleton. | 05-09-2013 |
20130112955 | Light-Emitting Module and Light-Emitting Device - Provided is a light-emitting module from which light with uniform brightness can be extracted. Further, provided is a beautiful light-emitting module in which Newton's rings are not observed. The light-emitting module includes a first substrate, a light-emitting element formed on one surface side of the first substrate, a second substrate, a conductive spacer maintaining the gap between the first substrate and the second substrate, and a space in which the light-emitting element is sealed between the first substrate and the second substrate. Further, the pressure in the space is lower than or equal to the atmospheric pressure. Furthermore, the conductive spacer is electrically connected to the second electrode in a position overlapping with a partition provided over the first substrate so as to reduce a voltage drop occurring in the second electrode. | 05-09-2013 |
20130112956 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - The described technology relates generally to an OLED display and manufacturing method thereof. The OLED display includes a substrate, a thin film transistor on the substrate and including a semiconductor layer, a gate electrode, a source electrode, and a drain electrode, and an organic light emitting element coupled to the thin film transistor and including a pixel electrode, an organic emission layer, and a common electrode, wherein the semiconductor layer is formed of a polycrystalline silicon layer, and remnants and contaminants at a surface of the polycrystalline silicon layer are reduced or eliminated through an atmospheric pressure plasma treatment. The semiconductor layer is formed of a polycrystalline silicon layer where remnants and contaminants at the surface thereof are reduced or eliminated through an atmospheric pressure plasma treatment. | 05-09-2013 |
20130112957 | POLYMER-BASED ORGANIC ELECTROLUMINESCENT DEVICE - An electroluminescence device having an emission layer comprising a single organic compound layer between a cathode and an anode. The single layer may comprise an emitter component on a single polymer chain of covalently linked (co)-polymer sections Y1, optionally in combination with Y2, and/or Y3, or different polymer chains Y1, optionally in combination with Y2, and/or Y3 blended together. Each of the (co)-polymer contains a spacer unit and a carrier transporting component and optionally an emitter moiety. | 05-09-2013 |
20130112958 | ORGANIC LIGHT-EMITTING DISPLAY PANEL AND MANUFACTURING METHOD - Embodiments of the invention provide an organic light-emitting display (OLED) panel and a manufacturing method for the OLED panel, which comprises providing a substrate comprising a first electrode layer which comprises a plurality of first electrodes spaced apart from each other, forming an insulating layer on the substrate, etching off the insulating layer over the first electrodes by a photolithography process to form a pattern of sub-pixel depositing areas and forming organic light-emitting layers for desired colors within the sub-pixel depositing areas, and forming a second electrode layer on the insulating layer and the organic light-emitting layers. Embodiments of the invention can exactly prepare the organic light-emitting layers to improve yield. | 05-09-2013 |
20130112959 | LUMINANCE-ENHANCING POLARISING PLATE FOR AN ORGANIC LIGHT-EMITTING ELEMENT - There is provided a polarizer for organic light emitting diodes (OLED) having improved brightness. The polarizer, which comprises a linear polarizer and a ¼ retardation plate, comprises a reflective polarizer film disposed between the linear polarizer and the ¼ retardation plate and transmitting a polarized light horizontal to the transmission axis of the linear polarizer while reflecting a polarized light vertical to the transmission axis of the linear polarizer. The polarizer may be useful to highly improve the brightness of the OLED device when the polarizer is used in the OLED device. | 05-09-2013 |
20130112960 | HIGH RESOLUTION PIXEL ARCHITECTURE - A pixel structure comprises a substantially transparent substrate, a drive transistor formed on the substrate, an organic light emitting device formed on the opposite side of the drive transistor from the substrate, a reflective layer disposed between the light emitting device and the drive transistor and having a reflective surface facing the light emitting device. The reflective layer forms an opening offset from the drive transistor for passing light emitted by the light emitting device to the substrate. At least a portion of the reflective layer is preferably concave in shape to direct reflected light from the light emitting device back onto the light-emitting device. | 05-09-2013 |
20130112961 | Organic Semiconductor Material and Light-Emitting Element, Light-Emitting Device, Lighting System, and Electronic Device Using the Same - Disclosed is a novel organic semiconductor material which has a twisted quaterphenylene skeleton as a central unit and simultaneously possesses a skeleton having an electron-transporting property and a skeleton having a hole-transporting property at the terminals of the quaterphenylene skeleton. Specifically, the organic semiconductor material has a [1,1′:2′,1″:2″,1′″]quaterphenyl-4-4′″-diyl group, and one of the terminals of the [1,1′:2′,1″:2″,1′″]quaterphenyl-4-4′″-diyl group is bonded to a skeleton having an electron-transporting property such as a benzoxazole group or an oxadiazole group. A skeleton having a hole-transporting property such as diarylamino group is introduced at the other terminal. This structure allows the formation of a compound having a bipolar property, a high molecular weight, an excellent thermal stability, a large band gap, and high triplet excitation energy. | 05-09-2013 |
20130112962 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - An exemplary embodiment of the present invention provides a method for preparing an organic light-emitting device, comprising the steps of: 1) forming a spacer pattern on a first electrode formed on a substrate; 2) forming an organic material layer and a second electrode; 3) exposing the first electrode by forming an encapsulation thin film and then etching at least one portion of the encapsulation thin film; and 4) forming an auxiliary electrode which is electrically connected to the first electrode exposed in the step 3). The organic light-emitting device according to the exemplary embodiment of the present invention may solve problems of a voltage drop due to resistance of a transparent electrode in a longitudinal direction and of resultant brightness non-uniformity of the diode. | 05-09-2013 |
20130112963 | Optoelectronic Device Having an Elastic Electrode - The present disclosure relates to an optoelectronic device, in particular to an arrangement for contacting an optoelectronic device. The optoelectronic device ( | 05-09-2013 |
20130112964 | AMPHIPHILIC PROTEIN IN PRINTED ELECTRONICS - Disclosed is a method for preparing an organic electronic device, which contains one or more layers of a suitable functional material on a substrate, which process is characterized in that at least one interlayer of an amphiphilic protein is placed between adjacent layers of the functional material, or between the substrate and the adjacent layer of the functional material. The protein interlayer improves the adhesion of layers without negative impact on the device's performance. | 05-09-2013 |
20130112965 | ORGANIC LIGHT-EMITTING DEVICE MATERIAL HAVING DIBENZOSUBERONE SKELETON - The present invention provides an organic light-emitting device material that exhibits high emission efficiency and is used in an organic light-emitting device having a low driving voltage. The organic light-emitting device material is represented by general formula (1) below: | 05-09-2013 |
20130112966 | METHOD FOR MANUFACTURING ORGANIC LIGHT-EMITTING DEVICE AND ORGANIC LIGHT-EMITTING DEVICE - The present invention provides a method for manufacturing an organic light-emitting device capable of simply manufacturing the organic light-emitting device without requiring a vacuum atmosphere. The manufacturing method of the present invention includes: a step of preparing a supporting substrate having an organic electroluminescent element formed thereon, the organic electroluminescent element containing an anode, a light-emitting layer, an electron injection layer made by forming a film with a solution containing an ionic polymer, and a cathode; and a step of laminating the supporting substrate and a sealing member to one another so as to seal the organic electroluminescent element. | 05-09-2013 |
20130119352 | MULTI-STRUCTURE CATHODE FOR FLEXIBLE ORGANIC LIGHT EMITTING DIODE (OLED) DEVICE AND METHOD OF MAKING SAME - Described is a method for making a flexible OLED lighting device. The method includes forming a plurality of OLED elements on a flexible planar substrate, each of the OLED elements including a continuous respective anode layer formed over the substrate. One or more organic light emitting materials is formed over the anode layer; a continuous cathode layer having a first thickness is formed over the light emitting materials; and a discontinuous cathode layer having a second thickness is formed over the continuous cathode layer. An encapsulating protective cover may be formed over the cathode layers. Each of the OLED elements defines a bendable, continuous light region on the substrate, wherein the substrate and combination of OLED elements define an OLED device that more effectively dissipates heat and has an active light area that is bendable. | 05-16-2013 |
20130119353 | TRIPHENYLENE SILANE HOSTS - Novel aryl silicon and aryl germanium host materials, and in particular host materials containing triphenylene and pyrene fragments, are described. These compounds improve OLED device performance when used as hosts in the emissive layer of the OLED. | 05-16-2013 |
20130119354 | HETEROLEPTIC IRIDIUM COMPLEX - Novel phosphorescent heteroleptic iridium complexes with phenylpyridine and dibenzo-containing ligands are provided. The disclosed compounds have low sublimation temperatures that allow for ease of purification and fabrication into a variety of OLED devices. | 05-16-2013 |
20130119355 | STYRYL-BASED COMPOUND, COMPOSITION CONTAINING STYRYL-BASED COMPOUND, AND ORGANIC LIGHT EMITTING DIODE INCLUDING STYRYL-BASED COMPOUND - A styryl-based compound represented by Formula 1, a composition containing the styryl-based compound, and an organic light-emitting diode (OLED) including the styryl-based compound: | 05-16-2013 |
20130119356 | OPAL GLASSES FOR LIGHT EXTRACTION - Opal glass compositions and devices incorporating opal glass compositions are described herein. The compositions solve problems associated with the use of opal glasses as light-scattering layers in electroluminescent devices, such as organic light-emitting diodes. In particular, embodiments solve the problem of high light absorption within the opal glass layer as well as the problem of an insufficiently high refractive index that results in poor light collection by the layer. Particular devices comprise light-emitting diodes incorporating light scattering layers formed of high-index opal glasses of high light scattering power that exhibit minimal light attenuation through light absorption within the matrix phases of the glasses. | 05-16-2013 |
20130119357 | White Organic Light Emitting Device and Display Device Using the Same - A white organic light emitting device, with improved color shift characteristics and improved efficiency according to viewing angle changes by controlling conditions for designing an optical path in organic material layers between a cathode and an anode or adjusting interior or exterior thicknesses of the organic material layers, has a structure including a first electrode and layers between the first electrode and a second electrode satisfies an optical path condition represented by the following equation | 05-16-2013 |
20130119358 | Light-Emitting Device, Electronic Device, and Lighting Device - Provided is a light-emitting device having a light-emitting portion having a light-emitting element in a space surrounded by a support substrate, a metal substrate, and a sealing material, in which the sealing material is provided to surround the periphery of the light-emitting portion, the light-emitting element has a first electrode, a layer having a light-emitting organic compound, and a second electrode, the support substrate and the first electrode are each capable of transmitting light emitted from the light-emitting organic compound, and the space contains gas inert to the light-emitting element or is in a vacuum. The light-emitting device has, over the second electrode, a first high-emissivity layer that has higher emissivity than the second electrode and is thermally connected to the second electrode, and a low-reflectivity layer with which a metal substrate surface facing the support substrate is provided and which has lower reflectivity than the metal substrate. | 05-16-2013 |
20130119359 | Organic Electroluminescent Element, Material for Organic Electroluminescent Element, and Light Emitting Device, Display Device and Illumination Device Each Using the Element - The disclosure relates to organic electroluminescent elements, materials for use in the elements, and devices using the elements, which include a compound represented by the following General Formula (1): | 05-16-2013 |
20130119360 | ORGANIC ELECTROLUMINESCENCE ELEMENT, NEW COMPOUND FOR THE SAME, DISPLAY DEVICE AND LIGHTING DEVICE USING THE SAME - Disclosed is an organic electroluminescence element comprising an anode, a cathode and a plurality of organic compound layers between the anode and the cathode, provided that one of the organic compound layers is a light emitting layer containing a phosphorescence emitting compound,
| 05-16-2013 |
20130119361 | PHENYL AND FLUORENYL SUBSTITUTED PHENYL-PYRAZOLE COMPLEXES OF Ir - The invention provides emissive materials and organic light emitting devices using the emissive materials in an emissive layer disposed between and electrically connected to an anode and a cathode. The emissive materials include compounds with the following structure: | 05-16-2013 |
20130119362 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display. The OLED display includes a first substrate member, a first conductive wire having a contact region and formed over the first substrate member, an insulating layer including a plurality of wire contact holes exposing a part of the contact region of the first conductive wire and formed over the first conductive wire, a second conductive wire formed over the first conductive wire and connected to the first conductive wire through the plurality of wire contact holes of the insulating layer, a sealant formed over the second conductive wire, a sealing member formed over the sealant, and a fill-up layer disposed above or under the contact region of the first conductive wire. | 05-16-2013 |
20130119363 | FLUORINE-CONTAINING AROMATIC COMPOUND, ORGANIC SEMICONDUCTOR MATERIAL AND ORGANIC THIN FILM DEVICE - A fluorine-containing aromatic compound represented by a formula: Q(W—Ar | 05-16-2013 |
20130119364 | DEPOSITION APPARATUS AND DEPOSITION METHOD - A light-emitting device includes a transistor over a substrate and an insulating film over the transistor. The light-emitting device further includes a wiring over the insulating film and a light-emitting element. The insulating film includes a first opening and a second opening, and the wiring is electrically connected to the transistor through the first opening. The light-emitting element is provided in the second opening, and includes a first electrode, a second electrode, and an organic compound layer provided between the first electrode and the second electrode. | 05-16-2013 |
20130119365 | COMPOSITE MATERIAL, LIGHT EMITTING ELEMENT AND LIGHT EMITTING DEVICE - An object of the present invention is to provide a composite material formed of an organic compound and an inorganic compound, and has an excellent carrier transporting property, an excellent carrier injecting property to the organic compound, as well as excellent transparency. A composite material of the present invention for achieving the above object is a composite material of an organic compound represented in the general formula below, and an inorganic compound. For the inorganic compound, an oxide of a transition metal, preferably an oxide of a metal belonging to groups 4 to 8 of the periodic table, in particular vanadium oxide, tantalum oxide, molybdenum oxide, tungsten oxide, rhenium oxide, and ruthenium oxide, can be used. | 05-16-2013 |
20130119366 | ORGANIC EL ELEMENT, DISPLAY DEVICE, AND LIGHT-EMITTING DEVICE - The present invention aims to provide organic EL elements operating at low voltage to emit light at high intensity. For this aim, each EL element includes an anode, a cathode, a functional layer disposed between the anode and the cathode and including a light-emitting layer composed of organic material, a hole injection layer disposed between the anode and the functional layer, and a bank defining the light-emitting layer. The hole injection layer contains tungsten oxide and exhibits: by UPS measurement, a UPS spectrum having a protrusion appearing near a Fermi surface and within a region corresponding to a binding energy range lower than the top of a valence band; and by XPS measurement, that the tungsten oxide in the hole injection layer satisfies a condition that a ratio in number density of atoms other than tungsten atoms and oxygen atoms to the tungsten atoms is equal to 0.83 or smaller. | 05-16-2013 |
20130119367 | HIGHLY EFFICIENT CARBAZOLE-BASED COMPOUND, AND ORGANIC ELECTROLUMINESCENCE DEVICE COMPRISING SAME - The present invention relates to a highly efficient carbazole-based compound and to an organic electroluminescence device including the same. According to the present invention, provided are a compound for an organic electroluminescence device and an organic electroluminescence device including the compound, in which a carbazole-based phosphine oxide compound, which is a compound intended for an organic electroluminescence device, is employed to overcome the problems of conventional compounds for organic electroluminescence devices, i.e. those of instable thermal stability and low efficiency, and particularly, the compound of the present invention exhibits superior efficiency in pure-blue phosphorescent devices. | 05-16-2013 |
20130119368 | ORGANIC EL DEVICE - An organic EL device includes a first substrate having electrical conductivity, an organic layer formed on the first substrate, an electrode layer formed on the organic layer, and a second substrate joined to the electrode layer by an adhesive layer. In a region of a peripheral portion of the first substrate, the organic layer is not formed, and a portion of the electrode layer is provided on the first substrate through an insulating layer so as to extend to an outer peripheral side of a region where the organic layer is present. The extended electrode layer is folded back together with the insulating layer to a side opposite to the second substrate, to constitute an electrode taking-out portion. | 05-16-2013 |
20130119369 | LAYERED STRUCTURE, ELECTRONIC DEVICE USING SAME, AROMATIC COMPOUND, AND METHOD FOR MANUFACTURING SAID COMPOUND - The present invention provides: a layered structure having a substrate and a hole injection and/or hole transport layer comprising an aromatic compound having, on a side chain, at least one type of group having a cationic center; | 05-16-2013 |
20130126831 | ORGANIC LIGHT EMITTING MATERIALS - Novel phosphorescent metal complexes containing 2-phenylquinoline ligands with at least two substituents on the quinoline ring are provided. The disclosed compounds have low sublimation temperatures that allow for ease of purification and fabrication into a variety of OLED devices. | 05-23-2013 |
20130126832 | ORGANIC LIGHT EMITTING DEVICE AND MATERIALS FOR USE IN SAME - The present invention provides an OLED in which an organic thin film layer comprising a single layer or plural layers between a cathode and an anode, wherein the organic thin film layer comprises at least one organic light emitting layer, wherein at least one light emitting layer comprises at least one host material and at least one phosphorescent emitter material, wherein the host material comprises a substituted or unsubstituted hydrocarbon compound having the chemical structure represented by the formula (A-I): formula (A-1) wherein R | 05-23-2013 |
20130126833 | METHOD OF MANUFACTURING ORGANIC EL APPARATUS - In a method of manufacturing an organic EL apparatus, a mask layer is formed on an organic compound layer, and a region not covered with the mask layer is patterned by dry etching, in which a charge injection layer is formed using an inorganic compound that has a low etching rate with respect to an etching gas used for patterning of the organic compound layer and that is not decomposed even when exposed to the etching gas. | 05-23-2013 |
20130126834 | ORGANIC LUMINESCENT MATERIAL AND ORGANIC ELECTROLUMINESCENT APPARATUS - An organic luminescent material includes a host luminescent material and a guest luminescent material. The host luminescent material includes a compound represented by formula (1), | 05-23-2013 |
20130126835 | Organic Electroluminescent Element, Compound for Use in the Element, and Light Emitting Device, Display Device, and Illumination Device Using the Element - The disclosure relates to organic electroluminescent elements, compounds for use in the elements, and devices using the elements, which include a compound represented by the following General Formula (1): | 05-23-2013 |
20130126836 | HIGH-MOBILITY STRUCTURES, APPARATUSES AND METHODS THEREFOR - Various apparatuses, systems and methods involve high mobility materials. In accordance with one or more example embodiments, a material includes a conjugated molecule and a side chain bonded to the conjugated molecule. The side chain includes at least one of a siloxane-terminated unit and a derivative of a siloxane-terminated unit that enhance solubility of the conjugated molecule. Further, the side chain facilitates a π-stacking distance between the conjugated molecules when stacked (e.g., in an organic semiconductor film), thereby facilitating carrier mobility between the conjugated molecules | 05-23-2013 |
20130126837 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device is disclosed which includes: a substrate defined into a display area and a non-display area; a light emission diode layer formed on the substrate and configured to emit light; a TFE layer formed on the light emission diode layer and configured to protect the light emission diode layer; an intrusive moisture guide layer configured to prevent moisture intrusion into the light emission diode layer; and a getter configured to absorb moisture which is guided by the intrusive moisture guide layer. | 05-23-2013 |
20130126838 | ORGANIC THIN FILMS, METHODS FOR FORMING THE SAME, AND ORGANIC THIN FILM TRANSISTORS INCLUDING THE SAME - Provided is a method of forming an organic thin film including forming a first layer containing a first organic material on a substrate, performing a first imprint process on the first layer using a pattern mold, forming a second layer containing a second organic material on the first layer after the first imprint process, and performing a second imprint process on the second layer using a blanket mold. | 05-23-2013 |
20130126839 | ORGANIC LIGHT-EMITTING PANEL, MANUFACTURING METHOD THEREOF, AND ORGANIC DISPLAY DEVICE - A pixel in the panel includes sub-pixels | 05-23-2013 |
20130126840 | ORGANIC EL ELEMENT, ORGANIC EL PANEL, ORGANIC EL LIGHT-EMITTING APPARATUS, ORGANIC EL DISPLAY APPARATUS, AND METHOD OF MANUFACTURING ORGANIC EL ELEMENT - An organic EL element includes a hole injection layer that yields excellent hole conduction efficiency. The organic EL element includes an anode, a cathode, and functional layers that include organic material between the anode the cathode. The functional layers include a hole injection layer that injects holes into the functional layers. The hole injection layer is a metal oxide film that includes a metal oxide. The metal atoms constituting the metal oxide include both metal atoms at a maximum valence thereof and metal atoms at a valence less than the maximum valence, and the metal oxide film includes metal oxide crystals having a particle diameter on the order of nanometers. | 05-23-2013 |
20130126841 | ORGANIC EL ELEMENT - An organic electroluminescent (EL) element comprises: an anode; a cathode; a functional layer disposed between the anode and the cathode, and including a light-emitting layer containing an organic material; a hole injection layer disposed between the anode and the functional layer; and a bank that defines an area in which the light-emitting layer is to be formed, wherein the hole injection layer includes tungsten oxide and includes an occupied energy level that is approximately 1.8 electron volts to approximately 3.6 electron volts lower than a lowest energy level of a valence band of the hole injection layer in terms of a binding energy, the hole injection layer has a recess in an upper surface of the area defined by the bank, and an upper peripheral edge of the recess is covered with a part of the bank. | 05-23-2013 |
20130126842 | LIGHT EMITTING ELEMENT, METHOD FOR MANUFACTURING SAME, AND LIGHT EMITTING DEVICE - Each of organic light-emitting elements | 05-23-2013 |
20130126843 | ORGANIC EL ELEMENT AND PRODUCTION METHOD FOR SAME - An organic EL element includes a hole injection layer yielding excellent hole conduction efficiency, and comprises: an anode; a cathode; a functional layer disposed between the anode and the cathode, and including a light-emitting layer containing organic material; the hole injection layer disposed between the anode and the functional layer; and a bank defining an area in which the light-emitting layer is to be formed, wherein the hole injection layer includes tungsten oxide, tungsten atoms constituting the tungsten oxide include both tungsten atoms with a valence of six and tungsten atoms with a valence less than six, the hole injection layer includes a crystal of the tungsten oxide, a particle diameter of the crystal being on an order of nanometers, an inner portion of the hole injection layer is depressed to define a recess, and an upper peripheral edge of the recess is covered with a part of the bank. | 05-23-2013 |
20130126844 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE PROVIDED WITH LIGHT-EMITTING ELEMENT, AND LIGHT-EMITTING ELEMENT PRODUCTION METHOD - Organic EL elements are configured so that at least a hole injection layer and a light-emitting layer are laminated between a first electrode and a second electrode, and a bank defines an area in which the light-emitting layer is to be formed. An inner portion of the hole injection layer is depressed to define a recess. An upper peripheral edge of the recess is covered with a part of the bank. | 05-23-2013 |
20130126845 | ORGANIC ELECTROLUMINESCENCE DEVICE - A display equipment | 05-23-2013 |
20130126846 | ORGANIC EL ELEMENT, DISPLAY DEVICE, AND LIGHT-EMITTING DEVICE - An organic EL element comprising: an anode; a cathode; banks; a functional layer between the anode and the cathode, the functional layer including one or more sublayers including a light-emitting sublayer, the light-emitting sublayer defined by the banks and containing an organic material; and a hole injection layer between the anode and the functional layer, wherein the hole injection layer comprises tungsten oxide. An Ultraviolet Photoelectron Spectroscopy (UPS) spectrum, obtained from a UPS measurement, has a protrusion appearing near a Fermi surface and within a region corresponding to a binding energy range lower than a top of a valence band. The tungsten oxide contained in the hole injection layer satisfies a condition, determined from an X-ray Photoelectron Spectroscopy (XPS) measurement, that a ratio in a number density of atoms other than tungsten atoms and oxygen atoms to the tungsten atoms does not exceed approximately 0.83. | 05-23-2013 |
20130126847 | ORGANIC EL ELEMENT - In an organic EL element, a bank is formed on a hole injection layer so as to surround light-emitting layer. The hole injection layer is formed with a tungsten oxide thin film, and has, in an electronic state thereof, an occupied energy level 1.8 eV to 3.6 eV lower than the lowest energy level of a valence band of the hole injection layer. The hole injection layer has a recessed portion in an upper surface thereof. An inner surface of the recessed portion is in contact with a functional layer (light-emitting layer). the inner side surface of the recessed portion includes an upper edge that is one of aligned with part of a lower edge of the bank, the part being in contact with the functional layer, and in contact with a bottom surface of the bank. | 05-23-2013 |
20130126848 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND METHOD OF MANUFACTURING THEREOF - An organic light-emitting element comprising: an anode; a cathode; banks; a functional layer between the anode and the cathode; and a hole injection layer between the anode and the functional layer. The functional layer includes at least a light-emitting sublayer defined by the banks and that contains an organic material. The hole injection layer comprises tungsten oxide and includes a crystal of the tungsten oxide, whose particle diameter is on an order of nanometers. Tungsten atoms constituting the tungsten oxide include both tungsten atoms with a valence of six and tungsten atoms with a valence less than six. The hole injection layer has a surface facing the functional layer, and a portion of the surface overlapping with the light-emitting sublayer is located closer to the anode than other portions, thereby forming a recessed structure having a recessed portion whose inner surface is in contact with the functional layer. | 05-23-2013 |
20130126849 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescence device comprising a cathode, an anode and at least one layer comprising a phosphorescent light emitting material and a host material which is sandwiched between the cathode and the anode and further comprising an electron injecting layer which is adhered to the light emitting layer and is capable of transporting electrons, wherein an ionization potential of the host material is 5.9 eV or smaller, and wherein an energy gap of the electron transporting material in the electron injecting layer is smaller than that of the host material in the light emitting layer or wherein a triplet energy of the electron transporting material in the electron injecting layer is smaller than that of the host material in the light emitting layer. It emits phosphorescent light with enhanced efficiency because it comprises a light emitting layer and an electron injecting layer both satisfying specified condition and employs a light emitting layer capable of electron transporting. | 05-23-2013 |
20130126850 | RADIATION DETECTOR AND RADIATION DETECTOR MANUFACTURING METHOD - A radiation detector that includes a first scintillator layer, an organic photoelectric conversion layer and a substrate is provided. The first scintillator layer, the organic photoelectric conversion layer and the substrate are layered along a radiation incident direction. The first scintillator layer contains a blend of a first phosphor material that is mainly sensitive to low energy radiation in incident radiation and converts the radiation into light of a first wavelength, and a second phosphor material that is more sensitive to high energy than low energy radiation in the radiation and converts the radiation into light of a second wavelength different from the first wavelength. The organic photoelectric conversion layer is configured by disposing a plurality of first light detection sensors and a plurality of second light detection sensors in the same plane. | 05-23-2013 |
20130126851 | LIGHT EMITTING DEVICE, LIGHT EMITTING APPARATUS PROVIDED WITH A LIGHT EMITTING DEVICE, AND METHOD OF MANUFACTURING A LIGHT EMITTING DEVICE - The present disclosure aims to provide a light-emitter having a favorable luminescence property, a light-emitting device having the light-emitter, and a method of manufacturing the light-emitter. Specifically, the light-emitter has the following structure. A hole injection layer | 05-23-2013 |
20130126852 | PHOTOACTIVE COMPOSITION AND ELECTRONIC DEVICE MADE WITH THE COMPOSITION - There is provided a photoactive composition including: (a) 50-99 wt % based on the total weight of the photoactive composition, of at least one host material having a HOMO energy level; (b) 1-10 wt % based on the total weight of the photoactive composition, of an emissive dopant; and (c) 0.1 to 10 wt % based on the total weight of the photoactive composition, of a non-emissive dopant. The non-emissive dopant is an organometallic iridium complex that has a HOMO energy level shallower than the HOMO energy level of the host. | 05-23-2013 |
20130126853 | ORGANIC EL DEVICE - An organic EL device includes a first substrate including a cathode layer (a first electrode layer), an organic layer formed on the cathode layer, an anode layer (a second electrode layer) formed on the organic layer, and a second substrate joined to the anode layer by an adhesive layer. The anode layer is provided so as to extend to an outer peripheral side of a region where the organic layer is present, the second substrate and the adhesive layer are not present in a portion which faces a region at an outer peripheral side of the extended anode layer, and the cathode layer and the extended anode layer are exposed from the second substrate to constitute a cathode taking-out portion and an anode taking-out portion, respectively. | 05-23-2013 |
20130126854 | ORGANIC EL DEVICE - An organic EL device includes a first substrate including a cathode layer and a smoothing layer, an organic layer formed on the cathode layer, an anode layer formed on the organic layer, and a second substrate joined to the anode layer. In a region of a peripheral portion of the first substrate, the organic layer is not formed. The anode layer is provided on the cathode layer through an insulating layer in a portion of the region so as to extend to an outer peripheral side, the extended anode layer is folded back to a side opposite to the second substrate to constitute an anode taking-out portion, and a portion of the cathode layer of the first substrate is folded back to constitute a cathode taking-out portion. | 05-23-2013 |
20130126855 | POLYMERS CONTAINING SUBSTITUTED BENZODITHIOPHENE UNITS, BLENDS COMPRISING THESE POLYMERS, AND DEVICES COMPRISING THESE POLYMERS OR BLENDS - The present invention relates to polymers containing substituted benzodithiophene units and to blends which comprise the polymers according to the invention. The invention is also directed to the use of the polymers and blends according to the inven-tion in organic electronic devices and to these devices themselves. | 05-23-2013 |
20130126856 | COMPOUND HAVING INDENOCARBAZOLE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - An organic compound with excellent characteristics excelling in hole-injecting/transporting performance and having electron blocking ability, high stability in a thin-film state and high luminous efficiency is provided as material for an organic electroluminescent device. The compound of a general formula (1) having an indenocarbazole ring structure is used as a constituent material of at least one organic layer in the organic electroluminescent device that includes a pair of electrodes and one or more organic layers sandwiched between the pair of electrodes. | 05-23-2013 |
20130126857 | NOVEL ORGANIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING SAME - A novel organic compound suitably used for a green-light-emitting device and an organic light-emitting device are provided. | 05-23-2013 |
20130126858 | ORGANIC ELECTROLUMINESCENT DEVICE - The invention relates to an organic electroluminescent device ( | 05-23-2013 |
20130134395 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, LIGHTING DEVICE AND ORGANIC COMPOUND - A novel organic compound which can be used as a host material for a phosphorescent compound is provided. A light-emitting element containing the organic compound is provided. A light-emitting device, an electronic device, and a lighting device each of which includes the light-emitting element are provided. In the light-emitting element including a light-emitting layer interposed between a pair of electrodes, the light-emitting layer contains at least an organic compound and a phosphorescent compound. In the organic compound, a dibenzo[f,h]quinoxaline skeleton and an amino group having two substituents are bonded to each other through an arylene group. The substituents are separately an aryl group or a heteroaryl group. | 05-30-2013 |
20130134396 | Glass Pattern and Method for Forming the Same, Sealed Body and Method for Manufacturing the Same, and Light-Emitting Device - A glass pattern that can be used for a substrate provided with a material having low heat resistance and has increased productivity is provided. Further, a sealed body having high hermeticity and increased productivity is provided. Furthermore, a light-emitting device with high reliability including such a sealed body is provided. A glass sheet is used for a main portion of a glass pattern such as a straight line portion and a curved portion. In a joint portion of two glass sheets arranged in the corner portion, the straight line portion, or the like of the glass pattern, a frit paste is provided in contact with the glass sheets and is locally heated to remove the binder from the frit paste and to form a glass layer; thus, the glass sheets are fused to each other without any space provided therebetween. | 05-30-2013 |
20130134397 | Sealed Structure, Light-Emitting Device, Electronic Device, and Lighting Device - A sealed structure with high sealing capability, in which a pair of substrates is attached to each other with a glass layer is provided. The sealed structure has a first and second substrates, a first surface of the first substrate facing a first surface of the second substrate, and the glass layer which is in contact with the first and second substrates, defines a space between the first and second substrates, and is provided along the periphery of the first surface of the first substrate. The first substrate has a corner portion. The area of the first surface of the first substrate is smaller than or equal to that of the first surface of the second substrate. In at least one of respective welded regions between the glass layer and the first or second substrate, the width of the corner portion is larger than that of the side portion. | 05-30-2013 |
20130134398 | Sealed Structure, Light-Emitting Device, Electronic Device, and Lighting Device - A sealed structure which has high sealing capability and whose border can be slim is provided. The sealed structure includes a pair of substrates whose respective surfaces face each other with a space therebetween, and a glass layer which is in contact with the substrates, defines a space between the substrates, and has at least one corner portion and side portions in continuity with the corner portion. The width of the corner portion of the glass layer is smaller than or equal to that of the side portion of the same. The sealed structure may comprise a highly reliable light-emitting element including a layer containing a light-emitting organic compound provided between a pair of electrodes. | 05-30-2013 |
20130134399 | ORGANIC THIN FILM TRANSISTOR ARRAY SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME, AND DISPLAY DEVICE - According to the present invention, there is provided an organic thin film transistor array substrate and a method for manufacturing the same and a display device. The method for manufacturing the organic thin film transistor array substrate comprises: forming a pattern comprising a source electrode, a drain electrode, a data line and a pixel electrode on a transparent substrate through a first patterning process; forming an organic semiconductor pattern, a gate insulating layer pattern, a pattern comprising a gate electrode and a gate line through a second patterning process on the transparent substrate after the first patterning process; depositing a passivation layer on the transparent substrate after the second patterning process, and forming a pattern comprising a data line pad region, a gate line pad region and a pixel pad region through a third patterning process; and forming a pattern of a common electrode on the transparent substrate after the third patterning process through a fourth patterning process. The technical solutions of the present invention can increase production efficiency of the organic thin film transistor array substrate and reduce production costs. | 05-30-2013 |
20130134400 | Organic Electroluminescent Device - An organic electroluminescence device of the present invention adapts a new concept in its configuration to improve its efficiency in addition to obtain a high reliability and good yielding. The organic electroluminescent device having an electroluminescent film containing an organic material capable of causing an electroluminescence and being arranged between a first electrode and a second electrode, includes: a carrier generation layer, which is a floating electrode, is embodied in the electroluminescent film; an insulting film between the first electrode and the electroluminescent film, and an insulating film between the second electrode and the electroluminescent film, wherein the organic electroluminescent device is driven by an alternating current bias. | 05-30-2013 |
20130134401 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE DISPLAY DEVICE - It is an object of the present invention to provide a technology for manufacturing a highly reliable display device at a low cost with high yield. In the present invention, a spacer is formed over a pixel electrode, thereby protecting the pixel electrode layer from a mask in formation of an electroluminescent layer. In addition, since a layer that includes an organic material that has water permeability is sealed in a display device with a sealing material and the sealing material and the layer that includes the organic material are not in contact, deterioration of a light-emitting element due to a contaminant such as water can be prevented. The sealing material is formed in a portion of a driver circuit region in the display device, and thus, the narrower frame margin of the display device can also be accomplished. | 05-30-2013 |
20130134402 | ORGANIC ELECTROLUMINESCENCE ELEMENT, ORGANIC ELECTROLUMINESCENCE DEVICE, ORGANIC EL DISPLAY DEVICE, AND ORGANIC EL LIGHTING - The present invention relates to an organic electroluminescence element which comprises an anode, a luminescent layer, two or more electron transport layers and a cathode, in this order, wherein at least one of the two or more electron transport layers adjoins the luminescent layer, the luminescent layer contains a luminescent material and a charge transport material, the electron transport layer which adjoins the luminescent layer contains a charge transport material, the charge transport material contained in the luminescent layer and the charge transport material contained in the electron transport layer which adjoins the luminescent layer may be the same material or may be different material, and the specific electron affinities EA1, EA2 and EA3, and the specific work function WF satisfy the specific relationship. | 05-30-2013 |
20130134403 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic light-emitting element comprising: an anode; a cathode; banks; a functional layer between the anode and the cathode; and a hole injection layer between the anode and the functional layer. The functional layer includes one or more sublayers including a light-emitting sublayer defined by the banks and that contains an organic material. The hole injection layer comprises tungsten oxide, includes an occupied energy level that is approximately 1.8 electron volts to approximately 3.6 electron volts lower than a lowest energy level of a valence band of the hole injection layer in terms of a binding energy, has a surface facing the functional layer, and has a recessed structure such that a portion of the surface overlapping with the light-emitting sublayer is located closer to the anode than other portions. The recessed structure has a recessed portion whose inner surface is in contact with the functional layer. | 05-30-2013 |
20130134404 | LIGHT-EMITTING DEVICE, METHOD FOR MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - The present invention provides a light-emitting device including a light-emitting element over a substrate, the light-emitting element is partitioned from an adjacent light-emitting element by a partition wall, the light-emitting element comprising a first electrode, a layer formed over the first electrode, a light-emitting layer formed over the layer and a second electrode formed over the light-emitting layer, the layer contains an inorganic compound, an organic compound and a halogen atom, the partition wall contains the inorganic compound and the organic compound, and the layer. The light-emitting device provides higher reliability and fewer defects. | 05-30-2013 |
20130134405 | BENZOFLUORENE COMPOUND, EMISSION MATERIALS AND ORGANIC ELECTROLUMINESCENT DEVICE - Provided is a benzofluorene compound which exhibits excellent performances when applied to an organic electroluminescent device. | 05-30-2013 |
20130134406 | Light-Emitting Element, Light Emitting Device, and Electronic Device - A light-emitting element includes a light-emitting layer having a two-layer structure in which a first light-emitting layer containing a first light-emitting substance and a second light-emitting layer containing a second light-emitting substance, which is in contact with the first light-emitting layer, are provided between an anode and a cathode. The first light-emitting layer is separated into two layers of a layer provided on the anode side and a layer provided on the cathode side. The layer provided on the anode side contains only a first light-emitting substance, or a first organic compound of less than 50 wt % and the first light-emitting substance of 50 wt % to 100 wt %. The layer provided on the cathode side contains a second organic compound and the first light-emitting substance. The second light-emitting layer, which is provided in contact with the first light-emitting layer, contains the second light-emitting substance and a third organic compound. | 05-30-2013 |
20130134407 | GLASS FOR SCATTERING LAYER OF ORGANIC LED ELEMENT, AND ORGANIC LED ELEMENT - A glass used for a scattering layer of an organic LED element, and an organic LED element using the scattering layer are provided. The present invention relates to an organic LED element including a transparent substrate, a first electrode, an organic layer, and a second electrode in this order, which includes a scattering layer including, in terms of mol % on the basis of oxides thereof: 15 to 30% of P | 05-30-2013 |
20130134408 | LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND ELECTRONIC APPARATUS - It is an object of the present invention to provide a light emitting element with a low driving voltage. In a light emitting element, a first electrode; and a first composite layer, a second composite layer, a light emitting layer, an electron transporting layer, an electron injecting layer, and a second electrode, which are stacked over the first electrode, are included. The first composite layer and the second composite layer each include metal oxide and an organic compound. A concentration of metal oxide in the first composite layer is higher than a concentration of metal oxide in the second composite layer, whereby a light emitting element with a low driving voltage can be obtained. Further, the composite layer is not limited to a two-layer structure. A multi-layer structure can be employed. However, a concentration of metal oxide in the composite layer is gradually higher from the light emitting layer to first electrode side. | 05-30-2013 |
20130134409 | PHOTOELECTRIC TRANSDUCER AND SOLID-STATE IMAGING APPARATUS - Provided is a photoelectric transducer having a photoelectric conversion material layer including an organic material with higher sensitivity and response than conventional one. | 05-30-2013 |
20130134410 | ORGANIC ELECTROLUMINESCENT ELEMENT AND METHOD FOR MANUFACTURING THE SAME - The present invention provides a multi-photon-type organic electroluminescent element including a charge generation layer using a material that is difficult to be degraded even at around normal atmospheric pressure. In an organic electroluminescent element ( | 05-30-2013 |
20130140527 | Composite material of hole-blocking polymer and electron-injection/electron-transport conjugated polymer grafted with crown ether into which metal ion is intercalated, and uses thereof in OLED and organic solar cell - An water/alcohol soluble electron-injection/hole-blocking composite layer contains a conjugated polymer grafted with a side chain crown ether and with pseudo-metallic state of metal-ion stabilized by the crown ether (to reduce electron-injection barrier and facilitate electron transport), and a polymer with hole-blocking function. This composite layer is able to improve the performance of an organic light emitting diode with oxygen- and moisture-stable cathode (such as Al and Au), and the performance of an organic solar cell. | 06-06-2013 |
20130140528 | FOIL SHAPED ELECTRO-OPTICAL PRODUCT, SEMI-FINISHED PRODUCT AND METHOD AND APPARATUS FOR MANUFACTURING THE SAME - A curved foil-shaped electro-optical product ( | 06-06-2013 |
20130140529 | ORGANIC LIGHT EMITTING DEVICE CAPABLE OF REDUCING LEAKAGE CURRENT BETWEEN PIXELS - Disclosed is an organic light emitting device having an improved light emitting quality, by which a leakage current generated between pixels when a current flows from one pixel to an adjacent pixel of a light emitting part may be prevented, making it possible to prevent undesired emission of light in the adjacent pixel and improve light emitting quality. | 06-06-2013 |
20130140530 | ORGANIC LIGHT-EMITTING DIODE INCLUDING MULTI-LAYERED HOLE TRANSPORTING LAYER, AND FLAT DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode including: a first mixed layer between an emission layer and a first electrode and including first and second compounds; a second mixed layer between the emission layer and the first mixed layer and including third and fourth compounds; a first charge generation layer between the first mixed layer and the first electrode and including the first and second compounds and a first charge generation material; a second charge generation layer between the first mixed layer and the second mixed layer and including the third and fourth compounds and a second charge generation material; and a buffer layer between the emission layer and the second mixed layer, the first and the third compounds are each independently a compound represented by Formula 1 below, and the second compound and fourth compounds are each independently a compound represented by Formula 2 below: | 06-06-2013 |
20130140531 | FOLDABLE THIN FILM TRANSISTOR - A foldable thin film transistor (TFT) is provided, the foldable TFT including: a foldable substrate; source and drain electrodes interconnected on the foldable substrate; a channel layer including nanofibers of an organic semiconductor connecting the source and drain electrodes; a gate electrode electronically connected with the source and drain electrodes and the channel layer; and a gate insulating layer disposed between the channel layer and the gate electrode and comprising an ionic liquid and a resin. | 06-06-2013 |
20130140532 | PHOTOCROSSLINKABLE POLYIMIDE POLYMER, MANUFACTURING METHODS FOR THE SAME AND MEMORY DEVICES USING THE SAME - The present invention relates to a polymer memory device and to a production method for the same, and relates to a novel photocrosslinkable polymer compound able to be used in a polymer memory device, to a novel non-volatile memory device in which an active layer between an upper electrode and a lower electrode comprises a photocrosslinkable polyimide polymer, and to a production method for the same. In the polymer memory device, the photocrosslinkable polyimide polymer is used as an active layer. | 06-06-2013 |
20130140533 | PIXEL STRUCTURE OF ELECTROLUMINESCENT DISPLAY PANEL - A pixel structure of electroluminescent display panel has a first sub-pixel region, a second sub-pixel region and a third sub-pixel region. The pixel structure of electroluminescent display panel includes a first organic light-emitting layer and a second organic light-emitting layer. The first organic light-emitting layer, which includes a first organic light-emitting material, is disposed at least in the first sub-pixel region and the second sub-pixel region. The second organic light-emitting layer, which includes a second organic light-emitting material and a third organic light-emitting material, is disposed at least in the second sub-pixel region and the third sub-pixel region. The first organic light-emitting layer and the second organic light-emitting layer overlap in the second sub-pixel region. The first sub-pixel region and the third sub-pixel region have different cavity lengths. | 06-06-2013 |
20130140534 | Light-Emitting Devices Comprising Nanostructures - Light-emitting devices are described herein. | 06-06-2013 |
20130140535 | PIXEL STRUCTURE OF AN ELECTROLUMINESCENT DISPLAY PANEL - A pixel structure of an electroluminescent display panel includes a first sub-pixel region, a second sub-pixel region, a third sub-pixel region and a fourth sub-pixel region having different cavity lengths. The first sub-pixel region and the second sub-pixel region share a first organic light-emitting layer, which can generate a first primary color light in the first sub-pixel region, and a second primary color light in the second sub-pixel region. The third sub-pixel region and the fourth sub-pixel region share a second organic light-emitting layer, which can generate a third primary color light in the third sub-pixel region, and a fourth primary color light in the fourth sub-pixel region. The first primary color light, the second primary color light, the third primary color light and the fourth primary color light have different spectra of wavelength. | 06-06-2013 |
20130140536 | Light Emitting Device and Manufacturing Method Thereof - Disclosed is a light emission element including, on a substrate having an insulative surface, a first electrode connected with a thin film transistor and an insulator covering the end of the first electrode, a layer containing an organic compound in contact with the first electrode, a second electrode in contact with the layer containing the organic compound. The first electrode has an inclined surface and the inclined surface reflects emitted light from the layer containing the organic compound. Further, a light absorbing multi-layered film absorbing external light is disposed on the portion of the first electrode covered with the insulator. The light absorbing multi-layered film comprising at least has a three-layered structure comprising a light transmitting film, a film partially absorbing light and a light transmitting film. | 06-06-2013 |
20130140537 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - Disclosed is an organic light-emitting display device defined into a non-display area and a display area which is provided with pixels. Each of the pixels includes: first through fourth nodes; an organic light emission element connected to the fourth node; a drive transistor disposed between the second, third, and fourth nodes and configured to generate a drive current which drives the organic light emission element to emit light; a storage capacitor disposed between the first and third nodes; first through fifth transistors; wherein the fifth transistor is disposed between the second node with the non-display area and a reference voltage line and configured to control an initialization of the second node. | 06-06-2013 |
20130140538 | ELECTRO-OPTICAL DEVICE AND ELECTRONIC APPARATUS - An electro-optical device includes a first pixel circuit having a first light emitting element; a second pixel circuit having a second light emitting element arranged adjacent to the first light emitting element along a first direction; a first data line arranged along a second direction crossing the first direction, the first data line being electrically connected to the first pixel circuit; a second constant potential wiring line arranged along the second direction, the second constant potential wiring line being electrically connected to the second pixel circuit; a wiring line connected to the second constant potential wiring line. The first data line and the wiring line overlap when seen from a third direction perpendicular to the first direction and to the second direction. | 06-06-2013 |
20130140539 | HOST MATERIAL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE USING THE SAME - A host material is disclosed. The host material, as a compound which is represented by the following formula 1, has a chemical structure in which nitrogen and silicon atoms are chemically and directly bonded to each other. | 06-06-2013 |
20130140540 | ORGANIC THIN FILM TRANSISTOR WITH ION EXCHANGED GLASS SUBSTRATE - Articles utilizing strengthened glass substrates, for example, ion-exchanged glass substrates, in combination with organic molecules or polymers are described along with methods for making the articles. The articles are useful in electronics-based devices that utilize organic thin film transistors. | 06-06-2013 |
20130140541 | LAYER STRUCTURE COMPRISING ELECTROTECHNICAL COMPONENTS - The present invention provides a layer structure comprising a substrate ( | 06-06-2013 |
20130140542 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND METHOD OF MANUFACTURING THEREOF - An organic EL element comprises: an anode; a cathode; a functional layer including at least a light-emitting layer; a hole injection layer disposed between the anode and the functional layer; and a bank. The hole injection layer contains tungsten oxide. Tungsten atoms constituting the tungsten oxide include both tungsten atoms with a valence of six and tungsten atoms with a valence less than six. The hole injection layer includes a crystal of the tungsten oxide. A particle diameter of the crystal is on an order of nanometers. The hole injection layer has a recessed portion whose inner side surface has an upper edge that is one of (i) aligned with part of a lower edge of the bank, the part being in contact with the light-emitting layer, and (ii) in contact with a bottom surface of the bank. | 06-06-2013 |
20130140543 | ORGANIC EL ELEMENT, DISPLAY DEVICE, AND LIGHT-EMITTING DEVICE - An organic EL element comprises: an anode; a cathode; a functional layer that is disposed between the anode and the cathode and includes at least a light-emitting layer; a hole injection layer disposed between the anode and the functional layer; and a bank. The hole injection layer contains tungsten oxide, and has a recessed portion. A UPS spectrum of the hole injection layer, obtained from a UPS measurement, has a protrusion appearing near a Fermi surface and within a region corresponding to a binding energy range lower than a top of a valence band. The tungsten oxide contained in the hole injection layer satisfies a condition, determined from an XPS measurement, that a ratio in a number density of atoms other than tungsten atoms and oxygen atoms to the tungsten atoms does not exceed approximately 0.83. | 06-06-2013 |
20130140544 | DEUTERATED COMPOUNDS FOR ELECTRONIC APPLICATIONS - This invention relates to deuterated indolocarbazole compounds that are useful in electronic applications. It also relates to electronic devices in which the active layer includes such a deuterated compound. | 06-06-2013 |
20130140545 | MOISTURE ABSORPTION FILLING MATERIAL FOR ORGANIC LIGHT EMITTING DEVICE, METHOD FOR PREPARING THE SAME, AND ORGANIC LIGHTING EMITTING DEVICE INCLUDING THE SAME - A moisture absorption filling material for an organic light-emitting device may include a fibrous web structure including an assembly of fibers, the fibers including a binder resin and hygroscopic particles, the hygroscopic particles being secured into the fibers. A method of preparing a moisture absorption filling material for an organic light-emitting device may include electrospinning a mixture including about 10 wt % to about 60 wt % of hygroscopic particles and about 40 wt % to about 90 wt % of a binder. | 06-06-2013 |
20130140546 | HYGROSCOPIC FILLER FOR ORGANIC EL GETTER, METHOD FOR MANUFACTURING THE SAME, AND ORGANIC EL DEVICE INCLUDING THE SAME - A hygroscopic filler for an organic EL getter, a method of manufacturing the same, and an organic EL device including the same, the hygroscopic filler including a sheet having pores; and a mixture of an organic binder and a hygroscopic material, the mixture being secured to the sheet. | 06-06-2013 |
20130140547 | ORGANIC LIGHT-EMITTING DEVICE INCLUDING BARRIER LAYER INCLUDING SILICON OXIDE LAYER AND SILICON NITRIDE LAYER - An organic light-emitting device including a barrier layer that includes a silicon oxide layer and a silicon-rich silicon nitride layer. The organic light-emitting device includes a flexible substrate that includes a barrier layer and plastic films disposed under and over the barrier layer. The barrier layer includes a silicon-rich silicon nitride layer and a silicon oxide layer. The order in which the silicon-rich silicon nitride layer and the silicon oxide layer are stacked is not limited and the silicon oxide layer may be first formed and then the silicon-rich silicon nitride layer may be stacked on the silicon oxide layer. The silicon-rich silicon nitride layer has a refractive index of 1.81 to 1.85. | 06-06-2013 |
20130140548 | ORGANIC SEMICONDUCTOR COMPOSITION, ORGANIC THIN FILM, AND ORGANIC THIN FILM TRANSISTOR HAVING SAME - To provide an organic semiconductor composition that can exhibit a high carrier transport property and give uniform characteristics. An organic semiconductor composition characterized by containing a high molecular weight compound having a carrier transport property and a low molecular weight compound, in which the low molecular weight compound has a structure represented by Formula (1) and a content ratio of the low molecular weight compound is from 5 to 95 parts by mass relative to a total of 100 parts by mass of the high molecular weight compound and the low molecular weight compound, | 06-06-2013 |
20130140549 | BICARBAZOLE COMPOUNDS FOR OLEDS - Novel organic compounds comprising a bicarbazole core are provided. In particular, the compounds has a 3,3′-bicarbazole core substituted at the 9-position with a triazine or pyrimidine. The compounds may be used in organic light emitting devices to provide devices having improved efficiency and improved lifetime. | 06-06-2013 |
20130140550 | ORGANIC LIGHT-EMITTING ELEMENT AND PRODUCTION METHOD THEREOF - The present invention relates to an organic light-emitting element and a production method thereof. Specifically, the present invention relates to an organic light-emitting element, which has excellent productivity during mass production thereof and may allow simplification of vapor deposition equipment, and the like, and a production method thereof. | 06-06-2013 |
20130146848 | Novel Organic Light Emitting Materials - Novel phosphorescent metal complexes containing 2-phenylisoquinoline ligands with at least two substituents on the isoquinoline ring are provided. The disclosed compounds have low sublimation temperatures that allow for ease of purification and fabrication into a variety of OLED devices. | 06-13-2013 |
20130146849 | POLYMER AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A polymer having a repeating unit represented by Formula 1, wherein R | 06-13-2013 |
20130146850 | Tandem White Organic Light Emitting Device - A tandem white organic light emitting device with improved efficiency, voltage and lifetime includes a first electrode and a second electrode opposing each other, a charge generation layer formed between the first electrode and the second electrode, a first stack disposed between the first electrode and the charge generation layer, the first stack including a first light emitting layer emitting blue light, and a second stack disposed between the charge generation layer and the second electrode, the second stack including a second light emitting layer including one or more hosts doped with a phosphorescent dopant emitting light having a longer wavelength than blue light, wherein the charge generation layer includes an n-type charge generation layer doped with a metal and a p-type charge generation layer made of an organic material. | 06-13-2013 |
20130146851 | Compounds Having Semiconducting Properties and Related Compositions and Devices - Disclosed are new compounds having semiconducting properties. Such compounds can be processed into thin film semiconductors that exhibit high carrier mobility and/or good current modulation characteristics. | 06-13-2013 |
20130146852 | ORGANIC LIGHT-EMITTING POLYMER AND DEVICE - Light-emitting and/or charge transporting polymers, methods of making the same, and organic light emitting devices comprising such polymers, the polymers comprising a repeat unit of formula (I): | 06-13-2013 |
20130146853 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - There is provided an organic light-emitting diode (OLED) display device, including: a first substrate on which a plurality of sub-pixel areas are defined; a plurality of first electrodes in the plurality of sub-pixel areas, respectively; and a plurality of light-emitting layers over the plurality of first electrodes and corresponding to the plurality of sub-pixel areas, respectively; wherein at least one of the plurality of light-emitting layers extends to a neighboring sub-pixel area among the plurality of sub-pixel areas, and has an occupied area in the neighboring sub-pixel area. | 06-13-2013 |
20130146854 | PHOSPHORESCENT SMALL MOLECULES THAT ARE BONDED TO INORGANIC NANOCRYSTAL HOST FOR ORGANIC LIGHT EMITTING DEVICES AND METHODS OF MAKING THE SAME - A first device comprising a first organic light emitting device (OLED) is described. The first OLED includes an anode, it cathode and an emissive layer disposed between the anode and the cathode. The emissive layer includes a phosphorescent emissive dopant and a host material, that includes nanocrystals. The phosphorescent emissive dopant is bonded to the host material by a bridge moiety. | 06-13-2013 |
20130146855 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING DISPLAY DEVICE - Disclosed herein is a display device, including: a substrate; a circuit part configured to include a drive element; a planarization insulating layer; an electrically-conductive layer including a plurality of first electrodes and an auxiliary interconnect; an aperture-defining insulating layer configured to insulate the plurality of first electrodes from each other and have an aperture through which part of the first electrode is exposed; a plurality of light emitting elements; and a separator configured to be formed by removing the planarization insulating layer at a position between a display area, in which the plurality of light emitting elements connected to the drive element are disposed, and a peripheral area which is surrounding the display area. A method of manufacturing a display device is also provided. | 06-13-2013 |
20130146856 | COMPOUNDS FOR USE IN LIGHT-EMITTING DEVICES - Compounds including optionally substituted Ring Systems 1-4 may be used as host in light-emitting devices. | 06-13-2013 |
20130146857 | PIXEL STRUCTURE OF ORGANIC LIGHT EMITTING DEVICE - A pixel structure including a first scan line, a second scan line, a data line and a power line substantially perpendicular to the first scan line and the second scan line, a reference signal line and an emission signal line substantially parallel with the first scan line and the second scan line, a common thin film transistor (C-TFT), a first pixel unit, and a second pixel unit is provided. The common thin film transistor has a common gate electrode, a common source electrode and a common drain electrode. The common gate electrode is electrically connected to the first scan line, the common drain electrode is electrically connected to the reference signal line. The first and the second pixel units respectively have a first TFT, a second TFT, a third TFT, a fourth TFT, a fifth TFT, a sixth TFT, a capacitor, and an emission device. | 06-13-2013 |
20130146858 | SEMICONDUCTORS BASED ON SUBSTITUTED [1]BENZOTHIENO[3,2-b][1]-BENZOTHIOPHENES - The present invention relates to compounds of the general formula (I) wherein Z corresponds a to — a C | 06-13-2013 |
20130146859 | SELF-ALIGNED COVERAGE OF OPAQUE CONDUCTIVE AREAS - The invention relates to a method enabling to apply cheap manufacturing techniques for producing reliable and robust organic thin film device (EL) comprising the steps of providing (P) a transparent substrate ( | 06-13-2013 |
20130146860 | METHOD OF MANUFACTURING GAS BARRIER FILM AND ORGANIC PHOTOELECTRIC CONVERSION ELEMENT - The present invention provides: a method of manufacturing a gas barrier film, which is manufactured at high productivity, and has extremely high gas barrier performance and stability thereof with time, excellent surface smoothness and bending resistance, and high durability; a gas barrier film obtained using the method; and an organic photoelectric conversion element using the gas barrier film. In the method, after forming a coated layer by applying a coating liquid containing polysilazane to a substrate, a gas barrier layer is formed by applying vacuum ultraviolet light to the coated layer surface thus formed. The method is characterized in that the coated layer is irradiated with the vacuum ultraviolet light, while drying the solvent in the e coated layer. | 06-13-2013 |
20130146861 | ORGANIC EL PANEL, DISPLAY DEVICE USING SAME, AND METHOD FOR PRODUCING ORGANIC EL PANEL - An organic EL panel includes first electrode, second electrode; organic light-emitting layer of each of RGB colors, and functional layer disposed between the first electrode and the light-emitting layer. The functional layers of RGB colors have the same film thickness. Film thickness of each of the functional layers of RG colors corresponds to a first local maximum of light-extraction efficiency of light before passing through a color filter, and film thickness of the functional layer of B color corresponds to a value of light-extraction efficiency smaller than a first local maximum of light-extraction efficiency of light before passing through a color filter. The light-emitting layers of RGB colors differ in film thickness, such that the functional layers of RGB colors have the film thickness. Accordingly, the light of each of RGB colors emitted externally after passing through the color filter exhibits a local maximum of light-extraction efficiency. | 06-13-2013 |
20130153861 | ORGANIC OPTOELECTRONIC DEVICES WITH SURFACE PLASMON STRUCTURES AND METHODS OF MANUFACTURE - An organic optoelectronic device is disclosed. The organic optoelectronic device includes a carrier substrate, an anode electrode layer disposed at least partially on the carrier substrate, an organic electronic active region including one or more organic layers and disposed at least partially on the anode electrode layer, and a cathode electrode layer disposed at least partially on the organic photoactive layer. The anode electrode layer has a periodic array of sub-wavelength nanostructures. Methods of manufacturing an organic optoelectronic device are also disclosed. | 06-20-2013 |
20130153862 | PHOTOVOLTAIC APPLICATIONS OF NON-CONJUGATED CONDUCTIVE POLYMERS - A photovoltaic structure having an electrode of a glass substrate coated with a high work function metal to which a film of a combination of a non-conjugated conductive polymer and an electron acceptor such as fullerene, carbon, iodine, or potassium iodide is applied. The structure has a second electrode of a low work function metal that has been coated on the glass substrate. This glass substrate with the low work function metal is applied to the film. Among the non-conjugated polymers are polyisoprene, poly(β-pinene), cis-polyisoprene, styrene-butadiene-rubber copolymer, polynobornene and polyalloocimene. When light strikes this photovoltaic structure it is capable of generating electric voltage greater than 100 mV for a light intensity of about 5 mW/cm | 06-20-2013 |
20130153863 | ELECTRON TRANSPORT MATERIAL AND ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device is provided. The organic light emitting device includes a first electrode layer, a second electrode layer and a light emitting material layer. The second electrode layer is disposed opposite to the first electrode layer. The light emitting material layer is disposed between the first electrode layer and the second electrode layer, and includes an organic light emitting material and an electron transport material, wherein the electron transport material includes a compound represented by a formula (1) below: | 06-20-2013 |
20130153864 | AMBIPOLAR INVERTER DEVICE STRUCTURE AND MANUFACTURING METHOD THEREOF - An ambipolar inverter device suitable for use in an integrated circuit. An electron blocking layer and a hole blocking layer are respectively disposed at two sides of the ambipolar semiconductor layer, so that the operation of the inverter may be executed in a single device. In addition, the manufacturing method of the disclosure is simple, adopting only one patterning step, so as to effectively improve the performance of the ambipolar device. | 06-20-2013 |
20130153865 | ORGANIC LIGHT-EMITTING DIODE INCLUDING MULTI-LAYERED HOLE TRANSPORTING LAYER, AND FLAT DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode includes a first electrode; a second electrode facing the first electrode; an emission layer (EML) between the first electrode and the second electrode; a first charge generation layer between the EML and the first electrode and including a first compound and a first charge generation material; a first layer between the EML and the first charge generation layer and including a second compound; a second charge generation layer between the EML and the first layer and including a third compound and a second charge generation material; a second layer between the EML and the second charge generation layer and including a fourth compound; and a buffer layer between the EML and the second layer. The first and third compounds each independently includes a compound represented by Formula 1, and the second and fourth compounds each independently includes a compound represented by Formula 2. | 06-20-2013 |
20130153866 | MATERIALS AND METHODS FOR ORGANIC LIGHT-EMITTING DEVICE MICROCAVITY - The present teachings provide methods for forming organic layers for an organic light-emitting device (OLED) using an inkjet printing or thermal printing process. The method can further use one or more additional processes, such as vacuum thermal evaporation (VTE), to create an OLED stack. OLED stack structures are also provided wherein at least one of the charge injection or charge transport layers is formed by an inkjet printing or thermal printing method at a high deposition rate. The structure of the organic layer can be amorphous, crystalline, porous, dense, smooth, rough, or a combination thereof, depending on deposition parameters and post-treatment conditions. An OLED microcavity is also provided and can be formed by one of more of the methods. | 06-20-2013 |
20130153867 | ORGANIC LIGHT EMITTING DEVICE - Discussed is an organic light emitting device that exhibits improved efficiency and driving voltage by applying a novel material facilitating charge generation to a charge generation layer. The charge generation layer contains a compound represented by the following formulae: | 06-20-2013 |
20130153868 | ORGANIC ELECTROLUMINESCENT APPARATUS - An organic electroluminescent apparatus including an anode, a cathode, a first organic light emitting unit layer, a plurality of second organic light emitting unit layers, and a plurality of bonding layers is provided. The first organic light emitting unit layer has a phosphorescent host material for emitting blue light. The second organic light emitting unit layers have a host material for emitting light other than blue light. The first organic light emitting unit layer and the second organic light emitting unit layers are located between the anode and the cathode to obtain white light by mixing light. Each of the bonding layers is located between the first organic light emitting unit layer and one of the second organic light emitting unit layers or between two of the second organic light emitting unit layers. | 06-20-2013 |
20130153869 | CONDUCTIVE ELEMENTS IN ORGANIC ELECTRONIC DEVICES - A technique comprising: forming a conductive element of an electronic device on a portion of the surface of a first organic layer, applying a second organic layer over said conductive element and said first organic layer, and then treating at least one of the first and second organic layers to increase the strength of adhesion between said first and second organic layers. Thereby the retention of said conductive element on said first organic layer is improved. | 06-20-2013 |
20130153870 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF FABRICATING THEREOF - The present invention is to provide an organic light emitting display device for preventing a thin-film transistor from being deteriorated due to hydrogen when forming a light compensation layer configured to enhance viewing angle, and the organic light emitting display device may include a first substrate and a second substrate comprising a plurality of pixels; a thin-film transistor formed at each pixel of the first substrate; a color filter layer formed at each pixel; an insulating layer formed on the color filter layer; a light compensation layer formed on the insulating layer and made of a material containing no hydrogen; a pixel electrode formed on the light compensation layer of each pixel; an organic light emitting unit formed on the pixel electrode to emit light; and a common electrode formed on the organic light emitting unit. | 06-20-2013 |
20130153871 | TOP-EMITTING WHITE ORGANIC LIGHT-EMITTING DIODES HAVING IMPROVED EFFICIENCY AND STABILITY - Treatment for Cancer Using A Preparation containing a combination of one or more Natural or Synthetic or a Combination of Natural and Synthetic Boswellic Acids and one or more Natural or Synthetic or a Combination of Natural and Synthetic Cytokinins such as Kinetin, Kinetin Riboside and other Cytokinins and (the Preparation) could also contain one or more Natural or Synthetic or a Combination of Natural and Synthetic plant growth hormones such as Auxins in any percentage Ratio and could Optionally include Pharmaceutically Suitable Radioactive Agent (Radio Therapy) in any percentage ratio as a “Stand Alone” Treatment for Cancer or to Be used in Conjunction with other Pharmaceutically Suitable Therapy and Treatment(s) in any percentage ratio as a Treatment for Treating Cancer. | 06-20-2013 |
20130153872 | THIN-FILM TRANSISTOR SUBSTRATE AND METHOD FOR FABRICATING THE SAME, DISPLAY - The invention provides a thin-film transistor substrate, including: a substrate; a metal lead structure formed on the substrate, wherein the metal lead structure includes: a main conductor layer formed on the substrate, wherein the main conductor has a sidewall; a top conductor layer having a first portion, second portion and third portion, wherein the first portion is formed on the main conductor layer, the second portion is formed on the sidewall of the main conductor layer, and the third portion is formed on the substrate, and a continuous structure is formed by the first portion, the second portion and the third portion. | 06-20-2013 |
20130153873 | FILM-FORMING INK, FILM-FORMING METHOD, METHOD OF MANUFACTURING LIGHT EMITTING ELEMENT, LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE, AND ELECTRONIC APPARATUS - A film-forming ink according to an embodiment of the invention includes a film-forming material; and a liquid medium which dissolves or disperses the film-forming material, in which the liquid medium contains an ether compound represented by Formula (I). | 06-20-2013 |
20130153874 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - Provided are an organic electroluminescence device, which: shows high luminous efficiency; is free of any pixel defect; and has a long lifetime, and a material for an organic electroluminescence device for realizing the device. The material for an organic electroluminescence device is a compound of a specific structure having a π-conjugated heteroacene skeleton crosslinked with a carbon atom, nitrogen atom, or oxygen atom. The organic electroluminescence device has one or more organic thin film layers including a light emitting layer between a cathode and an anode, and at least one layer of the organic thin film layers contains the material for an organic electroluminescence device. | 06-20-2013 |
20130153875 | Electro-Optic Device and Method for Manufacturing the Same - An electro-optic device and a method for manufacturing the same. The method includes forming a bottom electrode on a substrate, forming a first insulation film crossing over the bottom electrode, forming an organic film on the substrate, forming a top electrode film on the organic film, and forming a top electrode that crosses the bottom electrode by laser-scribing the top electrode film. Herein, forming the top electrode by laser-scribing may position a bottom edge of the top electrode along an upper side of the first insulation film. Therefore, processing required for separately forming the top electrodes may be reduced, thereby simplifying manufacturing processes and saving manufacturing cost. Furthermore, since the insulation film is formed under the top electrode edge, leakage current and device malfunction caused by deformation of the top electrode can be prevented, even though the top electrode edge is damaged during laser-scribing. Thus, electro-optic device reliability can be improved. | 06-20-2013 |
20130153876 | DISPLAY DEVICE, METHOD OF LAYING OUT LIGHT EMITTING ELEMENTS, AND ELECTRONIC DEVICE - Disclosed herein is a display device in which light emitting elements of a plurality of colors including a light emitting element emitting blue light are formed in each pixel on a substrate on which a transistor is formed for each sub-pixel, and a plurality of pixels formed with sub-pixels of the plurality of colors as a unit are arranged in a form of a matrix, wherein relative positional relation between transistors of sub-pixels of respective light emission colors including blue light and a light emitting section of a light emitting element emitting the blue light is laid out such that distances between the transistors of the sub-pixels of the respective light emission colors including the blue light and the light emitting section of the light emitting element emitting the blue light are equal to each other for the respective colors. | 06-20-2013 |
20130153877 | LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - An object of the invention is to improve the reliability of a light-emitting device. Another object of the invention is to provide flexibility to a light-emitting device having a thin film transistor using an oxide semiconductor film. A light-emitting device has, over one flexible substrate, a driving circuit portion including a thin film transistor for a driving circuit and a pixel portion including a thin film transistor for a pixel. The thin film transistor for a driving circuit and the thin film transistor for a pixel are inverted staggered thin film transistors including an oxide semiconductor layer which is in contact with a part of an oxide insulating layer. | 06-20-2013 |
20130153878 | AROMATIC AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT COMPRISING THE SAME - An aromatic amine derivative represented by the following formula (1) | 06-20-2013 |
20130153879 | ORGANIC LIGHT-EMITTING DEVICE - The present invention provides an organic light emitting device including: a substrate; and two or more stacked light emitting elements, which comprise a first electrode, at least one intermediate electrode, a second electrode, and an organic material layer disposed between the electrodes, the stacked organic light emitting elements including a first group of electrodes electrically connected to each other such that among the electrodes, at least two electrodes, which are not adjacent to each other, become a common electric potential, and a second group of electrodes which include one electrode among electrodes which are not electrically connected to the first group of electrodes, or at least two electrodes which are not electrically connected to the first group of electrodes and are electrically connected to each other so as to be a common electric potential without being adjacent to each other, in which the stacked organic light emitting elements are disposed at an interval apart from each other on the substrate and driven by an alternating current power source such that a form, in which a first group of electrodes of one stacked organic light emitting element among the stacked organic light emitting elements are directly connected to a second group of electrodes of another stacked organic light element, is continuously repeated. | 06-20-2013 |
20130153880 | SURFACE SEALANT FOR OPTICAL SEMICONDUCTOR, METHOD FOR MANUFACTURING ORGANIC EL DEVICE, ORGANIC EL DEVICE AND ORGANIC EL DISPLAY PANEL USING THE SAME - To provide a resin composition for sealing an optical semiconductor, which is a raw material for a sealing resin layer having good curability and excellent storage stability; preferably a raw material for a sealing resin layer further having excellent weather resistance. The surface sealant for an optical semiconductor of Embodiment 1 according to the present invention contains epoxy resin (a) having two or more epoxy groups in a molecule, and metal complex (b1) which contains at least one metal ion selected from the group consisting of Zn, Bi, Ca, Al, Cd, La and Zr, a tertiary amine capable of forming a complex with the metal ion and having no N—H bond and an anionic ligand having a molecular weight of 17 to 200, in which the surface sealant has a viscosity of 10 to 10000 mPa·s, as measured by E-type viscometer at 25° C. and 1.0 rpm. | 06-20-2013 |
20130153881 | ORGANIC LIGHT-EMITTING DEVICES AND LIGHT SOURCE SYSTEMS - The present invention provides an organic light-emitting device including a first electrode ( | 06-20-2013 |
20130153882 | SILICATE-BASED PHOSPHOR - The invention relates to co-activated silicate based phosphors. The invention further relates to the method of preparing these phosphors and to the use of these phosphors in electronic and electrooptical devices, in particular in light emitting diodes (LEDs) and solar cells. The invention further relates to illumination units comprising said phosphors. | 06-20-2013 |
20130153883 | ORGANIC EL PANEL, DISPLAY DEVICE USING SAME, AND METHOD FOR PRODUCING ORGANIC EL PANEL - To increase light-extraction efficiency and simplify manufacturing process. An organic EL panel includes: first electrode reflecting incident light; second electrode transmitting incident light therethrough; organic light-emitting layer emitting light of corresponding color among R, G, and B colors; first functional layer including charge injection/transport layer and at least one other layer, and disposed between the first electrode and the light-emitting layer; and second functional layer disposed between the second electrode and the light-emitting layer. The charge injection/transport layers of R, G, and B colors differ in film thickness, the at least one other layers of R, G, and B colors are equal in film thickness to one another, the second functional layers of R, G, and B colors are equal in film thickness to one another, and the light-emitting layers of R and G colors are equal in film thickness, and differ in film thickness from the light-emitting layer of B color. | 06-20-2013 |
20130153884 | ORGANIC SEMICONDUCTOR PARTICULATE MATERIAL, ORGANIC SEMICONDUCTOR THIN-FILM, DISPERSION LIQUID FOR FORMING ORGANIC SEMICONDUCTOR FILM, METHOD FOR PRODUCING ORGANIC SEMICONDUCTOR THIN-FILM, AND ORGANIC THIN-FILM TRANSISTOR - Provided are a fine-particulate organic semiconductor material, a thin organic semiconductor film and an organic transistor. The fine-particulate organic semiconductor material is in a form of fine particles and is usable as an organic semiconductor material. The fine particles are fine thermotropic liquid crystal particles that undergo a phase transition into a liquid crystal state when heated to a temperature of from 50° C. to 350° C. The fine-particulate organic semiconductor material can easily and uniformly form the thin organic semiconductor film over a large area by a film printing process or a dispersion coating process. The thin organic semiconductor film has high electron mobility and high ON/OFF value. | 06-20-2013 |
20130153885 | PROCESS FOR PREPARING AN ORGANIC ELECTRONIC DEVICE - The present invention relates to a process for preparing improved electronic devices, in particular organic field effect transistors (OFETs), with patterned insulator and organic semiconductor layers. | 06-20-2013 |
20130161589 | ORGANIC SEMICONDUCTOR TRANSISTOR - Provided is an organic semiconductor transistor including plural electrodes, and an organic semiconductor layer containing at least one fluorene compound represented by the following formula (I): | 06-27-2013 |
20130161590 | ORGANIC LIGHT EMITTING DEVICE WITH ENHANCED LIFESPAN - An organic light emitting device, and a manufacturing method of the same, in which in a light emitting layer, an electron trap material is introduced so as to improve a light emitting property and an operating characteristic and to prolong a life span. | 06-27-2013 |
20130161591 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT - A material for an organic electroluminescence device including at least one of compounds shown by the following formula (Ia), (Ib), (IIa), (IIb), (III), (IVa) or (IVb): | 06-27-2013 |
20130161592 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An OLED device is discussed which includes: a drive thin film transistor formed on a substrate; an organic light emitting diode configured with first electrode, a light emission layer and a second electrode which are sequentially formed on the substrate provided with the drive thin film transistor; a barrier film disposed on the substrate with the organic light emitting element and configure to include a retardation film, an optically isotropic film and a thin layer interposed between the retardation film and the optically isotropic film; and a polarizing plate disposed on the barrier film and configured to prevent reflection of external light. | 06-27-2013 |
20130161593 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - A light-emitting element includes a first electrode, a first light-emitting layer formed over the first electrode, a second light-emitting layer formed on and in contact with the first light-emitting layer to be in contact therewith, and a second electrode formed over the second light-emitting layer. The first light-emitting layer includes a first light-emitting substance and a hole-transporting organic compound, and the second light-emitting layer includes a second light-emitting substance and an electron-transporting organic compound. Substances are selected such that a difference in LUMO levels between the first light-emitting substance, the second light-emitting substance, and the electron-transporting organic compound is 0.2 eV or less, a difference in HOMO levels between the hole-transporting organic compound, the first light-emitting substance, and the second light-emitting substance is 0.2 eV or less, and a difference in LUMO levels between the hole-transporting organic compound and the first light-emitting substance is greater than 0.3 eV. | 06-27-2013 |
20130161594 | CONDUCTING FORMULATION - The invention relates to novel formulations comprising an organic semiconductor (OSC) and a conductive additive, to their use as conducting inks for the preparation of organic electronic (OE) devices, especially organic photovoltaic (OPV) cells, to methods for preparing OE devices using the novel formulations, and to OE devices and OPV cells prepared from such methods and formulations. | 06-27-2013 |
20130161595 | Organic Light Emitting Display Device and Method of Manufacturing the Same - Provided are a method of manufacturing an organic light emitting display device and an organic light emitting display device manufactured by the method. The method includes calculating a peak-luminance current density for each of a red sub-pixel, a blue sub-pixel, a green sub-pixel, and a white sub-pixel, calculating an average use current density for each of the red sub-pixel, blue sub-pixel, green sub-pixel, and white sub-pixel; determining a size of each sub-pixel with the peak-luminance current density and the average use current density, and forming the sub-pixels with the determined sizes of the respective sub-pixels. The present invention sets the size of each sub-pixel in consideration of a peak-luminance current density and an average use current density, thus easily achieving the peak luminance and enhancing the color-coordinate life. | 06-27-2013 |
20130161596 | PHOTOVOLTAIC DEVICE - An apparatus includes a substrate; and a photoactive layer disposed on the substrate. The photoactive layer includes an electron acceptor material; an electron donor material; and a material having dipoles. | 06-27-2013 |
20130161597 | METHOD OF INCORPORATING A BLACK PHOTO STRIPE OVER PARYLENE LAYER - A method of incorporating a structurally integrated black photo stripe over an OLED based light blocking means by sandwiching the black photo stripe between two layers of polymeric layers, above the OLED stack, seal and RGB color filter layer. | 06-27-2013 |
20130161598 | Iridium Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A tris-type iridium complex in which a ligand having a distinctive nitrogen-containing five-membered heterocyclic skeleton is coordinated is provided. The ligand has a nitrogen-containing five-membered heterocyclic skeleton composed of 2 to 4 nitrogen atoms and one or more carbon atoms. In the skeleton, an aryl group is bonded to a carbon atom on both sides of which nitrogen atoms are positioned, and a tricycloalkyl group having a bridge structure and having 9 or 10 carbon atoms is bonded to one of the two nitrogen atoms positioned on both the sides of the carbon atom. The tricycloalkyl group having a bridge structure and having 9 or 10 carbon atoms may be an adamantyl group or a noradamantyl group. | 06-27-2013 |
20130161599 | ELECTRO-CHEMICAL SENSORS, SENSOR ARRAYS AND CIRCUITS - An electro-chemical sensor includes a first electrode, a second electrode spaced apart from the first electrode, and a semiconductor channel in electrical contact with the first and second electrodes. The semiconductor channel includes a trapping material. The trapping material reduces an ability of the semiconductor channel to conduct a current of charge carriers by trapping at least some of the charge carriers to localized regions within the semiconductor channel. The semiconductor channel includes at least a portion configured to be exposed to an analyte to be detected, and the trapping material, when exposed to the analyte, interacts with the analyte so as to at least partially restore the ability of the semiconductor channel to conduct the current of charge carriers. | 06-27-2013 |
20130161600 | FORMATION OF CONJUGATED POLYMERS FOR SOLID-STATE DEVICES - Disclosed herein is a facile process for the formation of conjugated polymers inside or outside assembled solid-state devices. One process generally involves applying a voltage to a device comprising at least two electrodes, a combination of an electrolyte composition and a electroactive monomer disposed between the electrodes, and a potential source in electrical connection with the at least two electrodes; wherein the applying voltage polymerizes the electroactive monomer into a conjugated polymer. Also disclosed are electrochromic articles prepared from the process and solid-state devices comprising a composite of an electrolyte composition and a conjugated polymer. | 06-27-2013 |
20130161601 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - It is an object of the present invention to provide a light-emitting element with high light emission efficiency. It is another object of the present invention to provide a light-emitting element with a long lifetime. A light-emitting device is provided, which includes a light-emitting layer, a first layer, and a second layer between first electrode and a second electrode, wherein the first layer is provided between the light-emitting layer and the first electrode, the second layer is provided between the light-emitting layer and the second electrode, the first layer is a layer for controlling the hole transport, the second layer is a layer for controlling the electron transport, and a light emission from the light-emitting layer is obtained when voltage is applied to the first electrode and the second electrode so that potential of the first electrode is higher than potential of the second electrode. | 06-27-2013 |
20130161602 | PROCESS FOR MODIFYING ELECTRODES IN AN ORGANIC ELECTRONIC DEVICE - The present invention relates to a process for modifying the electrodes in an organic electronic (OE) device, in particular an organic field effect transistor (OFET), and to an OE device prepared by using such a process. | 06-27-2013 |
20130161603 | PHOSPHAPHENANTHRENE-CARBAZOLE-BASED ORGANIC LIGHT-EMITTING COMPOUND, AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING SAME - Provided are a phosphaphenanthrene-carbazole-based organic light-emitting compound having superior light emitting properties, and an organic light-emitting device including the same. | 06-27-2013 |
20130168642 | BITHIOPHENE DERIVATIVES AND SEMICONDUCTOR DEVICES COMPRISING THE SAME - In an embodiment of the disclosure, a bithiophene derivative is provided. The bithiophene derivative has formula (I): | 07-04-2013 |
20130168643 | LIGHT DETECTING ARRAY STRUCTURE AND LIGHT DETECTING MODULE - A light detecting array structure and a light detecting module are provided. The light detecting array structure includes a plurality of first electrodes, a plurality of second electrodes, a first carrier selective layer, a second carrier selective layer, and a light-absorbing active layer. The second electrodes are disposed on one side of the first electrodes. Between the first electrodes and the second electrodes, a first carrier selective layer, a light-absorbing active layer and a second carrier selective layer are disposed. The light detecting module includes the light detecting array structure and a control unit. The control unit is coupled to the first electrodes and second electrodes, selectively provides at least two cross voltages between each of the first electrodes and each of the second electrodes, and reads photocurrents flowing through the first electrodes and second electrodes. | 07-04-2013 |
20130168644 | Organic Light-Emitting Display Apparatus and Method of Manufacturing the Same - An organic light-emitting display apparatus includes a substrate, a pixel electrode disposed on the substrate, an opposing electrode disposed on the pixel electrode and transmitting light therethrough, an organic light-emitting layer disposed between the pixel electrode and the opposing electrode and emitting light toward at least the opposing electrode, a first transmission layer disposed on the opposing electrode and transmitting the light emitted from the organic light-emitting layer therethrough, and a second transmission layer disposed on a path of the light emitted from the organic light-emitting layer on the first transmission layer, and comprising a plurality of first materials having first refractive indices and a second material having a second refractive index. The first refractive indices are greater than the second refractive index, and the plurality of first materials are disposed inside the second material. | 07-04-2013 |
20130168645 | GREEN ORGANIC LIGHT-EMITTING DIODE, AND FLAT PANEL DISPLAY DEVICE INCLUDING THE SAME - An organic light-emitting diode includes: a first electrode, a second electrode facing the first electrode, an emission layer between the first and second electrodes and including a first compound and a second compound, and a first organic layer between the emission layer and the first electrode and including a third compound, wherein the first compound is represented by Formula 1 below, the second compound is represented by Formula 2 below, and the third compound is represented by Formula 3a or 3b below. | 07-04-2013 |
20130168646 | NOVEL COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Compounds represented by Formula 1 and organic light-emitting devices including an organic layer including the compounds are disclosed. | 07-04-2013 |
20130168647 | Organic Lighting Device and Lighting Equipment - An organic luminous means and an illumination device comprising such a luminous means are specified. An optical display apparatus, emergency lighting, motor vehicle interior lighting, an item of furniture, a construction material, a glazing and a display comprising such a luminous means and, respectively, comprising an illumination device having such a luminous means are furthermore specified. | 07-04-2013 |
20130168648 | Organic Light Emitting Display Device and Manufacturing Method Thereof - An organic light emitting display device wherein organic and inorganic films are alternately stacked, and the inorganic film is patterned to form an outgassing route, through which gas is released from the organic film, and a manufacturing method thereof is herein. | 07-04-2013 |
20130168649 | ORGANIC LIGHT-EMITTING DISPLAY PANEL AND DISPLAY APPARATUS HAVING THE SAME - A display apparatus having an organic light emitting display panel is provided. The organic light emitting display panel includes a front substrate and a rear substrate which are arranged parallel to each other, an image forming portion which is formed on a rear surface of the front substrate and which includes at least one organic layer, a sealing member which surrounds the image forming portion between the front substrate and the rear substrate to seal the image forming portion, and an input key flexible printed circuit board (FPCB) which includes user input keys. The input key FPCB is mounted on the front substrate or the rear substrate. | 07-04-2013 |
20130168650 | Electroluminescent Element and Light-Emitting Device - An electroluminescent element which can easily control the balance of color in white emission (white balance) is provided according to the present invention. The electroluminescent element comprises a first light-emitting layer containing one kind or two or more kinds of light-emitting materials, and a second light-emitting layer containing two kinds of light-emitting materials (a host material and a phosphorescent material) in which the phosphorescent material is doped at a concentration of from 10 to 40 wt %, preferably, from 12.5 to 20 wt %. Consequently, blue emission can be obtained from the first light-emitting layer and green and red (or orange) emission can be obtained from the second light-emitting layer. An electroluminescent element having such device configuration can easily control white balance since emission peak intensity changes at the same rate in case of increasing a current density. | 07-04-2013 |
20130168651 | SUBSTRATE FOR AN ORGANIC LIGHT-EMITTING DEVICE, USE AND PROCESS FOR MANUFACTURING THIS SUBSTRATE, AND ORGANIC LIGHT-EMITTING DEVICE - The invention relates to a substrate for an organic light-emitting device especially a transparent glass substrate, which includes, on a first main face a bottom electrode film the electrode film being formed from a thin-film multilayer coating comprising, in succession, at least a contact layer based on a metal oxide and/or a metal nitride; a metallic functional layer having an intrinsic electrical conductivity property; an overlayer based on the metal oxide and/or a metal nitride, especially for matching the work function of said electrode film, said substrate including a base layer, the base layer covering the main face. | 07-04-2013 |
20130168652 | Organic Light Emitting Device and Method for Manufacturing the Same - An organic light emitting device, efficacy and lifetime of which are improved by forming a blue light emitting layer disposed over respective pixels in common as a double layer structure, includes a substrate divided into and defined by first to third pixels, a first electrode disposed on the TFT substrate and a second electrode facing the first electrode, the second electrode being spaced from the first electrode, a first light emitting layer and a second light emitting layer disposed in the first pixel and in the second pixel, respectively, between the first electrode and the second electrode, a sub-light emitting layer and a third light emitting layer disposed over the first to third pixels in this order on the first light emitting layer and the second light emitting layer. | 07-04-2013 |
20130168653 | ORGANIC LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting device includes a substrate divided into and defined by first to third pixels, a first electrode disposed on the substrate and a second electrode facing the first electrode, a first light emitting layer and a second light emitting layer disposed in the first pixel and in the second pixel, respectively, between the first electrode and the second electrode, a first triplet exciton confinement layer, a third light emitting layer and a second triplet exciton confinement layer disposed over the first to third pixels in this order, on the first light emitting layer and the second light emitting layer, and a first common layer disposed between the first light emitting layer and the second light emitting layer, and the first electrode, and a second common layer disposed between the second triplet exciton confinement layer and the second electrode. | 07-04-2013 |
20130168654 | LIGHT EMITTING ELEMENT, LIGHT EMITTING DEVICE AND ELECTRONIC APPARATUS - The light emitting element of the embodiment includes an anode; a cathode; a visible light emitting layer provided between the anode and the cathode and emitting visible light; and a carrier trapping layer containing a thiadiazole based compound represented by the following formula (1). | 07-04-2013 |
20130168655 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND DRIVING METHOD OF THE SAME - An organic light emitting display device comprises: a lower substrate; a underlying wire formed on the lower substrate; and red, green, and blue subpixels each comprising a transistor section formed on the lower substrate and an organic light emitting diode, wherein the white subpixel comprises a first electrode which is non-overlapped with the underlying wire and is spaced apart from the underlying wire. | 07-04-2013 |
20130168656 | CYCLOMETALLATED TETRADENTATE PLATINUM COMPLEXES - Novel phosphorescent platinum complexes containing tetradentate ligands are provided. The disclosed compounds have three 6-membered metallocycle units in each tertadentate ligand. The disclosed compounds have desirable electronic properties that make them useful when incorporated into a variety of OLED devices. | 07-04-2013 |
20130168657 | THIN FILM TRANSISTOR ON FIBER AND METHOD OF MANUFACTURING THE SAME - A thin film transistor formed on a fiber and method of manufacturing the same. The thin film transistor includes a fiber; a first electrode that is disposed on the fiber; a second electrode that is disposed on the fiber and space apart from the first electrode; a gate electrode that is disposed on the fiber; a channel that is disposed between the first electrode and the second electrode; and a gate insulating layer that is disposed on the first electrode, the second electrode, the gate electrode and the channel; and an encapsulant that encapsulates the gate insulating layer. | 07-04-2013 |
20130168658 | Diode for a Printable Composition - An exemplary printable composition of a liquid or gel suspension of diodes comprises a plurality of diodes, a first solvent and/or a viscosity modifier. An exemplary diode comprises: a light emitting or absorbing region having a diameter between about 20 and 30 microns and a height between 2.5 to 7 microns; a plurality of first terminals spaced apart and coupled to the light emitting region peripherally on a first side, each first terminal of the plurality of first terminals having a height between about 0.5 to 2 microns; and one second terminal coupled centrally to a mesa region of the light emitting region on the first side, the second terminal having a height between 1 to 8 microns. | 07-04-2013 |
20130168659 | Semiconducting Compounds and Related Compositions and Devices - Disclosed are new semiconductor materials prepared from thienocoronene-based compounds and related heteroaromatic analogs. Such compounds can exhibit high carrier mobility and/or good current modulation characteristics. In addition, the compounds of the present teachings can possess certain processing advantages such as solution-processability and/or good stability at ambient conditions. | 07-04-2013 |
20130168660 | ORGANOSELENIUM MATERIALS AND THEIR USES IN ORGANIC LIGHT EMITTING DEVICES - The present invention provides organoselenium compounds comprising dibenzoselenophene, benzo[b]selenophene or benzo[c]selenophene and their uses in organic light emitting devices. | 07-04-2013 |
20130168661 | Electro-Optic Device and Method for Manufacturing Same - According to the present invention, an electro-optic device comprises: a substrate which is split into a light emitting unit and a non-light emitting unit, wherein said light emitting unit is divided into a plurality of driving regions; an electrode pad which is formed in the non-light emitting unit of the substrate; and an electrode unit which comprises a plurality of supplementary electrodes each of which has one end connected to the electrode pad and has the other end connected to the centers of each of the plurality of driving regions, and transparent electrodes formed on the upper sides of the plurality of supplementary electrodes in the light emitting unit, wherein the area of each of the plurality of driving regions is set to an area in which no voltage drop occurs, and the plurality of supplementary electrodes are manufactured in the same length. Thus, according to the present invention, if power is supplied to each one end of the plurality of supplementary electrodes by using the electrode pad, the power is transmitted, at the same time, to the other ends of each of the plurality of supplementary electrodes. Therefore, the power is simultaneously supplied to each center of the plurality of driving regions regardless of the distance between the electrode pad and the driving regions. Further, as mentioned above, a voltage drop phenomenon is prevented since the light emitting unit is divided into the plurality of driving regions in which no voltage drop occurs. That is to say, uniform currents can flow on the front side of each driving region irrespective of the distance between the supplementary electrodes and the driving regions. Consequently, a large-scaled organic light emitting device which can show uniform brightness properties in the overall light emitting unit can be manufactured. | 07-04-2013 |
20130168662 | Method of Forming a Semiconductor Device - Method for producing a semiconductor device such as an organic thin film transistor, and a device produced by the method, the method including the steps of forming conducting electrodes over a substrate, treating a surface of the electrodes with an arene substituted with an electron-withdrawing group to form an electrode contact layer over the electrodes, and forming an organic semiconductor layer over the substrate and electrodes, in which the substrate and electrodes are baked before the organic semiconductor layer is formed so as to reduce contaminants on the electrode contact layer and thereby promote improved crystal nucleation on a surface of the electrode. | 07-04-2013 |
20130168663 | ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to phosphorescent organic electroluminescent devices which comprise at least one phosphorescent emitter and a matrix material in the emitting layer, where certain conditions must be satisfied for the positions of the triplet energy and the HOMO and LUMO. | 07-04-2013 |
20130168664 | PRINTING METHOD FOR USE IN FABRICATION OF AN ELECTRONIC UNIT - A printing method for use in fabrication of an electronic unit comprising one or more lines of a regularly repeating structural feature formed over a substrate, the structural feature repeating over a regular interval along each line. The method comprises: using a first print-head arrangement to print portions of a composition at a first pitch along each of the lines; and using a second print-head arrangement to print portions of the composition at a second pitch along each of the lines; such that the first and second pitches together produce a beating pattern along each of the lines, having a beating wavelength matched to the interval of the regularly repeating structural feature. | 07-04-2013 |
20130168665 | ORGANIC ELECTROLUMINESCENT DEVICE AND MANUFACTURING METHOD THEREOF - An organic electroluminescent device and a method of manufacture thereof are provided. The device comprises a conductive substrate, a hole-injecting layer, a light-emitting layer, and a cathode layer, which are stacked in order. The material of the hole-injecting layer is made of an acid-doped polyaniline. The polyaniline has good conductivity and high stability, and the polyaniline is suitable as the material of hole-injecting layer. The acid-doped polyaniline can significantly improve the solubility and conductivity. As a result of the acid-doped polyaniline as the hole-injecting layer, the light-emitting performance of the organic electroluminescent device is basically same as a traditional OLED, and the cost is lowered. | 07-04-2013 |
20130175507 | Novel Heterocyclic Host Materials - Novel heterocyclic materials are disclosed. The materials contain a fused tetracyclic structure that can improve the properties of OLED devices when the novel heterocyclic materials are incorporated into such devices. | 07-11-2013 |
20130175508 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a substrate; a first electrode layer and a second electrode layer on the substrate, in parallel to the substrate, and facing each other; an emission layer between the first electrode layer and the second electrode layer, where the emission layer includes a first emission region, a second emission region, and a third emission region, where the emission layer includes a first common emission layer in the first emission region, the second emission region, and the third emission region; a second emission layer in the second emission region between the first common emission layer and the second electrode layer; and a third emission layer in the third emission region between the first common emission layer and the second electrode layer, and where the first common emission layer includes a first host, a first dopant, and a p-type dopant. | 07-11-2013 |
20130175509 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME - Embodiments of the present invention are directed to a condensed-cyclic compound and an OLED including the same. | 07-11-2013 |
20130175510 | BENZO-FUSED THIOPHENE / TRIPHENYLENE HYBRID MATERIALS - Triphenylene containing benzo-fused thiophene compounds are provided. Additionally, triphenylene containing benzo-fused furan compounds are provided. The compounds may be useful in organic light emitting devices, particularly as hosts in the emissive layer of such devices, or as materials for enhancement layers in such devices, or both. | 07-11-2013 |
20130175511 | ARRANGEMENT COMPRISING OPTICALLY TRANSPARENT AND/OR FUNCTIONAL COMPONENTS - The invention relates to an arrangement comprising optically transparent and/or functional components. It is desirable for many applications to achieve a high functionality and variability in the utilization of electronic components over a very small area or with a small space requirement for such a design. In an arrangement in accordance with the invention, an organic electronic component and at least one further organic or inorganic electronic component are arranged layer-wise, stacked over one another, on a substrate. In this respect, planar electrically conductive electrodes at the surfaces of the components are formed such that the components are electrically connected in series and the components are each individually electrically controllable via the electrodes in accordance with their polarities. | 07-11-2013 |
20130175512 | Organic Light Emitting Display Device - Disclosed is an organic light emitting display device. The organic light emitting display device includes a substrate, a thin film transistor formed on the substrate, a first electrode formed on the thin film transistor, an organic emission layer, and a second electrode formed on the organic emission layer. The organic emission layer includes a first stack that includes a first emission layer formed on the first electrode to emit first color light, a second stack that includes a second emission layer formed on the first electrode to emit second color light, and a charge generation layer formed between the first and second stacks. | 07-11-2013 |
20130175513 | SOLID-STATE ASSEMBLY OF LAYERS AND AN ELECTRIC DEVICE COMPRISING SUCH ASSEMBLY - The present invention relates to a solid-state assembly of layers and to an electric solid-state device comprising such assembly. In one aspect, such electric device is a field effect transistor. In another aspect, such device is a memory device. In yet a further aspect, the device is a sensor device. | 07-11-2013 |
20130175514 | DISPLAY DEVICE - A display device includes a substrate and a pixel formed over the substrate. The pixel includes a red subpixel, a green subpixel, a deep green subpixel, and a blue subpixel. The configuration of the display device increases the luminous efficiency and life-span and realizes the high color purity. | 07-11-2013 |
20130175515 | LIGHT EMITTING APPARATUS - A lighting apparatus comprising a plurality of diodes and an electrical interface configured to receive an electrical signal and transmit the electrical signal to the plurality of diodes is provided. | 07-11-2013 |
20130175516 | LIGHT EMITTING APPARATUS - A lighting apparatus comprising a plurality of diodes and an electrical interface configured to receive an electrical signal and transmit the electrical signal to the plurality of diodes is provided. | 07-11-2013 |
20130175517 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic electroluminescence display device is provided. The organic electroluminescence display device includes plural organic electroluminescence elements. Each organic electroluminescence element includes: a lower electrode; an insulating layer having an opening, in which a lower electrode is exposed at the bottom of the opening; an auxiliary wiring; a stacked structure provided from a portion over the lower electrode exposed at the bottom of the opening to a portion of the insulating layer surrounding the opening, including a light emitting layer made of an organic light-emitting material; and an upper electrode. At least one layer of the stacked structure partially contacts the auxiliary wiring. The insulating layer and the auxiliary wiring are provided in common to the plurality of organic EL elements. The upper electrode covers the whole surface of the stacked structures and the auxiliary wiring. | 07-11-2013 |
20130175518 | ELECTROLUMINESCENT ORGANIC TRANSISTOR - An electroluminescent organic transistor is described. The electroluminescent organic transistor has a semiconductor heterostructure constituted by a plurality of layers of semiconductor materials of p-type and n-type, which act, respectively, for the conduction of holes and electrons within the heterostructure, and at least two layers of emitting materials each of which is interposed between, and in direct contact with, one of the layers of p-type semiconductor material and another one of the layers of n-type semiconductor material. | 07-11-2013 |
20130175519 | NEW CONDENSED POLYCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING ELEMENT USING THE SAME - The present invention provides a stable new condensed polycyclic compound which is not likely to form a molecular association. In addition, the present invention also provides an organic light-emitting element having a high light-emitting efficiency and a low drive voltage. In the condensed polycyclic compound in Claim | 07-11-2013 |
20130181190 | NOVEL HETEROLEPTIC IRIDIUM COMPLEXE - Novel heteroleptic iridium complexes are disclosed. The complexes contain a phenyl pyridine ligand and another ligand containing a dibenzofuran, dibenzothiophene, dibenzoselenophene, or carbazole linked to an imidazole or benzimidazole fragment. These complexes are useful materials when incorporated into OLED devices. | 07-18-2013 |
20130181191 | ELECTRONIC DEVICES INCLUDING BIO-POLYMERIC MATERIAL AND METHOD FOR MANUFACTURING THE SAME - An electronic device including a bio-polymer material and a method for manufacturing the same are disclosed. The electronic device of the present invention comprises: a substrate; a first electrode disposed on the substrate; a bio-polymer layer disposed on the first electrode, wherein the bio-polymeric material is selected from a group consisting of wool keratin, collagen hydrolysate, gelatin, whey protein and hydroxypropyl methylcellulose; and a second electrode disposed on the biopolymer material layer. The present invention is suitable for various electronic devices such as an organic thin film transistor, an organic floating gate memory, or a metal-insulator-metal capacitor. | 07-18-2013 |
20130181192 | ORGANIC FLOATING GATE MEMORY DEVICE HAVING PROTEIN AND METHOD OF FABRICATING THE SAME - An organic floating gate memory device having protein and a method of fabricating the same are disclosed. The organic floating gate memory device of the present invention comprises: a substrate; a gate electrode on the substrate; a gate dielectric layer covering the gate electrode; a floating gate on the gate dielectric layer; a protein dielectric layer covering the floating gate; and an organic semiconductor layer, a source and a drain, wherein the organic semiconductor layer, the source and the drain are disposed over the protein dielectric layer | 07-18-2013 |
20130181193 | ORGANIC LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - Provided is an organic light emitting device. The organic light emitting devices includes: a light emitting part where a first electrode, an organic light emitting layer, and a second electrode are stacked ; and a thin film layer having a plurality of holes and micro-resonating the light emitted from the organic light emitting layer. | 07-18-2013 |
20130181194 | ORGANIC LIGHT EMITTING DEVICE - Provided is an organic light emitting device. The organic light emitting device comprising a first light emitting part on a substrate, emitting a first light of a first wavelength, wherein the first light emitting part includes a transparent first electrode, a first organic light emitting layer, and a transparent second electrode sequentially stacked on the substrate, a second light emitting part on the first light emitting part, emitting a second light of a second wavelength, wherein the second light emitting part includes a transparent third electrode, a second organic light emitting layer, and a reflective fourth electrode sequentially stacked on the first light emitting part, and a fluorescent material disposed at least one between the substrate and the first light emitting part, and between the first light emitting part and second light emitting part. | 07-18-2013 |
20130181195 | ORGANIC LIGHT EMITTING DEVICE - Provided is an organic light emitting device. The organic light emitting device includes: a first electrode on a substrate, a second electrode on the first electrode, an organic light emitting layer between the first electrode and the second electrode, an embossing layer between the first electrode and the substrate and a planarization layer between the first electrode and the embossing layer. | 07-18-2013 |
20130181196 | COMPOUNDS AND ORGANIC ELECTRONIC DEVICE USING THE SAME - The present invention provides a new compound and an organic electronic device using the same. The organic electronic device according to the present invention exhibits excellent properties in views of efficiency, driving voltage and a life span. | 07-18-2013 |
20130181197 | ORGANIC ELECTROLUMINESCENT DEVICES - Organic electroluminescent devices are provided. The organic electroluminescent device may includes a first light emitting part including a transparent first electrode, a first organic light emitting layer, and a transparent second electrode which are stacked, and a capping layer stacked on the first light emitting part. The first light emitting part emits light of a first wavelength, and the capping layer reflects the light of the first wavelength and transmits light of a second wavelength. Thus, the lights of the first and second wavelengths are emitted in high efficiency. | 07-18-2013 |
20130181198 | POLYMERS, OPTICAL FILMS, ORGANIC ELECTROLUMINESCENT DEVICES, AND METHODS OF MANUFACTURING THE OPTICAL FILM - Disclosed are polymers, optical films, organic electroluminescent devices, and methods of manufacturing the optical film. The polymer and the optical film according to the inventive concept may include a high refractive material chemically combined with a polymer matrix and a low refractive material chemically combined with the polymer matrix. The low refractive material has a lower refractive index than the high refractive material. Thus, the polymer and the optical film may function as a light scattering layer including the low and high refractive materials chemically combined with the polymer matrix, or a light scattering layer including a high refractive film and low refractive particles dispersed within the high refractive film. | 07-18-2013 |
20130181199 | Thermo-oxidatively Stable, Side Chain Polyether Functionalized Polynorbornenes for Microelectronic and Optoelectronic Devices and Assemblies Thereof - The present invention relates to polynorbornene (PNB) composition embodiments that are useful for forming microelectronic and/or optoelectronic devices and assemblies thereof, and more specifically to compositions encompassing PNBs having norbornene-type repeating units that are polyether functionalized where such the PNBs of such compositions and the microelectronic and/or optoelectronic devices made therefrom are resistant to thermo-oxidative chain degradation of said polyether functionalization. | 07-18-2013 |
20130181200 | THIN-FILM TRANSISTOR, FABRICATION METHOD THEREOF, AND IMAGE DISPLAY DEVICE - A method for fabricating a thin-film transistor is provided whereby isolation of transistor devices is realized and the performance and the stability of the product thin-film transistor are improved. The thin-film transistor includes a substrate; a gate electrode laminated on the substrate; a gate insulating layer laminated on the substrate and the gate electrode; a recessed portion provided in the gate insulating layer; a semiconductor layer formed in the recessed portion of the gate insulating layer; and a source electrode and a drain electrode connected to the semiconductor layer at respective positions which are spaced apart from each other. | 07-18-2013 |
20130181201 | DEUTERATED COMPOUNDS FOR ELECTRONIC APPLICATIONS - This invention relates to deuterated aryl-anthracene compounds that are useful in electronic applications. It also relates to electronic devices in which the active layer includes such a deuterated compound. | 07-18-2013 |
20130181202 | PHOTOELECTRIC CONVERSION MATERIAL, FILM CONTAINING THE MATERIAL, PHOTOELECTRIC CONVERSION DEVICE, METHOD FOR PREPARING PHOTOELECTRIC CONVERSION DEVICE, METHOD FOR USING PHOTOELECTRIC CONVERSION DEVICE, PHOTOSENSOR AND IMAGING DEVICE - There is provided a compound represented by a specific formula, which has an absorption maximum at 400 nm or more and less than 720 nm in a UV-visible absorption spectrum, wherein a molar extinction coefficient is 10,000 mol | 07-18-2013 |
20130181203 | Display Device - At least two TFTs which are connected with a light emitting element are provided, crystallinities of semiconductor regions composing active layers of the respective TFTs are made different from each other. As the semiconductor region, a region obtained by crystallizing an amorphous semiconductor film by laser annealing is applied. In order to change the crystallinity, a method of changing a scan direction of a continuous oscillating laser beam so that crystal growth directions are made different from each other is applied. Alternatively, a method of changing a channel length direction of TFT between the respective semiconductor regions without changing the scan direction of the continuous oscillating laser beam so that a crystal growth direction and a current flowing direction are different from each other is applied. | 07-18-2013 |
20130181204 | Image Display Device And The Method For Manufacturing The Same - An image display device includes a resin film, an organic film which is formed above the resin film, a circuit layer which is formed above the organic film and includes at least a thin film transistor, and a barrier layer which is formed between the organic film and the circuit layer. The organic film has a first surface which faces the circuit layer and a side surface which crosses the first surface. The barrier layer covers the first surface and the side surface. | 07-18-2013 |
20130181205 | ORGANIC EL ELEMENT - An object of the invention is to provide an organic EL element formed using a relatively stable new electron injection material in an atmosphere of approximately ordinary pressure. An organic EL element of a preferable embodiment is an organic EL element including a supporting substrate, an anode, a light-emitting layer, an electron injection layer, and a cathode in this order, in which the electron injection layer is formed by applying an ink including an ionic polymer so as to form a film, and the cathode is formed by applying an ink including a material which forms the cathode so as to form a film or transferring a conductive thin film which forms the cathode. | 07-18-2013 |
20130181206 | ORGANIC EL DEVICE - An organic EL device with a light diffusing element that includes a matrix containing a resin component and an ultrafine particle component, and a light diffusing fine particle dispersed in the matrix. Refractive indices of the resin component, the ultrafine particle component, and the light diffusing fine particle satisfy the following expression (1). Further, the light diffusing element includes a concentration adjusted area formed outside a vicinity of a surface of the light diffusing fine particle, in which a weight concentration of the resin component decreases and a weight concentration of the ultrafine particle component increases as a distance from the light diffusing fine particle increases. | 07-18-2013 |
20130181207 | ORGANIC ELECTROLUMINESCENSCE DEVICE AND MANUFACTURING METHOD THEREOF - An organic electroluminescence device comprises the following structure: a conductive base ( | 07-18-2013 |
20130181208 | SEMICONDUCTOR VOLTAGE TRANSFORMATION STRUCTURE - A semiconductor voltage transformation structure is provided. The semiconductor voltage transformation structure includes: a first electrode layer; an electricity-to-light conversion layer formed on the first electrode layer; a second electrode layer formed on the electricity-to-light conversion layer; a first isolation layer formed on the second electrode layer; a third electrode layer formed on the first isolation layer; a light-to-electricity conversion layer formed on the third electrode layer; and a fourth electrode layer formed on the light-to-electricity conversion layer, in which the first isolation layer, the second electrode layer and the third electrode layer are transparent to a working light emitted by the electricity-to-light conversion layer. | 07-18-2013 |
20130181209 | VAPOR DEPOSITION METHOD, VAPOR DEPOSITION DEVICE AND ORGANIC EL DISPLAY DEVICE - A coating film ( | 07-18-2013 |
20130187131 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device including: a first emission area including a first organic light emitting diode; a second emission area arranged adjacent to the first emission area and not overlapping with the first emission area, the second emission area including a second organic light emitting diode; a pixel circuit unit electrically connected to the first organic light emitting diode and the second organic light emitting diode; and a transmissive area adjacent to the first and second emission areas and not overlapping with the first and second emission areas, the transmissive area configured to transmit external light therethrough. | 07-25-2013 |
20130187132 | DISPLAY AND METHOD OF MANUFACTURING THE SAME, UNIT, TRANSFER PRINTING METHOD, ORGANIC ELECTROLUMINESCENCE UNIT AND METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A display includes: a first light emitting layer to be transferred to a first region on a substrate; a second light emitting layer to be transferred to a second region on the substrate; and a level-difference forming member forming a first level difference between the first region and the second region, the first level difference suppressing attachment of the first light emitting layer to the second region when the first light emitting layer is transferred to the first region. | 07-25-2013 |
20130187133 | ORGANIC LIGHT-EMITTING ELEMENT, DISPLAY PANEL, DISPLAY DEVICE, AND MANUFACTURING METHOD FOR ORGANIC LIGHT-EMITTING ELEMENT - A planarization film is formed as a silicon oxide monolayer using, for instance, a spin coat method, through, for example, applying a silicon-containing organic solvent to an upper portion of a TFT layer and planarizing an upper surface of a resist film made up of a silicon-containing organic solvent, heating a predetermined processing fluid, e.g., peroxymonosulfuric acid, and discharging the processing fluid heated to, for example, 150° C., onto the planarized upper surface of the resist film such that organic components of the resist film are dissolved while silicon in the resist film is oxidized by the processing fluid. | 07-25-2013 |
20130187134 | ORGANIC ELECTRONIC DEVICE - An organic electronic device may include an organic semiconductor compound represented by the following Chemical Formula 1 or Chemical Formula 2. | 07-25-2013 |
20130187135 | LIGHT EMITTING DEVICE - A light emitting device includes a substrate, and a plurality of light emitting structures disposed thereon. Each of the light emitting structures includes an auxiliary electrode disposed on the substrate, a first insulating layer disposed on the substrate and covering the auxiliary electrode, an electrode disposed on the first insulating layer, a second insulating layer disposed on the first insulating layer and having a first opening exposing the electrode, an organic light emitting layer disposed in the first opening, a cathode disposed on the organic light emitting layer, at least a conductive structure penetrating through the first insulating layer and the second insulating layer, and a closed ring structure disposed on the second insulating layer and around the cathode, wherein a thickness of the closed ring structure is larger than that of the cathode. | 07-25-2013 |
20130187136 | SYNTHESIS OF AZA-ACENES AS NOVEL N-TYPE MATERIALS FOR ORGANIC ELECTRONICS - Acenes, such as aza-acenes are attractive materials for organic semiconductors, specifically for n-type materials. There are disclosed new derivatives of acenes that are fabricated using novel synthesis. For example, the disclosed fabrication strategies have allowed for the first time new aza-tetracene and aza-pentacene derivatives. The HOMO and LUMO energy levels of these materials are tunable through appropriate substitution and as predicted, deepened. There are also disclosed organic photosensitive devices comprising at least one aza-acene such as aza-tetracene and aza-pentacene. | 07-25-2013 |
20130187137 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - An aromatic amine derivative with a specific structure having a carbazole skeleton to which a diarylamino group bonds via a bonding group. An organic electroluminescence device which is composed of one or more organic thin film layers including at least one light emitting layer sandwiched between a cathode and an anode, wherein at least one of the organic thin film layers contains the aromatic amine derivative singly or as its mixture component. Organic electroluminescence devices with enhanced efficiency of light emission and a compound realizing the devices are provided. | 07-25-2013 |
20130187138 | ORGANIC EL DISPLAY UNIT, METHOD OF MANUFACTURING THE SAME, AND SOLUTION USED IN METHOD - An organic electroluminescence display unit including: a lower electrode for each device; a first hole injection/transport layer provided on the lower electrode for each device; a second organic light emitting layer of the first color provided on the first hole injection/transport layer for the second organic electroluminescence device; a second hole injection/transport layer provided on the entire surfaces of the second organic light emitting layer and the first hole injection/transport layer for the first organic electroluminescence device, and being made of a low molecular material; a blue first organic light emitting layer provided on the entire surface of the second hole injection/transport layer; and an electron injection/transport layer having at least one of electron injection characteristics and electron transport characteristics, and an upper electrode that are provided in sequence on the entire surface of first organic light emitting layer. | 07-25-2013 |
20130187139 | DISPLAY DEVICE - A display device includes, on a substrate, light emitting elements each formed by sequentially stacking a first electrode layer, an organic layer including a light emission layer, and a second electrode layer and arranged in first and second directions which cross each other, a drive circuit including drive elements that drive light emitting elements, and a wiring extending in the first direction, and an insulating layer disposed in a gap region sandwiched by the light emitting elements neighboring in the second direction and having a recess or a projection. The wiring is disposed in an overlap region overlapping with the recess or the projection in the insulating layer in a thickness direction, in the gap region, and the second electrode layers in the light emitting elements neighboring in the second direction are separated from each other by the recess or the projection in the insulating layer. | 07-25-2013 |
20130187140 | CHRYSENE DERIVATIVE MATERIALS - There is provided an electroluminescent composition. The composition includes a material having Formula I | 07-25-2013 |
20130187141 | ORGANIC EL ELEMENT, TRANSLUCENT SUBSTRATE AND METHOD OF MANUFACTURING ORGANIC EL ELEMENT - An organic EL element includes a transparent substrate; a first electrode; an organic light emitting layer formed on the first electrode; and a second electrode formed on the organic light emitting layer, wherein a scattering layer including a base material made of glass and scattering substances dispersed in the base material is provided on the transparent substrate, and a light extraction assistance layer is provided between the scattering layer and the first electrode, the light extraction assistance layer being made of an inorganic material other than glass. | 07-25-2013 |
20130187142 | Display Device - The present invention is intended to suppress power consumption of an EL display. In accordance with the brightness of an image to be displayed in a pixel portion, the contrast of the image is determined whether to be inverted or not, and the number of bits of the digital video signal to be input into the pixel portion is reduced, and the magnitude of a current to flow through the EL element is allowed to be maintained at a constant level even when a temperature of an EL layer changes by providing the EL display with another EL element to be used for monitoring a temperature. | 07-25-2013 |
20130187143 | ORGANIC EL DEVICE AND ANTHRACENE DERIVATIVE - An organic EL device includes: an anode for injecting holes; a phosphorescent-emitting layer; a fluorescent-emitting layer; and a cathode for injecting electrons. The phosphorescent-emitting layer contains a phosphorescent host and a phosphorescent dopant for phosphorescent emission. The fluorescent-emitting layer contains a fluorescent host and a fluorescent dopant for fluorescent emission. The fluorescent host is at least one of an asymmetric anthracene derivative represented by a formula (1) below and a pyrene derivative represented by a formula (2) below. | 07-25-2013 |
20130187144 | RADIATION CURABLE COMPOSITION - The present invention relates to a curable composition, which comprises a) at least one radiation-curable resin, b) at least one specific anti-oxidant and c) at least one photoinitiator salt. The invention further relates to a cured product made from the curable composition. The curable compositions and/or the cured products thereof are particularly suitable as laminating adhesives, sealants, and/or encapsulants for electronic or optoelectronic devices. | 07-25-2013 |
20130187145 | ORGANIC LIGHT-EMITTING COMPOSITION COMPRISING ANTHRANTHENE DERIVATES AND DEVICE AND METHOD USING THE SAME - Composition comprising a fluorescent light-emitting material and a triplet-accepting unit comprising an optionally substituted compound of formula (I): The composition may be used in an organic light-emitting device; the optionally substituted compound of formula (I) may be blended with or attached to the fluorescent light emitting material; and the composition may be deposited by solution deposition. | 07-25-2013 |
20130187146 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD - Composition which may be useful in an organic light emitting diode, the composition having a fluorescent light-emitting polymer with light-emitting repeat units, and a triplet-accepting unit bound to the light-emitting polymer. | 07-25-2013 |
20130187147 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD - Composition for use in an organic light-emitting device, the composition having a fluorescent light-emitting material and a triplet-accepting material subject to the following energetic scheme: 2×T | 07-25-2013 |
20130187148 | MULTI-DEVICE OLED - The invention describes a multi-device OLED ( | 07-25-2013 |
20130193413 | STACKING STRUCTURE, ORGANIC SEMICONDUCTOR DEVICE, WIRING, AND DISPLAY, AND METHOD OF MANUFACTURING ORGANIC SEMICONDUCTOR DEVICE - A stacked structure including an organic layer; a conductor or a semiconductor layer; a protective layer made of an insulating material and covering at least a part of a top surface or an undersurface of the organic layer; and a plurality of grains an outside of each of which is covered with an affinity layer that has an affinity with the insulating material, the plurality of grains being dispersed in the protective layer. | 08-01-2013 |
20130193414 | Organic Semiconducting Material and Electronic Component - Organic semiconducting material comprising at least one matrix material and at least one doping material, wherein the doping material is selected from a [3]radialene compound, and wherein the matrix material is selected from a terphenyldiamine compound, as well as an organic component and a mixture for producing a doped semiconductor layer. | 08-01-2013 |
20130193415 | ORGANIC LIGHT EMITTING DIODE DISPLAY - Disclosed is an organic light emitting diode display, including a first substrate including an organic light emitting diode and a driving circuit part for driving the light emitting diode, a second substrate facing the first substrate and covering the light emitting diode and the driving circuit part, a connection unit connected to the driving circuit part and extending from the first substrate along a rear side thereof, an electronic device disposed between the connection unit and the first substrate and transmitting a signal to the driving circuit part via the connection unit, and an electromagnetic wave-shielding sheet disposed on one side of the first substrate to directly face the electronic device and including a first conductive material layer, a buffer layer formed on one side of the first conductive material layer to prevent the first substrate from breaking, and a first adhesive layer formed on the other side of the first conductive material layer. | 08-01-2013 |
20130193416 | ORGANIC ELECTROLUMINESCENT DEVICES AND METHODS FOR FABRICATING THE SAME - Provide is an organic electroluminescent device including an organic electroluminescent layer emitting a light and a plurality of nano-sized embossing layers stacked to improve light extraction efficiency of the emitted light. | 08-01-2013 |
20130193417 | Integrated Circuit and Manufacturing Method - Disclosed is an integrated circuit comprising a substrate including at least one light sensor; an interconnect structure over the substrate; at least one passivation layer over the interconnect structure, said passivation layer including a first area over the at least one light sensor; and a gas sensor such as a moisture sensor at least partially on a further area of the at least one passivation layer, wherein the gas sensor comprises a gas sensitive layer in between a first electrode and a second electrode, the gas sensitive layer further comprising a portion over the first area. A method of manufacturing such an IC is also disclosed. | 08-01-2013 |
20130193418 | LIGHT-EMITTING APPARATUS, IMAGE-FORMING APPARATUS, DISPLAY APPARATUS, AND IMAGE PICKUP APPARATUS - An organic EL element uses the maximum optical interference effect and satisfactorily emits light. The first optical distance L | 08-01-2013 |
20130193419 | ORGANIC ELECTROLUMINESCENT ELEMENT AND DISPLAY APPARATUS INCLUDING THE SAME - An organic electroluminescent element that emits red light includes an organic compound layer provided between a first electrode including a reflective metal film and a second electrode including a translucent metal film. The organic compound layer includes a light-emitting layer. The second electrode is provided on a light extraction side. An optical length L | 08-01-2013 |
20130193420 | THIN FILM TRANSISTOR AND METHOD FOR PRODUCING THE SAME - The present invention provides a method of manufacturing a thin film transistor of a top-contact structure with suppressed deterioration by a process which is easy and suitable for increase in area without damaging an organic semiconductor pattern. The organic semiconductor pattern is formed on a substrate. An electrode material film is formed on the substrate so as to cover the organic semiconductor pattern. A resist pattern is formed on the electrode material film. By wet etching using the resist pattern as a mask, the electrode material film is patterned. By the process, a source electrode and a drain electrode are formed. | 08-01-2013 |
20130193421 | Inorganic-Organic Hybrid Thin-Film Transistors Using Inorganic Semiconducting Films - Inorganic semiconducting compounds, composites and compositions thereof, and related device structures. | 08-01-2013 |
20130193422 | OPTICAL SENSOR AND METHOD FOR MAKING THE SAME - An optical sensor that can be produced at a low cost from inexpensive silicon fine particles as raw materials and a method for making the optical sensor are provided. | 08-01-2013 |
20130193423 | ELECTROACTIVE MATERIALS - A compound having Formula I, Formula II, or Formula III: | 08-01-2013 |
20130193424 | ELEMENT SUBSTRATE AND LIGHT EMITTING DEVICE - A light emitting device and an element substrate which are capable of suppressing variations in the luminance intensity of a light emitting element among pixels due to characteristic variations of a driving transistor without suppressing off-current of a switching transistor low and increasing storage capacity of a capacitor. According to the invention, a depletion mode transistor is used as a driving transistor. The gate of the driving transistor is fixed in its potential or connected to the source or drain thereof to operate in a saturation region with a constant current flow. A current controlling transistor which operates in a linear region is connected in series to the driving transistor, and a video signal for transmitting a light emission or non-emission of a pixel is inputted to the gate of the current controlling transistor through a switching transistor. | 08-01-2013 |
20130193425 | "Lighting Elements" - An OLED lighting element comprises a substrate bearing an OLED structure extending laterally over said substrate and sandwiched between first and second electrode layers. The first electrode layer defines a plurality of electrically conductive tracks and said second electrode layer comprises a substantially continuous electrically conducting layer. The OLED lighting element has an electrical bus-bar connected to said electrically conductive tracks extending substantially completely along the or each lateral edge of said lighting element. The electrically conductive tracks run in a radial direction from a laterally central location within said lighting element towards said bus-bar along said lateral edges of said lighting element. A said track subdivides into a plurality of tracks with increasing distance from said central location. This arrangement makes more efficient use of the conductive tracks. | 08-01-2013 |
20130193426 | USE OF ZWITTERIONIC MOLECULES FOR FORMING A HOLE OR ELECTRON TRANSPORT LAYER - The invention relates to the use of zwitterionic molecules for forming a hole or electron transport layer. The preferred zwitterionic molecules of the invention are derivatives of p-benzoquinonemonoimines. The invention is useful in the field of electronic devices in particular. | 08-01-2013 |
20130193427 | ORGANIC EL PANEL, DISPLAY DEVICE USING SAME, AND METHOD FOR PRODUCING ORGANIC EL PANEL - To increase light-extraction efficiency and simplify manufacturing process. An organic EL panel includes: first electrode reflecting incident light; second electrode transmitting incident light therethrough; organic light-emitting layer emitting light of corresponding color among R, G, and B colors; first functional layer including charge injection/transport layer and at least one other layer, and disposed between the first electrode and the light-emitting layer; and second functional layer disposed between the second electrode and the light-emitting layer. The charge injection/transport layers of R and G colors are equal in film thickness, and differ in film thickness from the charge injection/transport layer of the B color, the at least one other layers of R, G, and B colors are equal in film thickness, the second functional layers of R, G, and B colors are equal in film thickness, and the light-emitting layers of R, G, and B colors differ in film thickness. | 08-01-2013 |
20130193428 | LUMINESCENT GOLD(III) COMPOUNDS FOR ORGANIC LIGHT-EMITTING DEVICES AND THEIR PREPARATION - In one embodiment, the present invention provides a class of luminescent gold(III) compounds containing a tridentate ligand with one strong σ-donating group. The present invention also provides methods for synthesizing these compounds, as well as uses of these compounds as electrophosphorescent materials in phosphorescent organic light-emitting devices (OLEDs) to provide electroluminescence (EL). | 08-01-2013 |
20130193429 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided are a novel nitrogen-containing aromatic heterocyclic compound and an organic electronic device using the compound. Specifically provided is an organic electroluminescent device, including a plurality of organic layers between an anode and a cathode laminated on a substrate, in which at least one of the organic layers contains a nitrogen-containing aromatic compound represented by the following formula (1). In the formula, L represents an n+m-valent group arising from an alkane, a cycloalkane, an aromatic hydrocarbon, an aromatic heterocyclic compound, a triarylamine, or a diarylsulfone, A represents an alkyl group, a cycloalkyl group, an alkenyl group, an alkynyl group, a silyl group, an acyl group, an aromatic hydrocarbon group, or an aromatic heterocyclic group, X represents C(R) | 08-01-2013 |
20130200336 | METHOD FOR PRODUCING AN ORGANIC SEMICONDUCTOR DEVICE - A method for producing an organic semiconductor device ( | 08-08-2013 |
20130200337 | ORGANIC ELECTROLUMINESCENT ELEMENT MATERIAL, ORGANIC ELECTROLUMINESCENT ELEMENT COMPOSITION, ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE, AND LIGHTING DEVICE - The present invention relates to a polymer comprising a repeating unit represented by the specific general formula (1) and a cross-linkable group. | 08-08-2013 |
20130200338 | AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - An amine-based compound and an organic light-emitting diode including the amine-based compound. | 08-08-2013 |
20130200339 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A heterocyclic compound includes a compound represented by Formula 1. | 08-08-2013 |
20130200340 | IRIDIUM COMPLEX COMPOUND, ORGANIC ELECTROLUMINESCENT ELEMENT MATERIAL, ORGANIC ELECTROLUMINESCENT ELEMENT, ILLUMINATION DEVICE AND DISPLAY DEVICE - An organic electroluminescent element in which at least one organic layer including a light emitting layer is sandwiched between an anode and a cathode,
| 08-08-2013 |
20130200341 | Electronic Device Comprising an Organic Semiconducting Material - The present invention relates to an electronic device comprising at least one organic semiconducting material according to the following formula (I): wherein R | 08-08-2013 |
20130200342 | DISPLAY APPARATUS - A display apparatus including a display panel including an array substrate and display unit on the array substrate; a polarizing film on one surface of the display panel; and a deformation prevention member on another surface of the display panel, the other surface being opposite to the one surface on which the polarizing film is disposed, the deformation prevention member preventing deformation of the display panel. | 08-08-2013 |
20130200343 | SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND METHOD OF FORMING MULTILAYER SEMICONDUCTOR THIN FILM - A semiconductor device including a gate electrode, a gate insulating layer, source/drain electrodes, and a channel-forming region that are disposed on a base is provided. The method includes the steps of forming a thin film by application of a mixed solution including a polymeric insulating material and a dioxaanthanthrene compound represented by structural formula (1) below; and subsequently drying the thin film to induce phase separation of the polymeric insulating material and the dioxaanthanthrene compound, thereby forming the gate insulating layer from the polymeric insulating material and the channel-forming region from the dioxaanthanthrene compound: | 08-08-2013 |
20130200344 | Oxadiazole Derivative, and Light Emitting Element, Light Emitting Device, and Electronic Device Using the Oxadiazole Derivative - An oxadiazole derivative represented by the following general formula (G1) is synthesized and applied to the light emitting element, | 08-08-2013 |
20130200345 | Photocurable Polymeric Materials and Related Electronic Devices - Disclosed are photocurable polymers that can be used as active and/or passive organic materials in various electronic, optical, and optoelectronic devices. In some embodiments, the device can include a dielectric layer prepared from such photocurable polymers. In some embodiments, the device can include a passivation layer prepared from the polymers described herein. | 08-08-2013 |
20130200346 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE AND METHOD FOR DRIVING THE SAME - In an organic electroluminescence display device ( | 08-08-2013 |
20130200347 | ORGANIC ELECTROLUMINESCENCE DEVICE, DISPLAY UNIT INCLUDING THE SAME, AND METHOD OF MANUFACTURING AN ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes a first electrode, an organic layer formed on the first electrode and including a light-emitting layer, an intermediate layer formed on the organic layer; and a second electrode formed on the intermediate layer and having a thickness of 6 nm or less. | 08-08-2013 |
20130200348 | ORGANIC LIGHT-EMITTING MATERIAL, DEVICE AND METHOD - Composition having an organic semiconducting material and a triplet-accepting material of formula (I) with a triplet energy level lower than the triplet energy level of the organic semiconducting material, in which each Ar is optionally substituted aryl or heteroaryl group, n is 1-3, m is 1-5, q is 0 or 1, each R | 08-08-2013 |
20130200349 | COMPOSITION CONTAINING POLYMER COMPOUND AND LIGHT-EMITTING DEVICE USING THE SAME - It is an object of the present invention to provide a composition using a polymer compound, which is useful for manufacturing a blue phosphorescent light-emitting device excellent in luminous efficiency. The present invention provides a composition including: a polymer compound substantially consisting of a constitutional unit selected from a constitutional unit represented by Formula (1)-1 below, a constitutional unit represented by Formula (1)-2 below, a constitutional unit represented by Formula (2)-1 below, a constitutional unit represented by Formula (2)-2 below, and a constitutional unit derived from a phosphorescent light-emitting compound having a light-emitting spectrum peak at smaller than 480 nm and the polymer compound containing at least both of the constitutional unit represented by Formula (1)-1 and the constitutional unit represented by Formula (1)-2; and a phosphorescent light-emitting compound having a light-emitting spectrum peak at smaller than 480 nm. | 08-08-2013 |
20130200350 | ORGANIC ELECTROLUMINESCENT DEVICE - Provided is an organic electroluminescent device (organic EL device) that is improved in luminous efficiency, sufficiently secures driving stability, and has a simple configuration. The device comprises a plurality of organic layers between an anode and a cathode piled one upon another on a substrate wherein at least one of the organic layers contains a nitrogen-containing organic compound represented by the following formula (1). In formula (1), X is N-A, an oxygen atom, or a sulfur atom; A is an alkyl group, a cycloalkyl group, an aromatic hydrocarbon group, or an aromatic heterocyclic group; and R is a hydrogen atom, an alkyl group, a cycloalkyl group, an aromatic hydrocarbon group, or an aromatic heterocyclic group. | 08-08-2013 |
20130200351 | POLYMER COMPOUND AND ORGANIC PHOTOELECTRIC CONVERSION DEVICE - A polymer compound comprising a repeating unit represented by the formula (1) is useful for an organic photoelectric conversion device: | 08-08-2013 |
20130200352 | ELECTROLUMINESCENT DEVICE - An electroluminescent device ( | 08-08-2013 |
20130200353 | CHARGE CARRIER MODULATION FOR COLOR AND BRIGHTNESS COORDINATION IN ORGANIC LIGHT-EMITTING DIODES - The device for charge carrier modulation is a current-controlled component, which has semiconductor layers arranged on top of each other. The organic semiconductor layers arranged on top of each other are an electron transport layer, which is arranged between a first and a second hole transport layer, and/or a hole transport layer, which is arranged between a first and a second electron transport layer. The respective central layer is the modulation layer having a contact for a modulation voltage. By applying a modulation voltage, a modulation current flow is generated over the modulation layer. The modulation current flow influences the component current flow which flows from the first into the second hole or electron transport layer via the respective modulation layer. | 08-08-2013 |
20130200354 | Conjugated Polymers and Their Use in Optoelectronic Devices - Disclosed are certain polymeric compounds and their use as organic semiconductors in organic and hybrid optical, optoelectronic, and/or electronic devices such as photovoltaic cells, light emitting diodes, light emitting transistors, and field effect transistors. The disclosed compounds can provide improved device performance, for example, as measured by power conversion efficiency, fill factor, open circuit voltage, field-effect mobility, on/off current ratios, and/or air stability when used in photovoltaic cells or transistors. The disclosed compounds can have good solubility in common solvents enabling device fabrication via solution processes. | 08-08-2013 |
20130200355 | Conjugated Polymers and Their Use in Optoelectronic Devices - Disclosed are certain polymeric compounds and their use as organic semiconductors in organic and hybrid optical, optoelectronic, and/or electronic devices such as photovoltaic cells, light emitting diodes, light emitting transistors, and field effect transistors. The disclosed compounds can provide improved device performance, for example, as measured by power conversion efficiency, fill factor, open circuit voltage, field-effect mobility, on/off current ratios, and/or air stability when used in photovoltaic cells or transistors. The disclosed compounds can have good solubility in common solvents enabling device fabrication via solution processes. | 08-08-2013 |
20130200356 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device is represented by Chemical Formula 1: | 08-08-2013 |
20130200357 | FORMULATIONS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to a formulation, in particular for use in organic electroluminescent devices, comprising a carbazole compound, an electron-transport compound, a triplet emitter compound and at least one solvent, where the electron-transport compound encompasses a ketone compound or a triazine compound and where the carbazole compound contains at least two carbazole groups whose N atoms are connected to one another via an aromatic or heteroaromatic ring system. The invention is furthermore directed to organic electro-luminescent devices which comprise the mixtures according to the invention. | 08-08-2013 |
20130200358 | OLED DEVICE WITH A BRIGHTNESS DISTRIBUTION CONTROLLING MEANS - The invention describes an OLED device ( | 08-08-2013 |
20130200359 | TRIPHENYLENE-BASED MATERIALS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to compounds of the formula (1) and (2) which are suitable for use in electronic devices, in particular organic electroluminescent devices. | 08-08-2013 |
20130200360 | ORGANIC ELECTROLUMINESCENT ELEMENT - The organic electroluminescent element ( | 08-08-2013 |
20130207081 | ORGANIC SEMICONDUCTOR DEVICE AND ITS PRODUCTION METHOD, AND COMPOUND - An organic semiconductor device which has an organic semiconductor layer formed by crystallizing a compound represented by the following formula (1) from a solution of the compound: | 08-15-2013 |
20130207082 | ORGANIC LIGHT-EMITTING DEVICE HAVING IMPROVED EFFICIENCY CHARACTERISTICS AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME - An organic light-emitting device including a first electrode, a second electrode opposite to the first electrode, a phosphorescent layer disposed between the first electrode and the second electrode, an electron transport layer disposed between the phosphorescent emission layer and the second electrode, and an electron control layer disposed between the phosphorescent emission layer and the electron transport layer. An organic light-emitting display apparatus including the OLED. | 08-15-2013 |
20130207083 | Light-Emitting Device and Method for Manufacturing the Same - A light-emitting device is disclosed comprising an organic light-emitting diode structure and an encapsulation comprising a light-transmitting window with at least a first inorganic layer, an organic layer and a second inorganic layer, the organic layer comprising domains of a dispersed first organic component embedded by a second component, the first and the second component having a mutually different refractive index, the organic layer being sandwiched between the first and the second inorganic layer. | 08-15-2013 |
20130207084 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode display includes a p-doped layer that can obtain high efficiency at low-voltage driving and low current and prevent leakage current by differentially forming the p-doped layer for each pixel. | 08-15-2013 |
20130207085 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode display includes a common light emitting layer that can simplify the manufacturing process and prevent infiltration of a light emitting material to an adjacent pixel. The organic light emitting diode display has different light emitting layers disposed above and below the common light emitting layer. | 08-15-2013 |
20130207086 | ORGANIC ELECTROLUMINESCENT DEVICE - A light emitting device includes an organic electroluminescent material having a glass transition temperature substantially at or below an intended normal operation temperature of the device. A method for regenerating an organic light emitting device by heating an electroluminescent layer to a temperature substantially equal to or above its glass transition temperature is also described. This provides a means and method for regenerating a degraded emitter in use. | 08-15-2013 |
20130207087 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME - An organic light emitting display device includes a light shield layer formed on a substrate and a buffer layer formed on an entire surface of the substrate, an oxide semiconductor layer and first electrode formed on the buffer layer, a gate insulation film and gate electrode formed on the oxide semiconductor layer while being deposited to expose both edges of the oxide semiconductor layer, an interlayer insulation film formed to expose both the exposed edges of the oxide semiconductor layer and the first electrode, source and drain electrodes connected with one edge and the other edge of the oxide semiconductor layer, respectively, and a protective film formed to cover the source and drain electrodes while exposing a region of the first electrode so as to define a luminescent region and a non-luminescent region. | 08-15-2013 |
20130207088 | Light-Emitting Element - Provided is a light-emitting element with high external quantum efficiency and a low drive voltage. The light-emitting element includes a light-emitting layer which contains a phosphorescent compound and a material exhibiting thermally activated delayed fluorescence between a pair of electrodes, wherein a peak of a fluorescence spectrum and/or a peak of a phosphorescence spectrum of the material exhibiting thermally activated delayed fluorescence overlap(s) with a lowest-energy-side absorption band in an absorption spectrum of the phosphorescent compound, and wherein the phosphorescent compound exhibits phosphorescence in the light-emitting layer by voltage application between the pair of electrodes. | 08-15-2013 |
20130207089 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - Provided is a method of fabricating an organic light-emitting device. The method of fabricating an organic light-emitting device includes: providing a substrate; forming a control electrode on the substrate; forming an insulating layer covering at least a top surface of the control electrode; forming a hole transport layer pattern through printing on at least a part of the insulating layer; forming an organic light-emitting layer to be in contact with at least a part of a surface of the hole transport layer pattern; forming an electron transport layer pattern through printing to be in contact with at least a part of a surface of the organic light-emitting layer; and forming a first electrode and a second electrode respectively on the hole transport layer pattern and the electron transport layer pattern. | 08-15-2013 |
20130207090 | Organic Photovotaics - The present disclosure is for improved organic semiconductors and improved organic photovoltaics. Liquid crystalline bent-core molecules in the B4 subphase of the present disclosure may be incorporated into improved organic semiconductors. Liquid crystalline bent-core molecules in the B4 subphase of the present disclosure may be incorporated into improved organic photovoltaics that may have improved quantum efficiencies over pre-existing organic photovoltaics. | 08-15-2013 |
20130207091 | PLANARIZATION LAYER FOR ORGANIC ELECTRONIC DEVICES - The invention relates to organic electronic devices containing polycycloolefin planarization layers between the substrate and a functional layer like a semiconducting layer, dielectric layer or electrode, to the use of polycycloolefins as planarization layer on the substrate of an organic electronic device, and to processes for preparing such polycycloolefin planarization layers and organic electronic devices. | 08-15-2013 |
20130207092 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device is represented by the following Chemical Formula 1: | 08-15-2013 |
20130207093 | ORGANIC LIGHT EMITTING DEVICE COMPRISING ENCAPSULATING STRUCTURE - Provided is an organic light emitting diode including an organic light-emitting part including a first electrode, an organic material layer having a light-emitting layer, and a second electrode, and an encapsulating layer included on an entire top surface of the organic light-emitting part. Here, the encapsulating layer has a structure in which at least two of a water barrier film, a glass cap, a metal foil and a conductive film are stacked. Accordingly, the diode may have excellent water and oxygen barrier effects, and deterioration of the diode or running failure may be prevented. | 08-15-2013 |
20130207094 | METHOD FOR PROVIDING ELECTRICAL CONNECTION(S) IN AN ENCAPSULATED ORGANIC LIGHT-EMITTING DIODE DEVICE, AND SUCH AN OLED DEVICE - A process for manufacturing an encapsulated OLED device, which includes, after encapsulation of the device, a step of ultrasonic soldering at a first edge of the lower electrode, forming a lower electrical connection zone with a solder pad extending from the encapsulation surface as far at least as the surface of the lower electrode, and/or a step of ultrasonic soldering in an upper electrical connection zone with a solder pad extending from the encapsulation surface as far at least as the surface of the upper electrode. | 08-15-2013 |
20130207095 | MATERIALS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to a blend comprising; a) at least one polymer or copolymer or a mixture of a plurality of polymers and/or copolymers which contain a main chain and a side chain, where at least one side chain contains a structural unit of the following formula (I), the symbols and indices used here are as defined below; b) at least one host molecule which has electron- or hole-transporting functionality, and c) at least one emitter molecule. | 08-15-2013 |
20130207096 | PHENANTHRENE COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - The present invention provides an organic light emitting device having high light emission efficiency. The organic light emitting device includes an anode, a cathode and an organic compound layer which is sandwiched between the anode and the cathode, wherein the organic compound layer contains a phenanthrene compound represented by the following general formula [1]: | 08-15-2013 |
20130207097 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic electroluminescent device (EL device) that uses an indolocarbazole compound. The organic EL device includes an anode, a plurality of organic layers including a phosphorescent light-emitting layer, and a cathode laminated on a substrate, in which at least one organic layer selected from the phosphorescent light-emitting layer, a hole-transporting layer, an electron-transporting layer, and a hole-blocking layer contains an indolocarbazole compound represented by the general formula (1). In the general formula (1), a ring I and a ring II represent rings represented by the formula (1a) and the formula (1b), respectively, each of which are fused to an adjacent ring. X's each represent nitrogen or C—Y and at least one of X's represents nitrogen. Y's each represent hydrogen, an alkyl group, a cycloalkyl group, or an aromatic group. A represents an alkyl group, a cycloalkyl group, or an aromatic group. At least one of Y and A represents an alkyl group or a cycloalkyl group. R's each represent hydrogen, an alkyl group, a cycloalkyl group, an aromatic hydrocarbon group, or an aromatic heterocyclic group. | 08-15-2013 |
20130214254 | Cavity Electroluminescent Devices with Integrated Microlenses - Herein is provided electroluminescent devices, and methods for their use and production. In some embodiments, the devices contain embedded optical features that act as lenses to direct photons emitted from an electroluminescent material. The lensing effect from the optical features allows increased light extraction from the devices compared with devices lacking such features. In some embodiments the devices are prepared using a plurality of etching and deposition steps. | 08-22-2013 |
20130214255 | OPTO-ELECTRIC DEVICE AND METHOD OF MANUFACTURING THEREOF - The present invention relates to an opto-electric device having a stack of layers subsequently comprising
| 08-22-2013 |
20130214256 | Light Emitting Element and Light Emitting Device - A light emitting element of the invention includes n pieces of light emitting layers (n is a natural number) between first and second electrodes. A first layer and a second layer are provided between the m | 08-22-2013 |
20130214257 | CHARGE INJECTION AND TRANSPORT LAYERS - Compositions for use in hole transporting layers (HTLs) or hole injection layers (HILs) are provided, as well as methods of making the compositions and devices fabricated from the compositions. OLED devices can be made. The compositions comprise at least one conductive conjugated polymer, at least one semiconducting matrix component that is different from the conductive conjugated polymer, and an optional dopant, and are substantially free of an insulating matrix component. | 08-22-2013 |
20130214258 | CARBAZOLE COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE - A compound of the invention is represented by a formula (1) below. In the formula (1), at least one of A | 08-22-2013 |
20130214259 | ORGANIC ELECTROLUMINESCENT ELEMENT, COMPOUNDS AND MATERIALS USED FOR THE ORGANIC ELECTROLUMINESCENT ELEMENT, AND LIGHT-EMITTING, DISPLAY AND ILLUMINATING DEVICES USING THE ELEMENTS - An organic electroluminescent element is provided that has high luminous efficiency, and a slow luminance deterioration rate in the initial stage of lighting. The organic electroluminescent element includes a substrate; a pair of electrodes including an anode and a cathode, disposed on the substrate; and at least one organic layer including a light emitting layer, disposed between the electrodes, wherein at least one organic layer includes a compound represented by the general formula (1). (R | 08-22-2013 |
20130214260 | Bipyridine Compound, Light-Emitting Element Material, Organic Semiconductor Material, Light-Emitting Element, Display Module, Lighting Module, Light-Emitting Device, Lighting Device, Display Device and Electronic Device - A light-emitting element having good characteristics can be obtained by using a bipyridine compound having at least one 2,2′-bipyridine structure and at least two anthracene skeletons as light-emitting element materials. In particular, a bipyridine compound in which an anthracene skeleton is bonded to each of the 5-position and the 6-position of 2,2′-bipyridine through an arylene group can be synthesized and a light-emitting element having good characteristics can be obtained in the case where the bipyridine compound is used as a light-emitting element material. | 08-22-2013 |
20130214261 | Electro-Optical Device - An electro-optical device for performing time division gray scale display and which is capable of arbitrarily setting the amount of time during which light is emitted by EL elements is provided. From among n sustain periods Ts | 08-22-2013 |
20130214262 | ORGANIC ELECTROLUMINESCENCE DEVICE AND MANUFACTURING METHOD THEREOF - An organic electroluminescence device is provided. The device comprises an anode base layer ( | 08-22-2013 |
20130214263 | MONOAMINE COMPOUND, CHARGE-TRANSPORTING MATERIAL, AND ORGANIC ELECTROLUMINESCENT DEVICE - The present invention provides an organic electroluminescent device exhibiting a long life, a high luminance, and a high efficiency. | 08-22-2013 |
20130214264 | CARBENE METAL COMPLEXES AS OLED MATERIALS - An organic light emitting device having an anode, a cathode and an organic layer disposed between the anode and the cathode is provided. In one aspect, the organic layer comprises a compound having at least one zwitterionic carbon donor ligand. In another aspect, the organic layer comprises a carbene compound, including the following: | 08-22-2013 |
20130214265 | SOLID-STATE IMAGING DEVICE AND IMAGING APPARATUS - A solid-state imaging device includes: plural pixel electrodes two-dimensionally arranged above a substrate; a counter electrode constituted of a transparent electrically conductive oxide having a resistance of not more than 100 kΩ/□, which is formed at an upper layer of the plural pixel electrodes; a light receiving layer including a photoelectric conversion layer containing an organic material, which is formed between the plural pixel electrodes and the counter electrode; and a connecting section for undergoing electrical connection between a voltage supply line for supplying a bias voltage to be impressed to the counter electrode, and in a plan view, a rectangular region in which the plural pixel electrodes are arranged is defined as a pixel region; the pixel region has a size of not more than 5 inches; the connecting section is formed as defined herein; and the counter electrode is formed as defined herein. | 08-22-2013 |
20130214266 | ORGANIC EL PANEL AND PROCESS FOR PRODUCTION THEREOF - An organic EL panel ( | 08-22-2013 |
20130214267 | SPIRO[CYCLOPENTA[def]TRIPHENYLENE-4,9'-FLUORENE] COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE HAVING THE SAME - The present invention provides a spiro[cyclopenta[def]triphenylene-4,9′-fluorene] compound having a high triplet energy level and a high glass transition temperature and also provides an excellent organic light-emitting device including the compound to achieve a high luminous efficiency and a low driving voltage. | 08-22-2013 |
20130214268 | XANTHONE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organic light-emitting device that realizes high-emission efficiency and low-driving voltage is provided. The organic light-emitting device contains a xanthone compound represented by general formula [1]. | 08-22-2013 |
20130221331 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A compound is shown by the following formula (1). | 08-29-2013 |
20130221332 | NOVEL HOST COMPOUNDS FOR RED PHOSPHORESCENT OLEDS - Novel compounds containing a triphenylene moiety linked to an αβ connected binaphthyl ring system are provided. These compounds have surprisingly good solubility in organic solvents and are useful as host compounds in red phosphorescent OLEDs. | 08-29-2013 |
20130221333 | ORGANIC ELECTROLUMINESCENCE DEVICE - Provided is an organic electroluminescence device having a long lifetime and capable of emitting blue light with high luminous efficiency. The organic electroluminescence device has an organic thin film layer composed of one or multiple layers including at least a light emitting layer and interposed between a cathode and an anode. In the organic electroluminescence device, the light emitting layer contains at least one kind selected from compounds each having a specific fluoranthene structure and at least one kind selected from fused ring-containing compounds each having a specific structure. | 08-29-2013 |
20130221334 | THIADIAZOLE, COMPOUND FOR LIGHT-EMITTING ELEMENTS, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING APPARATUS, AUTHENTICATION APPARATUS, AND ELECTRONIC DEVICE - The thiadiazole represented by formula (1), when used as a light-emitting material in a light-emitting element, allows the light-emitting element to emit near-infrared light. | 08-29-2013 |
20130221335 | Fluorene Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - One embodiment of the present invention is a fluorene compound. Specifically, one embodiment of the present invention is a fluorene compound in which two 9-phenylfluoren-9-yl groups are each bonded to any of a pyridine skeleton and a pyrimidine skeleton through an arylene group, and in which the arylene group is any of one to three phenylene groups. | 08-29-2013 |
20130221336 | SUPPORT WITH A DIFFUSING LAYER FOR AN ORGANIC LIGHT-EMITTING DIODE DEVICE, AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING SUCH A SUPPORT - A support for an organic light-emitting diode device successively includes a transparent substrate, made of mineral glass, provided with first and second opposite main faces, the substrate being coated on its second face with a diffusing layer which includes a vitreous mineral binder and diffusing elements dispersed in the binder, the vitreous binder includes between 40% and 60% by weight of bismuth oxide Bi | 08-29-2013 |
20130221337 | ORGANIC LUMINESCENT MATERIALS, COATING SOLUTION USING ORGANIC LUMINESCENT MATERIALS FOR ORGANIC EMITTING LAYER, ORGANIC LIGHT EMITTING DEVICE USING COATING SOLUTION, LIGHT SOURCE DEVICE USING ORGANIC LIGHT EMITTING DEVICE AND METHOD OF ORGANIC LIGHT EMITTING DEVICE - The objects of the present invention are to provide an organic luminescent material capable of being easily controlled for dopant concentrations. The present invention is characterized in that a organic light-emitting device comprising a upper electrode, a lower electrode; and a light-emitting layer positioned between the upper electrode and the lower electrode, wherein the light-emitting layer contains a host, a first dopant and a second dopant, the first dopant is a blue-light-emitting dopant or a green-light-emitting dopant, the first dopant has a first functional group, and the first functional group makes the first dopant transfer toward the surface of the light-emitting layer on the upper electrode side in the light-emitting layer. | 08-29-2013 |
20130221338 | ORGANIC LUMINESCENT MEDIUM AND ORGANIC EL DEVICE - Provided is an organic luminescent medium containing a specific diaminopyrene derivative and a specific anthracene derivative. Also provided are an organic electroluminescence device capable of emitting light having a short wavelength (such as blue light) with high luminous efficiency and having a long lifetime by having such constitution that the organic EL device contains one or more organic thin film layers including a light emitting layer between a cathode and an anode, and at least one layer of the organic thin film layers contains the organic luminescent medium, and an organic luminescent medium that can be used in an organic thin film layer of the organic EL device. | 08-29-2013 |
20130221339 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE HAVING PLURALITY OF DRIVING TRANSISTORS AND PLURALITY OF ANODES OR CATHODES PER PIXEL - An organic electroluminescent (EL) display device having a plurality of pixel circuits formed at crossing points of a plurality of scan lines and a plurality of data lines is provided. Each pixel circuit includes at least two driving transistors connected to a first power voltage line, the at least two driving transistors receiving a data signal through at least one of the data lines and outputting a driving current corresponding to the data signal; and an organic light emitting diode having at least two first electrodes respectively connected to the at least two driving transistors and emitting a light corresponding to the driving current. The organic light emitting diode has the at least two first electrodes and a common second electrode per pixel in order to prevent the whole pixel from not operating due to a short circuit occurring between one of the first electrodes and the second electrode. | 08-29-2013 |
20130221340 | THIOXANTHONE COMPOUND AND ORGANIC LIGHT EMITTING ELEMENT HAVING THE SAME - Provided is an organic light emitting element having a high light emission efficiency and a low drive voltage. In the organic light emitting element including a positive electrode, a negative electrode and an organic compound layer disposed between the positive electrode and the negative electrode, the organic compound layer includes a thioxanthone compound represented by the following general formula [1]. | 08-29-2013 |
20130221341 | PHOTOELECTRIC CONVERSION DEVICE, AND PROCESS FOR MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device includes a first substrate, a first electrode, an organic layer, a second electrode and a second substrate that are provided in this order. An auxiliary electrode is interposed between the first electrode and the organic layer. When the photoelectric conversion device is seen in a cross section taken in a thickness direction of the first substrate, a thickness of the auxiliary electrode is greater than a thickness of the organic layer. | 08-29-2013 |
20130228752 | PYRENE-BASED COMPOUND, ORGANIC LIGHT-EMITTING DIODE COMPRISING THE SAME AND ORGANIC LIGHT-EMITTING APPARATUS COMPRISING THE SAME - A pyrene-based compound, an organic light-emitting diode including the compound and an organic light-emitting apparatus including the compound are disclosed. | 09-05-2013 |
20130228753 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode (OLED). | 09-05-2013 |
20130228754 | METHOD OF PREPARING ORGANIC LIGHT-EMITTING DEVICE, SUBSTRATE FOR TRANSITING INORGANIC LAYER, AND ORGANIC LIGHT-EMITTING DEVICE - A method of preparing an organic light-emitting device having excellent sealing characteristics against external environment and flexibility. | 09-05-2013 |
20130228755 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode including a buffer layer including an amine-based compound. | 09-05-2013 |
20130228756 | Array Substrate and Method of Fabricating the Same - A fabricating method of an array substrate includes forming source and drain electrodes in each of pixel regions on a substrate; forming an organic semiconductor layer and a gate insulating layer on the source and drain electrodes, the organic semiconductor layer having an island shape and contacting facing ends of the source and drain electrodes, the gate insulating layer having a same plane shape as the organic semiconductor layer; forming a first passivation layer on the gate insulating layer; forming a gate electrode on the first passivation layer in the pixel region, the gate electrode corresponding to the gate insulating layer; forming a second passivation layer on the gate electrode, the second passivation layer having a drain contact hole exposing the drain electrode; and forming a pixel electrode on the second passivation layer, the pixel electrode contacting the drain electrode through the drain contact hole. | 09-05-2013 |
20130228757 | SERIES-CONNECTED ORGANIC ELECTROLUMINESCENT MODULE AND DISPLAY DEVICE INCLUDING THE SAME - A series-connected organic electroluminescent module includes: a plurality of electroluminescent bodies each including an organic light-emitting layer; at least one charge-generating body capable of generating holes and electrons while being irradiated, and disposed to connect respective adjacent two of the electroluminescent bodies so as to form a series-connection of the electroluminescent bodies and the charge-generating body; and an electrode unit including an anode and a cathode that are respectively electrically connected to two outermost ones of the electroluminescent bodies disposed at two opposite terminals of the series-connection of the electroluminescent bodies and the at least one charge-generating body. | 09-05-2013 |
20130228758 | Dendrimers Containing Luminescent Gold (III) Compounds for Organic Light-Emitting Devices and Their Preparation - A novel class of saturated or conjugated dendrimers containing at least one strong σ-donating group coordinated to cyclometalated tridentate gold(III) compounds having the chemical structure depicted by generic formula: | 09-05-2013 |
20130228759 | ORGANIC LIGHT EMITTING DIODE DEVICES - A non-coherent light emitting device having at least one organic light emitting or organic charge transporting layer and a structure providing a Bragg grating associated with the light emitting layer is described. The organic light emitting layer having liquid crystalline material is treated to provide alternating zones of isotropic and liquid crystalline material. The combination of alternating zones with the dichroic effects of the aligned zone produces a pseudo 2-D Bragg grating within the light emitting layer. | 09-05-2013 |
20130228760 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode (OLED) display device and a method of fabricating the same are provided. The OLED display device includes a substrate having a thin film transistor region and a capacitor region, a buffer layer disposed on the substrate, a gate insulating layer disposed on the substrate, a lower capacitor electrode disposed on the gate insulating layer in the capacitor region, an interlayer insulating layer disposed on the substrate, and an upper capacitor electrode disposed on the interlayer insulating layer and facing the lower capacitor electrode, wherein regions of each of the buffer layer, the gate insulating layer, the interlayer insulating layer, the lower capacitor electrode, and the upper capacitor electrode have surfaces in which protrusions having the same shape as grain boundaries of the semiconductor layer are formed. The resultant capacitor has an increased surface area, and therefore, an increased capacitance. | 09-05-2013 |
20130228761 | LIGHT EMITTING DEVICE - A light emitting device includes: a first electrode, a conductor film, an organic layer having a light emitting layer made of an organic light emitting material provided therein, a semi-transmissive reflective film, a resistive layer, and a second electrode, all of which are laminated successively, wherein the conductor film transmits a part of light from the light emitting layer therethrough, the first electrode reflects the light having been transmitted through the conductor film, the second electrode transmits the light having been transmitted through the semi-transmissive reflective film therethrough, an average film thickness of the conductor film on the first electrode is from 1 nm to 6 nm, and an average film thickness of the semi-transmissive reflective film on the organic layer is from 1 nm to 6 nm. | 09-05-2013 |
20130228762 | Light-Emitting Element, Light-Emitting Device and Electronic Device - The present invention provides a light-emitting element inducing an electron-transporting layer and a hole-transporting layer between a first electrode and a second electrode; and a first layer and a second layer between the electron-transporting layer and the hole-transporting layer, wherein the first layer includes a first organic compound and an organic compound having a hole-transporting property, the second layer includes a second organic compound and an organic compound having an electron-transporting property, the first layer is formed in contact with the first electrode side of the second layer, the first organic compound and the second organic compound are the same compound, and a voltage is applied to the first electrode and the second electrode, so that both of the first organic compound and the second organic compound emit light. | 09-05-2013 |
20130228763 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - The present invention has an object of providing a light-emitting device including an OLED formed on a plastic substrate, which prevents degradation due to penetration of moisture or oxygen. On a plastic substrate, a plurality of films for preventing oxygen or moisture from penetrating into an organic light-emitting layer in the OLED (“barrier films”) and a film having a smaller stress than the barrier films (“stress relaxing film”), the film being interposed between the barrier films, are provided. Owing to a laminate structure, if a crack occurs in one of the barrier films, the other barrier film(s) can prevent moisture or oxygen from penetrating into the organic light emitting layer. The stress relaxing film, which has a smaller stress than the barrier films, is interposed between the barrier films, making it possible to reduce stress of the entire sealing film. Therefore, a crack due to stress hardly occurs. | 09-05-2013 |
20130228764 | ORGANIC EL ELEMENT - Organic EL element is formed by laminating two emitting layers between an anode and a cathode with a hole transporting non-emitting layer interposed between the two emitting layers. Emitting layer on an anode side is a hole transporting emitting layer, emitting layer on a cathode side is an electron transporting emitting layer. Non-emitting layer includes at least one energy transfer auxiliary material in a hole transporting material. In the organic EL element, the energy transfer auxiliary material transfers excitation energy in the non-emitting layer to the emitting layers adjacent to the non-emitting layer effectively, so that luminous efficiency of the emitting layers can be enhanced. It is difficult for holes to reach an electron transport layer so that the electron transport layer is not deteriorated, and the organic EL element can have a long life. | 09-05-2013 |
20130228765 | CARBAZOLE DERIVATIVE, AND LIGHT EMITTING ELEMENT AND LIGHT EMITTING DEVICE USING THE CARBAZOLE DERIVATIVE - It is an object of the present invention to provide a material which is excellent in a hole injecting property and a hole transporting property, and to provide a light emitting element and a light emitting device using a material which is excellent in a hole injecting property and a hole transporting property. The present invention provides a carbazole derivative represented by a general formula (1). The carbazole derivative according to the present invention is excellent in the hole injecting property. By using the carbazole derivative according to the present invention as a hole injecting material for a hole injecting layer of a light emitting element, a driving voltage can be reduced. In addition, a lower driving voltage, improvement of the luminous efficiency, a longer life time, and higher reliability can be realized by applying the material to a light emitting element or a light emitting device. | 09-05-2013 |
20130228766 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, LIGHTING DEVICE, AND ELECTRONIC DEVICE - An object is to provide a light-emitting element which exhibits light emission with high luminance and can be driven at low voltage. Another object is to provide a light-emitting device or an electronic device with reduced power consumption. Between an anode and a cathode, n (n is a natural number of two or more) EL layers are provided, where between a first EL layer and a second EL layer, a first layer containing any of an alkali metal, an alkaline earth metal, a rare earth metal, an alkali metal compound, an alkaline earth metal compound, and a rare earth metal compound, a second layer containing a material having a high electron-transporting property in contact with the first layer, and a region containing a material having a high hole-transporting property and an acceptor material in contact with the second layer are provided in this order from the anode side. | 09-05-2013 |
20130228767 | MATERIALS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to a mixture comprising a) a polymer which contains at least one L=X structural unit, b) a triplet emitter compound and c) a carbazole compound or a soluble neutral molecule. The invention furthermore relates to organic electroluminescent devices which contain the mixture according to the invention. | 09-05-2013 |
20130228768 | QUINOLINO[3,2,1-KL]PHENOXAZINE COMPOUND AND ORGANIC LIGHT EMITTING ELEMENT USING THE SAME - Provided is an excellent organic light emitting element having high emission efficiency and a low drive voltage. The organic light emitting element includes an anode, a cathode, and an organic compound layer disposed between the anode and the cathode, in which the organic compound layer includes a quinolino[3,2,1-kl]phenoxazine compound represented by the following general formula [1]: | 09-05-2013 |
20130228769 | DOUBLE-SIDED LUMINESCENT ORGANIC LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - Disclosed are a double-sided luminescent organic light emitting device and the manufacturing method thereof. The double-sided luminescent organic light emitting device comprises a transparent substrate ( | 09-05-2013 |
20130228770 | INDOLOPHENOXAZINE COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - Provided is an organic light emitting device having high emission efficiency and excellent driving durability. The organic light emitting device includes an anode, a cathode, and an organic compound layer disposed between the anode and the cathode, in which the organic compound layer includes an indolophenoxazine compound represented by the following general formula [1]: | 09-05-2013 |
20130228771 | SEMICONDUCTOR STRUCTURE AND METHOD FOR ITS PRODUCTION - The present invention relates to a semiconductor structure and a method for its production, the semiconductor structure comprising at least one conductor region | 09-05-2013 |
20130234115 | ORGANIC LIGHT EMITTING DISPLAY HAVING A SINGLE-LAYERED ANTI-REFLECTION LAYER OF ALUMINUM FLUORIDE AND METHOD OF FABRICATING THE SAME - An organic light emitting display device includes a first substrate including a pixel region in which at least one organic light emitting diode including a first electrode, an organic layer, and a second electrode is formed and a non-pixel region formed beside the pixel region. The device includes a second substrate and a frit provided between the non-pixel region on the first substrate and the second substrate. A reflection prevention layer is formed on at least one surface of the second substrate. | 09-12-2013 |
20130234116 | ORGANIC MICROELECTRONIC DEVICE AND FABRICATION METHOD THEREFOR - An organic electronic device includes an active region polarity definition layer, and a bulk heterojunction active layer formed on the active region polarity definition layer. The bulk heterojunction active layer includes an upper region and a lower region having respective majority carriers localized therein of different polarities. | 09-12-2013 |
20130234117 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - The organic light emitting display apparatus includes a substrate; a gate electrode formed on the substrate; a source electrode and a drain electrode formed on the gate electrode to be insulated from the gate electrode; an active layer formed on the source electrode and the drain electrode and containing an organic semiconductor material, at least one region of the active layer overlapping with the gate electrode; a pixel defining layer formed on the active layer and including an aperture; an intermediate layer disposed to correspond to the aperture and including an organic emission layer; and an opposite electrode formed on the intermediate layer. One of the source electrode and the drain electrode is formed to be long to act as a pixel electrode and includes a first conductive layer and a second conductive layer on the first conductive layer, the second conductive layer formed to contact the intermediate layer. | 09-12-2013 |
20130234118 | AMINE-BASED COMPOUND, ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME, AND ORGANIC LIGHT-EMITTING APPARATUS INCLUDING THE AMINE-BASED COMPOUND - Embodiments of the present invention include an amine-based compound represented by Formula 1, an organic light-emitting diode including the amine-based compound, and an organic light-emitting apparatus including the amine-based compound. | 09-12-2013 |
20130234119 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device employing a specific biscarbazole derivative having a cyano group as a first host and a compound having both a carbazole structure and a nitrogen-containing aromatic heteroring as a second host. The organic electroluminescence device has a prolonged lifetime. | 09-12-2013 |
20130234120 | LIGHT-EMITTING APPARATUS - Provided is a light-emitting apparatus which, without using an insulating film for separating pixels, inhibits leakage current between adjacent pixels and which accommodates higher resolution. By providing a groove in an insulating layer along an edge of a first electrode, the thickness of a first charge transport layer is reduced to inhibit leakage current between adjacent pixels. | 09-12-2013 |
20130234121 | METHOD OF MANUFACTURING ORGANIC EL APPARATUS, ORGANIC EL APPARATUS, AND ELECTRONIC EQUIPMENT - A manufacturing method of an organic EL apparatus according to the present application example is provided with a plurality of light emitting elements having a light emitting layer between an anode and a cathode, and includes: forming the light emitting layer using a liquid phase process, and forming an intermediate layer between the light emitting layer and the cathode in contact with the light emitting layer using a gas phase process, in which the intermediate layer includes a low molecular weight host material included in the light emitting layer. | 09-12-2013 |
20130234122 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic EL display device of active matrix type wherein insulated-gate field effect transistors formed on a single-crystal semiconductor substrate are overlaid with an organic EL layer; characterized in that the single-crystal semiconductor substrate ( | 09-12-2013 |
20130234123 | Light Emitting Device, Electronic Appliance, and Method for Manufacturing Light Emitting Device - To provide a light emitting device that has a structure in which a light emitting element is sandwiched by two substrates to prevent moisture from penetrating into the light emitting element, and a method for manufacturing thereof. In addition, a gap between the two substrates can be controlled precisely. In the light emitting device according to the present invention, an airtight space surrounded by a sealing material with a closed pattern is kept under reduced pressure by attaching the pair of substrates under reduced pressure. A columnar or wall-shaped structure is formed between light emitting regions inside of the sealing material, in a region overlapping with the sealing material, or in a region outside of the sealing material so that the gap between the pair of substrates can be maintained precisely. | 09-12-2013 |
20130234124 | THIN-FILM TRANSISTOR SUBSTRATE, METHOD OF MANUFACTURING THE SAME, AND DISPLAY DEVICE INCLUDING THE SAME - Provided are a thin-film transistor (TFT) substrate, a method of manufacturing the same, and a display device including the same. The TFT substrate includes a gate electrode formed on a substrate, a gate insulating layer formed on the gate electrode, an oxide semiconductor pattern formed on the gate insulating layer, a source electrode formed on the oxide semiconductor pattern, a drain electrode formed on the oxide semiconductor pattern to face the source electrode, and a pixel electrode formed on the gate insulating layer. | 09-12-2013 |
20130234125 | AMBIENT TEMPERATURE LIQUID-FORM ORGANIC MATERIALS AND USE THEREOF - An organic material consisting of a π-conjugated molecule which is in a liquid form at ambient temperature and use thereof are provided. The ambient temperature liquid-form organic material according to the present invention consists of a π-conjugated molecule having 2 or more side chains, the 2 or more side chains are same or different side chains selected from the group consisting of a branched alkyl chain, an alkyl chain having a polymerization site at a terminal, an oligosiloxane chain, a fluorocarbon chain, an oligoethylene glycol chain and derivatives thereof, and each of the 2 or more side chains is bound directly or via a substituent to the π-conjugated molecule. | 09-12-2013 |
20130234126 | ORGANIC EL DISPLAY PANEL AND METHOD FOR MANUFACTURING SAME - The present invention provides an organic EL display panel such that, even when a light emitting layer is formed in a line bank, generation of brightness unevenness and emission color unevenness due to application unevenness of an organic light emitting layer is suppressed, and good display quality is achieved. In the present invention, an insulation layer, which would cause application unevenness of the organic light emitting layer, is formed on the organic light emitting layer so as to cover an edge of a pixel electrode. It is possible to suppress film-shape unevenness of the organic light emitting layer, whereby provision and manufacture of an organic EL display panel which exhibits excellent display quality with reduced brightness unevenness and emission color unevenness become possible. | 09-12-2013 |
20130234127 | ORGANIC ELECTROLUMINESCENT LIGHTING DEVICE AND METHOD FOR MANUFACTURING THE LIGHTING DEVICE - The present invention addresses the problem of providing an organic electroluminescent lighting device in which it is difficult for an organic light emitting film to be scratched. The organic electroluminescent lighting device includes: transparent substrate | 09-12-2013 |
20130234128 | ORGANIC SEMICONDUCTOR DEVICE - An object of the present invention is to facilitate reduction in channel length and increase in channel width in an organic semiconductor device and to improve yield. According to an embodiment of the present invention, an organic semiconductor device includes a laminate provided in a first region of a substrate and including a first electrode, a first organic semiconductor film, and a second electrode which are laminated with each other, the first organic semiconductor film being placed between the first electrode and the second electrode; a first wiring portion provided in a second region adjacent to a portion of the periphery of the first region so as to be electrically connected to the first electrode; a second wiring portion provided in the second region so as to be electrically connected to the second electrode; a gate electrode which surrounds a portion of the periphery of the first region; and a gate insulating film provided at least between the laminate and the gate electrode. | 09-12-2013 |
20130234129 | ORGANIC ELECTROLUMINESCENCE PANEL, METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE PANEL, ORGANIC LIGHT EMITTING APPARATUS USING ORGANIC ELECTROLUMINESCENCE PANEL, AND ORGANIC DISPLAY APPARATUS USING ORGANIC ELECTROLUMINESCENCE PANEL - An organic EL panel comprises anodes, a cathode, organic light-emitting layers, and first functional layers each including a hole injection layer and a hole transport layer. The hole injection layer of each of the R, G, and B colors is made of only a metal oxide including tungsten oxide, and has a thickness of 5 nm to 40 nm. At least one of the hole injection layers has a thickness different from the other hole injection layers. The hole transport layers of the R, G, and B colors are equivalent in thickness. The organic light-emitting layers of the R, G, and B colors are equivalent in thickness. | 09-12-2013 |
20130240840 | METAL OXIDE CHARGE TRANSPORT MATERIAL DOPED WITH ORGANIC MOLECULES - Doping metal oxide charge transport material with an organic molecule lowers electrical resistance while maintaining transparency and thus is optimal for use as charge transport materials in various organic optoelectronic devices such as organic photovoltaic devices and organic light emitting devices. | 09-19-2013 |
20130240841 | METHOD TO INCREASE FIELD EFFECT MOBILITY OF DONOR-ACCEPTOR SEMICONDUCTORS - A method including activating an electronic device, such as an organic thin film transistor, by exposing the device to non-ionizing radiation while the device is under an electrical field. Activation of the transistor increases the field effect mobility of the transistor. | 09-19-2013 |
20130240842 | ACTIVE MATRIX DILUTE SOURCE ENABLED VERTICAL ORGANIC LIGHT EMITTING TRANSISTOR - Various embodiments are provided for dilute source enabled vertical organic light emitting transistors. In various embodiments, a display panel includes an array of pixels. In one embodiment, among others, at least one pixel includes a switching transistor and a driving transistor coupled to the switching transistor, where the driving transistor is configured to emit light responsive to activation by the switching transistor. The driving transistor may be a dilute source enabled vertical organic light emitting transistor (DS-VOLET). The switching transistor may include a dilute source enabled vertical-field effect transistor (DS-VFET). In another embodiment, a double dilute source enabled vertical-field effect transistor (DS-VFET) includes a first DS-VFET coupled to a second DS-VFET. | 09-19-2013 |
20130240843 | POLYMER AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A polymer and an organic light-emitting device including the polymer represented by Formula 1 | 09-19-2013 |
20130240844 | ORGANIC ELECTROLUMINESCENCE ELEMENT, PRODUCTION METHOD THEREOF, ORGANIC EL DISPLAY DEVICE, ORGANIC EL LIGHTING, AND APPARATUS FOR PRODUCING ORGANIC ELECTROLUMINESCENCE ELEMENT - The present invention relates to a method for producing an organic electroluminescence element, comprising an organic layer between an anode and a cathode of the organic electroluminescence element by a wet film-forming method by using a composition containing an organic electroluminescence element material and a solvent in any one environment of the following film formation environments 1 to 3, and drying the formed film: film formation environment 1: a carbon dioxide concentration of 0.7 g/m | 09-19-2013 |
20130240845 | ENHANCING PERFORMANCE CHARACTERISTICS OF ORGANIC SEMICONDUCTING FILMS BY IMPROVED SOLUTION PROCESSING - Improved processing methods for enhanced properties of conjugated polymer films are disclosed, as well as the enhanced conjugated polymer films produced thereby. Addition of low molecular weight alkyl-containing molecules to solutions used to form conjugated polymer films leads to improved photoconductivity and improvements in other electronic properties. The enhanced conjugated polymer films can be used in a variety of electronic devices, such as solar cells and photodiodes. | 09-19-2013 |
20130240846 | TFT, DISPLAY APPARATUS INCLUDING TFT, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING TFT - A thin film transistor includes a gate electrode having a first length measured in a first direction and a first width measured in a second direction, an active layer having a second length measured in the first direction and a second width measured in the second direction, the second length of the active layer being greater than the first length of the gate electrode, and the second width of the active layer being greater than the first width of the gate electrode, and a source electrode and a drain electrode that are connected to the active layer, wherein at least one of opposite side edges of the gate electrode extending in the first direction is spaced apart from a corresponding opposite side edge of the active layer extending in the first direction. | 09-19-2013 |
20130240847 | MONOLITHIC PARALLEL MULTIJUNCTION OLED WITH INDEPENDENT TUNABLE COLOR EMISSION - A tandem organic light emitting diode (OLED) device comprised of multiple stacked single OLEDs electrically connected in parallel via transparent interlayer is recited herein. Transparent interlayers are coated by charge injection layers in order to enhance the charge injection efficiency and decrease the operation voltage. Transparent nanomaterials, such as carbon nanotube sheets (or graphene, graphene ribbons and similar conductive transparent nano-carbon forms) are used as Interlayers or outer electrodes. Furthermore, functionalization of carbon nanotubes inter layers by n-doping (or p-doping) converts them into common cathode (or common anode), further decreasing operation voltage of tandem. The development of these alternative interconnecting layers comprised of nanomaterials simplifies the process and may be combined with traditional OLED devices. In addition, novel architectures are enabled that allow the parallel connection of the stacked OLEDs into monolithic multi-junction OLED tandems. | 09-19-2013 |
20130240848 | OLED PACKAGE AND PACKAGING METHOD THEREOF - There is provided an OLED package including a substrate, a lighting component, a compound barrier layer, a moisture absorption zone and an inorganic barrier layer. The lighting component is formed on the substrate. The compound barrier layer completely seals the lighting component configured to block moisture and oxygen. The moisture absorption zone is formed on the substrate surrounding the compound barrier layer and is not formed upon the lighting component. The inorganic barrier layer completely seals the compound barrier layer and the moisture absorption zone configured to block moisture and oxygen. | 09-19-2013 |
20130240849 | ORGANIC ELECTROLUMINESCENT APPARATUS - An organic electroluminescent apparatus is provided. A first electrode layer is disposed above a substrate. A first color luminescent layer is disposed above the first electrode layer. A second color luminescent layer is disposed above the first color luminescent layer. A third color luminescent layer is disposed on the second color luminescent layer. A first color light emitted from the first color luminescent layer, a second color light emitted from the second color luminescent layer and a third color light emitted from the third color luminescent layer are mixed to form a white light. A first fluorescent layer is disposed on the substrate. The first fluorescent layer is excited by the first color light so as to emit the second color light, the third color light or a fourth color light. | 09-19-2013 |
20130240850 | ULTRA-HIGH EFFICIENCY (125%) PHOSPHORESCENT ORGANIC LIGHT EMITTING DIODES USING SINGLET FISSION - An organic light emitting device (OLED) is provided. The OLED includes, an anode; a cathode; and an emissive layer disposed between the anode and the cathode. The emissive layer includes a singlet fission sensitizer and a triplet emitter. The singlet energy of the singlet fission sensitizer is equal to or greater than twice the triplet energy of the singlet fission sensitizer. The triplet energy of the triplet emitter is less than the triplet energy of the singlet fission sensitizer. | 09-19-2013 |
20130240851 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - A light-emitting element having high external quantum efficiency is provided. A light-emitting element having a long lifetime is provided. A light-emitting element includes a light-emitting layer between a pair of electrodes. The light-emitting layer contains at least a phosphorescent compound, a first organic compound (host material) having an electron-transport property, and a second organic compound (assist material) having a hole-transport property. The light-emitting layer has a stacked-layer structure including a first light-emitting layer and a second light-emitting layer, and the first light-emitting layer contains a higher proportion of the second organic compound than the second light-emitting layer. In the light-emitting layer (the first light-emitting layer and the second light-emitting layer), a combination of the first organic compound and the second organic compound forms an exciplex. | 09-19-2013 |
20130240852 | Light-Emitting Device and Manufacturing Method Thereof - Provided is a light-emitting device in which a voltage drop is suppressed and light extraction efficiency is increased. Provided is a light-emitting device with increased productivity. Provided is a light-emitting device with high reliability. An extremely thin conductive film from 3 nm to 50 nm is used as an electrode on a light-emitting side and an auxiliary wiring is provided in contact with the electrode. When the width of the auxiliary wiring is 100 μm or less, the auxiliary wiring is hardly perceived with the naked eye, so that a light-emitting device in which light extraction efficiency is increased and luminance is obtained uniformly. The extremely thin auxiliary wiring can be formed by nanoimprinting technology. With use of nanoimprinting technology, the width of the auxiliary wiring can be reduced to 10 nm or less. | 09-19-2013 |
20130240853 | Plasma-Chlorinated Electrode and Organic Electronic Devices Using the Same - A method is disclosed for elevating the work function of conductive layers such as indium tin oxide by chlorine-containing plasma exposure or etching. Also disclosed are electronic devices such as organic light-emitting diodes and organic photovoltaic cells with a chlorine plasma-treated conductive layer as the hole-injecting or hole-accepting electrode. The performance of the devices is enhanced due to an increased work function of the plasma-treated electrode. | 09-19-2013 |
20130240854 | ORGANIC EL ELEMENT, DISPLAY PANEL, AND DISPLAY DEVICE - An organic EL element comprises: a transparent electrode; a reflective electrode opposite the transparent electrode; and a light-emitting layer having a film thickness of 20 nm to 200 nm, between the electrodes. The reflective electrode is a layered film of: a metal film including Al as a main component and having a film thickness of at least 43 nm; and a Ni film, whose film thickness d satisfies: 0 nm09-19-2013 | |
20130240855 | Method for Manufacturing Light-Emitting Device - A method for exposing an electrode terminal covered with an organic film in a light-emitting device without damaging the electrode terminal is provided. In a region of the electrode terminal to which electric power from an external power supply or an external signal is input, an island-shaped organic compound-containing layer is formed and the organic film is formed thereover. The organic film is removed by utilizing low adhesion of an interface between the organic compound-containing layer and the electrode terminal, whereby the electrode terminal can be exposed without damage to the electrode terminal. | 09-19-2013 |
20130240856 | Light-Emitting Element and Display Device - There has been a problem that difference in refractive index between an opposite substrate or a moisture barrier layer provided thereover, and air is maintained large, and light extraction efficiency is low. Further, there has been a problem that peeling or cracking due to the moisture barrier layer is easily generated, which leads to deteriorate the reliability and lifetime of a light-emitting element. A light-emitting element comprises a pixel electrode, an electroluminescent layer, a transparent electrode, a passivation film, a stress relieving layer, and a low refractive index layer, all of which are stacked sequentially. The stress relieving layer serves to prevent peeling of the passivation film. The low refractive index layer serves to reduce reflectivity of light generated in the electroluminescent layer in emitting to air. Therefore, a light-emitting element with high reliability and long lifetime and a display device using the light-emitting element can be provided. | 09-19-2013 |
20130240857 | LIGHT-EMITTING ELEMENT - A light-emitting element is provided. The light-emitting element includes a first half-transmitting/reflecting film and a second half-transmitting/reflecting film sequentially on an organic layer by physical vapor deposition. | 09-19-2013 |
20130240858 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device including opposite anode and cathode, and a hole-transporting region, an emitting layer and an electron-transporting region in sequential order from the anode between the anode and the cathode, wherein the emitting layer includes a red emitting portion, a green emitting portion, and a blue emitting portion; the blue emitting portion includes a host BH and a fluorescent dopant FBD; the triplet energy E | 09-19-2013 |
20130240859 | TANDEM ORGANIC ELECTROLUMINESCENCE DEVICE - A tandem organic electroluminescence device including a first emitting unit ( | 09-19-2013 |
20130240860 | Composite Diode, Electronic Device, and Methods of Making the Same - A composite diode ( | 09-19-2013 |
20130240861 | ORGANIC ELECTROLUMINESCENCE ELEMENT - Providing an organic electroluminescence element that can reduce the unevenness of the brightness and can improve the external quantum efficiency. The organic electroluminescence element includes a substrate | 09-19-2013 |
20130240862 | ADHESIVE FILM AND METHOD OF ENCAPSULATING ORGANIC ELECTRODE DEVICE USING THE SAME - An adhesive film, and a product and method of encapsulating an organic electronic device (OED) using the same are provided. The adhesive film serves to encapsulate the OED and includes a curable hot-melt adhesive layer including a curable resin and a moisture absorbent, and the curable hot-melt adhesive layer includes a first region coming in contact with the OED upon encapsulation of the OED and a second region not coming in contact with the OED. Also, the moisture absorbent is present at contents of 0 to 20% and 80 to 100% in the first and second regions, respectively, based on the total weight of the moisture absorbent in the adhesive layer. | 09-19-2013 |
20130240863 | ORGANIC ELECTROLUMINESCENT LIGHT EMITTING DISPLAY DEVICE - In an organic electroluminescent light emitting display device comprising a plurality of pixels each of which includes an organic electroluminescent element emitting light by a current supplied thereto, a plurality of active elements including a first active element which acquires a data signal and a second active element which regulates the current supplied to the organic electroluminescent element in accordance with the data signal, and a capacitive element storing the data signal, the present invention utilizes a part of the capacitive element arranged in one of the pixels for a light shielding member which shields the plurality of active elements arranged the one of the pixels from light emitted by the organic electroluminescent element arranged therein or another pixel adjacent thereto so as to suppress image quality deterioration and smear appearing in an image display area of the organic electroluminescent light emitting display device. | 09-19-2013 |
20130240864 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device includes a first substrate having transmitting regions and pixel regions separated from each other by the transmitting regions, a plurality of thin film transistors on the first substrate in the pixel regions, a passivation layer covering the plurality of thin film transistors, a plurality of pixel electrodes on the passivation layer and electrically connected to the thin film transistors, the pixel electrodes being in the pixel regions and overlapping the thin film transistors, an opposite electrode in the transmitting regions and the pixel regions, the opposite electrode facing the plurality of pixel electrodes and being configured to transmit light, an organic emission layer interposed between the pixel electrodes and the opposite electrode, and a color filter in corresponding pixel regions. | 09-19-2013 |
20130240865 | ELECTROACTIVE MATERIALS - There is provided an electroactive compound having Formula | 09-19-2013 |
20130240866 | ELECTROACTIVE MATERIAL AND DEVICES MADE WITH SUCH MATERIALS - There is provided a compound having Formula I or Formula II | 09-19-2013 |
20130240867 | ORGANIC ELECTROLUMINESCENT DEVICE AND FABRICATION METHOD THEREOF - An organic electroluminescent device (OELD) and the fabrication method thereof are disclosed. The OELD comprises an anode( | 09-19-2013 |
20130240868 | ANTHRACENE DERIVATIVE COMPOUNDS FOR ELECTRONIC APPLICATIONS - This invention relates to electroactive compositions including anthracene derivative compounds. It also relates to electronic devices in which at least one active layer includes such a composition. | 09-19-2013 |
20130240869 | ANTHRACENE DERIVATIVE COMPOUNDS FOR ELECTRONIC APPLICATIONS - This invention relates to electroactive compositions including anthracene derivative compounds. It also relates to electronic devices in which at least one active layer includes such a composition. | 09-19-2013 |
20130240870 | VAPOR DEPOSITION DEVICE, VAPOR DEPOSITION METHOD AND ORGANIC EL DISPLAY DEVICE - A vapor deposition source ( | 09-19-2013 |
20130248825 | ORGANIC ELECTROLUMINESCENT ELEMENT, LIGHTING APPARATUS, AND METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT - According to one embodiment, an organic electroluminescent element includes: a first electrode having a first and a second major surfaces; a second electrode opposed to part of the first major surface; an organic luminescent layer provided between the first and the second electrodes; an optical layer having a third major surface opposed to the second major surface and a fourth major surface on opposite side from the third major surface. The fourth major surface includes a first region overlapping the second electrode, and a second region not overlapping the second electrode. The fourth major surface includes a first concave-convex provided in the first region and a second concave-convex provided in the second region. A planarization layer is provided on the second region and burying the second concave-convex. | 09-26-2013 |
20130248826 | FLEXIBLE DISPLAY APPARATUS, ORGANIC LIGHT EMITTING DISPLAY APPARATUS, AND MOTHER SUBSTRATE FOR FLEXIBLE DISPLAY APPARATUS - A flexible display apparatus, an organic light emitting display apparatus, and a mother substrate for flexible display apparatus that have improved durability and image quality. The flexible display apparatus includes a flexible substrate, a display region that is defined on the flexible substrate and that comprises a pixel unit and a circuit unit, a pad unit that is formed adjacent to the display region, and a deformation prevention unit that is disposed around the display region, that is separated from the pad unit, and that comprises a material having a greater rigidity than that of the flexible substrate. | 09-26-2013 |
20130248827 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - Provided is an organic electroluminescence display device which makes use of the hygroscopic ability of a water-absorbing silicon-containing polymer such as polysilazane and has highly reliable display performance, the organic electroluminescence display device including: a substrate; an organic electroluminescence element formed on the substrate; a hygroscopic layer for covering the organic electroluminescence element; a gas releasing member (gas releasing layer) provided in contact with the hygroscopic layer; and a sealing member (sealing substrate) provided over the hygroscopic layer in which the hygroscopic layer is formed of a hygroscopic silicon-containing polymer. | 09-26-2013 |
20130248828 | ORGANIC ELECTRONIC PACKAGES HAVING HERMETICALLY SEALED EDGES AND METHODS OF MANUFACTURING SUCH PACKAGES - Organic electronic packages having sealed edges. More specifically, packages having organic electronic devices are provided. A number of sealing mechanisms are provided to hermetically seal the edges of the package to completely protect the organic electronic device from external elements. A sealant may be implemented to completely surround the organic electronic device. Alternatively, edge wraps may be provided to completely surround the organic electronic device. | 09-26-2013 |
20130248829 | SEMICONDUCTOR APPLICATION METHOD AND PRODUCT - A system and method for driving pixels of an OLED display using a backplane for an active matrix device comprising a substrate arranged to electrically connect to a plurality of semiconductor elements, a plurality of first semiconductor elements mounted on the substrate, each comprising one or more circuit elements configured to drive one or more active elements of the active matrix device, and a plurality of second semiconductor elements mounted on the substrate, each comprising one or more circuit elements configured to control one or more of the first semiconductor elements. | 09-26-2013 |
20130248830 | CHARGE TRANSPORT LAYERS AND FILMS CONTAINING THE SAME - The invention provides a film comprising at least two layers, Layer A and Layer B, and wherein Layer(A) is formed from a Composition A comprising at least one compound selected from Formula A: | 09-26-2013 |
20130248831 | Conjugated Polymers and Their Use in Optoelectronic Devices - Disclosed are certain polymeric compounds and their use as organic semiconductors in organic and hybrid optical, optoelectronic, and/or electronic devices such as photovoltaic cells, light emitting diodes, light emitting transistors, and field effect transistors. The disclosed compounds can provide improved device performance, for example, as measured by power conversion efficiency, fill factor, open circuit voltage, field-effect mobility, on/off current ratios, and/or air stability when used in photovoltaic cells or transistors. The disclosed compounds can have good solubility in common solvents enabling device fabrication via solution processes. | 09-26-2013 |
20130248832 | Phosphorescent Materials - Phosphorescent materials and devices with high device efficiency, stability, and processibility. | 09-26-2013 |
20130248833 | MODULAR STRATEGY FOR INTRODUCING END-GROUP FUNCTIONALITY INTO CONJUGATED COPOLYMERS - The invention provides methods for making and using end-functionalized conjugated polymers. Embodiments of the invention comprise performing a coupling polymerization in the presence of AA monomers, BB monomers and an end capping compound that can react with a monomer and which is selected to include a functional group. The functional end groups can, for example, comprise polymers or small molecules selected for their ability to produce conjugated polymers that self-assemble into thermodynamically ordered structures. In certain embodiments of the invention, nano-scale morphology of such conjugated polymer compositions can be driven by the phase separation of two covalently bound polymer blocks. These features make the use of conjugated polymers an appealing strategy for exerting control over active layer morphology in semiconducting polymer materials systems. | 09-26-2013 |
20130248834 | METHODS OF MANUFACTURING AN ORGANIC ELECTROLUMINESCENT DEVICE - A manufacturing method for an organic electroluminescent device that includes an effectively optical area including display pixels for display and a dummy area surrounding the effectively optical area, the dummy area including dummy pixels not for display is provided. The manufacturing method includes coating a first composite material on a first portion in the effectively optical area, the first portion corresponding to one of the display pixels, and coating a second composite material separately from the coating of the first composite material, the second composite material being coated on a second portion of the dummy area, the second portion corresponding to one of the dummy pixels, the first composite material including a first organic electroluminescent material that is dissolved or dispersed in a solvent and the second composite material including a second organic electroluminescent material that is dissolved or dispersed in a solvent. | 09-26-2013 |
20130248835 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 09-26-2013 |
20130248836 | LAMINATED STRUCTURE, DISPLAY DEVICE AND DISPLAY UNIT EMPLOYING SAME - A laminated structure which can reduce defect by preventing deposition failure or holes of an insulating film, manufacturing method, and a display unit that employ same are provided. The laminated structure as an anode for organic light-emitting devices is provided on a flat surface of a substrate. In the laminated structure, an adhesive layer made of ITO, a reflective layer made of silver or an alloy containing silver, and a barrier layer made of ITO are layered in this order from the substrate side. A cross sectional shape of the laminated structure in the laminated direction is a forward tapered shape. A sidewall face of the adhesive layer, the reflective layer, and the barrier layer is totally covered by an insulating film, and deposition failure or holes of the insulating film is prevented. A taper angle made by the sidewall face and the flat surface is preferably from about 10° to about 70° . The laminated structure can be used as a reflective electrode, a reflective film, or a wiring for a liquid crystal display. | 09-26-2013 |
20130248837 | LAMINATED STRUCTURE, DISPLAY DEVICE AND DISPLAY UNIT EMPLOYING SAME - A laminated structure which can reduce defect by preventing deposition failure or holes of an insulating film, manufacturing method, and a display unit that employ same are provided. The laminated structure as an anode for organic light-emitting devices is provided on a flat surface of a substrate. In the laminated structure, an adhesive layer made of ITO, a reflective layer made of silver or an alloy containing silver, and a barrier layer made of ITO are layered in this order from the substrate side. A cross sectional shape of the laminated structure in the laminated direction is a forward tapered shape. A sidewall face of the adhesive layer, the reflective layer, and the barrier layer is totally covered by an insulating film, and deposition failure or holes of the insulating film is prevented. A taper angle made by the sidewall face and the flat surface is preferably from about 10° to about 70°. The laminated structure can be used as a reflective electrode, a reflective film, or a wiring for a liquid crystal display. | 09-26-2013 |
20130248838 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 09-26-2013 |
20130248839 | ELECTROACTIVE MATERIALS - There is provided an electroactive material having Formula I | 09-26-2013 |
20130248840 | FLUORENE DIMERS AND TRIMERS - Solution processes for fabricating an optoelectronic devices include contacting an electroluminescent layer comprising an alcohol-insoluble electroluminescent polymer with an alcoholic solution of a compound of formula Ia to form an electron transporting layer directly disposed on the electroluminescent layer; and depositing a metal cathode layer on the electron transporting layer; | 09-26-2013 |
20130248841 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a patterned first electrode, a second electrode, and a light-emitting layer therebetween. The light-emitting layer includes a first plurality of pixels having an emission color that is blue; a second plurality of pixels having an emission color that is green, the second plurality of pixels being laterally spaced from the first plurality of pixels; and a third plurality of pixels having an emission color that is red, the third plurality of pixels being laterally spaced from the first and second pluralities of pixels. | 09-26-2013 |
20130248842 | ORGANIC ELECTRONIC DEVICE WITH COMPOSITE ELECTRODE - There is provided a composite electrode including either a single layer or a bilayer. The single layer electrode includes an alloy of a first metal having an electrical conductivity greater than 10 | 09-26-2013 |
20130248843 | ANTHRACENE DERIVATIVE COMPOUNDS FOR ELECTRONIC APPLICATIONS - This invention relates to electroactive compositions including anthracene derivative compounds. It also relates to electronic devices in which at least one active layer includes such a composition. | 09-26-2013 |
20130248844 | METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE - A method for manufacturing an organic electroluminescence device includes: preparing an organic electroluminescence device including a lower electrode, an organic layer including a light-emitting layer, and an upper electrode and having a part in which the lower electrode and the upper electrode are shorted, at least one of the lower electrode and the upper electrode being made of a transparent conductive material; and irradiating the transparent conductive material in at least one of the part where the lower electrode and the upper electrode are shorted and a part around the shorted part with a femtosecond laser, and increasing resistance by changing a structure of the transparent conductive material. | 09-26-2013 |
20130248845 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic electroluminescent device (organic EL device) which exhibits improved luminous efficiency, ensures sufficient driving stability, and has a simple configuration. The organic electroluminescent element includes an anode, a plurality of organic layers, and a cathode laminated on a substrate, and contains a carbazole compound represented by the general formula (1) in at least one layer selected from the group consisting of a emitting layer, a hole-transporting layer, and an electron-blocking layer. In general formula (1), L represents an m-valent aromatic hydrocarbon group or aromatic heterocyclic group, R's each represent hydrogen, an alkyl group, or a cycloalkyl group, m represents an integer of 1 to 3, and n's each represent an integer of 1 to 4, provided that at least one n represents an integer of 2 to 4, and at least one specific structure represented by the formula (1a) is present in the formula. | 09-26-2013 |
20130248846 | METHOD FOR MANUFACTURING AN OLED DEVICE - The subject of the invention is a process for manufacturing an organic light-emitting diode device comprising at least one electrode based on an electrically conductive thin-film multilayer deposited on a substrate, in which the deposition of said multilayer comprises the following steps:
| 09-26-2013 |
20130248847 | ELECTROACTIVE MATERIALS - There is disclosed a compound having Formula I | 09-26-2013 |
20130248848 | PROCESS AND MATERIALS FOR MAKING CONTAINED LAYERS AND DEVICES MADE WITH SAME - There is provided a process for forming a contained second layer over a first layer, including the steps: forming the first layer having a first surface energy; treating the first layer with a priming material to form a priming layer; exposing the priming layer patternwise with radiation resulting in exposed areas and unexposed areas; developing the priming layer to effectively remove the priming layer from the unexposed areas resulting in a first layer having a pattern of priming layer, wherein the pattern of priming layer has a second surface energy that is higher than the first surface energy; and forming the second layer by liquid depositions on the pattern of priming layer on the first layer. The priming material has Formula I | 09-26-2013 |
20130248849 | COMPOSITIONS FOR ELECTRONIC APPLICATIONS - This invention relates to a composition including (a) a dopant, (b) a first host having at least one unit of Formula I, and (c) a second host compound. Formula I has the structure | 09-26-2013 |
20130256634 | ORGANIC LIGHT-EMITTING DEVICE AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME - An organic light-emitting device includes a first electrode, a second electrode facing the first electrode, a phosphorescent emission layer between the first electrode and the second electrode, and an electron transport layer between the phosphorescent emission layer and the second electrode. The phosphorescent emission layer includes a compound represented by one of Formulae 1a to 1c, and the electron transport layer includes a metal-containing compound and a compound represented by Formula 2. | 10-03-2013 |
20130256635 | ILLUMINATION DEVICE - An illumination device includes a light-emitting element, a wire connected to the light-emitting element to supply electric power to the light-emitting element, and a light-transmitting resin configured to hold the light-emitting element and the wire in one piece. The light-transmitting resin defines an appearance of the illumination device. Light emitted from the light-emitting element is transmitted through the light-transmitting resin to be radiated from an outer surface of the light-transmitting resin. | 10-03-2013 |
20130256636 | FUNCTION LAYER INK, METHOD FOR MANUFACTURING LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, AND ELECTRONIC APPARATUS - A function layer ink used for forming a function layer by a liquid coating process contains a function layer material containing a macromolecular material or a low-molecular-weight material, and a mixed solvent containing solvent A and solvent B. Solvent A has a viscosity in the range of 0.01 to 0.05 Pa·s, and solvent B has a viscosity of less than 0.01 Pa·s and a lower boiling point than solvent A. The mixed solvent has a viscosity of less than 0.02 Pa·s and a boiling point in the range of 200 to 350° C., and contains 0.1% to 10% by weight of solvent A. | 10-03-2013 |
20130256637 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Appliance, and Lighting Device - Provided is a light-emitting element with a small degree of luminance degradation with accumulation of driving time (a long-lifetime light-emitting element). Provided is a light-emitting element in which a light-emitting layer with an electron-transport property is formed with a plurality of layers containing different host materials. Further, the LUMO level of a host material on an anode side is higher than the LUMO level of a host material on a cathode side. With such a structure, it is possible to provide a long-lifetime light-emitting element with little degradation in luminance with accumulation of driving time. | 10-03-2013 |
20130256638 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME, METHOD OF REPAIRING DISPLAY DEVICE, AND ELECTRONIC APPARATUS - A display device includes light emitting elements that are arranged in a two-dimensional matrix, in which the light emitting elements include a drive circuit which is provided on a substrate, a first insulating layer which covers the drive circuit and the substrate, a light emitting portion in which a first electrode, an organic layer having a light emitting layer, and a second electrode are laminated, and a second insulating layer which covers the first electrode. | 10-03-2013 |
20130256639 | LIGHT EMITTING ELEMENT AND DISPLAY APPARATUS - There is provided a light emitting element including a first electrode, an organic layer having a light emitting layer, formed on the first electrode, a charge generation layer formed on the organic layer, a resistance layer formed on the charge generation layer, and a second electrode formed on the resistance layer. The first electrode reflects light emitted from the light emitting layer and the second electrode transmits the light emitted from the light emitting layer. The charge generation layer includes a layered structure of, sequentially in order from the organic layer, a mixing layer containing a chelate material, and an alkali earth metal element or an alkali metal element, and an acceptor layer containing an acceptor material. | 10-03-2013 |
20130256640 | ASSEMBLY AND ELECTRONIC DEVICES INCLUDING THE SAME - An assembly includes a dielectric layer in contact with a semiconductor layer. The dielectric layer includes a cross-linked polymeric material having isocyanurate groups, wherein the dielectric layer is free of zirconium oxide particles. The semiconductor layer includes a non-polymeric organic semiconductor material, and is substantially free of electrically insulating polymer. Electronic components and devices including the assembly are also disclosed. | 10-03-2013 |
20130256641 | BENZIMIDAZOLE COMPOUND, ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME, AND DISPLAY ELEMENT INCLUDING THE SAME - A benzimidazole compound, an organic photoelectric device, and a display element, the benzimidazole compound being represented by the following Chemical Formula 1: | 10-03-2013 |
20130256642 | ORGANIC LIGHT-EMITTING DIODE LUMINAIRES - There is provided an organic light-emitting diode luminaire. The luminaire includes a patterned first electrode, a second electrode, and a light-emitting layer therebetween. The light-emitting layer includes a first plurality of pixels having an emission color that is blue; a second plurality of pixels having an emission color that is green, the second plurality of pixels being laterally spaced from the first plurality of pixels; and a third plurality of pixels having an emission color that is red-orange, the third plurality of pixels being laterally spaced from the first and second pluralities of pixels. The additive mixing of all the emitted colors results in an overall emission of white light. | 10-03-2013 |
20130256643 | METHODS FOR FORMING BANKS AND ORGANIC THIN FILM TRANSISTORS COMPRISING SUCH BANKS - Disclosed is a method for forming banks during the fabrication of electronic devices incorporating an organic semiconductor material that includes preparing an aqueous coating composition having at least a water-soluble polymer, a UV curing agent and a water-soluble fluorine compound. This coating composition is applied to a substrate, exposed using UV radiation and then developed using an aqueous developing composition to form the bank pattern. Because the coating composition can be developed using an aqueous composition rather than an organic solvent or solvent system, the method tends to preserve the integrity of other organic structures present on the substrate. Further, the incorporation of the fluorine compound in the aqueous solution provides a degree of control over the contact angles exhibited on the surface of the bank pattern and thereby can avoid or reduce subsequent surface treatments. | 10-03-2013 |
20130256644 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, the compound being represented by the following Chemical Formula 1: | 10-03-2013 |
20130256645 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode are disclosed, the compound being represented by the following Chemical Formula 1: | 10-03-2013 |
20130256646 | ELECTRONIC DEVICE INCLUDING A PYRIMIDINE COMPOUND - There is provided a compound having Formula I or Formula II | 10-03-2013 |
20130256647 | NOVEL ORGANIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING SAME - Aspects of the present invention can provide a novel phenanthrothiadiazole compound with the lowest excited triplet level T1 that is high, the phenanthrothiadiazole compound being capable of forming a stable amorphous film. Furthermore, aspects of the present invention can provide an organic light-emitting device having high luminous efficiency and a low driving voltage. | 10-03-2013 |
20130256648 | ORGANIC EL DISPLAY PANEL AND METHOD FOR MANUFACTURING SAME - The present invention provides an organic EL display panel, which has excellent display qualities with no luminance unevenness and emission color unevenness. The present invention provides the organic EL display panel, which has: a TFT panel having an effective light emitting region (L), which is positioned at a center portion, and a dummy region (D), which is positioned at an outer circumferential portion so as to surround the effective light emitting region (L); a plurality of light emitting elements, which are disposed in the effective light emitting region (L); and a plurality of non-light emitting elements, which are disposed in the dummy region (D). A non-light emitting element among the non-light emitting elements, said non-light emitting element being adjacent to the effective light emitting region (L), also has a dummy hole that is provided in the TFT panel. | 10-03-2013 |
20130256649 | ELECTRICALLY-CONDUCTIVE STRUCTURE AND A PRODUCTION METHOD THEREFOR - The present invention provides a new compound which may significantly improve the service life, efficiency, electrochemical stability, and thermal stability of an organic light emitting device, and an organic light emitting device which comprises an organic material layer comprising the compound. | 10-03-2013 |
20130264545 | USES OF DITHIOCARBAMATE COMPOUNDS - The present invention relates to the use of dithiocarbamate compounds and to an assembly for use in an electronic device, said assembly comprising a self-assembled monolayer of at least one dithiocarbamate compound. The present invention also relates to an electronic device including such assembly. | 10-10-2013 |
20130264546 | ORGANIC LIGHT EMITTING DIODE DEVICE AND FABRICATION METHOD THEREOF - Disclosed are an organic light emitting diode device and a method of fabricating the organic light emitting diode device capable of achieving high light extraction efficiency even without a high-cost and complicated process. The organic light emitting diode device according to an exemplary embodiment of the present disclosure includes a substrate; a phase change thin film layer formed on the substrate and formed of a phase change material changeable from an amorphous state to a crystalline state or from a crystalline state to an amorphous state; and an anode electrode layer, an organic light emitting layer and a cathode electrode layer which are sequentially formed on the phase change thin film layer. | 10-10-2013 |
20130264547 | MATERIALS FOR AN ORGANIC ELECTROLUMINESCENCE ELEMENT, ELECTROLUMINESCENT, DISPLAY AND ILLUMINATING DEVICES USING THE ELEMENTS - An organic electroluminescent element including a substrate, a pair of electrodes including an anode and a cathode, disposed on the substrate, and at least one layer of organic layers including a light emitting layer, disposed between the electrodes, in which at least one of the organic layers contains a compound represented by the following general formula: | 10-10-2013 |
20130264548 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE - A material for organic EL device which includes a compound having a specific structure: an azine ring having a cyano-substituted aromatic hydrocarbon group, an azine ring having a cyano-substituted heterocyclic group, or an azine ring having a cyano group directly bonded to the azine ring. An organic electroluminescence device including an organic thin film layer between a cathode and an anode, wherein the organic thin film layer includes a light emitting layer and at least one layer containing the material for organic electroluminescence device, has a long lifetime. | 10-10-2013 |
20130264549 | Display Device and Electronic Device - One object of the invention is to provide a display device that can display an image which causes a viewer less strain associated with viewing and gives a viewer a sense of great depth and an electronic device for enjoying the image. The present inventors have focused on a sense of depth obtained by monocular viewing and have conceived a display device in which pixels each include a light-emitting module capable of emitting light having a spectral line half-width of less than or equal to 60 nm in a response time of less than or equal to 100 μs and are provided at a resolution of higher than or equal to 80 ppi; the NTSC ratio is higher than or equal to 80%; and the contrast ratio is higher than or equal to 500. | 10-10-2013 |
20130264550 | ORGANIC LIGHT EMITTING DEVICE - Provided is an organic light emitting device that has high efficiency and high durability against continuous driving. The organic light emitting device includes an anode, a cathode, and organic compound layers placed between the anode and the cathode, in which the organic compound layers include a hole transport layer and an emitting layer, the hole transport layer and the emitting layer are in contact with each other, the hole transport layer has an arylamine compound and an aromatic hydrocarbon compound, and the emitting layer has a host and an electron trap dopant. | 10-10-2013 |
20130264551 | Tandem White Organic Light Emitting Device - A tandem white organic light emitting device having high efficiency and long lifespan by adjusting characteristics of a hole transport layer adjacent to a charge generation layer consisting of p-type and n-type charge generation layer is disclosed, the p-type charge generation layer is formed of organic materials only, and at least one organic material contained in the p-type charge generation layer has a LUMO level of −6.0 eV to −4.5 eV. | 10-10-2013 |
20130264552 | BENZODIPYRROLIDONES AND THEIR POLYMERS: SYNTHESIS AND APPLICATIONS FOR ORGANIC ELECTRONICS AND OPTOELECTRONICS - Disclosed is the synthesis of benzodipyrrolidone and their polymers and small molecules for electronic and optoelectronic applications. Also disclosed are devices that incorporate such polymers and small molecules. | 10-10-2013 |
20130264553 | MATERIALS FOR ORGANIC LIGHT EMITTING DIODE - Organometallic compounds comprising a phenylquinoline or phenylisoquinoline ligand having the quinoline or isoquinoline linked to the phenyl ring of the phenylquinoline or phenylisoquinoline, respectively, via two carbon atoms. These compounds also comprise a substituent other than hydrogen and deuterium on the quinoline, isoquinoline or linker. These compounds may be used as red emitters in phosphorescent OLEDs. In particular, these compounds may provide stable, narrow and efficient red emission. | 10-10-2013 |
20130264554 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device comprising: a pair of electrodes comprising an anode and a cathode, and one or more layers of organic compound arranged between the pair of electrodes, wherein the organic compound layer, or one or more of the organic compound layers, comprises a compound represented by a substituted imidazole. The substituents on the imidazole ring may be selected from a range of suitable substituents, including: substituted or unsubstituted aryl groups, substituted or unsubstituted heterocyclic groups, substituted or unsubstituted alkyl groups or cyano groups. In various aspects of the invention, at least one of the substituent groups may be a substituted or unsubstituted imidazole or thiophene group. | 10-10-2013 |
20130264555 | ORGANIC EL ELEMENT AND TRANSLUCENT SUBSTRATE - A translucent substrate may include a transparent support substrate, and a light extracting layer formed on the transparent support substrate, including a glass material having a first refractive index in a range of 1.6 to 2.2 for D line, and a scattering material having a second refractive index different from the first refractive index for the D line. The light extracting layer may have a surface formed with a plurality of projections including at least one of an approximately pyramid-shaped projection having one peak point and an approximately triangular prism-shaped projection having one peak edge. An inclination angle formed by an edge and a base edge of an approximate triangle, obtained in a vertical cross section passing through the peak point or the peak edge of the projection, may be in a range of 10° to 60°. | 10-10-2013 |
20130264556 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, AND ELECTRONIC DEVICE - A light-emitting element having high emission efficiency and long lifetime is provided. By manufacturing a light-emitting device using the light-emitting element, the light-emitting device having low power consumption and long lifetime is provided. The light-emitting element is manufactured in which a light-emitting layer is included between a first electrode serving as an anode and a second electrode serving as a cathode. The light-emitting layer includes a first organic compound having a hole-transporting property, a second organic compound having an electron-transporting property, and an organometallic complex including a dibenzo[f,h]quinoxaline skeleton as a ligand. Further, a light-emitting device is manufactured using the light-emitting element. | 10-10-2013 |
20130264557 | DISPLAY DEVICE - A display device in which pixels each including an emission area are arranged in a form of a matrix, the display device including: a first electrode formed from the emission area of the pixels to a non-emission area on a periphery of the emission area; a second electrode formed so as to be common to the pixels; and a light emitting material layer formed between the first electrode and the second electrode; wherein film thickness in the non-emission area of at least one of the first electrode and the second electrode is larger than film thickness in the emission area. | 10-10-2013 |
20130264558 | LIGHT EMITTING DEVICE MATERIAL AND LIGHT EMITTING DEVICE - The present invention provides an organic thin-film light emitting device in which the luminance efficiency and durable life are improved while a low driving voltage is maintained by means of a light emitting device material that contains a compound represented by general formula (1). | 10-10-2013 |
20130264559 | Hole Injection Layers - The present invention provides a process for the preparation of a device comprising a transition metal oxide doped interface between an anode and a semiconducting hole transport layer, comprising the steps of depositing a solution comprising a precursor for a metal oxide layer on said anode, drying and optionally annealing the deposited solution to form a solid layer precursor, depositing a solution of said semiconducting hole transport layer material onto the solid layer, and optionally annealing thermally the resulting product to give the desired device having transition metal oxide at the interface between said anode and said semiconducting hole transport layer; together with a device obtainable by the process according to the invention. | 10-10-2013 |
20130264560 | TRIAZINE DERIVATIVES FOR ELECTRONIC APPLICATIONS - There is provided a compound having at least one unit of Formula I | 10-10-2013 |
20130264561 | ELECTROACTIVE COMPOSITIONS FOR ELECTRONIC APPLICATIONS - This invention relates to a composition including (a) a dopant, (b) a first host having at least one unit of Formula I, and (c) a second host compound. Formula I has the structure | 10-10-2013 |
20130264562 | POLYMER COMPOUND AND ORGANIC EL DEVICE USING SAME - A polymer compound having a constitutional sequence represented by the following formula (1) as a main chain: | 10-10-2013 |
20130270524 | COMPOUND FOR ORGANIC LIGHT-EMITTING DIODE AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A compound represented by Formula 1 below may be used in an organic light emitting diode. | 10-17-2013 |
20130270525 | THIN FILM TRANSISTOR - A thin film transistor includes a gate insulating layer covering a gate electrode, a semiconductor layer in contact with the gate insulating layer, and impurity semiconductor layers which are in contact with part of the semiconductor layer and which form a source region and a drain region. The semiconductor layer includes a microcrystalline semiconductor layer formed on the gate insulating layer and a microcrystalline semiconductor region containing nitrogen in contact with the microcrystalline semiconductor layer. The thin film transistor in which off-current is small and on-current is large can be manufactured with high productivity. | 10-17-2013 |
20130270526 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes a substrate, a plurality of organic light-emitting diodes on the substrate, and a plurality of capacitors located next to at least one side of one of the organic light-emitting diodes. The capacitors are arranged inside trenches within the substrate. | 10-17-2013 |
20130270527 | BACKPLANE FOR FLAT PANEL DISPLAY APPARATUS, METHOD OF MANUFACTURING THE BACKPLANE, AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS INCLUDING THE BACKPLANE - A backplane for a flat panel display apparatus, includes: a thin film transistor (TFT) on a substrate and including an active layer, a gate electrode, a source electrode, and a drain electrode; a light-blocking layer between the substrate and the TFT; a first insulating layer between the light-blocking layer and the TFT; a capacitor including a first electrode on the same plane as the light-blocking layer, and a second electrode on the first electrode, wherein the first insulating layer is between the first electrode and the second electrode; and a pixel electrode on the same plane as the light-blocking layer. | 10-17-2013 |
20130270528 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND REWORKING METHOD THEREOF - An organic light emitting display device is disclosed. The organic light emitting display device includes: an organic light emitting display panel defined into a display area for displaying an image and a non-display area surrounding the display area; a chip-on-film loaded with a driver IC chip, which is configured to drive the organic light emitting display panel, and attached to a pad portion which is formed on the non-display area of the organic light emitting display panel; and a printed circuit board configured to apply signals to the driver IC chip and attached to one edge of the chip-on-film, wherein the organic light emitting display panel includes a dummy pad portion which is formed on the non-display area in the same configuration as the pad portion and in opposition to the pad portion. | 10-17-2013 |
20130270529 | ORGANIC ELECTROLUMINESCENT ELEMENT MATERIAL, ORGANIC ELECTROLUMINESCENT ELEMENT AND PRODUCTION METHOD FOR ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic electroluminescence device material, which, in its production, is free from formation of impurities that worsen the performance of organic EL devices, which, in forming an upper layer by coating, does not cause dissolution mixing or swelling mixing, which forms a film of good quality and which contributes toward improving the performance (high durability, and low driving voltage) of organic EL devices. | 10-17-2013 |
20130270530 | ORGANIC ELECTROLUMINESCENT ELEMENT AND COMPOUND - An organic electroluminescence device having high efficiency, low in driving voltage and excellent in durability and a compound useful for the organic electroluminescence device are provided. | 10-17-2013 |
20130270531 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - A light-emitting layer, which is a stack of a first light-emitting layer and a second light-emitting layer, is provided between an anode and a cathode. The first light-emitting layer is formed on the anode side and contains a first light-emitting substance converting triplet excitation energy into light emission, a first organic compound having an electron-transport property, and a second organic compound having a hole-transport property. The second light-emitting layer contains a second light-emitting substance converting triplet excitation energy into light emission, the first organic compound, and a third organic compound having a hole-transport property. The second organic compound has a lower HOMO level than the third organic compound. The first light-emitting substance emits light with a wavelength shorter than that of light emitted from the second light-emitting substance. The first and the second organic compounds form an exciplex. The first and the third organic compounds form an exciplex. | 10-17-2013 |
20130270532 | LIGHT EMITTING TRANSISTOR - A static induction light emitting transistor comprising: on a substrate: a source electrode; a hole transporting layer in which a slit-shaped gate electrode is embedded; an equipotential layer; light emitting layer; and a transparent or semitransparent drain electrode, provided in this order. In this light emitting transistor, the drain electrode provided on the opposite side of the gate electrode, viewing from the light emitting layer, is transparent or semitransparent. | 10-17-2013 |
20130270533 | ORGANIC FIELD-EFFECT TRANSISTOR DEVICE - The invention relates to a organic field effect transistor device comprising: an organic semiconductor layer; a source electrode arranged in electronic contact with the said organic semiconductor; a drain electrode arranged in electronic contact with the said organic semiconductor; a gate electrode; an electrolyte layer arranged between said gate electrode and said organic semiconductor layer; wherein the organic semiconductor layer comprises a semiconducting polymeric material comprising one or more blocks of conjugated polymer combined with one or more blocks of copolymer; preferably an amphiphilic copolymer. Also a method of producing the device, and a polyanionic polymer is provided by the invention. | 10-17-2013 |
20130270534 | FIELD-EFFECT TRANSISTOR AND MANUFACTURING PROCESS THEREOF - A field-effect transistor includes a gate, a source and a drain; a semiconductor layer between the source and the drain; and a gate insulator between the gate and the semiconductor layer. The gate insulator comprises a first layer adjoining the semiconductor layer; and a second layer. The first layer is formed from an amorphous fluoropolymer having a first dielectric constant and a first thickness. The second layer has a second dielectric constant and a second thickness. The first dielectric constant is smaller than 3, the first thickness is smaller than 200 nm, the second dielectric constant is higher than 5, and the second thickness is smaller than 500 nm. | 10-17-2013 |
20130270535 | POLYMER AND ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device comprises an anode, a cathode, a light-emitting layer between the anode and the cathode and a hole transporting layer between the anode and the light-emitting layer. The hole transporting layer comprises a hole-transporting material having a triplet energy level, and a triplet-quenching unit having a triplet energy level that is lower than the triplet energy level of the hole-transporting material. The triplet quenching unit is selected from the group consisting of polyaromatic hydrocarbons such as 2,6-anthracenes, 9,10-anthracenes and derivatives thereof; anthanthrenes and derivatives thereof; distyryl aryls and derivatives thereof such as distyrylbenzenes, distyrylbiphenyls, stilbenes, fulvenes, dibenzofulvenes, perylenes, linear polyenes (from 2 to 6 alkenes) and cyclic polyenes, each of which may optionally be substituted with one or more substituents. | 10-17-2013 |
20130270536 | ELECTRONIC COMPONENT AND METHOD FOR PRODUCING AN ELECTRONIC COMPONENT - In various exemplary embodiments, an electronic component comprises a first electrode; an organic functional layer structure on or above the first electrode; a second electrode on or above the organic functional layer structure; a dielectric layer on or above the second electrode; and a reflection layer structure on or above the dielectric layer. | 10-17-2013 |
20130270537 | PEDOT Dispersions In Organic Solvents - Described is a complex comprising a polythiophene and a sulphonated synthetic rubber. Also described is a process for producing complexes, the complexes obtained by this process, a composition, a layer structure, a process for producing the layer structure, the layer structure obtained by this process, electronic components, and the use of a composition | 10-17-2013 |
20130270538 | THIN FILM TRANSISTOR AND DISPLAY DEVICE HAVNG THE SAME - A thin film transistor, a method of manufacturing the same, and a display device including the same, the thin film transistor including a substrate; a polysilicon semiconductor layer on the substrate; and a metal pattern between the semiconductor layer and the substrate, the metal pattern being insulated from the semiconductor layer, wherein the polysilicon of the semiconductor layer includes a grain boundary parallel to a crystallization growing direction, and a surface roughness of the polysilicon semiconductor layer defined by a distance between a lowest peak and a highest peak in a surface thereof is less than about 15 nm. | 10-17-2013 |
20130270539 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescence device including at least an anode, an emitting layer, an electron-transporting region and a cathode in sequential order, wherein the emitting layer contains a host and a dopant which gives fluorescent emission of which the main peak wavelength is 550 nm or less; the affinity Ad of the dopant is equal to or larger than the affinity Ah of the host; the triplet energy E | 10-17-2013 |
20130270540 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - A material for organic electroluminescence device having a specific structure, in which an aromatic ring of a dibenzofuran skeleton, a carbazole skeleton, or a dibenzothiophene skeleton has a nitrogen atom as a heteroatom, and an organic electroluminescence device including an organic thin film layer which includes one or more layers between a cathode and an anode. The organic thin film layer includes a light emitting layer which includes a phosphorescent emitting material. At least one layer of the organic thin film layer includes the material for organic electroluminescence device. The organic EL device employing the material for organic EL device has a high external quantum efficiency even when driving the device at low voltage and also has a long lifetime. | 10-17-2013 |
20130270541 | IMIDAZOLE COMPOUND PRODUCTION METHOD, IMIDAZOLE COMPOUND, IMIDAZOLE-BASED COMPOUND, ORGANIC METAL COMPLEX, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE, AND LIGHTING DEVICE - A manufacturing method of an imidazole compound represented by a formula (1) below includes reacting 1-arylimidazole with a halogen-atom substituted compound. For performing this reaction, in a reaction system, a mole number N | 10-17-2013 |
20130270542 | METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT AND OPTOELECTRONIC COMPONENT - A method for producing an optoelectronic component includes forming an organic functional layer structure on or above a first electrode layer, and forming a second electrode layer on or above the organic functional layer structure, wherein a local modification structure is formed in the first electrode layer or in the second electrode layer. | 10-17-2013 |
20130270543 | PERYLENE-BASED SEMICONDUCTORS AND METHODS OF PREPARATION AND USE THEREOF - Provided are semiconductors prepared from an enantiomerically enriched mixture of a nitrogen-functionalized rylene bis(dicarboximide) compound. Specifically, the enantiomerically enriched mixture has unexpected electron-transport efficiency compared to the racemate or either of the enantiomers in optically pure form. | 10-17-2013 |
20130270544 | COMPOSITION AND BLOCK TYPE COPOLYMER - A composition comprising:
| 10-17-2013 |
20130270545 | POLYMER COMPOUND HAVING CARBON CLUSTER STRUCTURE AND ORGANIC DEVICE USING SAME - A polymer compound, is provided in which at least a part of repeating units has a group containing a carbon cluster structure. The polymer compound preferably one or more, more preferably two or more, units selected from an arylene unit, a heteroarylene unit and an aromatic amine unit, as repeating units. | 10-17-2013 |
20130277645 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - A manufacturing method of an organic light emitting diode (OLED) display according to an exemplary embodiment includes: forming a thin film transistor panel; forming a deposition mask on the thin film transistor panel by a photolithography process; obliquely spraying an organic material to the deposition mask from a linear deposition source to form an organic emission layer on the thin film transistor panel; and removing the deposition mask by using an adhering film, wherein the deposition mask includes a plurality of deposition walls configured to block the organic material sprayed at an angle that is less than a blocking angle. Accordingly, the deposition mask is formed by the photolithography process such that alignment of the deposition mask is straightforward when manufacturing the organic light emitting diode (OLED) display of a large size. | 10-24-2013 |
20130277646 | DISPLAY PANEL AND DISPLAY DEVICE - According to one embodiment, a display panel includes a substrate, a switching element, a pixel electrode, an organic light emitting layer, an opposite electrode, a detecting electrode, and an insulating layer. The substrate has a major surface. The switching element is provided on the major surface. The switching element includes a semiconductor layer. The pixel electrode is provided on the major surface. The pixel electrode is electrically connected to the switching element. The organic light emitting layer is provided on the pixel electrode. The opposite electrode is provided on the organic light emitting layer. The detecting electrode is provided between the substrate and at least a part of the pixel electrode. The detecting electrode includes at least one element included in the semiconductor layer. The insulating layer is provided between the pixel electrode and the detecting electrode. | 10-24-2013 |
20130277647 | OLED DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME - An OLED display device is provided, which includes a first substrate, an OLED layer, a first blocking material, a second substrate, and a second blocking material. The first substrate has a first lateral surface, and the second substrate has a second lateral surface. The OLED layer is disposed between the first and second substrates. The first blocking material is disposed at a peripheral region of the OLED layer to connect the first and second substrates. The second blocking material is disposed on the first and second lateral surface and covers a gap between the first and second lateral surfaces. | 10-24-2013 |
20130277648 | IMAGE DISPLAY SYSTEM - An image display system employing an organic electroluminescent device is provided. The organic electroluminescent device includes a substrate; a first electrode formed on the substrate; a first emitting layer formed on the first electrode, wherein the first emitting layer emits a first color of light, and a second color of light; a second electrode formed on the first emitting layer, wherein the second color of light emitted by the first emitting layer generates a first standing wave within the organic electroluminescent device, and the first emitting layer is disposed at an antinode of the first standing wave, such that the intensity of the second color of light is enhanced, and the second color of light is mixed with the first color of light to produce a white emission. | 10-24-2013 |
20130277649 | ORGANIC ELECTRONIC DEVICE STRUCTURES AND FABRICATION METHODS - An organic electronic device structure, the structure comprising: a substrate; a base layer supported by said substrate and defining the base of a well for solvent-based deposition of organic electronic material; one or more spacer layers formed over said base layer; a bank layer formed over said spacer layer to define a side of said well; and wherein an edge of said well adjacent said base layer is undercut to define a shelf over said base layer, said shelf defining a recess to receive said organic electronic material. | 10-24-2013 |
20130277650 | SIGNAL TRANSMISSION UNIT, DISPLAY UNIT, AND ELECTRONIC APPARATUS - An electronic apparatus (for example a display unit) including an active region (for example a display region) and a peripheral region. In the active region are disposed: active units (for example pixel circuit), a first wiring line connected to an active unit, a second wiring line connected to an active unit, and a third wiring line disposed in a different layer than the second wiring line and connected to the second wiring line. The first wiring line and the third wiring line extend out of the active region into the peripheral region, and exit the display region on a same side of the display region. A first peripheral circuit for communicating with the first wiring line and a second peripheral circuit for communicating with the second wiring line are disposed in the peripheral region, and the third wiring line is connected to the second peripheral circuit. | 10-24-2013 |
20130277651 | Vertical Organic Transistor and Production Method - The invention relates to a vertical organic transistor on a substrate having an electrode ( | 10-24-2013 |
20130277652 | Composite Material Including Organic Compound and Inorganic Compound, Light-Emitting Element and Light-Emitting Device Using the Composite Compound, and Manufacturing Method of the Light-Emitting Element - The present invention provides a composite material having high conductivity, a light-emitting element and a light-emitting device using the composite material. Further, the present invention provides a manufacturing method of a light-emitting element which is suitable for mass production. A light-emitting element of the present invention includes a layer including a luminescent substance between a pair of electrodes. The layer including a luminescent substance has a composite material which includes an organic compound, and an inorganic compound showing an electron donating property to the organic compound. Since the light-emitting element of the present invention includes a composite material made by combining an organic compound and an inorganic compound, the carrier injecting property, carrier transporting property, and conductivity thereof are excellent, and thus, the driving voltage can be reduced. | 10-24-2013 |
20130277653 | Organic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A novel organic compound with which the emission characteristics, emission efficiency, and reliability of a light-emitting element can be improved; a light-emitting element including the organic compound; and a light-emitting device, an electronic device, and a lighting device each including the light-emitting element. The light-emitting element includes a hole-transport layer and a light-emitting layer between a pair of electrodes. At least one of the hole-transport layer and the light-emitting layer includes an organic compound having a skeleton represented by General Formula (G0). | 10-24-2013 |
20130277654 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device - An object is to provide a light-emitting element which uses a plurality of kinds of light-emitting dopants and has high emission efficiency. In one embodiment of the present invention, a light-emitting device, a light-emitting module, a light-emitting display device, an electronic device, and a lighting device each having reduced power consumption by using the above light-emitting element are provided. Attention is paid to Förster mechanism, which is one of mechanisms of intermolecular energy transfer. Efficient energy transfer by Förster mechanism is achieved by making an emission wavelength of a molecule which donates energy overlap with the longest-wavelength-side local maximum peak of a graph obtained by multiplying an absorption spectrum of a molecule which receives energy by a wavelength raised to the fourth power. | 10-24-2013 |
20130277655 | Light-Emitting Element, Light-Emitting Device, Electronic Appliance, and Lighting Device - A light-emitting element which has low driving voltage and high emission efficiency is provided. The light-emitting element includes, between a pair of electrodes, a hole-transport layer and a light-emitting layer over the hole-transport layer. The light-emitting layer contains a first organic compound having an electron-transport property, a second organic compound having a hole-transport property, and a light-emitting third organic compound converting triplet excitation energy into light emission. A combination of the first organic compound and the second organic compound forms an exciplex. The hole-transport layer contains at least a fourth organic compound whose HOMO level is lower than or equal to that of the second organic compound and a fifth organic compound whose HOMO level is higher than that of the second organic compound. | 10-24-2013 |
20130277656 | Light-Emitting Element, Light-Emitting Device, Electronic Appliance, and Lighting Device - A light-emitting element which has low driving voltage and high emission efficiency is provided. The light-emitting element includes, between a pair of electrodes, a hole-transport layer and a light-emitting layer over the hole-transport layer. The light-emitting layer contains a first organic compound having an electron-transport property, a second organic compound having a hole-transport property, and a light-emitting third organic compound converting triplet excitation energy into light emission. A combination of the first organic compound and the second organic compound forms an exciplex. The hole-transport layer is formed using two or more kinds of organic compounds and contains at least the second organic compound. | 10-24-2013 |
20130277657 | FUSED POLYCYCLIC HETEROAROMATIC COMPOUND, ORGANIC THIN FILM INCLUDING THE COMPOUND AND ELECTRONIC DEVICE INCLUDING THE ORGANIC THIN FILM - A low-molecular-weight fused polycyclic heteroaromatic compound, an organic thin film and an electronic device including the fused polycyclic heteroaromatic compound, include a compact planar structure in which six or more rings are fused together, and thereby exhibits high charge mobility, and furthermore, enables the use of a deposition process or a room-temperature solution process when applied to devices, therefore realizing improved processibility. | 10-24-2013 |
20130277658 | PROCESSING ADDITIVE FOR SINGLE-COMPONENT SOLUTION PROCESSED ORGANIC FIELD-EFFECT TRANSISTORS - Methods and compositions to improve the performance of single-component polymer FETs is provided comprising processing a conjugated polymer in the presence of a processing additive. Also provided is a FET device fabricated with a processing additive. Such devices have increased saturation hole and/or electron mobility compared to a control FETs. | 10-24-2013 |
20130277659 | DISPLAY DEVICE - A display device includes an array of pixels including a plurality of organic EL elements each having a pair of electrodes and an organic compound layer including a light-emitting layer and disposed between the pair of electrodes and includes a protective layer disposed on the plurality of the organic EL elements. The protective layer has a first protective layer made of an inorganic material, a second protective layer made of a resin material and disposed on the first protective layer, and a third protective layer made of an inorganic material and disposed on the second protective layer. The second protective layer includes lenses for diverging at least part of light emitted from the light-emitting layer. The lenses have an elongated concave shape. | 10-24-2013 |
20130277660 | THIN FILM TRANSISTOR AND FLAT PANEL DISPLAY DEVICE HAVING THE SAME - An oxide semiconductor thin film transistor and a flat panel display device incorporating the same oxide semiconductor thin film transistor. The thin film transistor includes a gate electrode formed on the substrate, a gate insulating layer formed on the substrate and covering the gate electrode, an oxide semiconductor layer formed on the gate insulating layer and covering the gate electrode, a titanium layer formed in a source region and a drain region of the oxide semiconductor layer, and source and drain electrodes respectively coupled to the source region and the drain region through the titanium layer and made of copper. The titanium layer reduces the contact resistance between the source and drain electrodes made of copper and the oxide semiconductor layer, forms a stable interface junction therebetween, and blocks a diffusion of copper. | 10-24-2013 |
20130277661 | FIELD-EFFECT TRANSISTOR, PROCESS FOR PRODUCING THE SAME, AND ELECTRONIC DEVICE INCLUDING THE SAME - The invention relates to a bottom-contact type field-effect transistor which comprises: a substrate; a source electrode | 10-24-2013 |
20130277662 | ORGANIC LIGHT-EMITTING DEVICE, LIGHT SOURCE DEVICE USING SAME, ORGANIC LIGHT-EMITTING LAYER MATERIAL, COATING LIQUID FOR FORMING ORGANIC LIGHT-EMITTING LAYER, AND METHOD FOR PRODUCING ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a lower electrode, an upper electrode, and an organic layer disposed between these electrodes. The organic layer is a laminate of a hole injection layer, a hole transport layer, a light-emitting layer, an electron transport layer, and an electron injection layer. The light-emitting layer includes a host and a first dopant. The hole transport layer includes a hole transporting material, and the electron transport layer includes an electron transporting material. The hole transporting material and the electron transporting material have functional groups. The first dopant in the light-emitting layer has a functional group, and is concentrated on the side of the light-emitting layer in contact with the hole transport layer or the electron transport layer. | 10-24-2013 |
20130277663 | METHOD FOR PRODUCING ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC DISPLAY PANEL, ORGANIC LIGHT-EMITTING DEVICE, METHOD FOR FORMING FUNCTIONAL LAYER, INK, SUBSTRATE, ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC DISPLAY DEVICE, AND INKJET DEVICE - To provide a method of efficiently manufacturing an organic light-emitting element with excellent light-emitting characteristics by application, the method includes: preparing ink and filling an inkjet device having an ink ejection nozzle with the ink; preparing a substrate having a base layer including a first electrode; and positioning the inkjet device above the substrate, and causing the inkjet device to eject a drop of the ink onto the base layer, wherein, in the preparation of the ink, a value Z denoting a reciprocal of the Ohnesorge number Oh determined by density ρ (g/m | 10-24-2013 |
20130277664 | MICROSTRUCTURE FOR LIGHT-EMITTING ELEMENT, AND LIGHT-EMITTING ELEMENT AND ILLUMINATION DEVICE INCLUDING MICROSTRUCTURE - A microstructure for electroluminescent (EL) elements comprises a plurality of microconvexities having circular bottom surfaces. Each microconvexity is defined by a generatrix dropped from the peak to the circumference of the bottom surface. The height of the generatrix is monotonically reduced from the peak to the circumference. The height of the peak may be 0.67-1.15 times the radius of the bottom surface. The height of the convexities at a position on the radius of the bottom surface 3/4 away from the center of the bottom surface may be 0.21-0.65 times the radius of the bottom surface. The height of the convexities at a position on the radius of the bottom surface 9/10 away from the center of the bottom surface may be 0.04-0.38 times the radius of the bottom surface. | 10-24-2013 |
20130277665 | ORGANIC EL ELEMENT AND METHOD FOR MANUFACTURING SAME - An organic EL element comprises: a substrate; a first electrode formed at one surface side of the substrate; a second electrode opposing the first electrode; and an organic EL layer located between the first and second electrodes. In the organic EL element, the second electrode is a transparent electrode, and the first electrode is a reflecting electrode. The organic EL element is a top-emission type. The first electrode comprises a plurality of nanometer-size (nanometer-order) columnar structures formed on the above-mentioned one surface of the substrate, and each of the plurality of columnar structures has a metallic surface as the outermost surface. | 10-24-2013 |
20130285021 | SOLUTION-PROCESSABLE ELECTRON-TRANSPORT MATERIALS AND RELATED ORGANIC OPTOELECTRONIC DEVICES - Charge transport compounds are provided. The compounds are useful in optoelectronic devices that include the compounds incorporated as a charge-transport layer. Methods for forming films of the compounds are also provided. Additionally, methods are provided for forming films of a charge-transport layer on an active layer of an optoelectronic device. The films are formed from a solution with solubility orthogonal to the solubility of the active layer, such that the active layer is not solvated during deposition of the charge-transport layer. | 10-31-2013 |
20130285022 | ORGANIC LIGHT-EMITTING DIODE AND DISPLAY DEVICE EMPLOYING THE SAME - An organic light-emitting diode and a display device employing the same are provided. The organic light-emitting diode includes a substrate; a cathode disposed on the substrate; an electron injection layer disposed on the cathode, wherein the electron injection layer includes a low work function metal layer and a metal complex layer having carrier injection capability; a light-emitting layer disposed on the electron injection layer; and an anode disposed on the light-emitting layer. | 10-31-2013 |
20130285023 | ORGANIC EL PANEL, DISPLAY DEVICE USING SAME, AND METHOD FOR PRODUCING ORGANIC EL PANEL - To increase light-extraction efficiency and simplify manufacturing process. An organic EL panel includes: first electrode reflecting incident light; second electrode transmitting incident light therethrough; organic light-emitting layer emitting light of corresponding color among RGB colors; first functional layer including charge injection/transport layer and at least one other layer, and disposed between the first electrode and the light-emitting layer; and second functional layer disposed between the second electrode and the light-emitting layer. The first functional layers of the RGB colors are equal in film thickness, the organic light-emitting layers of the RGB colors are equal in optical distance from the first electrode, the second functional layers of the RGB colors are equal in film thickness, the organic light-emitting layers of the RGB colors are equal in optical distance from the second electrode, and the organic light-emitting layers of the RGB colors differ in film thickness. | 10-31-2013 |
20130285024 | Electronic Device with Reduced Non-Device Edge Area - A first product as disclosed herein includes multiple devices, such as OLEDs, which are moveably connected to one another. The devices may be moveable from a first position in which they are stacked, closed, rolled, or the like, to a second expanded position in which they may be usable together as a single device. Active areas of the devices may be disposed within 3 mm from each adjacent or included active area when the device is in the first position, the second position, or both. Each active device may include a barrier film that covers at least a portion of the substrate and/or the active area of one or more of the devices. | 10-31-2013 |
20130285025 | COMPOUND HAVING TRIAZOLE RING STRUCTURE SUBSTITUTED WITH PYRIDYL GROUP AND ORGANIC ELECTROLUMINESCENT DEVICE - An organic compound having excellent electron transport property and hole blocking property as a material for a highly efficient organic EL device, and also provide a highly efficient organic EL device using the compound. This invention relates to a compound having a triazole ring structure to which a substituted pyridyl group is bonded, represented by the following general formula (1), and to an organic electroluminescence device comprising the compound: | 10-31-2013 |
20130285026 | BANK STRUCTURES FOR ORGANIC ELECTRONIC DEVICES - Embodiments in accordance with the present invention relate generally to the use of polycycloolefinic polymers as a structure defining material in organic electronic devices, and more specifically to separators, insulating structures or bank structures of such devices and to organic electronic devices comprising such structures, to processes for preparing such structures and to organic electronic devices encompassing such structures. | 10-31-2013 |
20130285027 | ORGANIC ELECTROLUMINESCENT DEVICE - The invention provides an OLED device with improved light out-coupling comprising an electroluminescent layer stack ( | 10-31-2013 |
20130285028 | ORGANIC ELECTROLUMINESCENCE GENERATING DEVICES - An electroluminescence generating device comprising a channel of organic semiconductor material, said channel being able to carry both types of charge carriers, said charge carriers being electrons and holes; an electron electrode, said electron electrode being in contact with said channel and positioned on top of a first side of said channel layer or within said channel layer, said electron electrode being able to inject electrons in said channel layer; a hole electrode, said hole electrode being spaced apart from said electron electrode, said hole channel and positioned on top of within said channel layer, said hole electrode being able to inject holes into said channel; a control electrode positioned on said first side or on a second side of said channel; whereby light emission of said electroluminescence generating device can be acquired by applying an electrical potential difference between said electron electrode and said hole electrode. | 10-31-2013 |
20130285029 | BENZOPHENANTHRENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE EMPLOYING THE SAME - A fused aromatic ring derivative shown by the following formula (1): | 10-31-2013 |
20130285030 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode, the compound being represented by the following Chemical Formula 1: | 10-31-2013 |
20130285031 | LIGHT EMITTING DEVICE - A light emitting device having a plastic substrate is capable of preventing the substrate from deterioration with the transmission of oxygen or moisture content. The light emitting device has light emitting elements formed between a lamination layer and an inorganic compound layer that transmits visual light, where the lamination layer is constructed of one unit or two or more units, and each unit is a laminated structure of a metal layer and an organic compound layer. Alternatively, each unit is a laminated structure of a metal layer and an organic compound layer, wherein the inorganic compound layer is formed so as to cover the end face of the lamination layer. In the present invention, the lamination layer is formed on the primary surface of the plastic substrate, so that a flexible substrate structure can be obtained. | 10-31-2013 |
20130285032 | METHOD FOR PRODUCING ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC DISPLAY PANEL, ORGANIC LIGHT-EMITTING DEVICE, METHOD FOR FORMING FUNCTIONAL LAYER, INK, SUBSTRATE, ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC DISPLAY DEVICE, AND INKJET DEVICE - To provide a method of efficiently manufacturing an organic light-emitting element with excellent light-emitting characteristics by application, the method includes: preparing ink and filling an inkjet device having an ink ejection nozzle with ink; preparing a substrate having a base layer including a first electrode; and positioning the inkjet device above the substrate, and causing the inkjet device to eject a drop of the ink onto the base layer, wherein, in the preparation of the ink, a value Z denoting a reciprocal of the Ohnesorge number Oh determined by density ρ (g/m | 10-31-2013 |
20130285033 | ORGANIC EL DEVICE AND METHOD FOR PRODUCING ORGANIC EL DEVICE - The present invention aims at providing an organic EL device that emits light by an alternating current, has a simple structure and provides little increase of production processes, while downsizing an overall configuration and a simplifying a method for producing said organic EL device. The organic EL device includes a power feeding part and an organic-EL-element forming part. The organic-EL-element forming part includes a plurality of unit EL elements formed on a substrate. There is provided a plurality of series-connected parts each formed by a plurality of the unit EL elements that are electrically connected in series in a forward direction. A plurality of the series-connected parts are electrically connected to the power feeding part in parallel. The series-connected parts that are connected in parallel include a series-connected part that is connected to the power feeding part so as to have a reverse polarity. | 10-31-2013 |
20130285034 | ORGANIC EL DISPLAY PANEL - An organic EL display panel offering improved luminance includes: a substrate; pixel electrodes arranged in rows and columns; an insulating film coating the confronting edges of pixel electrodes adjacent in a column direction; banks each elongated in the column direction over a gap between pixel electrodes adjacent in the row direction; a hole transport layer in a gap between the banks; an organic light-emitting layer over the hole transport layer; and a common electrode over the organic light-emitting layer. Light is emitted from a first light-emitting portion and second light-emitting portions of the light-emitting layer. The first light-emitting portion is a portion above the pixel electrodes excluding where the insulating film is disposed. The second light-emitting portions are portions above both the pixel electrodes and the insulating film. | 10-31-2013 |
20130285035 | ORGANIC ELECTROLUMINESCENT ELEMENT, LIGHTING DEVICE, AND DISPLAY DEVICE - Provided is an organic electroluminescent element that maintains higher hole injection characteristics than conventional organic EL elements. This organic electroluminescent element has an organic compound layer sandwiched between a positive electrode and negative electrode. The organic compound layer contains at least a light emitting layer and charge generating layer and is characterized by (1) having a charge generating layer formed from at least one layer between the positive electrode and the light emitting layer and (2) containing an organic metal complex in at least one of the charge generating layer. | 10-31-2013 |
20130285036 | COMPOUNDS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to aromatic nitrogen heterocycles, and to electronic devices, in particular organic electroluminescent devices, which comprise these aromatic nitrogen heterocycles, in particular in a hole-injection layer and/or in a hole-transport layer and/or in a hole-blocking layer and/or in an electron-transport layer and/or in an emitting layer. | 10-31-2013 |
20130285037 | ORGANIC EL LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING SAME - Provided is an organic EL light emitting element that prevents variations in brightness caused by resistance in a transparent electrode layer without a separate auxiliary electrode being provided. This organic EL light emitting element has a transparent conductive layer, organic light emitting unit layer, and metal layer in that order on a transparent substrate. The metal layer is divided into negative electrode regions and auxiliary electrode regions that are electrically separated by a metal layer dividing channel. In the auxiliary electrode regions, the metal layer and transparent electrode layer are electrically connected via first type connecting channels, which are opening parts in the organic light emitting unit layer. The dividing channels and connecting channels are preferably formed by laser beam irradiation. | 10-31-2013 |
20130285038 | SUBSTRATE TO WHICH FILM IS FORMED AND ORGANIC EL DISPLAY DEVICE - On the TFT substrate ( | 10-31-2013 |
20130285039 | Organic Light-Emitting Device and Light Source Device Using the Same - In order to improve an external quantum efficiency of an organic light-emitting element, a first light extraction layer is formed over the surface of a second substrate on the side where the second substrate is present, a second light extraction layer is formed over the surface of the second substrate on the other side, the first and second light extraction layers contain fine particles and a binder, the average particle diameter of the fine particles contained in the first and second light extraction layers are 0.05 μm or more and 2 μm or less and 1 μm or more and 10 μm or less, respectively, and an optical length L | 10-31-2013 |
20130285040 | POSITION-SENSITIVE PHOTODETECTOR, METHOD FOR OBTAINING SAME AND METHOD FOR MEASURING THE RESPONSE FROM THE PHOTODETECTOR - The photodetector comprises a continuous active layer in which, in response to incident light a signal is generated that is proportional to the incident position of the light; it is characterized in that the active layer comprises a first ( | 10-31-2013 |
20130285041 | TRANSPARENT SURFACE ELECTRODE, ORGANIC ELECTRONIC ELEMENT, AND METHOD FOR MANUFACTURING TRANSPARENT SURFACE ELECTRODE - The purpose of the present invention is to provide a transparent surface electrode that maintains high transparency, suppresses the occurrence of leak currents, and has superior storage stability and resistance to damage by bending, a method for manufacturing the same, and an organic electronic element using the same. This transparent surface electrode has a metal pattern conductive layer that contains a metal on a transparent base material, and the transparent surface electrode also has a transparent polymer conductive layer, which contains that base material and a conductive polymer, on that metal pattern conductive layer. The transparent surface electrode is characterized by the surface roughness (Ra (surface roughness provided for by JIS, B601 (1994))) of the metal pattern conductive layer being 20 nm or less, and the polymer conductive layer containing a non-conductive polymer having a hydroxyl group. | 10-31-2013 |
20130285042 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL AND ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - The present invention provides an organic EL display panel and an organic EL display apparatus that can be driven at a low voltage and that exhibit excellent light-emitting efficiency. Sequentially fixated on a substrate are: a first electrode; auxiliary wiring; a hole injection layer; a functional layer; and a second electrode. The hole injection layer and the second electrode are both formed to be continuous above the first electrode and above the auxiliary wiring. The second electrode and the auxiliary wiring are electrically connected by the hole injection layer. The hole injection layer contains tungsten oxide and at least 2 nm thick so as to have, in an electronic state thereof, an occupied energy level in a range between 1.8 eV and 3.6 eV lower than a lowest energy level of a valence band in terms of a binding energy. | 10-31-2013 |
20130285043 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element having high photovoltaic conversion efficiency is provided. | 10-31-2013 |
20130292647 | METHODS OF FORMING HYDROPHOBIC SURFACES ON SEMICONDUCTOR DEVICE STRUCTURES, METHODS OF FORMING SEMICONDUCTOR DEVICE STRUCTURES, AND SEMICONDUCTOR DEVICE STRUCTURES - A method of forming a hydrophobic surface on a semiconductor device structure. The method comprises forming at least one structure having at least one exposed surface comprising titanium atoms. The at least one exposed surface of at least one structure is contacted with at least one of an organo-phosphonic acid and an organo-phosphoric acid to form a material having a hydrophobic surface on the at least one exposed surface of the least one structure. A method of forming a semiconductor device structure and a semiconductor device structure are also described. | 11-07-2013 |
20130292648 | FLEXIBLE TRANSPARENT CONDUCTIVE FILM, LED FLEXIBLE TRANSPARENT DISPLAY STRUCTURE USING THE FILM, AND METHOD FOR FORMING THE DISPLAY STRUCTURE - A conductive material having a mixture of PEDOT:PSS is provided. The conductive material can be used to form a flexible transparent conductive film. Furthermore, various LED-type flexible transparent displays can be formed by the flexible transparent conductive film. | 11-07-2013 |
20130292649 | ORGANIC LIGHT EMITTING DISPLAY DEVICE WITH IMPROVED SEALING PROPERTY - Disclosed is an organic light emitting display device which prevents or inhibits external gas, such as, oxygen or moisture, from penetrating into a display unit and reinforces a mechanical strength by providing a first sealant and a second sealant. The organic light emitting display device may include: a first substrate; a display unit on the first substrate; a second substrate covering the display unit; a first sealant adhering the first substrate to the second substrate; and a second sealant around the first sealant, the second sealant sealing the first substrate and the second substrate. A filler may be included in the second sealant, and a particle size of the filler may be larger than a gap between the first substrate and the second substrate. | 11-07-2013 |
20130292650 | ORGANIC THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - According to example embodiments, a method of manufacturing an organic thin film transistor includes sequentially forming a gate electrode, a gate insulator, a source electrode, and a drain electrode on a substrate, forming a first self-assembled monolayer on the source electrode and the drain electrode from a first self-assembled monolayer precursor, forming a second self-assembled monolayer on the gate insulator from a second self-assembled monolayer precursor that is different from the first self-assembled monolayer precursor, and forming an organic semiconductor on the first self-assembled monolayer and the second self-assembled monolayer. The first self-assembled monolayer and the second self-assembled monolayer may be formed simultaneously or sequentially in a single container. An organic thin film transistor may be manufactured according to the method. A display device may include the organic thin film transistor. | 11-07-2013 |
20130292651 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - The organic light emitting display apparatus includes a substrate; a buffer film on the substrate, the buffer film including a via hole, a thin film transistor (TFT) on the buffer film, the TFT including an active layer, a gate electrode, a source electrode, and a drain electrode, a first electrode electrically connected to one of the source electrode and the drain electrode and corresponding to the via hole; an intermediate layer on the first electrode, the intermediate layer including an organic emission layer, and a second electrode on the intermediate layer. | 11-07-2013 |
20130292652 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A display device includes a display panel, a metal encapsulation sheet facing the display panel, a sealing member combining the display panel and the metal encapsulation sheet, and a coating layer covering the metal encapsulation sheet and the sealing member, the coating layer including a silicon-containing resin. A method of manufacturing the display device is also provided. | 11-07-2013 |
20130292653 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE COMPRISING THE SAME - Embodiments of the present invention are directed to a condensed-cyclic compound represented by Formula 1, and to an organic light-emitting diode including the same. | 11-07-2013 |
20130292654 | Organic Electroluminescent Element - Disclosed is an organic electroluminescent element that has the characteristic of excellent blue chromaticity, achieves a higher level of both external quantum efficiency and driving durability compared to conventional organic electroluminescent elements, and has a low change in chromaticity before and after driving the element. The organic electroluminescent element has a pair of electrodes and a light-emitting layer between said electrodes on a substrate, and the aforementioned light-emitting layer contains: a carbazole compound having a particular structure; and an iridium complex having a particular structure as a blue phosphorescent light-emitting material. | 11-07-2013 |
20130292655 | Method for producing an electronic component and electronic component - A method for producing an electronic component may include: applying an electrode growth layer on or above a layer structure by means of an atomic layer deposition method; and applying an electrode on the electrode growth layer, wherein the electrode growth layer is applied with a layer thickness in a range of approximately 1.5 nm to approximately 28 nm. | 11-07-2013 |
20130292656 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - A light-emitting layer, which is a stack of a first light-emitting layer and a second light-emitting layer, is provided between an anode and a cathode. The first light-emitting layer is formed on the anode side and contains a first light-emitting substance converting triplet excitation energy into light emission, a first organic compound having an electron-transport property, and a second organic compound having a hole-transport property. The second light-emitting layer contains a second light-emitting substance converting triplet excitation energy into light emission, the second organic compound, and a third organic compound having an electron-transport property. The first organic compound has a higher LUMO level than the third organic compound. The first light-emitting substance emits light with a wavelength shorter than that of light emitted from the second light-emitting substance. The first and the second organic compounds form an exciplex. The second and the third organic compounds form an exciplex. | 11-07-2013 |
20130292657 | POLYMER AND ORGANIC LIGHT-EMITTING DEVICE - Light-emitting composition and devices including the same, the composition including a fluorescent light-emitting material and a polymer having a conjugating repeat unit and a non-conjugating repeat unit in a backbone of the polymer, and in which the conjugating repeat unit provides at least one conjugation path between repeat units linked to it; the non-conjugating repeat unit reduces conjugation of the polymer as compared to a polymer in which the non-conjugating repeat unit is absent; and a triplet excited state energy level of the light-emitting material is higher than a triplet excited state energy level of the non-conjugating repeat unit. | 11-07-2013 |
20130292658 | ELECTRONIC DEVICES EMPLOYING ALIGNED ORGANIC POLYMERS - The devices can be fabricated by a method that permits active polymer chains to be polymerized on the surface of an electrode such that the active polymer chains are aligned with one another. The active polymer chains can also be covalently linked to a second electrode so the active polymer chains are located in an active layer of the device. The polymerization method can be paused and resumed at any point in the polymerization so nanoparticles can be added into the active layer. Additionally, the polymerization method allows that active polymer chains to be polymerized so they include junctions such as p-n junctions and Schottky junctions. | 11-07-2013 |
20130292659 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode are disclosed, and the compound for an organic optoelectronic device represented by Chemical Formula 1 is provided to manufacture an organic optoelectronic device having improved life-span characteristics due to excellent electrochemical and thermal stability, and high luminous efficiency at a low driving voltage. | 11-07-2013 |
20130292660 | ELECTROLUMINESCENT METAL COMPLEXES WITH NUCLEOPHILIC CARBENE LIGANDS - This invention relates to electroluminescent metal complexes of the formula (I) | 11-07-2013 |
20130292661 | METHOD FOR PRODUCING ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC DISPLAY PANEL, ORGANIC LIGHT-EMITTING DEVICE, METHOD FOR FORMING FUNCTIONAL LAYER, INK, SUBSTRATE, ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC DISPLAY DEVICE, AND INKJET DEVICE - To provide a method of efficiently manufacturing an organic light-emitting element with excellent light-emitting characteristics by application, the method includes: preparing ink and filling an inkjet device having an ink ejection nozzle with the ink; preparing a substrate having a base layer including a first electrode; and positioning the inkjet device above the substrate, and causing the inkjet device to eject a drop of the ink onto the base layer, wherein, in the preparation of the ink, a value Z denoting a reciprocal of the Ohnesorge number Oh determined by density ρ (g/m | 11-07-2013 |
20130292662 | ORGANIC COMPOUND, ORGANIC LIGHT-EMITTING DEVICE, AND IMAGE DISPLAY DEVICE - The present invention provides an organic compound having a basic skeleton which has an emission wavelength in the yellow region, a high luminous efficiency, and good sublimability. | 11-07-2013 |
20130292663 | COMPOUND HAVING SUBSTITUTED BIPYRIDYL GROUP AND PYRIDOINODOLE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - To provide an organic compound of excellent characteristics that exhibits excellent electron-injecting/transporting performance with hole blocking ability, and has high stability in the thin-film state, as material for an organic electroluminescent device having high efficiency and high durability, and to provide the organic electroluminescent device having high efficiency and high durability using the compound. An organic electroluminescent device includes a pair of electrodes, and one or more organic layers sandwiched between the pair of electrodes, wherein the compound of general formula (1) in which a substituted bipyridyl group and a pyridoindole ring structure are bonded via a phenylene group, is used as a constituent material of at least one organic layer. | 11-07-2013 |
20130292664 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescence device includes a pair of electrodes and an organic compound layer interposed therebetween. The organic compound layer includes a plurality of emitting layers including a first emitting layer and a second emitting layer, in which at least one of the first and second emitting layers contains a phosphorescent dopant material, and a space layer between the first and second emitting layers. The space layer contains a compound satisfying a relationship of the following numerical formula (1) in terms of a difference ΔST between singlet energy EgS and an energy gap Eg | 11-07-2013 |
20130292665 | NOVEL ANTHRACENE COMPOUND AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME - Provided is an organic electroluminescence element having superior element service life. An anthracene compound in which an aryl group having C10 or greater is bonded to the 9-position and a naphthyl group is bonded to the 10-position, wherein a compound in which a specific aryl group has been substituted, in particular, at the 7-position of the naphthyl group (which is bonded at the 2-position thereof to the anthracene) is used as a material for a luminescence layer to produce the organic electroluminescence element. | 11-07-2013 |
20130292666 | SUBSTRATE ON WHICH FILM IS FORMED, AND ORGANIC EL DISPLAY DEVICE - Provided is a TFT substrate ( | 11-07-2013 |
20130292667 | METHOD FOR PRODUCING ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC DISPLAY PANEL, ORGANIC LIGHT-EMITTING DEVICE, METHOD FOR FORMING FUNCTIONAL LAYER, INK, SUBSTRATE, ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC DISPLAY DEVICE, AND INKJET DEVICE - To provide a method of efficiently manufacturing an organic light-emitting element with excellent light-emitting characteristics by application, the method includes: preparing ink and filling an inkjet device having an ink ejection nozzle with the ink; preparing a substrate having a base layer including a first electrode; and positioning the inkjet device above the substrate, and causing the inkjet device to eject a drop of the ink onto the base layer, wherein, in the preparation of the ink, a value Z denoting a reciprocal of the Ohnesorge number Oh determined by density ρ (g/m | 11-07-2013 |
20130299784 | Organic Light Emitting Display Device and Manufacturing Method Thereof - In an organic light-emitting display device and a method of manufacturing the same, the organic light-emitting display device includes: a silicon layer formed on a substrate; and a thin film transistor (TFT) and an organic light-emitting device that are formed on the silicon layer. The silicon layer comprises a conductive doping silicon portion for forming a part of an active layer included in the TFT and an insulating intrinsic silicon portion surrounding the doping silicon portion. According to the organic light-emitting display device of the present invention, manufacturing costs may be reduced due to a reduction in the number of masks, and the manufacturing process of the organic light-emitting display device may be simplified. | 11-14-2013 |
20130299785 | METHODS OF MANUFACTURING OPTICAL SHEETS, ORGANIC LIGHT EMITTING DISPLAY DEVICES HAVING OPTICAL SHEETS AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES HAVING OPTICAL SHEETS - In a method of manufacturing an optical sheet, a stacked structure may be formed by alternatively and repeatedly stacking at least one transparent layer and at least one light scattering layer. A first cut face may be formed by partially cutting the stacked structure. A second cut face may be formed by partially cutting the stacked structure. The second cut face may be parallel to the first cut face. | 11-14-2013 |
20130299786 | SPIN VALVES USING ORGANIC SPACERS AND SPIN-ORGANIC LIGHT-EMITTING STRUCTURES USING FERROMAGNETIC ELECTRODES - The spacer in a spin-valve is replaced with an organic layer, allowing for numerous applications, including light-emitting structures. The invention demonstrates that the spin coherence of the organic material is sufficiently long that the carriers do not lose their spin memory even in traversing a thicker passive barrier. At least three methods to fabricate the organic spin-valve devices are disclosed, in which the difficulties associated with depositing the ferromagnetic (FM) and organic layers are addressed. | 11-14-2013 |
20130299787 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD - An organic light-emitting device comprises an anode; a cathode; a charge transporting layer comprising a charge-transporting material doped with a light-emitting dopant between the anode and the cathode; and a light-emitting layer between the anode and the cathode. The x-coordinate value and/or the y-coordinate value of CIE(x,y) coordinates of light emitted from the device is no more than 0.1, and preferably no more than 0.05, from the respective x- or y-coordinate value of a control device in which the charge transporting layer is not doped with a light-emitting dopant. The light emitting layer and charge transport material preferably comprise polymers including aryl or heteroaryl repeat units. | 11-14-2013 |
20130299788 | ORGANIC LIGHT-EMITTING DEVICE, COATING LIQUID FOR FORMING ORGANIC LIGHT-EMITTING DEVICE, MATERIAL FOR FORMING ORGANIC LIGHT-EMITTING DEVICE, LIGHT SOURCE DEVICE USING ORGANIC LIGHT-EMITTING DEVICE, AND ORGANIC LIGHT-EMITTING DEVICE PRODUCING METHOD - An organic light-emitting device includes a lower electrode, an upper electrode, and an organic layer disposed between the lower electrode and the upper electrode, the organic layer including a charge transport layer and a mixed layer in contact with the charge transport layer, the mixed layer including a host, a first dopant, and a charge transporting material, the first dopant including a first functional group, the charge transporting material including a second functional group, the first dopant being drawn to a charge transport layer contact surface where the mixed layer is in contact with the charge transport layer, and the charge transporting material being drawn to the mixed layer surface other than the charge transport layer contact surface. With the present invention, the concentrations of the molecules contained in the mixed layer of the light-emitting layer and the charge transport layer can be easily controlled. | 11-14-2013 |
20130299789 | Light-Emitting Device and Electronic Device - A highly reliable light-emitting device is provided. Damage to an element due to externally applied physical power is suppressed. Alternatively, in a process of pressure-bonding of an FPC, damage to a resin and a wiring which are in contact with a flexible substrate due to heat is suppressed. A neutral plane at which stress-strain is not generated when a flexible light-emitting device including an organic EL element is deformed, is positioned in the vicinity of a transistor and the organic EL element. Alternatively, the hardness of the outermost surface of a light-emitting device is high. Alternatively, a substrate having a coefficient of thermal expansion of 10 ppm/K or lower is used as a substrate that overlaps with a terminal portion connected to an FPC. | 11-14-2013 |
20130299790 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF - An organic light-emitting display apparatus includes a thin film transistor including an active layer, a gate electrode, a source electrode, and a drain electrode, an organic light-emitting device including a pixel electrode connected to the thin film transistor, an intermediate layer including a light-emitting layer, and an opposed electrode, and a first wiring and a second wiring arranged in different layers on a substrate. | 11-14-2013 |
20130299791 | Display Device and Method for Manufacturing the Same - A structure of an EL display device which has an increased display area is provided. Further, a structure of an EL display device which has a high definition display is provided. An auxiliary electrode is formed over a first partition and side surfaces of the auxiliary electrode are covered with a second partition. A top surface of the auxiliary electrode is in contact with the conductive film which is one electrode of a light-emitting element and has a light-transmitting property, which enables a large-area display. Further, even the distance between the adjacent light-emitting elements is shortened, the auxiliary electrode can be provided between the adjacent light-emitting elements, which enables a high definition display. | 11-14-2013 |
20130299792 | Porous Glass Substrate For Displays And Method Of Manufacturing The Same - A porous glass substrate for displays and a method of manufacturing the same, with which the optical characteristics of a display such as an organic light-emitting device (OLED) can be improved. The porous glass substrate includes a glass substrate and a porous layer formed in at least one portion of one surface of the glass substrate and extending into the glass substrate, the refractive index of the porous layer being smaller than the refractive index of the glass substrate. The porous layer has a plurality of pores which is formed in the glass substrate such that at least one component of the glass substrate except for silicon dioxide (SiO | 11-14-2013 |
20130299793 | 9,10-DIHYDROACRIDINE DERIVATIVE AND ORGANIC LIGHT EMITTING DEVICE COMPRISING THE SAME - Provided is a 9,10-dihydroacridine derivative represented by the following Formula (I): | 11-14-2013 |
20130299794 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, the compound being represented by the following Chemical Formula 1: | 11-14-2013 |
20130299795 | MATERIALS FOR ORGANIC LIGHT EMITTING DIODE - Compounds are provided that comprise a ligand having a 5-substituted 2-phenylquinoline. In particular, the 2-phenylquinoline may be substituted with a cycloalkyl containing group at the 5-position. These compounds may be used in organic light emitting devices, in particular as red emitters in the emissive layer of such devices, to provide devices having improved properties. | 11-14-2013 |
20130299796 | METHOD FOR PRODUCING MOLD FOR MINUTE PATTERN TRANSFER, METHOD FOR PRODUCING DIFFRACTION GRATING USING THE SAME, AND METHOD FOR PRODUCING ORGANIC EL ELEMENT INCLUDING THE DIFFRACTION GRATING - A method for producing a mold includes: applying a block copolymer solution made of first and second polymers on a base member; performing a first annealing process at a temperature higher than Tg of the block copolymer after drying the coating film; forming a concavity and convexity structure on the base member by removing the second polymer by an etching process; performing a second annealing process of the concavity and convexity structure at a temperature higher than Tg of the first polymer; forming a seed layer on the structure; laminating or stacking a metal layer on the seed layer by an electroforming; and peeling off the metal layer from the base member. The second annealing process enables satisfactory transfer of a concavity and convexity structure on the base member onto the metal layer. | 11-14-2013 |
20130299797 | Light-Emitting Device, Lighting Device, and Manufacturing Method of Light-Emitting Device - It is an object to provide a light-emitting device which has high power efficiency and high light-extraction efficiency and emits light uniformly in a plane. It is another object to provide a manufacturing method of the light-emitting device. It is another object to provide a lighting device including the light-emitting device. One embodiment of the present invention provides a light-emitting device which includes: a first electrode provided over a substrate; a layer containing a light-emitting organic compound provided over the first electrode; an island-shaped insulating layer provided over the layer containing the light-emitting organic compound; an island-shaped auxiliary electrode layer provided over the island-shaped insulating layer; and a second electrode having a property of transmitting visible light provided over the layer containing the light-emitting organic compound and the island-shaped auxiliary electrode layer. | 11-14-2013 |
20130299798 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - Objects of the present invention are to provide: a light-emitting element having a long lifetime and good emission efficiency and drive voltage. One embodiment of the invention is a light-emitting element including, between an anode and a cathode, at least a stack structure in which a first layer, a second layer, and a light-emitting layer are provided in order from the anode side. The first layer includes a first organic compound and an electron-accepting compound. The second layer includes a second organic compound having a HOMO level differing from the HOMO level of the first organic compound by from −0.2 eV to +0.2 eV. The light-emitting layer includes a third organic compound having a HOMO level differing from the HOMO level of the second organic compound by from −0.2 eV to +0.2 eV and a light-emitting substance having a hole-trapping property with respect to the third organic compound. | 11-14-2013 |
20130299799 | PHOTOELECTRIC CONVERSION DEVICE, PHOTOELECTRIC CONVERSION DEVICE MATERIAL, PHOTOSENSOR AND IMAGING DEVICE - A photoelectric conversion device comprising an electrically conductive film, an organic photoelectric conversion film, and a transparent electrically conductive film, wherein the organic photoelectric conversion film contains a compound represented by the following formula (1) and an n-type organic semiconductor: | 11-14-2013 |
20130299800 | PROCESS FOR FORMING AN ELECTROACTIVE LAYER - There is provided a process for forming a layer of electroactive material having a substantially flat profile. The process includes the steps of providing a workpiece having at least one active area; depositing a liquid composition including the electroactive material onto the workpiece in the active area, to form a wet layer; treating the wet layer on the workpiece at a controlled temperature in the range of −25 to 80° C. and under a vacuum in the range of 10 | 11-14-2013 |
20130299801 | DEUTERATED COMPOUNDS FOR LUMINESCENT APPLICATIONS - This invention relates to deuterated compounds that are useful in electroluminescent applications. It also relates to electronic devices in which the active layer includes such a deuterated compound. | 11-14-2013 |
20130299802 | LIGHT-EMITTING DEVICE - There is provided an EL light-emitting device with less uneven brightness. When a drain current of a plurality of current controlling TFTs is Id, a mobility is μ, a gate capacitance per unit area is Co, a maximum gate voltage is Vgs | 11-14-2013 |
20130299803 | Electronic Appliance and Light-Emitting Device - An EL element having a novel structure is provided, which is suitable for AC drive. A light-emitting element of the invention is provided with material layers (material layers each having approximately symmetric I-V characteristics with respect to the zero point in a graph having the abscissa axis showing current values and the ordinate axis showing voltage values) between a first electrode and a layer including an organic compound and between the layer including the organic compound and a second electrode respectively. Specifically, each of the material layers is a composite layer including a metal oxide and an organic compound. | 11-14-2013 |
20130299804 | ORGANIC EL DISPLAY UNIT, ORGANIC EL DISPLAY DEVICE, AND METHOD FOR MANUFACTURING ORGANIC EL DISPLAY UNIT - An organic EL display unit is an organic EL display unit which includes a first substrate; an organic EL element which is located on the first substrate, which includes a first electrode, an organic layer containing at least an organic light emitting layer, and a second electrode, and which is configured to emit excitation light; a second substrate; and an optical conversion layer which is located on the second substrate and which is configured to emit light to the outside through a display surface, the light being obtained by conversion of a color tone of the excitation light, the display surface is flat and rectangular, and the second substrate is divided into a plurality of sections along a long side direction of the display surface. | 11-14-2013 |
20130299805 | POLYMER NETWORKS - The invention provides a photopolymerisable or photocrosslinkable reactive mesogen for forming a charge transporting or light emitting polymer network, the mesogen having an asymmetric structure (II): B | 11-14-2013 |
20130299806 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - To provide an organic EL element material that is capable of enhancing the light emission efficiency and the lifetime of the element as compared to an ordinary organic EL element material, and an organic EL element using the same. Specifically, to provide an aromatic amine derivative represented by Ar | 11-14-2013 |
20130299807 | ELECTROACTIVE COMPOSITION - There is provided an electroactive composition including (a) a host, (b) a dopant, and (c) an additive having Formula I | 11-14-2013 |
20130299808 | ORGANIC EL DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - In an organic EL display device ( | 11-14-2013 |
20130299809 | ORGANIC ELECTRONIC DEVICE FOR LIGHTING - There is provided an organic electronic device including a light-transmitting substrate, an enhancement film in direct contact with the substrate, an anode, a photoactive layer, and a cathode. The anode can be either a single layer or a multilayer. The single layer anode includes an alloy of a first metal having an electrical conductivity greater than 10 | 11-14-2013 |
20130299810 | SUBSTRATE TO WHICH FILM IS FORMED, ORGANIC EL DISPLAY DEVICE, AND VAPOR DEPOSITION METHOD - A film formation substrate ( | 11-14-2013 |
20130299811 | DIBENZOTHIOPHENE DIOXIDE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE USING THE SAME - The present invention provides an organic light-emitting device showing characteristics of high efficiency and long operating life. The organic light-emitting device includes an anode, a cathode, and an organic compound layer disposed between the anode and the cathode. The organic compound layer includes at least a light-emitting layer that contains a dibenzothiophene dioxide compound shown in claim | 11-14-2013 |
20130299812 | ORGANIC ELECTROLUMINESCENT ELEMENT AND ILLUMINATION DEVICE - An organic electroluminescence device includes: a first substrate, first electrode, organic compound layer and second electrode in this sequence. A first insulative portion and a second auxiliary electrode are formed between the first electrode and the second electrode in this sequence from the first electrode. The second electrode is in electric continuity with the second auxiliary electrode. The first electrode and the organic compound layer are insulated from the second auxiliary electrode by the first insulative portion. | 11-14-2013 |
20130299813 | ORGANIC EL PANEL AND MANUFACTURING METHOD THEREOF - The present invention is to provide an organic EL panel that is able to prevent the problems resulting from the unnecessary bank residues at a relatively low cost and has excellent light-emitting characteristics and a long life, and manufacturing method of the organic EL panel. Specifically, an organic EL element is obtained by forming organic EL elements by sequentially laminating an anode, a transparent conductive film, a hole-injection layer, a buffer layer, an organic light-emitting layer, a cathode, and a passivation layer on one surface of a substrate. Each bank residue positioned on the surface of the hole-injection layer has a diameter not greater than 0.2 μm in one direction when the substrate is seen in plan view. Preferably, when the substrate is seen in plan view, the area of each bank residue is set to be not greater than 0.4 μm | 11-14-2013 |
20130299814 | ORGANIC COMPOUND, ORGANIC LIGHT-EMITTING DEVICE, AND IMAGE DISPLAY APPARATUS - The present invention provides an organic compound of which basic skeleton emits light in a yellow range by itself with high luminous efficiency. The organic compound is represented by claim | 11-14-2013 |
20130299815 | ELECTRONIC DEVICES - A device comprising an array of transistors, including: patterned conductive layers located at lower and upper levels in a stack of layers on a substrate, which patterned conductive layers define gate conductors and source-drain electrodes of the array of transistors; wherein the stack of layers further comprises a dielectric layer below said lower level, and a further patterned conductive layer below said dielectric layer; and wherein said further patterned conductive layer both provides an electrical function in said array of transistors via said dielectric layer, and defines openings via which the dielectric layer serves to increase the strength of adhesion between the device substrate and the patterned conductive layer at said lower level. | 11-14-2013 |
20130299816 | LIGHT EMITTING DEVICE, DISPLAY APPARATUS, AND ILLUMINATING APPARATUS - A light emitting device includes an excitation light source element that emits excitation light; a substrate that faces the excitation light source element; a fluorescent layer located on the substrate, the fluorescent layer being excited by the excitation light to emit fluorescence; an optical reflection body disposed on a side surface of the fluorescent layer, the side surface extending in a direction parallel to a stacking direction of the substrate and the fluorescent layer; and a low-refractive-index material layer disposed between the fluorescent layer and the substrate, the low-refractive-index material layer having a refractive index lower than that of the substrate. | 11-14-2013 |
20130306938 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting apparatus includes a thin film transistor (TFT) including an active layer, a gate electrode, and source and drain electrodes, an organic light-emitting device including a pixel electrode connected to the TFT, an intermediate layer including an emissive layer, and an opposite electrode, an opposite electrode contact portion connecting the opposite electrode to a power interconnection line. The power interconnection line connected to the opposite electrode contact portion includes a first interconnection layer and a second interconnection layer which are stacked without an insulating layer therebetween. | 11-21-2013 |
20130306939 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a thin film transistor having an active layer, a gate electrode, and source and drain electrodes, an organic light-emitting device having a pixel electrode connected to the thin film transistor, an intermediate layer including an emissive layer, and an opposite electrode, and an opposite electrode contact portion having a joining region and an insulating region. The opposite electrode and a power interconnection line contact each other in the joining region. An insulating layer is interposed between the opposite electrode and the power interconnection line in the insulating region, and a portion of the insulating layer penetrates into the power interconnection line in the insulating region. | 11-21-2013 |
20130306940 | HETEROLEPTIC IRIDIUM COMPLEXES CONTAINING CARBAZOLE-IMIDAZOLE-CARBENE LIGANDS AND APPLICATION OF THE SAME IN LIGHT-EMITTING DEVICES - A compound according to Formula I, as well as, devices and formulations containing the compound as described. The compound has the general formula | 11-21-2013 |
20130306941 | Anthracene Derivative, and Light-Emitting Element, Light-Emitting Device, Electronic Device Using Anthracene Derivative - An object is to provide a novel anthracene derivative. Another object is to provide a light-emitting element with high luminous efficiency. Yet another object is to provide a light-emitting element with a long lifetime. Still another object is to provide a light-emitting device and an electronic device having a long lifetime by using the light-emitting elements of the present invention. The anthracene derivative represented by General Formula (1) is provided. The ability of the anthracene derivative represented by General Formula (1) to exhibit high luminous efficiency allows the production of a light-emitting element with high luminous efficiency and a long lifetime. | 11-21-2013 |
20130306942 | Light Emitting Device and Method of Manufacturing the Same - A light emitting device is provided which has a structure for lowering energy barriers at interfaces between layers of a laminate organic compound layer. A mixed layer ( | 11-21-2013 |
20130306943 | CONDUCTIVE ADHESIVE COMPOSITION, ELECTRONIC DEVICE, POSITIVE ELECTRODE LAMINATE, AND METHOD FOR MANUFACTURING ELECTRONIC DEVICE - The present invention provides a conductive adhesive composition having excellent adhesiveness and low surface resistance and capable of being used as a buffer layer of an electronic device. | 11-21-2013 |
20130306944 | Blends of Fullerene Derivatives, and Uses Thereof in Electronic Devices - Disclosed are compositions of mixed fullerene derivatives with utility in organic semiconductors, and methods of making and using such compositions. In certain embodiments, the present invention relates to compositions of mixed fullerene derivatives further comprising one or more additional fullerene-based components within specified ranges. In certain other embodiments, the invention relates to methods of producing mixed fullerene derivatives of a specific composition from mixed fullerene starting materials, or pure fullerene derivatives of a specific composition from mixed fullerene derivatives. In yet other embodiments, the invention relates to semiconductors and devices comprising a composition of the invention. | 11-21-2013 |
20130306945 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, DISPLAY DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - An object is to provide a light-emitting element which includes an exciplex being used as an energy donor capable of efficiently transferring energy to a substance exhibiting thermally activated delayed fluorescence. The exciplex comprises two kinds of substances and its singlet and triplet excited states are close to each other. Thus, by making light emission of the exciplex overlap with an absorption band on the longest wavelength side which corresponds to absorption by the substance exhibiting thermally activated delayed fluorescence, i.e., an energy acceptor, in a singlet excited state, it becomes possible to achieve efficient energy transfer from a singlet excited state of the exciplex to a singlet excited state of the substance exhibiting thermally activated delayed fluorescence, and it also becomes possible to achieve efficient energy transfer from a triplet excited state of the exciplex to a triplet excited state of the substance exhibiting thermally activated delayed fluorescence. | 11-21-2013 |
20130306946 | TOUCH DISPLAY PANEL - A touch display panel including a array substrate, an opposite substrate, an organic light emitting diode (OLED) structure, a plurality of conductive spacers and a sealant is provided. The OLED structure is disposed on the opposite substrate and located between the array substrate and the opposite substrate. The OLED structure includes a first electrode layer, an organic light emitting layer and a second electrode layer which are sequentially disposed. The first electrode layer is located on the opposite substrate and includes a plurality of touch sensing electrode. The second electrode layer is electrically connected to the array substrate via the conductive spacers. The sealant is sealed the OLED structure and the conductive spacers between the array substrate and the opposite substrate. | 11-21-2013 |
20130306947 | LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - An object is to improve reliability of a light-emitting device. A light-emitting device has a driver circuit portion including a transistor for a driver circuit and a pixel portion including a transistor for a pixel over one substrate. The transistor for the driver circuit and the transistor for the pixel are inverted staggered transistors each including an oxide semiconductor layer in contact with part of an oxide insulating layer. In the pixel portion, a color filter layer and a light-emitting element are provided over the oxide insulating layer. In the transistor for the driver circuit, a conductive layer overlapping with a gate electrode layer and the oxide semiconductor layer is provided over the oxide insulating layer. The gate electrode layer, a source electrode layer, and a drain electrode layer are formed using metal conductive films. | 11-21-2013 |
20130306948 | PEELING METHOD AND METHOD FOR MANUFACTURING DISPLAY DEVICE USING THE PEELING METHOD - The present invention provides a simplifying method for a peeling process as well as peeling and transcribing to a large-size substrate uniformly. A feature of the present invention is to peel a first adhesive and to cure a second adhesive at the same time in a peeling process, thereby to simplify a manufacturing process. In addition, the present invention is to devise the timing of transcribing a peel-off layer in which up to an electrode of a semiconductor are formed to a predetermined substrate. In particular, a feature is that peeling is performed by using a pressure difference in the case that peeling is performed with a state in which plural semiconductor elements are formed on a large-size substrate. | 11-21-2013 |
20130306949 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - An object is to provide a light-emitting element capable of emitting light with a high luminance even at a low voltage, and having a long lifetime. The light-emitting element includes n EL layers between an anode and a cathode (n is a natural number of two or more), and also includes, between m-th EL layer from the anode and (m+1)-th EL layer (m is a natural number, 1≦m≦n−1), a first layer including a first donor material in contact with the m-th EL layer, a second layer including an electron-transport material and a second donor material in contact with the first layer, and a third layer including a hole-transport material and an acceptor material in contact with the second layer and the (m+1)-th EL layer. | 11-21-2013 |
20130306950 | SEMICONDUCTING POLYMER AND ORGANIC ELECTROLUMINESCENCE DEVICE THEREOF - A semiconducting polymer and electronic devices comprising such polymer, in which the polymer has one or more repeat units, a first of the repeat units having the structure | 11-21-2013 |
20130306951 | ORGANIC ELECTROLUMINESCENT DEVICE AND CONDUCTIVE SUBSTRATE THEREOF - An organic electroluminescent device and a conductive substrate thereof are provided. Said conductive substrate includes a glass substrate, an indium tin oxide (ITO) layer and a metal oxide layer located between said glass substrate and said ITO layer. The refractive index of said metal oxide layer ranges between that of said glass substrate and said ITO layer. Due to the metal oxide layer, the refractive index of which ranges between that of the glass substrate and the ITO layer, is inserted into said conductive substrate, when the light extracts between the ITO/metal oxide layer and the metal oxide layer/glass, the critical angle of total reflection increases compared with that without the inserted metal oxide layer. Most part of light extracts out of the interface after refraction, and only small part of light is totally reflected, thus the light extraction enhances. | 11-21-2013 |
20130306952 | PLANAR LIGHT EMITTING DEVICE - A planar light emitting device includes an organic EL element module unit in which plural organic EL elements are arranged side by side, each of the organic EL elements including an anode, a light emitting layer and a cathode that are formed on a first surface side of a first transparent substrate, and a second transparent substrate disposed on a light extraction side of the organic EL element module unit. The organic EL element includes a first through hole wire that is electrically connected to a first part of the anode formed outside a light emitting portion, and a second through hole wire that is electrically connected to a second part of the cathode that extends on the first surface of the first transparent substrate. External connection electrodes for supplying power to the organic EL element module are disposed on a first surface side of the second transparent substrate so as to avoid a projection region of the light emitting portion. | 11-21-2013 |
20130306953 | METHOD FOR PRODUCING ELECTROLUMINESCENCE DEVICE - A method is provided for producing an organic EL device, capable of producing an organic EL device having a long light emission life, an organic EL device produced by the production method, and a planar light source, a lightening system and a display device each having the organic EL device. Included is a method for producing an organic electroluminescence device including a first electrode; a second electrode; and an organic layer including an organic compound provided between the first and second electrodes. The organic layer is formed by a method including an organic thin film forming step of forming, by coating, an organic thin film including an organic compound on a surface of a layer on which the organic layer is formed, under low-humidity; and an organic thin film storing step of storing the organic thin film obtained by the organic thin film forming step, under high-humidity. | 11-21-2013 |
20130306954 | PHOTOELECTRIC ELEMENT - Provided is a photoelectric element that includes an electron transport layer having excellent electron transport properties and a sufficiently large reaction interface and has low resistance loss and excellent conversion efficiency between light and electricity. The photoelectric element includes a first electrode | 11-21-2013 |
20130306955 | NITROGENATED HETEROCYCLIC DERIVATIVE, ELECTRON-TRANSPORTING MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - A specific nitrogen-containing heterocyclic compound having a urea structure, an electron transporting material containing the nitrogen-containing heterocyclic compound, and an organic electroluminescence device including a light emitting layer and an electron transporting layer between a cathode and an anode in which the electron transporting layer includes the electron transporting material or the nitrogen-containing heterocyclic derivative. An organic EL device exhibiting high emission efficiency even at low voltage and a material for organic EL devices are described. | 11-21-2013 |
20130306956 | FLEXIBLE ORGANIC ELECTROLUMINESCENT DEVICE AND MANUFACTURING METHOD THEREOF - A flexible organic electroluminescent device and a manufacturing method thereof are provided. The device comprises a substrate ( | 11-21-2013 |
20130306957 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescence device including an anode, an emitting layer, a blocking layer, an electron-injecting layer and a cathode in this sequence, the emitting layer including a host and a styrylamine derivative having a specific structure; and the triplet energy E | 11-21-2013 |
20130306958 | OXYGEN-CONTAINING FUSED RING DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE COMPRISING THE SAME - An oxygen-containing fused ring derivative represented by the following formula (1) wherein Ar | 11-21-2013 |
20130306959 | AROMATIC HETEROCYCLE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - An aromatic heterocyclic derivative represented by the following formula (1)-1 or (1)-2: | 11-21-2013 |
20130306960 | ORGANIC LIGHT EMITTING DEVICE AND MATERIALS FOR USE IN SAME - The present invention provides an OLED in which an organic thin film emissive layer comprising a single layer or plural layers between a cathode and an anode, wherein the organic thin film layer comprises at least one organic light emitting layer, wherein at least one light emitting layer comprises at least one host material and at least one phosphorescent emitter material, wherein the host material comprises a substituted or unsubstituted hydrocarbon compound having the chemical structure represented by the following formula (1): | 11-21-2013 |
20130306961 | ORGANIC LIGHT EMITTING DEVICE AND MATERIALS FOR USE IN SAME - The present invention provides an OLED in which an organic thin film emissive layer comprising a single layer or plural layers between a cathode and an anode, wherein the organic thin film layer comprises at least one organic light emitting layer, wherein at least one light emitting layer comprises at least one host material and at least one phosphorescent emitter material, wherein the host material comprises a substituted or unsubstituted hydrocarbon compound having the chemical structure represented by the following formula: | 11-21-2013 |
20130306962 | ORGANIC LIGHT EMITTING DEVICE AND MATERIALS FOR USE IN SAME - The OLEDs of the present invention are characterized by providing an organic thin film layer comprising a single layer or plural layers between a cathode and an anode, wherein the organic thin film layer comprises at least one organic light emitting layer, wherein at least one light emitting layer comprises at least one host material and at least one phosphorescent emitter material, wherein the host material comprises a substituted or unsubstituted hydrocarbon compound represented by the formula (1) or (2): | 11-21-2013 |
20130306963 | ORGANIC LIGHT EMITTING DEVICE AND MATERIALS FOR USE IN SAME - The OLEDs of the present invention are characterized by providing an organic thin film layer comprising a single layer or plural layers between a cathode and an anode, wherein the organic thin film layer comprises at least one organic light emitting layer, wherein at least one light emitting layer comprises at least one host material and at least one phosphorescent emitter material, wherein the host material comprises a bis-carbazole derivative host material;
| 11-21-2013 |
20130313527 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD OF PRODUCING THE SAME - An organic light-emitting device and a method of producing the same, the device including a substrate; a first electrode layer on the substrate; an emission layer on the first electrode layer; an electron transport layer on the emission layer, the electron transport layer including first electron transport layers and a second electron transport layer between the first electron transport layers and the second electron transport layer including a lanthanide metal; and a second electrode layer on the electron transport layer. | 11-28-2013 |
20130313528 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate, a display disposed on the substrate, an opposite substrate disposed to face the substrate with the display therebetween, a seal disposed between the substrate and the opposite substrate to couple the substrate to the opposite substrate and arranged around an outer circumference of the display such that the display is located inside the seal, and a support disposed between the substrate and the opposite substrate and arranged around a corner of the seal so that the corner of the seal is located inside the support. | 11-28-2013 |
20130313529 | THIN FILM TRANSISTOR ARRAY SUBSTRATE, ORGANIC LIGHT-EMITTING DISPLAY DEVICE COMPRISING THE SAME, AND METHOD OF MANUFACTURING THE SAME - A thin film transistor array substrate includes a thin film transistor including a gate electrode, an active layer, and source and drain electrodes, a pixel electrode on a same layer as the gate electrode, a lower electrode of a capacitor, the lower electrode being on the same layer as the gate electrode, a first insulating layer on the gate electrode and the lower electrode, a second insulating layer between the active layer and the source and drain electrodes, an upper electrode on the first insulating layer, the upper electrode including a first layer made of a same material as the active layer, and a second layer made of a same material as the source and drain electrodes, and a third insulating layer that covers the source and drain electrodes and the upper electrode and exposes the pixel electrode. | 11-28-2013 |
20130313530 | OXIDE THIN FILM TRANSISTOR, METHOD FOR FABRICATING TFT, DISPLAY DEVICE HAVING TFT, AND METHOD FOR FABRICATING THE SAME - There are provided an oxide TFT, a method for fabricating a TFT, an array substrate for a display device having a TFT, and a method for fabricating the display device. The oxide thin film transistor includes: a gate electrode formed on a substrate; a gate insulating layer formed on the entire surface of the substrate including the gate electrode; an active layer pattern formed on the gate insulating layer above the gate electrode and completely overlapping the gate electrode; an etch stop layer pattern formed on the active layer pattern and the gate insulating layer; and a source electrode and a drain electrode formed on the gate insulating layer including the etch stop layer pattern and the active layer pattern and spaced apart from one another, and overlapping both sides of the etch stop layer pattern and the underlying active layer pattern. | 11-28-2013 |
20130313531 | Organic Electroluminescent Element - Disclosed is an organic electroluminescent element which is excellent with respect to luminous efficiency and driving voltage and rarely undergoes initial luminance drop. Specifically disclosed is an organic electroluminescent element which comprises, on a substrate, a pair of electrodes composed of an anode and a cathode and a light-emitting layer arranged between the electrodes, and additionally comprises at least one organic layer arranged between the light-emitting layer and the cathode, where in the light-emitting layer contains, for example, a compound (A-1), and the at least one layer arranged between the light-emitting layer and the cathode contains, for example, a compound (e-4). | 11-28-2013 |
20130313532 | Charge Transporting Material, Organic Electroluminescent Element, Light Emitting Device, Display Device And Illumination Device - [Problem] To provide a charge transporting material which allows for a low driving voltage and is superior in luminous efficiency and durability. | 11-28-2013 |
20130313533 | Organic Light Emitting Diode Illuminating Device - An organic light emitting diode (OLED) illuminating device includes a substrate, first and second electrode units and a light emitting structure. The first electrode unit is disposed on the substrate, the light emitting structure is disposed on the first electrode unit, and the second electrode unit is disposed on the light emitting structure. The light emitting structure includes a patterned organic light emitting layer having blue light emitting zones and yellow light emitting zones that are spaced apart from each other, that are arranged on the same plane and that are electrically insulated from each other. An area of the blue light emitting zones is larger than that of the yellow light emitting zones. | 11-28-2013 |
20130313534 | METHOD OF PREPARING MOLYBDENUM OXIDE FILMS - Present invention relates to methods of preparing molybdenum oxide inks and molybdenum oxide films, and use of the molybdenum oxide films as hole-transporting layers in optoelectronic devices. The ink for forming a hybrid molybdenum (VI) oxide (MoO | 11-28-2013 |
20130313535 | Organic Light Emitting Device and Method of Fabricating the Same - An organic light emitting device includes a substrate, a first electrode on the substrate, a second electrode, a first stack on the first electrode and including a hole injection layer, a first hole transport layer, a first mixed layer, a second hole transport layer, a first light emitting layer, and a first electron transport layer sequentially laminated, a second stack between the first stack and the second electrode and including a third hole transport layer, a fourth hole transport layer, a second light emitting layer, and a second electron transport layer sequentially laminated, and a charge generation layer between the first stack and the second stack to control charge balance between the first and second stacks. The first mixed layer includes materials used to form the first and second hole transport layers. | 11-28-2013 |
20130313536 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device | 11-28-2013 |
20130313537 | Organic Light-Emitting Element, Method for Manufacturing the Organic Light-Emitting Element, Apparatus for Manufacturing the Organic Light-Emitting Element, and Organic Light-Emitting Device Using the Organic Light-Emitting Element - An organic light-emitting display device is provided that has prolonged service life, lowered wiring resistance that can lower power consumption, and that is easy to manufacture. In a first embodiment, a moisture capturing layer is provided between an upper electrode and a lower electrode. A second embodiment includes a metal substrate, an organic light-emitting element on the substrate and an upper transparent electrode connected to the substrate through a contact hole. In a third embodiment, a method is provided for forming a first organic compound including a light-emitting layer, heating the first organic compound in vacuo, and forming a second organic compound. | 11-28-2013 |
20130313538 | ANTHRACENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - Provided are a novel anthracene derivative of a specific structure in which benzofuran or benzothiophene is bonded to anthracene through an arylene group, a material for an organic electroluminescence device and a light emitting material for an organic electroluminescence device each containing the anthracene derivative, and an organic electroluminescence device including an organic thin film layer formed of one or plural layers including at least a light emitting layer, the organic thin film layer being interposed between a cathode and an anode, in which at least one layer of the organic thin film layer contains the anthracene derivative alone or as a component of a mixture. The organic electroluminescence device has high luminous efficiency and is capable of emitting light with a long lifetime, and the device can be realized by the anthracene derivative. | 11-28-2013 |
20130313539 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device includes a first substrate having transmitting regions and pixel regions separated from each other by the transmitting regions, a plurality of thin film transistors on the first substrate in the pixel regions, a passivation layer covering the plurality of thin film transistors, a plurality of pixel electrodes on the passivation layer and electrically connected to the thin film transistors, the pixel electrodes being in the pixel regions and overlapping the thin film transistors, an opposite electrode in the transmitting regions and the pixel regions, the opposite electrode facing the plurality of pixel electrodes and being configured to transmit light, an organic emission layer interposed between the pixel electrodes and the opposite electrode, and a color filter in corresponding pixel regions. | 11-28-2013 |
20130313540 | METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT AND OPTOELECTRONIC COMPONENT - A method for producing an optoelectronic component includes: providing a substrate, applying a solution to a main side of the substrate, applying a standing ultrasonic field to the substrate and to the solution, curing and drying the solution to form a layer having a wavy top side facing away from the substrate, and applying a layer stack on the top side of the wavy layer, said layer stack being designed to generate light during the operation of the finished component. | 11-28-2013 |
20130313541 | SUBSTRATE, MANUFACTURING METHOD THEREOF, AND ORGANO-ELECTROLUMINESCENT DEVICE USING THE SAME - A substrate, manufacturing method thereof, and an organic electroluminescent device using the same are provided, belonging to photoelectron field. The substrate includes a paper layer ( | 11-28-2013 |
20130313542 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND ILLUMINATION DEVICE - An object is providing an organic electroluminescence element and an illumination device in which a driving voltage does not increase even when a high-productivity sputtering method is used to form a transparent conductive layer of the organic electroluminescence element of a top or top-and-bottom emission type, and hence which has an improved driving voltage. The organic electroluminescence element includes at least a light emitting layer and a transparent conductive layer. Between the light emitting layer and the transparent conductive layer, a transparent protective layer is disposed. The light emitting layer contains a phosphorescence emitting compound. The transparent protective layer contains a metal oxide. The metal oxide is a molybdenum (VI) oxide, a rhenium (VI) oxide or a nickel (II) oxide in an oxygen deficient state. | 11-28-2013 |
20130313543 | ORGANIC EL ELEMENT - Provided is an organic EL element which withstands mass production of organic EL display panels, and promises driving at a low voltage and high luminous efficiency due to excellent hole-injection efficiency. Specifically, an organic EL element is formed by sequentially laminating an anode, a hole injection layer, a buffer layer, a light-emitting layer, and a cathode on one surface of a substrate. The hole injection layer is a at least 2 nm thick tungsten oxide layer formed under predetermined film forming conditions, and includes an occupied energy level that is 1.8 eV to 3.6 eV lower than a lowest energy level of a valence band of the hole injection layer in terms of a binding energy. This reduces the hole injection barrier between the anode and the hole injection layer and the hole injection barrier between the hole injection layer and the buffer layer. | 11-28-2013 |
20130313544 | ORGANIC SEMICONDUCTORS - An optoelectronic device comprising a charge transfer layer including a first semiconductive polymer comprising one or more zwitterions. | 11-28-2013 |
20130320304 | Carrier transport material and electronic device - A carrier transport material and an electronic device are provided. The carrier transport material includes a conjugated polyelectrolyte and a functional organic molecule. The conjugated polyelectrolyte includes a conjugated backbone and at least one alkyl side-chain, where a tail end of the alkyl side-chain has a first ionic group. The functional organic molecule includes a functional main-chain and a second ionic group located at a tail end of the functional organic molecule. Electrostatic attraction is formed between the first ionic group of the conjugated polyelectrolyte and the second ionic group of the functional organic molecule, and the carrier transport material presents an electrically neutral state. | 12-05-2013 |
20130320305 | Memory Device with a Double Helix Biopolymer Layer and Fabricating Method Thereof - The present invention relates to a write-once and read-many-times memory device and the fabricating method thereof. The structure of the memory device comprises: a substrate, a first electrode, a double helix biopolymer layer and a second electrode, and a plurality of metal nanoparticles are distributed in the double helix biopolymer layer. The first electrode is disposed on the substrate, the double helix biopolymer layer is disposed on the first electrode and the substrate, and the second electrode is disposed on the double helix biopolymer layer. When illuminating, the memory device will produce a low-conductivity state and high-conductivity state for writing data. Later, when a voltage is applied to the first electrode and the second electrode, the data will be read. | 12-05-2013 |
20130320306 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus including an active layer and a first insulating layer on a substrate; a gate electrode on first insulating layer and including a first transparent conductive layer and a first metal layer, a second insulating layer on the gate electrode and including contact holes exposing source and drain areas of the active layer; source and drain electrodes including a second metal layer in the contact holes and on the second insulating layer, a pixel electrode on the first insulating layer and including the first transparent conductive layer, a reflection layer, and a second transparent conductive layer, and a pixel-defining layer on the source and drain electrodes and exposing the pixel electrode. The pixel-defining layer covers upper edges of the first transparent conductive layer of the pixel electrode. The reflection layer and the second transparent conductive layer contact sides of the pixel-defining layer. | 12-05-2013 |
20130320307 | Organic Light Emitting Device - The present invention relates to an organic light emitting device comprising a layered structure including a substrate, a bottom electrode and a top electrode, wherein the bottom electrode is closer to the substrate than the top electrode, the region between the bottom electrode and the top electrode defining an electronically active region, wherein the electronically active region comprises a scattering layer having a thickness of less than 50 nm; and an organic light emitting device additionally having at least one light emitting layer in the electronically active region, and this device further comprising a specific chemical compound outside of the electronically active region. | 12-05-2013 |
20130320308 | Organic Light Emitting Display Device and Method for Manufacturing the Same - The present invention has been made in an effort to provide an organic light emitting display device comprising: a substrate; and subpixels formed on the substrate, each of the subpixels comprising an emission layer consisting of a first host layer made of a first host material, a mixed layer made of the first host material, a dopant material, and a second material, and a second host layer made of the second host material. | 12-05-2013 |
20130320309 | ORGANIC IMAGE SENSOR WITH OPTICAL BLACK REGIONS - An organic image sensor includes a first organic photoelectric conversion pixel circuit on an active region of a substrate and a second organic photoelectric conversion pixel circuit on an optical black region of the substrate. The first organic photoelectric conversion pixel circuit includes a first organic photoelectric conversion element configured to generate charges responding to incident light and a first readout circuit configured to receive a first input signal including the charges generated in the first organic photoelectric conversion element. The second organic photoelectric conversion pixel circuit includes a second organic photoelectric conversion element and a second readout circuit configured to receive a second input signal generated irrespective of the incident light. | 12-05-2013 |
20130320310 | ORGANIC ELECTROLUMINESCENT ELEMENT, MATERIALS FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND LIGHT EMITTING DEVICE, DISPLAY DEVICE, OR ILLUMINATION DEVICE, EACH USING THE ELEMENT, AND COMPOUNDS USED IN THE ELEMENT - An organic electroluminescent element comprising: a substrate; a pair of electrodes including an anode and a cathode, disposed on the substrate; and at least one organic layer including a light emitting layer, disposed between the electrodes. At least one of the organic layer contains a compound of general formula (1): A-(B) | 12-05-2013 |
20130320311 | ELECTROLUMINESCENT ORGANIC TRANSISTOR - The present invention relates to a field effect electroluminescent ambipolar organic transistor in which there are two couples of control electrodes, a layer of ambipolar organic semiconductor in direct contact with the source and the drain electrode and two separate dielectric layers, and wherein said dielectric layers are each arranged between the ambipolar organic semiconductor layer and a couple of control electrodes. | 12-05-2013 |
20130320312 | ORGANIC ELECTROLUMINESCENT ELEMENT AND COMPOUND - [Disclosed is] a high-efficiency and durable organic electroluminescent element having a low drive voltage, being an organic electroluminescent element having on a substrate a pair of electrodes comprising an anode and a cathode and at least one organic layer including a light-emitting layer between these electrodes, with this organic electroluminescent element containing a specific compound having a dibenzothiophene or dibenzofuran structure and a phenanthrene structure in at least one layer out of the aforementioned at least one organic layer. [Also disclosed is] this specific compound. | 12-05-2013 |
20130320313 | ORGANIC ELECTRONIC DEVICES, COMPOSITIONS, AND METHODS - Organic electronic devices, compositions, and methods are disclosed that employ electrically conductive nanowires and conducting materials such as conjugated polymers such as sulfonated regioregular polythiophenes which provide high device performance such as good solar cell efficiency. Devices requiring transparent conductors that are resilient to physical stresses can be fabricated, with reduced corrosion problems. | 12-05-2013 |
20130320314 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An OLED display includes: a substrate; a first signal line provided on the substrate; a second signal line crossing the first signal line; a thin film transistor connected to the first signal line and the second signal line; a pixel electrode connected to a drain electrode of the thin film transistor; an emission layer formed on the pixel electrode; a common electrode formed on the emission layer and formed of a reflective material; and a capacitor overlapping the pixel electrode. | 12-05-2013 |
20130320315 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device (OLED) is disclosed. The OLED includes a light-emitting layer, a first electrode, and a second electrode, in which the light-emitting layer is interposed between the first and the second electrodes and includes a first molecular energy level of a host, and a second molecular energy level of a dopant. The first molecular energy level has a highest occupied molecular orbital (HOMO) which is substantially same as the HOMO of the second molecular energy level, or the first molecular energy level has a lowest unoccupied molecular orbital (LUMO) which is substantially the same as to the LUMO of the second molecular energy level. | 12-05-2013 |
20130320316 | FUSED POLYCYCLIC HETEROAROMATIC COMPOUND, ORGANIC THIN FILM INCLUDING COMPOUND AND ELECTRONIC DEVICE INCLUDING ORGANIC THIN FILM - A low-molecular-weight fused polycyclic heteroaromatic compound may have a compact planar structure in which seven or more rings are fused together, and thereby exhibits high charge mobility, and furthermore, enables the use of a deposition process or a room-temperature solution process when applied to devices, therefore realizing improved processibility. An organic thin film and electronic device may include the fused polycyclic heteroaromatic compound. | 12-05-2013 |
20130320317 | THIN FILM TRANSISTOR SUBSTRATE AND DISPLAY - An embodiment of the invention provides a thin film transistor substrate includes: a substrate; and a plurality of transistors, wherein each of the transistors includes a gate electrode disposed on the substrate; a first diffusion barrier layer disposed on the substrate and covering an upper surface and a ring sidewall of the gate electrode; a gate insulating layer disposed on the first diffusion barrier layer; an active layer disposed on the gate insulating layer and over the gate electrode; a source electrode disposed on the substrate and electrically connected to the active layer; a drain electrode disposed on the substrate and electrically connected to the active layer; and a protective layer covering the source electrode and the drain electrode. | 12-05-2013 |
20130320318 | IRIDIUM COMPLEX WITH METHYL-D3 SUBSTITUTION - Novel organic compounds comprising ligands with deuterium substitution are provided. In particular, the compound is an iridium complex comprising methyl-d | 12-05-2013 |
20130320319 | ELECTROACTIVE MATERIALS - There is provided a compound having Formula I or Formula II: | 12-05-2013 |
20130320320 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus for selectively realizing circular polarization according to external light conditions, including a substrate; an organic light-emitting device on the substrate; a sealing member on the organic light-emitting device; a phase retardation layer on a surface of the substrate, the organic light-emitting device, or the sealing member; and a linear polarization layer on another surface of the substrate, the organic light-emitting device, or the sealing member, wherein the linear polarization layer is located to be closer to a source of external light than the phase retardation layer, and wherein the linear polarization layer comprises a photochromic material. | 12-05-2013 |
20130320321 | LIGHT EMITTING DEVICE AND ELECTRONIC APPLIANCE USING THE SAME - A light emitting device comprises a pair of electrodes and a mixed layer provided between the pair of electrodes. The mixed layer contains an organic compound which contains no nitrogen atoms, i.e., an organic compound which dose not have an arylamine skeleton, and a metal oxide. As the organic compound, an aromatic hydrocarbon having an anthracene skeleton is preferably used. As such an aromatic hydrocarbon, t-BuDNA, DPAnth, DPPA, DNA, DMNA, t-BuDBA, and the like are listed. As the metal oxide, molybdenum oxide, vanadium oxide, ruthenium oxide, rhenium oxide, and the like are preferably used. Further, the mixed layer preferably shows absorbance per 1 μm of 1 or less or does not show a distinct absorption peak in a spectrum of 450 to 650 nm when an absorption spectrum is measured. | 12-05-2013 |
20130320322 | TRANSPARENT CONDUCTIVE LAMINATE BODY AND ORGANIC THIN FILM DEVICE - A problem is to provide a transparent conductive laminate body that has a low surface resistivity and an organic thin film device using the laminate body, and the problem is solved by a transparent conductive laminate body having a transparent substrate having laminated directly on at least one surface thereof in this order a conductive metal pattern layer and a conductive organic layer having a conductive organic polymer compound, a material for forming the conductive metal pattern layer being at least one metal selected from gold, silver, copper and platinum, or an alloy having the metal, and an organic thin film device using the same. | 12-05-2013 |
20130320323 | METHOD FOR FABRICATING ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE - A method for fabricating an organic electroluminescence device according to the present invention includes: preparing an organic electroluminescence device having a lower electrode, an organic layer including an emitting layer, an upper electrode, and a shorted part in which the lower electrode and the upper electrode are shorted; and irradiating a part surrounding the shorted part in which the lower electrode and the upper electrode are shorted to alter a material composing the lower electrode or the upper electrode and to form a space between the lower electrode and the upper electrode in a region corresponding to a region surrounded by an altered part. | 12-05-2013 |
20130320324 | ORGANIC EL DEVICE AND METHOD OF MANUFACTURING ORGANIC EL DEVICE - An organic EL device includes: a first substrate having electrical conductivity; an organic layer formed on the first substrate; a second substrate having translucency; and an electrode layer formed on the second substrate. The electrode layer on the first substrate and the organic layer on the second substrate contact each other. The organic layer is not formed in the peripheral portion of the second substrate. In the region where the organic layer is not formed, a portion of the electrode layer is provided to extend, and the first substrate is not present to face the extended electrode layer, and the portion of the electrode layer is exposed to form an electrode portion. Thus, the electrode portion can be formed by a simple procedure in which, for example, the first substrate is removed, and the organic EL device can be efficiently manufactured. | 12-05-2013 |
20130320325 | SURFACE LIGHT-EMITTING OBJECT - A surface light emitter according to an embodiment of the present invention, includes: a base material; a plurality of ribbon-shaped organic electroluminescent elements provided side by side on the base material; and a lenticular sheet that is attached to the base material and the ribbon-shaped organic electroluminescent elements through an adhesion layer, and that has a plurality of convex cylindrical lenses provided side by side. A direction in which the convex cylindrical lenses extend and a direction in which the ribbon-shaped organic electroluminescent elements extend are substantially parallel to each other. | 12-05-2013 |
20130320326 | INSULATING MATERIAL FORMING COMPOSITION FOR ELECTRONIC DEVICES, INSULATING MATERIAL FOR ELECTRONIC DEVICES, ELECTRONIC DEVICES AND THIN FILM TRANSISTOR - A composition for forming an insulating material used in electronic devices which includes, as a polymerizable component, a monomer comprising two or more (meth)acrylic moieties and a polycyclic alicyclic structure. | 12-05-2013 |
20130328018 | FLUORINE-MODIFICATION PROCESS AND APPLICATIONS THEREOF - The present invention is related to a process for reducing surface energy of a hole transport layer. The disclosed process comprises providing a hole transport layer; and providing a fluorine-containing layer directly on said hole transport layer. The configuration of said fluorine-containing layer reduces the structural disorder of an active layer and is able to recover a moisture-degraded hole transport layer, and thereby improves the performance of an electric device containing the same. | 12-12-2013 |
20130328019 | METAL COMPLEX WITH THREE DIFFERENT LIGANDS - Compounds comprising the formula L | 12-12-2013 |
20130328020 | METHOD OF REPAIRING SHORT CIRCUIT DEFECT, AND DISPLAY APPARATUS AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS MANUFACTURED ACCORDING TO THE REPAIRING METHOD - A method of repairing a defective pixel in a display apparatus that includes forming an insulating layer to cover the plurality of second signal wires, cutting both sides of a region of the corresponding second signal wire of the defective pixel and the insulating layer to form both sides of a cut region, forming contact holes adjacent to the both sides of the cut region, respectively, such that an upper portion of the corresponding second signal wire is exposed, forming a repair metal layer on the insulating layer to contact the contact holes and the second signal wire, and forming a repair insulating layer to cover the repair metal layer. | 12-12-2013 |
20130328021 | COMPOUND FOR ORGANIC LIGHT-EMITTING DEVICE AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Embodiments of the present disclosure are directed to a compound represented by Formula 1, and to organic light-emitting diodes including the compound. | 12-12-2013 |
20130328022 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device and a method of manufacturing the organic light-emitting display device are provided. The organic light-emitting display device includes a plurality of pixels each including: a first region including a light-emitting region for emitting light, a first electrode and an emission layer covering the first electrode being located in the light-emitting region; and a second region including a transmissive region for transmitting external light through the display device. The display device also includes: a third region between the pixels; a first auxiliary layer in the first and third regions; a second electrode on the first auxiliary layer in the first and third regions; a second auxiliary layer covering the second electrode and located in the first and second regions and not in the third region; and a third electrode on the second electrode in the third region. | 12-12-2013 |
20130328023 | MOLECULAR MEMORY - A molecular memory device has an insulating film with a cavity, the cavity having an upper portion and a lower portion; a first conductive member with a portion exposed at the lower portion of the cavity; a second conductive member with a portion exposed at the upper portion of the cavity; and a resistance varying-type molecular chain disposed in the cavity and bonded with the first conductive member or the second conductive member. The cavity is wider than at least one of the first conductive member along a first direction and the second conductive member along a second direction. | 12-12-2013 |
20130328024 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL AND MANUFACTURING METHOD - A organic EL display panel and similar are provided so as to constrain a gradual increase in contact resistance between a common electrode and a power supply layer. In a panel including a substrate, a pixel electrode, a power supply layer formed with separation from the pixel electrode, a resin partition layer having an aperture over the power supply layer and over the pixel electrode, an organic light-emitting layer, a functional layer in contact with the organic light-emitting layer in the aperture and electrically connected to the power supply layer, and a common electrode, an inorganic film is disposed between the functional layer and side walls of an opening for the aperture over the power supply layer in the resin partition layer. | 12-12-2013 |
20130328025 | ORGANIC EL DEVICE - An organic EL device including a first film, a second film disposed facing the first film, and an organic EL element interposed between the first film and the second film. The second film has a gas barrier layer containing silicon atoms, oxygen atoms and carbon atoms. The distribution curve of silicon, the distribution curve of oxygen and the distribution curve of carbon of the gas barrier layer meet the following conditions:
| 12-12-2013 |
20130328026 | FERROELECTRIC DEVICES, INTERCONNECTS, AND METHODS OF MANUFACTURE THEREOF - A ferroelectric device comprising: a substrate; a first electrode disposed on the substrate; a ferroelectric layer disposed on and in contact with the first electrode; and a second electrode disposed on and in contact with the ferroelectric layer, wherein at least one of the first electrode and the second electrode is an organic electrode comprising a doped electroconductive organic polymer. | 12-12-2013 |
20130328027 | ORGANIC ELECTROLUMINESCENT ELEMENT - [It is an object] to provide an organic electroluminescent element with which the initial durability of the element can be greatly improved while maintaining the efficiency of the element at a high level. This is an organic electroluminescent element having an anode, a hole injection layer, a first hole transport layer, a second hole transport layer, a light-emitting layer, and a cathode, in this order, with this organic electroluminescent element being such that the aforementioned hole injection layer includes a material for which the energy of the lowest unoccupied molecular orbital (LUMO) is less than −4.0 eV and also such that the energy E | 12-12-2013 |
20130328028 | PHOTOCURABLE COMPOSITION, PROTECTIVE LAYER INCLUDING THE SAME, AND ENCAPSULATED APPARATUS INCLUDING THE SAME - Disclosed are a photocurable composition which includes (A) a photocurable monomer and (B) a monomer represented by Formula 1, and an apparatus including a protective layers formed of the composition; | 12-12-2013 |
20130328029 | Microcavity OLEDS for Lighting - Various methods and systems are provided for related to organic light emitting diodes (OLEDs) having a microcavity. In one embodiment, a white-light source includes a first microcavity organic light emitting diode (OLED) configured to emit a narrow spectrum of blue light; a second microcavity OLED configured to emit a narrow spectrum of green light, and a third microcavity OLED configured to emit a narrow spectrum of red light. In another embodiment, a light source includes a plurality of OLEDs disposed on a glass substrate. Each of the OLEDs is configured to emit light in substantially orthogonal to the glass substrate in a predefined spectrum. Each of the OLEDs includes a semi-reflecting mirror; and an emitting layer, where the emitting layer in each OLED corresponds to a respective color of light emitted by the OLED. | 12-12-2013 |
20130328030 | ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC LIGHT EMITTING MEDIUM - An organic electroluminescence device having a layer of an organic light emitting medium which comprises (A) a specific arylamine compound and (B) at least one compound selected from specific anthracene derivatives, spirofluorene derivatives, compounds having condensed rings and metal complex compounds and is disposed between a pair of electrodes and an organic light emitting medium comprising the above components (A) and (B) are provided. The organic electroluminescence device exhibits a high purity of color, has excellent heat resistance and a long life and efficiently emits bluish to yellowish light. The organic light emitting medium can be advantageously used for the organic electroluminescence device. | 12-12-2013 |
20130328031 | ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE AND LIGHTING DEVICE - Disclosed is an organic electroluminescent device having long life, while exhibiting high luminous efficiency. Also disclosed are an illuminating device and a display, each using such an organic electroluminescent device. In the organic electroluminescent device, a compound represented by the general formula (A) which is suitable as a host material for a phosphorescent metal complex is used at least in one sublayer of a light-emitting layer. | 12-12-2013 |
20130328032 | ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE AND LIGHTING DEVICE - Disclosed is an organic electroluminescent device having long life, while exhibiting high luminous efficiency. Also disclosed are an illuminating device and a display, each using such an organic electroluminescent device. In the organic electroluminescent device, a compound represented by the general formula (A) which is suitable as a host material for a phosphorescent metal complex is used at least in one sublayer of a light-emitting layer. | 12-12-2013 |
20130328033 | THIN-FILM TRANSISTOR DEVICE AND METHOD FOR MANUFACTURING SAME, ORGANIC ELECTROLUMINESCENT DISPLAY ELEMENT, AND ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - A thin film transistor element is formed in each of adjacent first and second apertures defined by partition walls. In plan view of a bottom portion of the first aperture, a center of a total of areas of a source electrode portion and a drain electrode portion is offset from a center of area of the bottom portion in a direction opposite a direction of the second aperture, and in plan view of a bottom portion of the second aperture, a center of a total of areas of a source electrode portion and a drain electrode portion is offset from a center of area of the bottom portion in a direction opposite a direction of the first aperture. | 12-12-2013 |
20130328034 | THIN-FILM TRANSISTOR DEVICE AND METHOD FOR MANUFACTURING SAME, ORGANIC ELECTROLUMINESCENT DISPLAY ELEMENT, AND ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - In a thin film transistor device, partition walls define first, second, and third apertures. In plan view, at a bottom portion of the first aperture, a center of a total of areas of a source electrode portion and a drain electrode portion is offset from a center of area of the bottom portion in a direction differing from a direction of the third aperture, and at a bottom portion of one of the first and second apertures, a center a total of areas of a source electrode portion and a drain electrode portion is offset from a center of area of the bottom portion in a direction differing from a direction of the other one of the first and second apertures. | 12-12-2013 |
20130328035 | THIN-FILM TRANSISTOR ELEMENT AND METHOD FOR MANUFACTURING SAME, ORGANIC ELECTROLUMINESCENT DISPLAY ELEMENT, AND ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - A thin film transistor element includes a gate electrode, an insulating layer formed on the gate electrode, and partition walls formed on the insulating layer and defining a first aperture above the gate electrode. The thin film transistor element further includes, at a bottom portion of the first aperture, a source electrode and a drain electrode that are in alignment with each other with a gap therebetween, a liquid-philic layer, and a semiconductor layer that covers the source electrode, the drain electrode, and the liquid-philic layer as well as gaps therebetween. The liquid-philic layer has higher liquid philicity than the insulating layer, and in plan view of the bottom portion of the first aperture, a center of area of the liquid-philic layer is offset from a center of area of the bottom portion of the first aperture. | 12-12-2013 |
20130328036 | METHOD FOR PRODUCING ORGANIC TRANSISTOR, ORGANIC TRANSISTOR, METHOD FOR PRODUCING SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE, AND ELECTRONIC APPARATUS - Provided is a method of producing an organic transistor, including collectively forming a gate insulating film and an organic semiconductor film by applying, onto a gate electrode, a solution including a polymer and at least one of compounds represented by General Formulas 1 to 4 and 5 to 7, a compound having a structure represented by General Formula 4, a compound having a structure represented by General Formula 5 or 6, and forming a source electrode and a drain electrode on the organic semiconductor film. | 12-12-2013 |
20130328037 | ORGANIC ELECTROLUMINESCENT ELEMENT, LIGHTING DEVICE, AND DISPLAY DEVICE - An object of the present invention is to provide an organic electroluminescent element that has low drive voltage, high emission efficiency, long endurance and an excellent effect of preventing generation of dark spots. Another object of the present invention is to provide a lighting device and a display device each including the organic electroluminescent element. The organic electroluminescent element according to the present invention includes an anode, a cathode, and an emissive layer, and the organic electroluminescent element includes a layer containing compound A that has a difference of 0 nm or more and 5 nm or less between the maximum emission wavelength on the shortest wavelength side in an emission spectrum measured at 300 K and the maximum emission wavelength on the shortest wavelength side in an emission spectrum measured at 77 K. | 12-12-2013 |
20130328038 | PHOSPHORESCENT MATERIAL, PROCESS FOR PRODUCING PHOSPHORESCENT MATERIAL, AND PHOSPHORESCENT ELEMENT - Provided are a phosphorescent material which is excellent in horizontal orientation and the like when a thin film is formed, a process for efficiently producing the phosphorescent material, and a light emitting element using the phosphorescent material. | 12-12-2013 |
20130328039 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL AND ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - A hole injection layer and a second electrode are both formed to be continuous above a first electrode and above an auxiliary wiring. The hole injection layer contains a tungsten oxide. An UPS spectrum, obtained from a UPS measurement, has a protrusion appearing near a Fermi surface and within a region corresponding to a binding energy range lower than a top of a valence band, and the tungsten oxide satisfies a condition, determined from an XPS measurement, that a ratio in a number density of atoms other than tungsten atoms and oxygen atoms to the tungsten atoms does not exceed 0.83. | 12-12-2013 |
20130328040 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device comprising, between an anode and a cathode, a hole-transporting layer, a luminous layer and an electron-transporting layer, wherein the hole-transporting layer contains an arylamine compound (X) having a molecular structure to which three or more triphenylamine skeletons are singly bonded or bonded through a divalent hydrocarbon group and an arylamine compound (Y) having a molecular structure to which two triphenylamine skeletons are singly bonded or bonded through the divalent hydrocarbon group. The device excels in hole and electron injection/transport property, stability and durability in the form of thin films, and is highly efficient, becomes luminous on a low driving voltage, and has a long life. | 12-12-2013 |
20130328041 | COMPOUNDS HAVING BIPYRIDYL GROUP AND CARBAZOLE RING, AND ORGANIC ELECTROLUMINESCENT ELEMENT - The present invention relates to a compound having a bipyridyl group and a carbazole ring, which is represented by the following general formula (1); and an organic electroluminescent element containing a pair of electrodes and at least one organic layer interposed therebetween, in which the compound is used as a constituent material of the at least one organic layer: | 12-12-2013 |
20130334501 | Field-Effect P-N Junction - Embodiments described herein provide a field-effect p-n junction. In some embodiments, the field-effect p-n junction includes (1) an ohmic contact, (2) a semiconductor layer above the ohmic contact, (3) at least one rectifying contact above the semiconductor layer, where the lateral width of the rectifying contact is less than the semiconductor depletion width of the semiconductor layer, and (4) a gate above the rectifying contact. In some embodiments, the field-effect p-n junction includes (1) an ohmic contact, (2) a semiconductor layer above the ohmic contact, (3) a thin top contact above the semiconductor layer, where the out of plane thickness of the thin top contact is less than the Debye screening length of the thin top contact, and (4) a gate above the thin top contact. | 12-19-2013 |
20130334502 | DISPLAY PANEL AND METHOD FOR MANUFACTURING THE SAME - The present invention provides a display panel and a method for manufacturing the same. The display panel comprises a substrate, pixels, active elements and storage capacitors, and the active elements and storage capacitors are disposed in the pixels. Each of the storage capacitors includes a first storage electrode and a second storage electrode, and the second storage electrode is disposed in a recess of an insulating layer and positioned to the first storage electrode. In the method for manufacturing the display panel, portions of the insulating layer are removed to form the recesses positioned to the first storage electrodes. The present invention can increase the aperture ratio of the pixels. | 12-19-2013 |
20130334503 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes a plurality of first emission units, each including a first organic light-emitting device configured to emit light in at least a first direction and through a first display surface, a plurality of second emission units, each including a second organic light-emitting device configured to emit in a second direction opposite to the first direction and through a second display surface. The first emission units and the second emission units are alternately disposed. The apparatus further includes a transmissive area disposed adjacent to but not overlapping with the plurality of first emission units and the plurality of second emission units when viewed from a direction perpendicular to the first display surface, and capable of transmitting external light through the first and second display surfaces in the transmissive area. | 12-19-2013 |
20130334504 | ORGANIC LIGHT EMITTING DIODE LIGHTING DEVICES - An organic light emitting diode (OLED) device includes a substrate, an anode, a cathode, an active region including an organic material, wherein the active region is electrically coupled to the anode and the cathode, at least one coupler configured to electrically couple at least one of the anode or the cathode to a power supply, and an encapsulation that isolates the active region from an ambient environment. A lighting system can be made including a plurality of OLED devices. A lighting system can be assembled using the OLED devices from a kit. The OLED devices may be polymer light emitting diode (PLED) devices or small molecule light emitting diode (SMOLED) devices. The OLED devices can use regio-regular poly-thiophene. | 12-19-2013 |
20130334505 | Polymers, Their Preparation and Uses - A polymer containing an optionally substituted repeat unit of formula (I) wherein each R is the same or different and represents H or an electron withdrawing group, and each R | 12-19-2013 |
20130334506 | ORGANIC ELECTROLUMINESCENT ELEMENT - It is an object of the present invention to provide an organic electroluminescent element with which no light extraction layer needs to be produced separately, which has a transparent electrode that is advantageous in terms of cost and a simple film formation process, and which is excellent from the standpoint of light extraction efficiency. The present invention provides an organic electroluminescent element in which a substrate, a first electrode adjacent to this substrate, an organic layer including at least one organic light-emitting layer, and a second electrode adjacent to this organic layer are formed in this order, with this organic electroluminescent element being such that at least one of the aforementioned electrodes is a transparent electrode which is transparent, which contains at least one type of light scattering particles that are transparent and that have a primary particle size of at least 0.5 μm, and which is composed of the aforementioned light scattering particles and a component having a refractive index equal to or higher than the refractive index of the aforementioned organic light-emitting layer. | 12-19-2013 |
20130334507 | Organic EL Light Emitting Device and Manufacturing Method Thereof - There is provided a layered color filter which can improve optical selectivity, without reducing optical transparency, an organic EL light emitting device on which such a layered color filter is mounted, and a fabrication method of such an organic EL light emitting device. The layered color filter includes a substrate | 12-19-2013 |
20130334508 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING AN ORGANIC LIGHT EMITTING DIODE DISPLAY - An OLED display is disclosed which included gate wires provided on a substrate and extended in a first direction, data wires provided on the gate wires and extended in a second direction that crosses the first direction; a pixel circuit including first thin film transistors respectively connected to the gate wires and the data wires; and an organic light emitting diode connected to the pixel circuit. The thin film transistor includes a first active layer provided on the substrate to connect the data wires and the organic light emitting diode, and includes a channel area, a source area, and a drain area. The source area and the drain area doped with an impurity and a first gate electrode is not doped with the impurity and provided on the first active layer, interposing sequentially layered first and second insulation layers therebetween. | 12-19-2013 |
20130334509 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - Organic light-emitting display devices are provided. One organic light-emitting display device includes a substrate, a first wire on the substrate, a second wire insulated from and crossing the first wire, and a static electricity dispersion pattern insulated from and crossing the second wire. Another organic light-emitting display device includes: a substrate; a gate line and a data line on the substrate, insulated from and crossing each other; a dummy wire that is part of a same layer as one of the gate line or the data line, and having at least one end aligned with a sidewall of the substrate; a dummy intersection wire insulated from and crossing the dummy wire; and a static electricity dispersion pattern insulated from and crossing the dummy intersection wire. | 12-19-2013 |
20130334510 | ELECTRONIC DEVICES WITH IMPROVED SHELF LIVES - Embodiments of the present invention provide electronic devices such as OLEDs that have enhanced mechanical integrity and prolonged shelf, by minimizing the spread of a delamination region using topographical non-uniformities introduced in the device structure. For example, a device may be made deliberately non-planar by introducing multiple energy barriers which can prevent or minimize the propagation of a delamination, because the delamination will have to cross the energy barriers in order to spread to a larger area. | 12-19-2013 |
20130334511 | METHOD FOR DEPOSITION OF HIGH-PERFORMANCE COATINGS AND ENCAPSULATED ELECTRONIC DEVICES - A method is disclosed for forming leak-free coatings on polymeric or other surfaces that provide optical functions or protect underlying layers from exposure to oxygen and water vapor and do not crack or peel in outdoor environments. This method may include both cleaning and surface modification steps preceding coating. The combined method greatly reduces defects in any barrier layer and provides weatherability of coatings. Specific commercial applications that benefit from this include manufacturing of photovoltaic devices or organic light emitting diode devices (OLED) including lighting and displays. | 12-19-2013 |
20130334512 | ORGANIC ELECTROLUMINESCENT ELEMENT, COMPOSITION FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to an organic electroluminescent element which comprises two or more hole injection/transport layers each formed by a wet film formation method using a composition containing, as a hole-injecting/transporting compound, an arylamine polymer compound that has a repeating unit having a triarylamine structure therein, in which when the number of atoms present on the path which is the smallest in the number of atoms present thereon, of the paths which each connect the nonaromatic tertiary nitrogen atoms contained in any two triarylamine structures present in each polymer compound, is taken as N: the minimum number of atoms between nitrogen atoms in the compound, then the N in each hole injection/transport layer is in a specific state. | 12-19-2013 |
20130334513 | THIN-FILM TRANSISTOR DEVICE AND METHOD FOR MANUFACTURING SAME, ORGANIC ELECTROLUMINESCENT DISPLAY ELEMENTS AND ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - A thin film transistor element is formed in each of a first aperture and a second aperture defined by partition walls, which further define a third aperture that is adjacent to the first aperture with a gap therebetween and is located in a direction, from the first aperture, differing from a direction of the second aperture. In plan view, at a bottom portion of the first aperture, a center of area of a liquid-philic layer portion is offset from a center of area of the bottom portion in a direction differing from a direction of the third aperture, and at a bottom portion of one of the first and second apertures, a center of area of a liquid-philic layer portion is offset from a center of area of the bottom portion in a direction differing from a direction of the other one of the first and second apertures. | 12-19-2013 |
20130334514 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode (OLED) display and a method for manufacturing the same are provided. The OLED display includes a substrate, an active layer and a capacitor lower electrode positioned on the substrate, a gate insulating layer positioned on the active layer and the capacitor lower electrode, a gate electrode positioned on the gate insulating layer at a location corresponding to the active layer, a capacitor upper electrode positioned on the gate insulating layer at a location corresponding to the capacitor lower electrode, a first electrode positioned to be separated from the gate electrode and the capacitor upper electrode, an interlayer insulating layer positioned on the gate electrode, the capacitor upper electrode, and the first electrode, a source electrode and a drain electrode positioned on the interlayer insulating layer, and a bank layer positioned on the source and drain electrodes. | 12-19-2013 |
20130334515 | MASK ASSEMBLY AND ORGANIC LIGHT EMITTING DIODE DISPLAY MANUFACTURED USING THE SAME - A mask assembly includes a frame forming an opening, and a plurality of unit masks which form a plurality of deposition openings, the longitudinal ends of the unit masks being fixed to the frame. At least two adjacent ones of the plurality of unit masks have deposition recesses formed on both sides facing each other. The width of the deposition recesses along a width direction of the unit masks is equal to or greater than the width of the deposition openings along the width direction of the unit masks. | 12-19-2013 |
20130334516 | OPTOELECTRONIC COMPONENT HAVING DOPED LAYERS - The invention relates to an organic electronic or optoelectronic component, comprising an electrode and a counter-electrode and a layer system between the electrode and the counter-electrode, wherein the layer system contains at least one organic layer and at least one doped layer, wherein the dopant in the doped layer represents a stronger Lewis acid than antimony pentafluoride (SbF5) or a stronger Lewis base than 1,8-bis(dimethylamino)napthalene based on the calculation of fluoride ion affinity. | 12-19-2013 |
20130334517 | NOVEL COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING SAME - The present invention relates to a novel compound and an organic light emitting device comprising the same. The compound according to the present invention may be used as hole injection, hole transport, electron injection and transport, and light emitting materials in an organic light emitting device, and the organic light emitting device according to the present invention has excellent properties in terms of efficiency, driving voltage, and life-span. | 12-19-2013 |
20130334518 | COMPOUND AND ORGANIC ELECTRICAL ELEMENT USING SAME, AND ELECTRONIC DEVICE AND HEAT-RESISTANCE MEASURING METHOD THEREWITH - The present invention provides a diphenyl diamine derivative which is a combination of a nitrogen-containing diphenyl fluorenyl group and a spiro fluorenyl group; an organic electroluminescent device using the same; and a display apparatus which uses the organic electroluminescent device. | 12-19-2013 |
20130334519 | Organic Light-Emitting Component and Use of a Copper Complex in a Charge Transport Layer - An organic light-emitting component has an active layer for emitting electromagnetic radiation. It also has an anode and an organic charge transport layer, arranged between the active layer and the anode, for transporting charge carriers from the anode to the active layer. The anode can be used to decouple electromagnetic radiation emitted by the active layer from the organic light-emitting component. The organic charge transport layer comprises a copper complex which has at least one ligand with the chemical structure as per a formula I. | 12-19-2013 |
20130334520 | GERMOLE CONTAINING CONJUGATED MOLECULES AND POLYMERS - Embodiments of the invention are directed to Ge comprising heterocyclic compounds which can be used for the preparation of homopolymers and copolymers. The copolymers can be donor-acceptor (DA) alternating copolymers where the donor unit is a Ge comprising heterocyclic unit. The polymers can be used as materials in solar cells and other photovoltaic devices, transistors, diodes, light emitting devices (LEDs), conductors, supercapacitors, batteries, and electrochromic devices. | 12-19-2013 |
20130334521 | NOVEL ORGANOMETALLIC COMPOUND, AND ORGANIC LIGHT-EMITTING DIODE USING SAME - The present invention relates to a novel organometallic compound, and more particularly, to a luminescent organometallic compound in which intermolecular interaction is inhibited by means of introducing a germanium substituent, thereby improving light-emitting characteristics. The present invention also relates to an organic electronic device, specifically, to an organic light-emitting diode using the compound. According to the present invention, a germanium substituent is introduced to the parent organometallic iridium compound, thus inhibiting an intermolecular interaction in the solid state and enabling the compound of the present invention to be effectively used in solution processing. When the compound of the present invention is used as part of a light-emitting layer of an organic light-emitting diode, the light-emitting efficiency of the light-emitting diode may be significantly improved. Therefore, the compound of the present invention may be effectively used as a material for an organic light-emitting diode. | 12-19-2013 |
20130341597 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display including a display panel, a chip on film, and a printed circuit (PCB) is disclosed. In one embodiment, the display panel includes a display area having an OLED and a pixel circuit, and a pad area in an outer side of the display area. The chip on film is connected to the pad area, is bent toward a non-luminescent surface of the display panel, and include an integrated circuit chip. The PCB includes at least a part overlapping with the chip on film in an outer side of the non-luminescent surface of the display panel, and an opening for receiving the integrated circuit. | 12-26-2013 |
20130341598 | ORGANIC LAYER DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS USING THE SAME, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED USING THE METHOD - An organic layer deposition apparatus, a method of manufacturing an organic light-emitting display device by using the same, and an organic light-emitting display device manufactured using the method, and in particular, an organic layer deposition apparatus that is suitable for use in the mass production of a large substrate and enables high-definition patterning, a method of manufacturing an organic light-emitting display device by using the same, and an organic light-emitting display device manufactured using the method. | 12-26-2013 |
20130341599 | Phosphorescent Emitters - Heteroleptic compounds containing phenylpyridine and phenylbenzimidazole are provided. The compounds may be used in organic light emitting devices, particularly as emissive dopants in the emissive layer of such devices. | 12-26-2013 |
20130341600 | PHOSPHORESCENT EMITTERS - A phenzasilin comprising compound, and devices and formulations including the same are described. The compound includes a ligand L | 12-26-2013 |
20130341601 | DONOR SUBSTRATES, LASER INDUCED THERMAL IMAGING METHODS USING DONOR SUBSTRATES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES USING DONOR SUBSTRATES - A donor substrate includes a base substrate, a light to heat conversion layer, a buffer layer and a transfer layer. The light to heat conversion layer may be disposed on the base substrate. The buffer layer may be disposed on the light to heat conversion layer. The buffer layer may include at least one porous layer having a plurality of pores. The transfer layer may be disposed on the buffer layer. | 12-26-2013 |
20130341602 | ORGANIC ELECTROLUMINESCENCE DEVICE, LIGHTING EQUIPMENT AND DISPLAY DEVICE - Provided are an organic electroluminescence device which has a high luminous efficiency, a low operating voltage and a long life and which is low in a voltage rise in operation and excellent in an ageing stability and has an aptitude in production by the wet process, and a lighting equipment and a display device which are prepared by using the same. | 12-26-2013 |
20130341603 | DISPLAY - Disclosed herein is a display including an acceptor substrate having thereon a red light-emitting element column, a green light-emitting element column, and a blue light-emitting element column that are arranged along a row direction and are each obtained by arranging rectangular organic light-emitting elements for generating light of one of red, green, and blue along a longitudinal direction of the organic light-emitting elements. | 12-26-2013 |
20130341604 | COMPOUND HAVING SUBSTITUTED ANTHRACENE RING STRUCTURE AND PYRIDOINDOLE RING STRUCTURE AND ORGANIC ELECTROLUMINESCENCE DEVICE - The present invention provides an organic compound having excellent properties, which is excellent in electron-injection/transport performance, has hole-blocking ability and is high stability in a thin-film state, as a material for an organic electroluminescence device having a high efficiency and a high durability, and provides is an organic electroluminescence device having a high efficiency and a high durability using the compound. The present invention relates to a compound having a substituted anthracene ring structure and a pyridoindole ring structure represented by general formula (1); and an organic electroluminescence device having a pair of electrodes and at least one organic layer interposed between the electrodes in which the at least one organic layer contains the compound. | 12-26-2013 |
20130341605 | Substrate For OLED And Method Of Manufacturing The Same - A substrate for an organic light-emitting device (OLED) and a method of manufacturing the same, in which the light extraction efficiency and process efficiency of the OLED can be improved. The substrate for an OLED that includes a base substrate, a first metal oxide thin film coating one surface of the base substrate, the first metal oxide thin film having a first texture on a surface thereof, a second metal oxide thin film coating the other surface of the base substrate, and a third metal oxide thin film coating a surface of the second metal oxide thin film. | 12-26-2013 |
20130341606 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, DISPLAY DEVICE, AND ELECTRONIC APPARATUS - A light-emitting element includes an anode, a cathode, a luminescent layer disposed between the anode and the cathode and containing a host material, a first luminescence-assisting layer disposed in contact with the luminescent layer between the anode and the luminescent layer and containing a first luminescence-assisting material having characteristics the same as or similar to the host material, and a second luminescence-assisting layer disposed in contact with the luminescent layer between the cathode and the luminescent layer and containing a second luminescence-assisting material having characteristics the same as or similar to the host material. The luminescent layer and the first luminescence-assisting layer each contain a high-molecular-weight material. | 12-26-2013 |
20130341607 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - Discussed is a method of fabricating an organic light emitting diode display device capable of simplifying a manufacturing process by forming a photoresist pattern to cover a metal pattern to prevent a hole common layer and an electron common layer from being formed on the metal pattern. | 12-26-2013 |
20130341608 | Composite Material, Light-Emitting Element, Light-Emitting Device, and Manufacturing Method Thereof - It is an object of the present invention to provide a composite material that can be used for manufacturing a heat-resistant light-emitting element, provide a composite material that can be used for manufacturing a heat-resistant light-emitting element that can be driven with stability for a long period of time, and further, provide a composite material that can be used for manufacturing a light-emitting element that easily prevents short circuit between electrodes and uses less power. The present invention provides a composite material that has a first metal oxide skeleton including a first metal atom and an organic compound that is bound to the first metal atom by forming a chelate, where the first metal oxide exhibits an electron accepting property to the organic compound. | 12-26-2013 |
20130341609 | HIGH EFFICIENCY YELLOW LIGHT EMITTERS FOR OLED DEVICES - Novel heteroleptic iridium complexes are described. These iridium compounds contain alkyl substituted phenylpyridine ligands, which provide these compounds with beneficial properties when the iridium complexes are incorporated into OLED devices. | 12-26-2013 |
20130341610 | TRANSPARENT ORGANIC LIGHT EMITTING DIODE LIGHTING DEVICE - Provided is a transparent organic light emitting diode (OLED) lighting device in which opaque metal reflectors are formed to adjust light emitting directions. The transparent OLED lighting device includes a transparent substrate, a transparent anode formed on a predetermined region of the transparent substrate, a reflective anode formed adjacent to the transparent anode on another region of the transparent substrate, an organic layer formed on the transparent and reflective anodes, and a transparent cathode and an encapsulation substrate sequentially stacked on the organic layer. Directions of light emitted from the organic layer vary depending on the current applied to the transparent and reflective anodes. | 12-26-2013 |
20130341611 | Methods of Applying Polymers to Surfaces and Surfaces Coated by Polymers - Disclosed herein, in certain embodiments, is a method of depositing a polymer onto a surface. In some embodiments, the method comprises using a high electric field and a high frequency vibratory motion to deposit a polymer solution onto the surface. | 12-26-2013 |
20130341612 | ORGANIC ELECTROLUMINESCENCE ELEMENT - Provided is an organic EL element having a high emission efficiency, a light emission life, and excellent high-temperature preservation stability. This organic electroluminescence element has at least one light-emitting layer between a positive electrode and a negative electrode. The light-emitting layer comprises at least one type of light-emitting dopant and at least three types of non-emitting organic materials represented by general formula (2); of the non-emitting organic materials, the material with the largest molecular weight has a molecular weight of 1,500 or less; and the minimum content of the non-emitting organic materials is 1 mass % or greater. | 12-26-2013 |
20130341613 | LIGHT EMITTING DEVICE MATERIAL AND LIGHT EMITTING DEVICE - Provided are a light emitting device material which contains a compound having a carbazole skeleton of a specific structure and which makes it possible to achieve a light emitting device having both high luminance efficiency and durability; and a light emitting device using the light emitting device material. | 12-26-2013 |
20130341614 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device, which may be configured to prevent moisture or oxygen from penetrating the organic light-emitting display device from the outside is disclosed. An organic light-emitting display device, which is easily applied to a large display device and/or may be easily mass produced is further disclosed. Additionally disclosed is a method of manufacturing an organic light-emitting display device. An organic light-emitting display device may include, for example, a thin-film transistor (TFT) including a gate electrode, an active layer insulated from the gate electrode, source and drain electrodes insulated from the gate electrode and contacting the active layer and an insulating layer disposed between the source and drain electrodes and the active layer; and an organic light-emitting diode electrically connected to the TFT. The insulating layer may include, for example, a first insulating layer contacting the active layer; and a second insulating layer formed of a metal oxide and disposed on the first insulating layer. | 12-26-2013 |
20140001442 | NOVEL FUSED RING COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 01-02-2014 |
20140001443 | ORGANIC LIGHT-EMITTING COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 01-02-2014 |
20140001444 | ORGANIC LIGHT-EMITTING DEVICE INCLUDING MULTI-LAYERED HOLE TRANSPORT LAYER, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME | 01-02-2014 |
20140001445 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 01-02-2014 |
20140001446 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE | 01-02-2014 |
20140001447 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME | 01-02-2014 |
20140001448 | ORGANIC ELECTROLUMINESCENCE DEVICE | 01-02-2014 |
20140001449 | N-PHENYL TRISCARBAZOLE | 01-02-2014 |
20140001450 | ORGANIC LIGHT EMITTING DIODE, METHOD FOR MANUFACTURING SAME, IMAGE DISPLAY DEVICE, AND ILLUMINATING DEVICE | 01-02-2014 |
20140001451 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE, AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME | 01-02-2014 |
20140001452 | METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE | 01-02-2014 |
20140001453 | ORGANIC INSULATING LAYER COMPOSITION, METHOD OF FORMING ORGANIC INSULATING LAYER, AND ORGANIC THIN FILM TRANSISTOR INCLUDING THE ORGANIC INSULATING LAYER | 01-02-2014 |
20140001454 | SOLID-STATE IMAGING DEVICE AND MANUFACTURING METHOD THEREOF, AND ELECTRONIC APPARATUS | 01-02-2014 |
20140001455 | Spectrally Tunable Broadband Organic Photodetectors | 01-02-2014 |
20140001456 | AROMATIC HETEROCYCLIC DERIVATIVE, MATERIAL FOR ORGANIC ELETROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT | 01-02-2014 |
20140001457 | ORGANIC ELECTROLUMINESCENT ELEMENT | 01-02-2014 |
20140001458 | NITROGEN-CONTAINING AROMATIC COMPOUNDS AND ORGANIC ELECTROLUMINESCENT DEVICE | 01-02-2014 |
20140001459 | ELECTRONIC DEVICE | 01-02-2014 |
20140001460 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICES AND ORGANIC ELECTROLUMINESCENT DEVICES MADE BY USING THE SAME | 01-02-2014 |
20140001461 | INDENOFLUORENEDIONE DERIVATIVE, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT | 01-02-2014 |
20140008617 | NOVEL SILYLATED METAL COMPLEXES - Novel metal complexes containing silyl substitution are provided. Depending on the location of the substitution, compounds that emit in the yellow or green portions of the spectrum can be produced. These compounds are useful as components of OLED devices. | 01-09-2014 |
20140008620 | ORGANIC ELECTROLUMINESCENT DEVICES - [It is an object] to provide an organic electroluminescent element having a transparent electrode, with which there is no need to produce a separate light extraction layer, [which can be produced by] a simple film formation process, and which is advantageous in terms of cost. [This is] an organic electroluminescent element in which a substrate, a first transparent electrode that is adjacent to this substrate, an organic layer including at least one organic light-emitting layer, a second transparent electrode, a low refractive-index layer with a refractive index of 1.3 or less, and a reflector layer are formed in this order, with this organic electroluminescent element being such that the aforementioned first transparent electrode contains at least one type of transparent particle with a primary particle size of 0.5 μm or more. | 01-09-2014 |
20140008621 | ORGANIC ELECTROLUMINESCENT DEVICES - An organic electroluminescent element that includes a light-emitting layer composed of a light-emitting composition containing at least one type of host material and at least one type of light-emitting material is provided. The host material is a fluorescent anthracene derivative, which fluoresces blue light under DC current with a current density of 25 mA/cm | 01-09-2014 |
20140008622 | HOLE TRANSPORT POLYMERIC COMPOUND AND POLYMER LIGHT EMITTING DIODE USING THE SAME - A hole transport polymeric compound and a polymer light emitting diode using the same. The hole transport polymeric compound includes a hole transport material, a thermal cross-linking agent containing an ethynyl group, and a compound represented by [Formula 1], and can be applied to a polymer light emitting diode. In addition, the hole transport polymeric compound has excellent hole transport capabilities and has stability in solvents so as to be insoluble in a solvent used upon stacking other organic layers and blocking electrons well. | 01-09-2014 |
20140008623 | Optoelectronic Component and Use of a Copper Complex in a Charge Generation Layer Sequence - Different embodiments of the optoelectronic component have an organic layer structure for isolating charge carriers of a first charge carrier type and charge carriers of a second charge carrier type. The organic layer structure comprises a copper complex which has at least one ligand with the chemical structure as per a formula (I). In this formula, E1 and E2 are each one of the following elements independently of one another: oxygen, sulphur or selenium. R is chosen from the group comprising: hydrogen or substituted or unsubstituted, branched, linear or cyclic hydrocarbons. | 01-09-2014 |
20140008626 | CURABLE COMPOSITION, CURED PRODUCT, AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - Provided are a curable composition for an organic electroluminescent element, which has high light emission efficiency and is applicable to a wet process, a cured product thereof, and an organic electroluminescent element containing the cured product in an organic layer. More specifically, provided are a curable composition containing a compound represented by an indolocarbazole skeleton compound, a cured product obtained by curing the curable composition, and an organic electroluminescent element containing the cured product in an organic layer. | 01-09-2014 |
20140008627 | ORGANIC EL DEVICE AND METHOD OF MANUFACTURING ORGANIC EL DEVICE - A method of manufacturing an organic EL device includes: irradiating a light-emitting region of the organic EL device which includes a defective portion with a laser beam under a first irradiation condition; observing a state of an irradiation mark formed in the light-emitting region through the irradiation with the laser beam in the irradiating under a first irradiation condition; determining a second irradiation condition for resolving a defect caused by the defective portion, based on the first irradiation condition and the observed state of the irradiation mark; and irradiating the light-emitting region with a laser beam under the second irradiation condition determined in the determining of a second irradiation condition. | 01-09-2014 |
20140008628 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic EL device includes a metal layer which is provided with a nano-order-sized unevenness on one surface and plural organic layers which include a light emitting layer provided on the one surface side of the metal layer, and a height of each unevenness at respective interfaces in the organic layer is made smaller than an unevenness provided on the metal layer. According to the above configuration, the unevenness on one surface of the metal layer changes a surface plasmon to a propagation light and a light loss can be suppressed, and moreover, each unevenness of respective interfaces of the respective organic layers is made smaller than the unevenness on the surface of the metal layer, so that a short circuit inside the device can be suppressed. | 01-09-2014 |
20140008629 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescent element in accordance with the present invention includes: a transparent electrode; a blue light-emitting layer containing a blue light-emitting material having a maximum emission wavelength 460 nm or less; a first green light-emitting layer containing a first green light-emitting material having a maximum emission wavelength in the spectrum between 460 nm and 610 nm; a red light-emitting layer containing a red light-emitting material having a maximum emission wavelength of 610 run or more; a second green light-emitting layer containing a second green light-emitting material having a maximum emission wavelength in the spectrum between 460 nm and 610 nm; and a reflecting electrode. The maximum emission wavelength of the first green light-emitting material is located on a short wavelength side of the spectrum. The maximum emission wavelength of the second green light-emitting material is located on a long wavelength side of the spectrum. | 01-09-2014 |
20140008630 | ORGANIC ELECTROLUMINESCENT ELEMENT - The organic electroluminescent element which is designed to emit white light in a range of a low color temperature to a high color temperature that is important for an illumination light source with a minor design change, and, moreover, has high color rendering property especially in an average color rendering index Ra and a special color rendering index R9 for red, while being highly efficient and long-life is obtained. The organic electroluminescent element includes: a transparent electrode; a first light-emitting unit including blue and green fluorescent light-emitting layers; an intermediate layer; a second light-emitting unit including red and green phosphorescent light-emitting layers; and a reflecting electrode. The first and second light-emitting units are stacked and the intermediate layer is interposed therebetween. The first light-emitting unit is designed to emit light by use of a phenomenon that a singlet exciton is generated by collision and fusion of two triplet excitons. | 01-09-2014 |
20140008631 | ORGANIC ELECTROLUMINESCENT ELEMENT - The present invention proposes a white organic electroluminescent element which is a multiunit element capable of emitting high intensity light that is important to a light source for lighting use, and can have an extended lifetime while suppressing deterioration in luminance. The organic electroluminescent element includes: a transparent electrode; and a first light-emitting unit including a blue fluorescent light-emitting layer containing a blue fluorescent light-emitting material; an intermediate layer; and a second light-emitting unit including a red phosphorescent light-emitting layer containing a red phosphorescent light-emitting material and a green phosphorescent light-emitting layer containing a green phosphorescent light-emitting material; and a reflecting electrode, wherein: the first and second light-emitting units are stacked having the intermediate layer interposed therebetween; and a film thickness (tR) of the red phosphorescent light-emitting layer and a film thickness (tG) of the green phosphorescent light-emitting layer satisfy a relation of 5*tR≦tG. | 01-09-2014 |
20140008636 | ORGANIC ELECTROLUMINESCENCE DEVICE - There is provided an organic electroluminescence device including: first and second electrode layers; a light-emitting layer | 01-09-2014 |
20140008637 | ELECTROLUMINESCENT COMPOSITION AND ELECTRIC DEVICE WITH HIGH BRIGHTNESS - The present invention is to provide a composition that can provide an electroluminescent device emitting light with high brightness. The present invention provides following: a composition including a polymer compound comprising one or more structural unit(s) selected from the group consisting of a structural unit represented by Formula (1), a structural unit represented by Formula (3), a structural unit represented by Formula (5), a structural unit represented by Formula (16), a structural unit represented by Formula (18), a structural unit represented by Formula (20), and a structural unit represented by Formula (22) and an ionic compound represented by Formula (23); an organic film and an electric device comprising the composition. | 01-09-2014 |
20140014906 | ORGANIC LIGHT-EMITTING DIODE STRUCTURE AND DISPLAY DEVICE THEREOF - The present invention is provided an organic light emitting diode structure and display device therefor, wherein an organic light emitting diode comprises a transparent substrate; and multi-rowed and multi-columned light emitting pixel units formed on the transparent substrate, which comprising a plurality of light emitting pixels. The organic light emitting diode also comprises ultraviolet light emitting pixels for emitting ultraviolet light. The present invention is caused the OLED display device to carry out colorful display and also can use to be ultraviolet light. | 01-16-2014 |
20140014907 | DISPLAY APPARATUS AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS - A display apparatus includes a plurality of first wirings extending in a first direction and a plurality of second wirings extending in a second direction crossing the first direction. Differing first identification patterns are present on the plurality of corresponding first wirings to identify the plurality of first wirings, and differing second identification patterns are present on the plurality of corresponding second wirings to identify the plurality of second wirings. | 01-16-2014 |
20140014908 | DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A display apparatus includes a substrate including a display area, an encapsulation member facing the substrate, a pad unit around the display area of the substrate, the pad unit including a contact area and an exposure area that is spaced apart from the contact area, and a flexible printed circuit (FPC) that is connected to the contact area of the pad unit and is curved towards the encapsulation member. | 01-16-2014 |
20140014909 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes: a substrate; a pixel electrode disposed on the substrate; an intermediate layer that is disposed on the pixel electrode and includes an organic light-emitting layer; a facing electrode disposed on the intermediate layer; and a thin film encapsulating layer disposed on the facing electrode, wherein the thin film encapsulating layer includes: a first inorganic film and a second inorganic film, which are disposed on the facing electrode; a first organic film that is disposed between the first inorganic film and the second inorganic film and has a first thickness; and a second organic film that is disposed on the second inorganic film and has a second thickness greater than the first thickness. | 01-16-2014 |
20140014910 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus is provided. The organic light-emitting display apparatus includes: a pixel electrode for reflecting incident light and located on a substrate including a thin film transistor (TFT), and electrically connected to the TFT; an organic layer on the pixel electrode and including an emission layer; and an opposite electrode on the organic layer and including a resonant region for forming a resonant structure with the pixel electrode by reflecting light emitted from the emission layer, and a non-resonant region that is a region other than the resonant region. | 01-16-2014 |
20140014911 | LED PHOSPHOR AND FABRICATING METHOD THEREOF - The present invention relates to a LED (light-emitting diode) phosphor and fabricating method thereof, and particularly relates to a LED phosphor having a light-emitting thin film (or photoluminescence thin film) made of an organic material and a zinc oxide microstructure (or nanostructure) and a method for fabricating the LED phosphor by hydrothermal method and combination of the organic material and the zinc oxide microstructure (or nanostructure). In this invention, the light-emitting thin film (or photoluminescence thin film) made of the organic material and the zinc oxide microstructure (or nanostructure) is applied instead of rare earth elements to fabricate the LED phosphor. Therefore, the cost of the LED phosphor and the white LED can be reduced and the processes for fabricating the LED phosphor and the white LED can be simplified. | 01-16-2014 |
20140014912 | PIXEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE HAVING THE SAME - A pixel of an organic light emitting display device includes a transistor configured to output a first source voltage, an organic light emitting diode coupled to the transistor, and a wiring configured to be applied with a reference voltage to ground a leakage current of the transistor. The organic light emitting diode includes a first electrode configured to receive the first source voltage, a first common layer on the first electrode, an organic light emitting layer on the first common layer, and a second electrode on the organic light emitting layer and configured to be applied with a second source voltage different from the first source voltage. The first common layer is coupled to the wiring. | 01-16-2014 |
20140014913 | METHOD OF REPAIRING SHORT CIRCUIT DEFECT, AND DISPLAY APPARATUS AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS MANUFACTURED BY USING THE METHOD - A method of repairing a defective pixel in a display apparatus includes cutting both sides of a region of the corresponding second signal wire of the defective pixel, forming an insulating layer to cover the second signal wires, forming contact holes adjacent to both sides of the cut region, respectively, such that an upper surface of the second signal wire is exposed, forming a repair metal layer on the insulating layer to contact the contact holes and the second signal wire, and forming a repair insulating layer to cover the repair metal layer. | 01-16-2014 |
20140014914 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device includes a substrate, a first electrode on the substrate, a particle located between the substrate and the first electrode, an insulation pattern that is on the first electrode and that corresponds to the particle, an intermediate layer that is on the insulation pattern and that is electrically connected to the first electrode, the intermediate layer including an organic emission layer, and a second electrode on the intermediate layer. | 01-16-2014 |
20140014915 | DUAL MODE DISPLAY DEVICES AND METHODS OF MANUFACTURING THE SAME - Disclosed are dual mode display devices and methods of manufacturing the same. The dual mode display device may include a first substrate, a first electrode on the first substrate, a second substrate opposite to the first electrode and the first substrate, a second electrode between the second substrate and the first electrode, a third electrode between the first electrode and the second electrode, an optic switching layer between the first electrode and the third electrode, and an organic light-emitting layer between the second electrode and the third electrode. | 01-16-2014 |
20140014916 | COMPOUND FOR ORGANIC LIGHT-EMITTING DEVICE AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Embodiments of the present invention are directed to a compound represented by Formula 1, and to an organic light-emitting device including the compound. | 01-16-2014 |
20140014917 | ORGANIC LAYER DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS USING THE SAME, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED USING THE METHOD - An organic layer deposition apparatus, a method of manufacturing an organic light-emitting display device by using the same, and an organic light-emitting display device manufactured using the method, and in particular, an organic layer deposition apparatus that is suitable for use in the mass production of a large substrate and enables high-definition patterning, a method of manufacturing an organic light-emitting display device by using the same, and an organic light-emitting display device manufactured using the method. | 01-16-2014 |
20140014918 | ORGANIC LAYER DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICE USING THE APPARATUS, AND ORGANIC LIGHT EMITTING DISPLAY DEVICE MANUFACTURED USING THE METHOD - An organic layer deposition apparatus, a method of manufacturing an organic light-emitting display device by using the same, and an organic light-emitting display device manufactured using the method, and in particular, an organic layer deposition apparatus that is suitable for use in the mass production of a large substrate and enables high-definition patterning, a method of manufacturing an organic light-emitting display device by using the same, and an organic light-emitting display device manufactured using the method. | 01-16-2014 |
20140014919 | FLAT PANEL DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A flat panel display device includes: a substrate; an insulating layer having first, second, and third openings; a plurality of first lines on the insulating layer overlapped with the first openings, extending in a first direction, and including a first organic light-emitting layer; a plurality of second lines on the insulating layer overlapped with the second openings, extending in the first direction, and including a second organic light-emitting layer that is different from the first organic light-emitting layer; and a plurality of third lines on the insulating layer overlapped with the third openings, extending in the first direction, and including a third organic light-emitting layer that is different from the first and second organic light-emitting layers. Adjacent first and second lines are partially overlapped with each other, and the first, second, and third lines are not overlapped with the openings overlapped with other ones of the lines. | 01-16-2014 |
20140014920 | METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED BY USING THE METHOD - A method of manufacturing an organic light-emitting display apparatus and an organic light-emitting display apparatus manufactured by using the method. A method of manufacturing an organic light-emitting display apparatus includes continuously depositing an organic layer of a linear pattern on a substrate; depositing a second electrode on the organic layer; and forming a passivation layer on the second electrode to cover the second electrode. | 01-16-2014 |
20140014921 | ORGANIC LAYER DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS BY USING THE SAME, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED BY THE METHOD - An organic layer deposition apparatus includes: a conveyer unit including a transfer unit for attaching a substrate, a first conveyer unit, and a second conveyer unit; and a deposition unit including a vacuum chamber and an organic layer deposition assembly for depositing an organic layer on the substrate. The organic layer deposition assembly includes: a deposition source for discharging a deposition material; a deposition source nozzle unit including a plurality of deposition source nozzles; a patterning slit sheet including a plurality of patterning slits that are arranged in a first direction; and a deposition source shutter that moves in the first direction, and selectively blocks the deposition material that is vaporized in the deposition source. The transfer unit moves between the first and second conveyer units. The transfer unit keeps the attached substrate spaced apart from the organic layer deposition assembly while being transferred by the first conveyer unit. | 01-16-2014 |
20140014922 | PHOSPHORESCENT EMITTERS CONTAINING DIBENZO[1,4]AZABORININE STRUCTURE - A dibenzo[1,4]azaborine comprising compound, and devices and formulations including the same are described. The compound includes a ligand L | 01-16-2014 |
20140014923 | SUBSTRATE FOR AN ORGANIC ELECTRONIC DEVICE AND AN ORGANIC ELECTRONIC DEVICE COMPRISING THE SAME - A substrate including a base substrate; a scattering layer which is formed on the base substrate, includes a binder and scattering particles for scattering light, and has an uneven structure formed on a surface thereof opposite the base substrate; and a planarizing layer which is formed on the scattering layer and has a flat surface formed thereon, is provided. Here, the refractive index Na of the scattering particles and the refractive index Nb of the planarizing layer satisfy the expression |Na−Nb|≧0.3, an organic electronic device including the substrate, and a method of manufacturing the same are provided. Light-extraction efficiency can be improved and the manufacturing process can be simplified without degrading device performance. | 01-16-2014 |
20140014924 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING OF THE SAME - An organic light emitting display apparatus includes: a substrate; an insulation layer on the substrate and including first regions that are arranged along a first direction and second regions that are adjacent to the first regions and are arranged along the first direction; first lines on the insulation layer to cover the first regions and including first organic light-emitting layers; and second lines on the insulation layer to cover the second regions and including second organic light-emitting layers different from the first organic light-emitting layers. A portion of the first regions and a portion of the second regions facing each other are not parallel to the first direction. | 01-16-2014 |
20140014925 | NOVEL COMPOUND WITH ELECTRON INJECTION AND/OR ELECTRON TRANSPORT CAPABILITIES AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A compound represented by Formula 1 below and an organic light-emitting device including the compound are provided: | 01-16-2014 |
20140014926 | ORGANIC LIGHT EMITTING DIODE, AND PANEL AND DISPLAY USING THE SAME - An organic light emitting diode, and a panel and a display using the same are disclosed. The organic light emitting diode of the present invention comprises: a reflecting layer; a resonance enhancing layer disposed on the reflecting layer; a first electrode disposed on the resonance enhancing layer, wherein the resonance enhancing layer is disposed between the reflecting layer and the first electrode; an organic layer disposed on the first electrode; and a second electrode disposed on the organic layer, wherein the organic layer is disposed between the first electrode and the second electrode. | 01-16-2014 |
20140014927 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device including a first electrode, a second electrode facing the first electrode, and an organic layer between the first electrode and the second electrode, wherein the organic layer includes an electron transport layer (ETL), and the electron transport layer (ETL) includes a compound represented by the following Chemical Formula 1 and an alkali metal complex. | 01-16-2014 |
20140014928 | ORGANIC EL ELEMENT, RADIATION-SENSITIVE RESIN COMPOSITION, AND CURED FILM - The organic EL display element is constituted by having a substrate, a TFT disposed on the substrate, a protective film covering the TFT, an anode disposed on the protective film, an organic luminescent layer disposed on the anode, a bank that defines an arranging area for the organic luminescent layer, and a cathode disposed on the organic luminescent layer. At least one of the protective film and bank is constituted as a cured film that is formed by using a radiation-sensitive resin composition containing a resin and a compound having a quinonediazide structure, contains a resin and at least one of a compound having a quinonediazide structure and a compound having an indenecarboxylic acid structure, and has an excellent patterning property. | 01-16-2014 |
20140014929 | ORGANIC LAYER DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS USING THE SAME, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED USING THE METHOD - An organic layer deposition apparatus, a method of manufacturing an organic light-emitting display apparatus by using the same, and an organic light-emitting display apparatus manufactured using the method. The organic layer deposition apparatus includes a conveyer unit including first and second conveyer units, loading and unloading units, and a deposition unit. A transfer unit moves between the first and second conveyer units, and the substrate attached to the transfer unit is spaced from a plurality of organic layer deposition assemblies of the deposition unit while being transferred by the first conveyer unit. The organic layer deposition assemblies include common layer deposition assemblies and pattern layer deposition assemblies. | 01-16-2014 |
20140014930 | Organic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A novel organic compound with which the emission characteristics, emission efficiency, and reliability of a light-emitting element can be improved is provided. The organic compound has an imidazo[1,2-f]phenanthridine skeleton and a dibenzothiophene skeleton or a dibenzofuran skeleton bonded through an arylene group. The light-emitting element including the organic compound in a light-emitting layer shows high efficiency and low power consumption. | 01-16-2014 |
20140014931 | RADIATION-EMITTING ORGANIC-ELECTRONIC DEVICE AND METHOD FOR THE PRODUCTION THEREOF - A process of producing a radiation-emitting organic-electronic device having a first and a second electrode layer and an emitter layer includes: A) providing a phosphorescent emitter with an anisotropic molecule structure and a matrix material, B) applying the first electrode layer to a substrate, C) applying the emitter layer under thermodynamic control, with vaporization of the phosphorescent emitter and of the matrix material under reduced pressure and deposition thereof on the first electrode layer such that molecules of the phosphorescent emitter are in anisotropic alignment, and D) applying the second electrode layer on the emitter layer. | 01-16-2014 |
20140014932 | METHOD OF MANUFACTURING ORGANIC ELEMENT, METHOD OF BONDING ORGANIC MOLECULAR CRYSTAL LAYER, METHOD OF MANUFACTURING FINE LINEAR CONDUCTOR, ORGANIC ELEMENT, AND FINE LINEAR CONDUCTOR - [Object] To provide a method of bonding an organic molecular crystal layer, which is capable of bonding an organic molecular crystal layer to an electrode by controlling the alignment of the organic molecular crystal layer, and to provide a method of manufacturing an organic element using the bonding method. | 01-16-2014 |
20140014933 | ORGANIC ELECTROLUMINESCENT ELEMENT AND LIGHTING FIXTURE - The objective of the present invention is to propose an organic electroluminescent element capable of realizing lighting with which a person feels comfortable irrespective of change in luminance of emitted light. The organic electroluminescent element according to the present invention is constituted by a plurality of layers stacked. The organic electroluminescent element has such characteristics that, in a range of 100 cd/m | 01-16-2014 |
20140014934 | ORGANIC THIN-FILM TRANSISTOR AND METHOD OF MANUFACTURING ORGANIC THIN-FILM TRANSISTOR - A transistor manufacturing method includes: forming a gate electrode above a substrate; forming a gate insulator above the gate electrode; forming source and drain electrodes above the gate insulator; forming a sacrificial layer above the source and drain electrodes; forming a partition wall layer above the sacrificial layer; forming an opening by patterning the partition wall layer to partly expose the sacrificial layer; removing the sacrificial layer to expose the source and drain electrodes; and forming an organic semiconductor layer to cover the source and drain electrodes and the gate insulator, wherein the source and drain electrodes occupy 50% or more of a surface area of the opening, and the source and drain electrodes are spaced apart at an interval smaller than an average granular diameter of crystals each of which is at least partly positioned above the source or drain electrode. | 01-16-2014 |
20140014935 | POLYMER COMPOUND AND LIGHT-EMITTING ELEMENT USING THE SAME - A polymer compound is provided having a constitutional unit represented by the following formula (1): | 01-16-2014 |
20140014936 | ORGANIC THIN FILM AND ORGANIC ELECTROLUMINESCENT ELEMENT CONTAINING SAME IN LIGHT-EMITTING LAYER - In an organic thin film (a light emitting layer) of an organic EL element, an organic thin film having an emitting material which is made up of an organic polymer main backbone polymerized with a molecular chain, which emits light having a maximum value at a wavelength different from a wavelength at which an emission spectrum emitted by the main backbone itself has a maximum value, and nanosized particles which are mixed into the emitting material is used as the light emitting layer. According to the above configuration, the maximum values of the emission spectra of light emitted by the molecular chain and the main backbone of the emitting material can be increased. Moreover, the light which has the emission spectra having the plural maximum values can be generated without depending on the plural emitting materials, so that the light emitting layer can be manufactured easily. | 01-16-2014 |
20140014937 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic electroluminescent element superior in long-term durability and lifetime characteristics. The organic electroluminescent element has a structure where plurality of light-emitting layers formed via an intermediate layer are interposed between a positive electrode and a negative electrode. The intermediate layer has a mixed layer, a first layer, and a hole-injection layer which are formed in this order from the positive electrode to the negative electrode, the mixed layer containing an electron-donating substance and an electron-transporting organic material, and the first layer being made of an electron-transporting material. The first layer has a thickness in a range of 0.2 to 2.0 nm. The hole-injection layer consists of an electro-accepting organic material. | 01-16-2014 |
20140014938 | LIGHT-EMITTING ELEMENT AND ILLUMINATING APPARATUS - A light-emitting device comprising: an organic electroluminescence element that has a light-emitting surface and emits light from the light-emitting surface; and a structure layer that is provided directly or indirectly on the light-emitting surface of the organic electroluminescence element, wherein the structure layer has a concavo-convex structure on a surface of the structure layer, the surface being opposite to the organic electroluminescence element, the concavo-convex structure including a first streak array extending in a first direction that is parallel to the surface, a second streak array extending in a second direction that is parallel to the surface and intersects the first direction, and a third streak array extending in a third direction that is parallel to the surface and intersects the first direction and the second direction, the concavo-convex structure includes flat surface portions parallel to the light-emitting surface and an inclined surface portion that is inclined with respect to the light-emitting surface, and a projected area formed by projecting the inclined surface portion upon a plane parallel to the flat surface portions in a direction perpendicular to the flat surface portions is 0.1 times or less times a total area of the flat surface portions. | 01-16-2014 |
20140014939 | ORGANIC EL LIGHT EMITTING DEVICE, MANUFACTURING METHOD THEREFOR, AND ORGANIC EL ILLUMINATION DEVICE - An organic EL light emitting device includes a transparent substrate, a transparent electrode film formed on the substrate, a positive electrode contact portion in contact with a part of the transparent electrode film and electrically connected therewith, an insulating layer formed on the transparent electrode film such that the an insulating layer covers a portion excluding a light emitting part, an organic light emitting layer formed on the transparent electrode film and on the insulating layer, a negative electrode film formed on the organic light emitting layer, a negative electrode contact portion in contact with at least a part of the negative electrode film and electrically connected therewith, and a protective layer for separating and electrically insulating the positive electrode contact portion and the transparent electrode film from the negative electrode contact portion. | 01-16-2014 |
20140014940 | ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to phosphorescent organic electroluminescent devices which have a low concentration of the phosphorescent emitter in the emitting layer. | 01-16-2014 |
20140014941 | COLOR CONVERSION FILM AND MULTICOLOR-EMITTING, ORGANIC ELECTROLUMINESCENT DEVICE COMPRISING THE COLOR CONVERSION FILM - A color conversion film that absorbs light from an organic electroluminescent part emitting blue-green light and converts the light to visible light at a longer wavelength. The color conversion film includes two different dyes. A first dye is a polymer dye with an average molecular weight of 1000 to 1,000,000 that absorbs light incident on the color conversion film and transfers the energy of the light to a second dye. The second dye is a dye that receives the energy from the first dye and emits light. With a multicolor-emitting, organic electroluminescent device including the color conversion film, it is possible to achieve excellent conversion efficiency without increasing the thickness of the color conversion film as in a conventional device using a binder resin. Such an organic electroluminescent device may include as well a pair of electrodes at least one of which is a transparent electrode, and an organic electroluminescent layer sandwiched between the electrodes. | 01-16-2014 |
20140021447 | Diarylamino Substituted Metal Complexes - Heteroleptic complexes having at least one diarylamino or carbazole group, as shown in Formula (I), are provided: | 01-23-2014 |
20140021448 | NAPHTHALENE-DIIMIDE-HETEROCYCLE-NAPHTHALENE DIIMIDE OLIGOMERS AS ORGANIC SEMICONDUCTORS AND TRANSISTORS THEREFROM - The various inventions and/or their embodiments disclosed herein relate to certain naphthalene diimide (NDI) compounds wherein the NDI groups are bonded to certain subclasses of bridging heteroaryl (hAr) groups, such as the “NDI-hAr-NDI” oligomeric compounds, wherein hAr is a heteroaryl group chosen to provide desirable electronic and steric properties, and the possible identities of the “R | 01-23-2014 |
20140021449 | PHOSPHORESCENT MATERIALS - Novel organic compounds containing a twisted aryl group are provided. In particular, the compounds provided contain a 2-phenylpyridine ligand having a twisted aryl group on the pyridine portion of the ligand. The compounds may be used in organic light emitting devices, particularly as emitting dopants. Devices comprising the compounds containing twisted aryl may demonstrate improved color, efficiency, stability and manufacturing. Additionally, methods are provided for making homoleptic Ir (III) compounds which may contain a twisted aryl. | 01-23-2014 |
20140021450 | LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting device is provided comprising a stack of layers including—an electro-optical layer structure ( | 01-23-2014 |
20140021451 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device comprises, between an anode and a cathode, a hole injection layer, a hole-transporting layer, a luminous layer and an electron-transporting layer, wherein the hole injection layer contains an arylamine compound (α) having three or more triphenylamine skeletons, the hole-transporting layer contains an arylamine compound (β) having two triphenylamine skeletons, and the electron-transporting layer contains an electron-transporting compound having an anthracene ring skeleton and a pyridoindole ring skeleton. The organic EL device emits light highly efficiently, drives on a low voltage, and features excellent durability and long life. | 01-23-2014 |
20140021452 | SCREEN FOR DISPLAYING INFORMATION FOR AN OPTICAL DEVICE - Disclosed is a screen for displaying information for an optical device which is arranged within an optical path of an optical device. The screen for displaying information includes a transparent organic light-emitting diode (TOLED) which emits light in accordance with power and an input control signal. | 01-23-2014 |
20140021453 | METHODS OF MAKING BIS-TRIDENTATE CARBENE COMPLEXES OF RUTHENIUM AND OSMIUM - Novel polydentate carbene complexes of ruthenium and formulations containing the same are provided. Organic light emitting device containing the novel polydentate carbene complexes of ruthenium in an emissive layer are also provided. The novel polydentate carbene complexes of ruthenium may be particularly useful in OLEDs to provide devices having improved performance. | 01-23-2014 |
20140021454 | DEVICE FOR SPRAYING, METHOD THEREFOR, AND ORGANIC ELECTRONIC CONSTRUCTION ELEMENT - The embodiments relate to a device and a method for spraying coatings of organic construction elements. The embodiments relate, in particular, to the spraying of coatings made up of components that do not dissolve in the same solvent, for example, and/or the spraying of a plurality of coatings one after the other. A plurality of spray heads is used, for example one after the other and/or next to one another. | 01-23-2014 |
20140021455 | LIGHT EMITTING DEVICE, DISPLAY APPARATUS, AND ELECTRONIC APPARATUS - In a display panel, a first electron injection layer is formed between an anode and a light-emitting functional layer, and a hole injection layer is formed between the anode and the first electron injection layer. In other words, the hole injection layer, the first electron injection layer, and the light-emitting functional layer are configured to be laminated on the anode in this order. An electron injection material used for the first electron injection layer is diffused into the hole injection layer, and the diffused electron injection material inhibits or promotes hole transportation of the hole injection layer, so that the amount of holes transported to a light-emitting functional layer is adjusted. As a result, the carrier balance is improved. | 01-23-2014 |
20140021456 | ORGANIC SEMICONDUCTOR POLYMER, COMPOSITION FOR ORGANIC SEMICONDUCTOR MATERIAL, AND PHOTOVOLTAIC CELL - An organic semiconductor polymer comprising a structural unit represented by the following Formula (I), a composition for organic semiconductor material, a photovoltaic cell and a polymer. | 01-23-2014 |
20140021457 | THIN FILM TRANSISTOR, ORGANIC EL LIGHT EMITTING DEVICE, AND METHOD OF FABRICATING THIN FILM TRANSISTOR - A thin film transistor according to the present disclosure including: a gate electrode above a substrate; a gate insulating layer covering the gate electrode; a semiconductor layer above the gate insulating layer; and a source electrode and a drain electrode which are above the gate insulating layer, and electrically connected to the semiconductor layer, in which the gate insulating layer includes a first area and a second area, the first area being above the gate electrode, the second area being different from an area above the gate electrode, and made of a same substance as the first area, and the first area has a higher density than a density of the second area. | 01-23-2014 |
20140021458 | ORGANIC ELECTRO-LUMINESCENCE DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - In an organic electro-luminescence display panel, an organic EL element is formed on a substrate. In the organic EL element, a first electrode formed on the substrate and has an electrode portion and a connection portion. A planarizing layer is formed around edges of the electrode portion. The planarizing layer planarizes a boundary with the first electrode. A partitioning wall has an aperture at an inside thereof. The partitioning wall is formed to be separated from the edges of the electrode portion toward the planarizing layer. A luminescent medium layer includes at least an organic luminescent layer and formed, in the aperture of the partitioning wall, on the first electrode and the planarizing layer. A second electrode is formed to be separated by the luminescent medium layer from the first electrode. | 01-23-2014 |
20140021459 | LIGHT EMITTING DEVICE - A light emitting device is provided which can prevent a change in gate voltage due to leakage or other causes and at the same time can prevent the aperture ratio from lowering. A capacitor storage is formed from a connection wiring line, an insulating film, and a capacitance wiring line. The connection wiring line is formed over a gate electrode and an active layer of a TFT of a pixel, and is connected to the active layer. The insulating film is formed on the connection wiring line. The capacitance wiring line is formed on the insulating film. This structure enables the capacitor storage to overlap the TFT, thereby increasing the capacity of the capacitor storage while keeping the aperture ratio from lowering. Accordingly, a change in gate voltage due to leakage or other causes can be avoided to prevent a change in luminance of an OLED and flickering of screen in analog driving. | 01-23-2014 |
20140021460 | TRANSLUCENT SUBSTRATE AND SUBSTRATE OF ORGANIC LED - An organic LED element includes a transparent substrate; a light scattering layer formed on the transparent substrate; a transparent first electrode formed on the light scattering layer; an organic light emitting layer formed on the first electrode; and a second electrode formed on the organic light emitting layer, wherein the light scattering layer includes a base material made of glass, and a plurality of scattering substances dispersed in the base material, and wherein a coating layer, which is not a molten glass, is provided between the light scattering layer and the first electrode. | 01-23-2014 |
20140021461 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE ELEMENT, AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING THE MATERIAL - An organic electroluminescence device comprising a compound having a specific structure having a heteroatom and an organic electroluminescence device which comprises a cathode, an anode and an organic thin film layer which comprises at least one layer comprising at least a light emitting layer and is disposed between the cathode and the anode, wherein at least one layer in the organic thin film layer comprises the above compound. The device provides excellent efficiency of light emission, forms no defects in pixels, exhibits excellent heat resistance and has a long life. | 01-23-2014 |
20140021462 | METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT - A method for manufacturing an organic electroluminescent element comprising an anode and a cathode on/over a base, and at least three organic layers between the anode and the cathode, may include forming at least one of the organic layers by a method including applying an application liquid for the organic layer comprising a material for forming the organic layer and a solvent on the anode, the cathode or the organic layer; and heating the organic layer after the applying so as to remove 90% by mass or more of the solvent in the application liquid for the organic layer within two seconds. | 01-23-2014 |
20140021463 | ORGANIC ELECTROLUMINESCENCE ELEMENT - The organic electroluminescence element according to the present invention includes: a light-emitting layer; a first electrode layer on a first surface in a thickness direction of the light-emitting layer; a second electrode layer on a second surface in the thickness direction of the light-emitting layer; an electrically conductive layer; and an insulating layer. The light-emitting layer emits light when a predetermined voltage is applied between the first and second electrode layers. The second electrode layer includes an electrode part covering the second surface and an opening part formed in the electrode part to expose the second surface therethrough. The electrically conductive layer allows the light to pass therethrough, and formed on an exposed region of the second surface exposed through the opening part so as to be electrically connected to the electrode part and the light-emitting layer. The insulating layer is interposed between the electrode part and the second surface. | 01-23-2014 |
20140027716 | HETEROLEPTIC CYCLOMETALLATED IR(III) COMPLEXES HAVING A CYCLOMETALLATED 6-MEMBERED RING - Heteroleptic cyclometallated complexes having a 6-membered ring cyclometallated to the metal, as shown in Formula (I), are provided: | 01-30-2014 |
20140027717 | PIXEL CONTROL STRUCTURE, ARRAY, BACKPLANE, DISPLAY, AND METHOD OF MANUFACTURING - Pixel control structure for use in a backplane for an electronic display, including a transistor that has a gate, a source, a drain, and an organic semiconductor element. The pixel control structure is formed by a first patterned conductive layer portion, a second patterned conductive layer portion, a dielectric layer portion, and an organic patterned semiconductive layer portion. The dielectric layer portion comprises an overlap region defined by overlap of the second conductive layer portion over the first conductive layer portion. The overlap region defines an overlap boundary, defined by an edge portion of the first patterned conductive layer portion and an edge portion of the second patterned conductive layer portion. The patterned semiconductive layer portion extends over the overlap region and away from the overlap region so as to extend from both first and second edge portions. | 01-30-2014 |
20140027718 | OLED DEVICE - An OLED device comprises an anode, a hole transport layer, a luminance layer, an electron transport layer and a cathode. Molecules of film materials of forming the carrier transport layer all stand upright on the electrodes. A long axis of the molecule is perpendicular to the electrodes. There forms vertical conjugated planes between the molecules of each molecule layer in the film. These conjugated planes are parallel to each other and perpendicular to the electrodes. Therefore, the carrier transportation of the OLED device of the present invention mainly relies on the conjugated bonds in the molecules, but not the transition between the molecules, thereby efficiently improving the carrier mobility, reducing the working pressure and the power consumption of the OLED device, and improving the performance of the OLED device. | 01-30-2014 |
20140027719 | PIXEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE USING THE SAME - A pixel includes an organic light emitting diode, a first transistor that controls an amount of current supplied to the organic light emitting diode, a second transistor connected between a gate electrode of the first transistor and an initialization power supply, and a third transistor diode-connected between an anode electrode of the organic light emitting diode and the initialization power supply. | 01-30-2014 |
20140027720 | Organic Light-Emitting Display Device and Method of Manufacturing the Same - An organic light-emitting display device and a method of manufacturing the same. The organic light-emitting display device includes: an active layer that is formed by patterning a semiconductor layer formed by laser crystallization; a gate electrode that is disposed to correspond to a channel area of the active layer; a first insulating layer that is disposed between the active layer and the gate electrode; a second insulating layer that is disposed on the gate electrode; and first test patterns that are formed on the second insulating layer and contact source and drain regions of the active layer and the gate electrode, respectively. | 01-30-2014 |
20140027721 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Embodiments of the invention are directed to a condensed-cyclic compound represented by Formula 1, and to an organic light-emitting device including the condensed-cyclic compound. | 01-30-2014 |
20140027722 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus including a substrate; an organic light-emitting device formed on the substrate; a thin film encapsulation layer that is formed on the organic light-emitting device and covers the organic light-emitting device; and a barrier layer disposed between the organic light-emitting device and the thin film encapsulation layer, in which the barrier layer includes a first barrier layer formed on the organic light-emitting device and including an alkali metal-containing compound; and a second barrier layer disposed between the first barrier layer and the thin film encapsulation layer and including an amorphous inorganic oxide. | 01-30-2014 |
20140027723 | ORGANIC LIGHT EMITTING DEVICE INCLUDING COMPOUNDS - In one aspect, an organic light-emitting device including an anthracene-base compound and an indenophenanthrene-base compound is provided. | 01-30-2014 |
20140027724 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device including: a substrate; a plurality of first electrodes formed over the substrate; a pixel defining layer (PDL) formed over the substrate, and separating the plurality of first electrodes from one another when viewed in a thickness direction of the display device; a plurality of light emitting layer portions, each of which is formed over one of the plurality of first electrodes; at least a second electrode formed over the plurality of light emitting layer portions; and a filter unit formed over the at least a second electrode. The filter unit includes a black matrix layer having an opening and a plurality of color filters formed over the black matrix layer, and each color filter comprising at least one embossed portion formed over one of the plurality of openings. | 01-30-2014 |
20140027725 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device including: a substrate; a plurality of first electrodes formed over the substrate; a pixel defining layer (PDL) formed over the substrate, and separating the plurality of first electrodes from one another when viewed in a thickness direction of the display device; a plurality of light emitting layer portions formed over one of the plurality of first electrodes; at least a second electrode formed over the plurality of light emitting layer portions; and a filter unit formed over the at least a second electrode. The filter unit includes a black matrix layer defining a plurality of openings, an organic layer formed over the black matrix layer and the plurality of openings, and comprising a plurality of lens-shaped embossed portions, and a plurality of color filters formed over the organic layer. | 01-30-2014 |
20140027726 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device having an improved manufacturing procedure and an improved emission efficiency, and a method of manufacturing the organic light-emitting display device. | 01-30-2014 |
20140027727 | ORGANIC LIGHT EMITTING DEVICES AND METHODS OF FABRICATING THE SAME - Disclosed are organic light emitting devices and methods of fabricating the same. The organic light emitting device may include light scattering parts having irregular island-shapes irregularly arranged. The organic light emitting device may further include a planarization layer, a first electrode, an organic light emitting layer, a second electrode, and an encapsulation layer. The light scattering parts may be formed using an organic solution having a low refractive index to improve light extraction efficiency of the organic light emitting device. Additionally, the light scattering parts of the irregular island-shapes may improve the light extraction efficiency of lights of all wavelengths, so as to be applied to an organic white light emitting device. The light scattering parts of the irregular island-shapes may be formed using the organic solution by a dewetting phenomenon. The light scattering parts may be formed at a temperature of about 250 degrees Celsius or less. | 01-30-2014 |
20140027728 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display includes a substrate, a scan line formed on the substrate and transferring a scan signal, a data line and a driving voltage line crossing the scan line and transferring a data signal and a driving voltage, respectively, a switching thin film transistor connected to the scan line and the data line, a driving thin film transistor connected to the switching thin film transistor and the driving voltage line, and an organic light emitting diode connected to the driving thin film transistor. The driving thin film transistor includes a driving semiconductor layer, a first gate insulating layer covering the driving semiconductor layer, a floating gate electrode formed on the first gate insulating layer, a second gate insulating layer, and a driving gate electrode formed on the second gate insulating layer. | 01-30-2014 |
20140027729 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An OLED display includes: pixel electrodes electrically connected to a thin film transistor on a substrate; a pixel defining portion including a pixel defining layer surrounding the respective pixel electrodes to define an individual pixel area, and a spacer protruding from the pixel defining layer; and a sealing substrate bonded to the substrate while maintaining a distance to the substrate by the spacer. An opaque deposition material is formed on the pixel defining portion, excluding one surface of the spacer that faces the sealing substrate, and on the pixel electrodes. | 01-30-2014 |
20140027730 | Microelectronic Device with Integrated Energy Source - An apparatus including an electronic device having a plurality of substantially collocated components, the plurality of components including an integrated circuit (IC) chip, an energy supply operable to electrically power the IC chip, and an energy harvesting (EH) device operable to convert non-electrical energy to electrical energy supplied to the energy supply. A material substantially encloses at least a portion of at least one of the IC chip, the energy supply, and the EH device. | 01-30-2014 |
20140027731 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - Disclosed are an organic light emitting diode display and a manufacturing method thereof, and more particularly, an organic light emitting diode display capable of minimizing resistance increase of a second electrode and improving light extraction efficiency at the same time by forming a separate reflector, and a manufacturing method thereof | 01-30-2014 |
20140027732 | ORGANIC LIGHT-EMITTING DEVICE AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME - An organic light-emitting device and an organic light-emitting display apparatus including the same are provided. The organic light-emitting device comprises pixels, each pixel comprising three sub-pixels, each sub-pixel comprising a layered structure, the individual layers comprising organic compounds. The layered structure can comprise organic light emission layers, resonance auxiliary layers that provide a thickness allowing the establishment of microcavity effects that increase luminance, and layers that facilitate electron transfer between the electrodes and the organic emission layers, such as doping auxiliary layers, hole injection layers, hole transport layers, electron injection layers and electron transport layers. | 01-30-2014 |
20140027733 | TRANSITION METAL COMPLEXES CONTAINING SUBSTITUTED IMIDAZOLE CARBENE AS LIGANDS AND THEIR APPLICATION IN OLEDS - Compounds having a metal M complexed to a ligand L containing a substituted imidazole carbene group, which is represented Formula (I), below: | 01-30-2014 |
20140027734 | BORON-NITROGEN POLYAROMATIC COMPOUNDS AND THEIR USE IN OLEDS - Boron-nitrogen polyaromatic compounds having a fused aromatic ring system are provided, where the compounds include a [1,2]azaborino[1,2-a][1,2]azaborine | 01-30-2014 |
20140027735 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - Disclosed are an organic light emitting diode display and a manufacturing method thereof, and more particularly, an organic light emitting diode display and a manufacturing method thereof, that improve light extraction efficiency by forming a light controlling layer formed in a multilayer having different refractive indexes so that light is not absorbed in a pixel defining layer but reflected to the front side due to a refractive index difference. | 01-30-2014 |
20140027736 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device and a method of manufacturing the same. The organic light-emitting display device includes: a substrate; an active layer on the substrate; a gate electrode insulated from the active layer and overlapping the active layer; a source electrode including a first source electrode layer connected to the active layer and a second source electrode layer connected to the first source electrode layer and being larger than the first source electrode layer; a drain electrode including a first drain electrode layer connected to the active layer and a second drain electrode layer connected to the first drain electrode layer and being larger than the first drain electrode layer; a first electrode electrically connected to the source electrode or the drain electrode; an intermediate layer on the first electrode and including an organic emission layer; and a second electrode on the intermediate layer. | 01-30-2014 |
20140027737 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display includes a substrate including a display region displaying an image and a peripheral region surrounding the display region, a plurality of pad wires formed in the peripheral region of the substrate, and a plurality of bumps formed between the plurality of pad wires. The organic light emitting diode display blocks or relieves impact which is generated when a temporary upper protective film is half-cut and applied to a plurality of pad wires or an insulating layer by forming a plurality of bumps between the plurality of pad wires, thus preventing a damage to the pad wires or the insulating layer. | 01-30-2014 |
20140027738 | WIRE GRID TYPE POLARIZATION STRUCTURES, METHODS OF MANUFACTURING WIRE GRID TYPE POLARIZATION STRUCTURES, AND ORGANIC LIGHT EMITTING DIODE (OLED) DISPLAYS HAVING WIRE GRID TYPE POLARIZATION STRUCTURES - A wire grid type polarization structure is disclosed. In one aspect, the polarization structure includes a retardation layer and a plurality of nanowires formed on the retardation layer. Each of the nanowires includes a wire core and a shell enclosing the wire core. The wire cores include metal nanoparticles embedded therein. The metal nanoparticles may absorb the visible lights effectively, so that the wire grid type polarization structure may have a desired polarization characteristic. | 01-30-2014 |
20140027739 | Multilayered Protective Layer, Organic Opto-Electric Device and Method of Manufacturing the Same - An organic opto-electric device is disclosed comprising an opto-electric element and a protective enclosure for protecting the opto-electric element against atmospheric substances. The protective enclosure comprises a multi-layered protective layer in which a first inorganic layer, a first organic layer comprising a getter, a second organic layer free from getter material and a second inorganic layer are stacked in the order named, wherein the first and the second inorganic layer encapsulate the first and the second organic layer. The getter is distributed in the first organic layer a nanometer sized particles and the second organic layer has a thickness of at least 10 μm. | 01-30-2014 |
20140027740 | LUMINAIRE AND INDIVIDUALLY REPLACEABLE COMPONENTS - Luminaires and luminaire components are provided that may include emissive, index-matching, and/or outcoupling components that are replaceable separately from other components of the luminaire. In some embodiments, an index-matching component may include a gel sheet or pad that can be disposed between an emissive component and an outcoupling component. The index-matching component may be replaceable separately from the emissive and outcoupling components. In some embodiments, an emissive component including an OLED panel and/or an index-matching component may be replaceable separately from other components of the luminaire. | 01-30-2014 |
20140027741 | HETEROCYCLIC COMPOUNDS AND ORGANIC LIGHT-EMITTING DEVICES INCLUDING THE SAME - Heterocyclic compounds, synthetic methods for preparing the same, and organic light-emitting display devices comprising the same are described. The subject heterocyclic compounds may comprise an aromatic ring or a heteroaromatic ring fused with a carbazole, dibenzothiophene, or dibenzofurane derivative, the compounds featuring rigid backbone structures with high glass transition temperatures and high melting points. The subject heterocyclic compounds may exhibit high electrical stability, improved charge transport ability, high heat resistance and improved light-emitting properties when used in organic light-emitting devices. Organic light-emitting display devices prepared according to the present invention exhibit lower driving voltages, increased luminescent efficiencies and longer lifetimes. | 01-30-2014 |
20140027742 | OLED Unit, Method for Making the Same, and OLED Illuminating Device Comprising the Same - A method for producing an organic light emitting diode (OLED) unit includes: (a) forming an electrode pad on a substrate; (b) forming an insulating layer to cover and to partially expose the electrode pad; (c) forming an electrically conductive oxide layer on the insulating layer in such a manner that the exposed electrode pad is covered by and electrically coupled to the electrically conductive oxide layer; and (d) forming an organic illuminating multilayer structure on the substrate, the organic illuminating multilayer structure including an inner electrode that is electrically coupled to the electrode pad. | 01-30-2014 |
20140027743 | Sealing Structure and Organic Electroluminescence Device - A sealing structure with high air-tightness and an organic electroluminescence device with high air-tightness are provided regardless of a pattern of a first metal layer overlapping with glass frit. A second metal layer is provided in a region where a common power supply line overlaps with the glass frit. Since laser light is absorbed or reflected by the second metal layer, the glass frit can be uniformly heated. Therefore, an object to be sealed can be sealed with a low-melting-point glass in which a crack is not easily generated. | 01-30-2014 |
20140027744 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device including two or more organic thin film layers including an emitting layer between an anode and a cathode,
| 01-30-2014 |
20140027745 | BLENDED POLYMER FETS - A method for forming a semiconductor body, the method comprising: forming a mixture of an organic semiconducting material and a binder material; causing the semiconducting material to at least partially solidify; and causing the binder material to crystallize in such a way as to cause the semiconducting material to at least partially segregate from the binder material. | 01-30-2014 |
20140027746 | STAR-SHAPED COMPOUNDS FOR ORGANIC SOLAR CELLS - The present invention relates to compounds of the general formula (I) The present invention also relates to a process for the preparation of such compounds, the compounds obtainable by this process, the use of these compounds, semiconducting layers and electronic components. | 01-30-2014 |
20140027747 | COMPOUND, ORGANIC ELECTRONIC ELEMENT USING SAME, AND ELECTRONIC DEVICE USING THE LATTER - The present invention relates to a compound, which is represented by one chemical formula among the chemical formulas (1) to (3), an organic electronic element comprising the compound, and an electronic device comprising the organic electronic element. The compound is characterized by comprising at least one phenyl group having at least one substitution with deuterium or tritium. | 01-30-2014 |
20140027748 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD OF FABRICATING THE SAME - An organic EL device includes: a reflective electrode; a transparent electrode opposite the reflective electrode; an organic layer including a light-emitting layer between the reflective electrode and transparent electrode; and a low refractive index layer between the reflective electrode and light-emitting layer. The low refractive index layer has a function of transporting/injecting electrons/holes, and has a lower refractive index than the light-emitting layer. Distance between the surface of the reflective electrode and a central light-emitting position of the light-emitting layer is 300 nm or less. Furthermore, Δn×d/λ≦−0.009 and Δn×d/λ≦−0.02 are satisfied when the reflective electrode comprises Al and Ag, respectively, where: λ denotes the central light-emitting wavelength of light generated in the light-emitting layer; Δn denotes the refractive index difference between the low refractive index layer and the light-emitting layer, with the refractive index of the light-emitting layer as reference; and d denotes the thickness of the low refractive index layer. | 01-30-2014 |
20140027749 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE USING THE SAME - An organic light-emitting device includes an anode, a cathode, and an organic compound layer interposed between the anode and the cathode. The organic compound layer contains a heterocyclic compound having 4,10-Diazachrysene. | 01-30-2014 |
20140027750 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode, the compound being represented by the following Chemical Formula 1: | 01-30-2014 |
20140027751 | ORGANIC ELECTROLUMINESCENT ELEMENT ANF LIGHTING DEVICE - An organic electroluminescent element that emits white light by energization may include a pair of electrodes; and two light-emitting layers provided between the electrodes, each of the light-emitting layers including a host material and a phosphorescence-emitting dopant. The host materials included in the respective light-emitting layers may be different from each other, at least one of the phosphorescence-emitting dopants included in the respective light-emitting layers may be a blue phosphorescence-emitting dopant having an ionization potential (Ip) of 5.3 eV or less, and at least one of the two light-emitting layers may include a plurality of the phosphorescence-emitting dopants. | 01-30-2014 |
20140027752 | METHOD FOR MANUFACTURING ORGANIC SEMICONDUCTOR ELEMENT, AND ORGANIC SEMICONDUCTOR ELEMENT - A method for manufacturing an organic semiconductor element capable of obtaining an organic semiconductor element in which an organic semiconductor layer is patterned without lowering the mobility of the organic semiconductor layer through a simple and easy process, which includes: an organic semiconductor layer formation step; a first dielectric layer formation step of forming a first dielectric layer on the organic semiconductor layer to be positioned at least on a channel region between the source electrode and the drain electrode; and a second dielectric layer formation step, wherein the second dielectric layer has a contact portion contacting the organic semiconductor layer around the first dielectric layer, and a mixed layer in which the organic semiconductor layer and the second dielectric layer are mixed with each other is formed to constitute an interface between the organic semiconductor layer and the second dielectric layer in the contact portion. | 01-30-2014 |
20140027753 | ORGANIC ELECTROLUMINESCENCE ELEMENT - Provided is a highly-reliable organic electroluminescence element in which loss of light due to surface plasmons generated on a metal surface is suppressed, the efficiency of light extraction to outside the element, and short circuits are unlikely to occur. The organic electroluminescence element includes a metal layer ( | 01-30-2014 |
20140027754 | LIGHT EMITTING DEVICE MATERIAL AND LIGHT EMITTING DEVICE - The present invention provides a light emitting device material containing a compound having a specific pyrene structure, capable of providing an organic thin-film light emitting device which enables high-efficiency light emission and low-voltage driving, and is also excellent in durability; and a light emitting device using the same. | 01-30-2014 |
20140027755 | MATERIALS FOR ELECTRONIC DEVICES - The present invention relates to an electronic device comprising one or more compounds of a formula (I) or (II). Furthermore, the invention encompasses the use of a compound of the formula (I) or (II) in an electronic device, and the provision of certain compounds of the formula (I) or (II). | 01-30-2014 |
20140027756 | ORGANIC ELECTROLUMINESCENCE ELEMENT - The organic electroluminescence element in accordance with the present invention includes: a light-emitting layer; a first electrode layer on a first surface in a thickness direction of the light-emitting layer; a second electrode layer on a second surface in the thickness direction of the light-emitting layer; an electrically conductive layer; and an insulating layer. The light-emitting layer is configured to emit light when a predetermined voltage is applied between the first and second electrode layers. The second electrode layer includes an electrode part covering the second surface and an opening part formed in the electrode part to expose the second surface. The electrically conductive layer is designed to allow the light to pass therethrough, and is interposed between the second surface and the second electrode layer to cover the second surface. The insulating layer is interposed between the second surface and the electrically conductive layer to overlap the electrode part. | 01-30-2014 |
20140027757 | NOVEL SPIRO COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE HAVING THE SAME - The present invention provides a novel stable organic compound and also provides an organic light-emitting device having a high luminous efficiency and a low driving voltage. The present invention relates to a spiro compound represented by the following Formula [1]: | 01-30-2014 |
20140034910 | ORGANIC DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic display device and a method of manufacturing method thereof are provided. The organic display device includes scan lines, data lines and power lines. Portions crossed and defined by the scan lines, the data lines and the power supply lines are provide with display regions. The display region is formed with an organic light emitting diode. The organic light emitting diode includes an anode layer, and a conductive layer is formed on the power supply line. The anode layer of the organic light emitting diode and the conductive layer are etched and formed on the same basis of a material layer. | 02-06-2014 |
20140034911 | Organic Light-Emitting Diode - The present invention relates to an organic light-emitting diode, which includes: a light-transmitting substrate, an anode formed on the light-transmitting substrate, a hole transport layer formed on the anode, a light-emitting layer formed on the hole transport layer, an electron transport layer formed on the light-emitting layer, and a cathode formed on the electron transport layer. The light-emitting layer includes a plurality of pixel units, each of which includes red, green, blue, and infrared sub-pixel points. The red, green, blue, and infrared sub-pixel points are driven by thin-film transistors. The organic light-emitting diode of the present invention combines color displaying and infrared displaying in a single component and realizes switchability between color displaying and infrared displaying in the same component. The organic light-emitting diode greatly reduces the manufacture cost, shows wide applicability, and facilitates popularization | 02-06-2014 |
20140034912 | Organic Light-Emitting Diode - The present invention provides an organic light-emitting diode, which includes a light-transmitting substrate, an anode arranged on the light-transmitting substrate, a hole transporting layer arranged on the anode, a light emission layer arranged on the hole transporting layer, an electron transporting layer arranged on the light emission layer, and a cathode arranged on the electron transporting layer. The light emission layer includes a color light emission layer and an ultraviolet light emission layer spaced from the color light emission layer. The present invention integrates functions of color displaying and ultraviolet source together to allow the color displaying and the ultraviolet source to be simultaneously or individually activated. | 02-06-2014 |
20140034913 | CONDENSATION COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - A condensation compound is represented by Formula 1, 2, or 3 where R | 02-06-2014 |
20140034914 | Organic Electroluminescent Device; A Charge Transporting Material For The Organic Electroluminescent Device; And A Luminescent Device, A Display Device And A Lighting System Using The Organic Electroluminescent Device - An organic electroluminescent element comprising a substrate; a pair of electrodes including an anode and a cathode, disposed on the substrate; and at least one organic layer including a light emitting layer, disposed between the electrodes, wherein the light emitting layer includes a compound represented by the following general formula: | 02-06-2014 |
20140034915 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound is represented by Formula 1. | 02-06-2014 |
20140034916 | CONDENSED-CYCLIC COMPOUNDS AND ORGANIC LIGHT-EMITTING DIODES COMPRISING THE SAME - Condensed-cyclic organic compounds, synthetic methods for preparing the same and an organic light-emitting diode including the same are presented. The subject polycyclic triarylamines are prepared via a series of substitution and cyclization reactions. | 02-06-2014 |
20140034917 | ORGANIC LAYER DEPOSITION ASSEMBLY, ORGANIC LAYER DEPOSITION APPARATUS, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic layer deposition assembly, an organic layer deposition apparatus, an organic light-emitting display apparatus, and a method of manufacturing the organic light-emitting display apparatus, in order to improve a characteristic of a deposited layer, the organic layer deposition assembly including a deposition source for discharging a deposition material; a deposition source nozzle unit disposed at a side of the deposition source, and including a plurality of deposition source nozzles; and a patterning slit sheet disposed while facing the deposition source nozzle unit, and including a plurality of patterning slits and one or more alignment confirmation pattern slits that are formed at edge portions of the plurality of patterning slits, wherein the deposition material that is discharged from the deposition source passes through the patterning slit sheet and then is formed on the substrate, while a deposition process is performed. | 02-06-2014 |
20140034918 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - Disclosed is an organic light emitting display device improving light efficiency by forming a metal layer having a nanometer thickness on a protective layer formed in order to protect the organic light emitting diode. | 02-06-2014 |
20140034919 | ORGANIC LIGHT EMITTING DISPLAY DEVICE WITH ENHANCED LIGHT EFFICIENCY AND MANUFACTURING METHOD THEREOF - An organic light emitting display device and a manufacturing method thereof are disclosed. In one aspect, the device includes a substrate, a first electrode formed over the substrate, an emission layer formed on the first electrode and a second electrode formed on the emission layer. The device also includes an encapsulation layer formed on the second electrode and a lens layer comprising a plurality of lenses formed in the encapsulation layer. | 02-06-2014 |
20140034920 | ORGANIC LIGHT EMITTING DEVICE AND METHOD FOR PREPARING THE SAME - The present invention relates to an organic light emitting device and a method for preparing the same. An organic light emitting device according to the present invention comprises an organic light emitting unit having a structure in which a substrate, a first electrode, an organic material layer, and a second electrode are sequentially laminated, wherein the organic light emitting device comprises an auxiliary electrode and a fuse pattern; and the first electrode and the auxiliary electrode are electrically connected to each other through the fuse pattern. | 02-06-2014 |
20140034921 | DISPLAY UNIT AND ELECTRONIC APPARATUS - A display unit comprising an organic layer between a light-emitting section portion of a first electrode layer and a light-emitting section portion of a second electrode layer. Light is emissible from within the organic layer. An aperture-defining insulating film is between a contact section of the first electrode layer and a gap section portion of the second electrode layer. The thickness of the gap section portion of the second electrode layer is greater than the thickness of the light-emitting section portion of the second electrode layer. | 02-06-2014 |
20140034922 | Phosphorescent Compound and Organic Light Emitting Diode Device Using the Same - The present invention provides a phosphorescent compound of following formula: | 02-06-2014 |
20140034923 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a substrate, a scan line on the substrate for transferring a scan signal, a data line crossing the scan line and for transferring a data signal, a driving voltage line crossing the scan line and for transferring a driving voltage, a switching thin film transistor coupled to the scan line and the data line, a driving thin film transistor coupled to a switching drain electrode of the switching thin film transistor, and an organic light emitting diode (OLED) coupled to a driving drain electrode of the driving thin film transistor, wherein a driving semiconductor layer of the driving thin film transistor is bent and in a plane substantially parallel to the substrate. | 02-06-2014 |
20140034924 | Heterocyclic Compound and Light-Emitting Device, Display Device, Lighting Device, and Electronic Device Using the Same - Provided is a compound having an indolo[3,2,1-jk]carbazole skeleton and a heterocyclic skeleton which are bonded to each other through an arylene group. The heterocyclic skeleton contains an imidazole skeleton, a pyrazine skeleton, a pyrimidine skeleton, a triazole skeleton, or a condensed heteroaromatic ring including any of these heterocycles. The high carrier-transport property and the large band gap of the compound allows the used as a host material of a phosphorescent dopant, leading to the formation of a green to blue emissive phosphorescent light-emitting element having high emission efficiency, low driving voltage, and reduced power consumption. | 02-06-2014 |
20140034925 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A light-emitting element with low drive voltage, a light-emitting element with high current efficiency, and/or a light-emitting element with a long lifetime are/is provided. Specifically, a light-emitting element with low drive voltage, a light-emitting element with high current efficiency, and/or a light-emitting element with a long lifetime are/is provided by the use of an organic compound with a dibenzo[f,h]quinoxaline skeleton in a light-emitting layer. In a light-emitting element which includes a light-emitting layer containing an organic compound between a pair of electrodes, the organic compound has a 2,3-unsubstituted dibenzo[f,h]quinoxaline skeleton, a hole-transport skeleton selected from a substituted or unsubstituted dibenzothiophene skeleton, a substituted or unsubstituted dibenzofuran skeleton, and a substituted or unsubstituted carbazole skeleton, and an arylene skeleton, and the 2,3-unsubstituted dibenzo[f,h]quinoxaline skeleton and the hole-transport skeleton are bonded through the arylene skeleton. | 02-06-2014 |
20140034926 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Appliance, and Lighting Device - A multicolor light-emitting element in which light-emitting layers emitting light of different colors are stacked and color adjustment is easily made is provided. A multicolor light-emitting element which is inexpensive and has favorable emission efficiency is provided. A light-emitting element in which at least two light-emitting layers emitting light of different colors are formed in contact with each other and the light emitted from the two light-emitting layers is obtained from exciplexes is provided. In addition, the light-emitting element in which the exciplexes emit delayed fluorescence is provided. | 02-06-2014 |
20140034927 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Appliance, and Lighting Device - A multicolor light-emitting element using fluorescence and phosphorescence, which has a small number of manufacturing steps owing to a relatively small number of layers to be formed and is advantageous for practical application can be provided. In addition, a multicolor light-emitting element using fluorescence and phosphorescence, which has favorable emission efficiency is provided. A light-emitting element which includes a light-emitting layer having a stacked-layer structure of a first light-emitting layer exhibiting light emission from a first exciplex and a second light-emitting layer exhibiting phosphorescence is provided. | 02-06-2014 |
20140034928 | Organic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A novel organic compound having a high hole-transport property is provided. A long-lifetime light-emitting element is provided. An organic compound represented by General Formula (G0) is provided. In General Formula (G0), Ar | 02-06-2014 |
20140034929 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A light-emitting element having a long lifetime is provided. A light-emitting element exhibiting high emission efficiency in a high luminance region is provided. A light-emitting element includes a light-emitting layer between a pair of electrodes. The light-emitting layer contains a first organic compound, a second organic compound, and a phosphorescent compound. The first organic compound is represented by a general formula (G0). The molecular weight of the first organic compound is greater than or equal to 500 and less than or equal to 2000. The second organic compound is a compound having an electron-transport property. In the general formula (G0), Ar | 02-06-2014 |
20140034930 | Light-Emitting Element - To provide a light-emitting element which uses a fluorescent material as a light-emitting substance and has higher luminous efficiency. To provide a light-emitting element which includes a mixture of a thermally activated delayed fluorescent substance and a fluorescent material. By making the emission spectrum of the thermally activated delayed fluorescent substance overlap with an absorption band on the longest wavelength side in absorption by the fluorescent material in an S | 02-06-2014 |
20140034931 | Light-Emitting Element, Light-Emitting Device, Electronic Device, Lighting Device, and Heterocyclic Compound - A light-emitting element with high heat resistance and high emission efficiency is provided. A novel heterocyclic compound that can be used in such a light-emitting element is provided. One embodiment of the present invention is a light-emitting element which includes, between a pair of electrodes, a layer containing a first organic compound, a second organic compound, and a light-emitting substance; the first organic compound includes one pyrimidine ring and one ring with a hole-transport skeleton; the second organic compound is an aromatic amine; and the light-emitting substance converts triplet excitation energy into light. A combination of the first organic compound, which includes the one pyrimidine ring and the one ring with the hole-transport skeleton, and the second organic compound, which is the aromatic amine, forms an exciplex. | 02-06-2014 |
20140034932 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - The light-emitting element has a structure in which a first organic compound and a second organic compound form an exciplex (excited complex) in a light-emitting layer. The S1 level and the T1 level of the formed exciplex are positioned extremely close to each other compared to the S1 level and the T1 level of the respective substances (the first organic compound and the second organic compound) before the formation of the exciplex. | 02-06-2014 |
20140034933 | THIN-FILM TRANSISTOR DEVICE AND METHOD FOR MANUFACTURING SAME, ORGANIC ELECTROLUMINESCENT DISPLAY ELEMENT, AND ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - A thin film transistor element is formed in each of adjacent first and second apertures defined by partition walls. In plan view of a bottom portion of the first aperture, a center of area of a liquid-philic layer portion is offset from a center of area of the bottom portion in a direction opposite a direction of the second aperture, and in plan view of a bottom portion of the second aperture, a center of area of a liquid-philic layer portion is offset from a center of area of the bottom portion in a direction opposite a direction of the first aperture. | 02-06-2014 |
20140034934 | ORGANIC SEMICONDUCTOR COMPONENT COMPRISING A DOPED HOLE CONDUCTOR LAYER - An organic semiconductor component with a hole conductor layer having p-type doping with a superacid salt has greatly improved charged transport and optical properties. Besides increasing the specific conductivity at very low doping concentrations, the doping brings about substantially no negative change in the color impression of the layer for the human eye. The absorbtivity of the hole conductor layer is not increased in the visible wavelength range as a result of the p-type doping with the superacid salt. Deposition from solution and from the gas phase is possible. | 02-06-2014 |
20140034935 | COMPOUND HAVING SUBSTITUTED ORTHO-TERPHENYL STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - A light-emitting-layer host material is provided as material for high-efficiency organic electroluminescent devices. The light-emitting-layer host material has a high excitation triplet level, and is capable of completely confining the triplet excitons of phosphorescent material. A high-efficiency and high-luminance organic electroluminescent device is provided by using the compound. The compound is a compound of general formula (1) having a bipyridyl group and an ortho-terphenyl structure. The organic electroluminescent device includes a pair of electrodes, and one or more organic layers sandwiched between the pair of electrodes, and uses the compound as constituent material of at least one of the organic layers. | 02-06-2014 |
20140034936 | COMPOUND HAVING SUBSTITUTED TRIPHENYLENE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - An organic compound having an excellent electron injection and transport performance is provided as a material for a low-power-consumption organic electroluminescent device. A low-power-consumption organic electroluminescent device is also provided by using the compound. The compound is a compound of general formula (1) or (2) having a substituted bipyridyl and triphenylene ring structure. The organic electroluminescent device includes a pair of electrodes, and one or more organic layers sandwiched between the pair of electrodes, and uses the compound as constituent material of at least one of the organic layers. | 02-06-2014 |
20140034937 | ORGANIC EL ELEMENT, ORGANIC EL PANEL HAVING ORGANIC EL ELEMENT, ORGANIC EL LIGHT-EMITTING APPARATUS, AND ORGANIC EL DISPLAY APPARATUS - An organic EL element includes: an anode; a cathode; a buffer layer; and a hole injection layer between the anode and the buffer layer, the hole injection layer including a nickel oxide including both nickel atoms with a valence of three and nickel atoms with a valence of two. In the hole injection layer, a ratio of the number of nickel atoms with a valence of three to the number of nickel atoms with a valence of two, expressed in percentage, is equal to or greater than 60%. | 02-06-2014 |
20140034938 | ORGANIC COMPOUND, CHARGE-TRANSPORTING MATERIAL, COMPOSITION CONTAINING THE COMPOUND, ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE, AND LIGHTING DEVICE - The invention provides an organic compound incorporating a specific structure into a pyridine skeleton or a 1,3,5-triazine skeleton and adapting the molecular weight to a specific range, a composition comprising the organic compound and a solvent, organic electroluminescent element comprising a layer that is formed by using the composition, and the uses thereof. | 02-06-2014 |
20140034939 | POLYMERIC MATERIAL, METHOD OF FORMING THE POLYMERIC MATERIAL, AND METHOD OF FORMING A THIN FILM USING THE POLYMERIC MATERIAL - An organic semiconductor device includes a thin film comprising a polycyclic aromatic compound in a polymer matrix, the thin film including a substantially uniform thickness, such that a thickness of the thin film varies by no greater than 1.0 micrometer over the thin film. | 02-06-2014 |
20140034940 | MATERIAL FOR AN ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A material for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode, the material including at least one compound represented by the following Chemical Formula A-1; and at least one compound represented by the following Chemical Formula B-1: | 02-06-2014 |
20140034941 | PROCESS AND MATERIALS FOR MAKING CONTAINED LAYERS AND DEVICES MADE WITH SAME - There is provided a process for forming a contained second layer over a first layer, including the steps:
| 02-06-2014 |
20140034942 | ORGANIC ELECTROLUMINESCENCE DEVICE - A top-emitting organic electroluminescence device including sequentially a first electrode, one or more organic layers comprising an emitting layer, a second electrode and a capping layer, wherein the capping layer comprises a compound represented by the following formula (1): | 02-06-2014 |
20140034943 | PYRENE DERIVATIVE, ORGANIC LIGHT-EMITTING MEDIUM, AND ORGANIC ELECTROLUMINESCENT ELEMENT CONTAINING PYRENE DERIVATIVE OR ORGANIC LIGHT-EMITTING MEDIUM - An organic light-emitting medium including a pyrene derivative represented by the following formula (1) and a phenyl-substituted anthracene derivative represented by the following formula (2): | 02-06-2014 |
20140042394 | Organic Light-Emitting Display Apparatus and Method of Manufacturing Organic Light-Emitting Display Apparatus - An organic light-emitting display apparatus basically comprises a thin film transistor, an organic light-emitting device and a pad electrode, and provides an improved adhesive force between a pad portion and an electrode and a stable signal supply. A method of manufacturing the organic light-emitting display apparatus comprises mask processes for forming on a curve layer of a thin film transistor, a pixel electrode and a first pad electrode, a gate electrode and a second pad electrode, contact holes and an interlayer insulating layer, source and drain electrodes and a third pad electrode, and a pixel define layer. | 02-13-2014 |
20140042395 | Thin Film Transistor Substrate and Method for Manufacturing the Same and Organic Light Emitting Device Using the Same - Disclosed is a thin film transistor substrate which facilitates to improve output and transfer characteristics of thin film transistor, wherein the thin film transistor substrate comprises a thin film transistor comprising a lower gate electrode on a substrate, an active layer on the lower gate electrode, source and drain electrodes on the active layer, and an upper gate electrode on the source electrode, drain electrode and active layer, the upper gate electrode for covering a channel region defined by the source and drain electrodes; and a contact portion for electrically connecting the lower gate electrode with the upper gate electrode. | 02-13-2014 |
20140042396 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - An organic light emitting diode display device includes: a substrate defining a plurality of pixels having a luminous area and a non-luminous area; an antireflection layer formed on the substrate and including at least one metallic layer and at least one insulating layer; a thin film transistor formed on the antireflection layer in the non-luminous area and including a gate electrode or a metal line on the antireflection layer in the non-luminous area; a passivation layer formed on the thin film transistor; a color refiner formed on the passivation layer in the luminous area; a light blocking member on the passivation layer in the non-luminous area; an organic light emitting layer; and a cathode and an anode electrodes. | 02-13-2014 |
20140042397 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode (OLED) display device in which an oxide-based semiconductor is used as an active layer of a TFT and the fabrication method thereof are provided. In the OLED display device, the active layer is formed at an upper portion of the gate electrode and a source electrode is patterned to completely cover the channel region of the active layer, to block light introduced from upper and lower portions of the active layer, thereby improving reliability of the oxide TFT. | 02-13-2014 |
20140042398 | ORGANIC LIGHT EMITTING DISPLAY AND METHOD FOR MANUFACTURING THE SAME - Disclosed are an organic light emitting display that enables realization of a thin film shape and flexibility, and exhibits superior contact properties in touch pads based on an improved structure, and a method for manufacturing the same, wherein a distance between the outermost surface of the touch pad portion and the outermost surface of the dummy pad portion in the touch pad portion is smaller than the distance in a neighboring portion adjacent to the touch pad portion. | 02-13-2014 |
20140042399 | FLEXIBLE ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - In a flexible organic light-emitting display device and a method of manufacturing the same, a photolysis layer and an electrostaticity prevention layer are sequentially formed on a carrier substrate, a first flexible substrate is formed on the electrostaticity prevention layer, a display unit is formed on the first flexible substrate, the display unit is covered with the second flexible substrate, and light is irradiated so as to decompose the photolysis layer and to remove the carrier substrate. The formed flexible organic light-emitting display device may have improved flexibility because a flexible substrate is used instead of a typical strong and thick glass substrate. In addition, occurrence of electrostaticity during the separation of the carrier substrate is suppressed by the electrostaticity prevention layer, and thus, damage of the display unit due to electrical impacts is also reduced. | 02-13-2014 |
20140042400 | PIXEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE HAVING THE SAME - A pixel of an organic light emitting display device includes a transistor, an organic light emitting diode, and a common line. The organic light emitting diode includes a first common layer, an organic light emitting layer disposed on the first common layer, and a second common layer. The common line is disposed between the first common layer and the second common layer to make electrical contact with the first common layer. The common line is supplied with a reference voltage to prevent the organic light emitting diode from generating light by leakage current in the transistor. | 02-13-2014 |
20140042401 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a substrate, a light emitting diode disposed on the substrate, and a balance electrode insulated from the light emitting diode and from each of the first and second electrodes. The light emitting diode includes a first electrode, a second electrode facing the first electrode, and an organic light emitting layer disposed between the first electrode and the second electrode. The balance electrode maintains a hole-electron charge balance within the organic light emitting layer by varying the amount of electrons and holes that are injected into the organic light emitting layer from the first and second electrodes by varying an electric potential applied to the balance electrode. | 02-13-2014 |
20140042402 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES - An organic light emitting display device may include a first substrate, a first electrode disposed on the first substrate, a pixel defining layer disposed on the first electrode and the first substrate, an organic light emitting structure disposed on the first electrode, a second electrode disposed on the organic light emitting structure and the pixel defining layer, a second substrate disposed on the second electrode, etc. The pixel defining layer may include a fine uneven structure positioned in the display and the non-display regions. The organic light emitting structure may be substantially uniformly formed on the first electrode through the pixel defining layer having the fine uneven structure, so that an organic light emitting display device may exhibit increased lifetime and may show improved image quality. | 02-13-2014 |
20140042403 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display according to an exemplary embodiment includes a substrate, a pixel electrode on the substrate, an organic emission layer on the pixel electrode, a common electrode on the organic emission layer, a cover layer on the common electrode, an oxidation reducing layer on the cover layer, and a thin film encapsulation layer covering the oxidation reducing layer, the oxidation reducing layer being configured to reduce oxidation of the common electrode, the oxidation reducing layer being separated from the common electrode. The oxidation reducing layer may include at least one of a dummy common electrode, an ultraviolet ray (UV) blocking layer, and a buffer layer. | 02-13-2014 |
20140042404 | ORGANIC SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An organic semiconductor device includes a carrier, a source, a drain, an organic semiconductor single-crystalline channel layer, an organic insulation layer and a gate. The source and the drain are disposed on an upper surface of the carrier. The source and the drain are disposed in parallel and a portion of the carrier is exposed between the source and the drain. The organic semiconductor single-crystalline channel layer is disposed on the upper surface of the carrier and covers a portion of the source, a portion of the drain and the portion of the carrier exposed by the source and the drain. The organic insulation layer covers the carrier, the source, the drain and the organic semiconductor single-crystalline channel layer. The gate is disposed on the organic insulation layer and corresponds to a position of the portion of the carrier exposed by the source and the drain. | 02-13-2014 |
20140042405 | THIN FILM TRANSISTOR AND ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME - A thin film transistor includes a semiconductor formed on a substrate and having a source region, a first drain region spaced apart from the source region by a first current channel, and a second drain region spaced apart from the source region by a second current channel which has the different length from that of the first current channel, a gate electrode insulated from the semiconductor by a gate insulating layer, a source electrode connected to the source region of the semiconductor, a first drain electrode connected to the first drain region of the semiconductor, a second drain electrode connected to the second drain region of the semiconductor, and a bypass line electrically connecting the first drain region and the second drain region. | 02-13-2014 |
20140042406 | Flexible Displays - An electronic device may be provided with an organic light-emitting diode display with minimized border regions. The border regions may be minimized by providing the display with bent edge portions having neutral plane adjustment features that facilitate bending of the bent edge portions while minimizing damage to the bent edge portions. The neutral plane adjustment features may include a modified backfilm layer of the display in which portions of the backfilm layer are removed in a bend region. A display device may include a substrate, a display panel on the substrate having display pixels, and peripheral circuitry proximate the display panel and configured to drive the display pixels. A portion of the periphery of the substrate may be bent substantially orthogonal to the display panel to reduce an apparent surface area of the display device. The bent portion may include an electrode for communication with the peripheral circuitry. | 02-13-2014 |
20140042407 | BIOHYBRID PHOTOELECTROCHEMICAL ENERGY CONVERSION DEVICE - One aspect of the present disclosure relates to a biohybrid, photoelectrochemical energy conversion device including a first electrode, a second electrode, and a multilayer photoconductive organic film interposed between the first and second electrodes. The second electrode is formed from a semiconductor material. Each layer of the photoconductive organic film includes at least one light harvesting complex. | 02-13-2014 |
20140042408 | LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - Disclosed is an organic EL device as an light emitting device according to this application example includes a base material as a substrate, and an organic EL element as a plurality of light emitting elements having a light emitting functional layer as a functional layer in which an organic light emitting layer is included between a pixel electrode as an anode and an opposed electrode as a cathode and a sealing layer sealing the plurality of organic EL elements which are formed on the base material, and the opposed electrode is formed over the plurality of organic EL elements as a common cathode, and the sealing layer is formed so as to cover the common cathode in the same region where the common cathode is formed or inwards from the region. | 02-13-2014 |
20140042409 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic EL display device includes: a transparent substrate; a circularly-polarizing plate disposed on a first surface of the transparent substrate; a wiring layer disposed on a second surface of the transparent substrate; a selectively-reflecting layer disposed on the wiring layer, where the selectively-reflecting layer reflects only a circularly polarized component, which has a predetermined wavelength and rotates in a predetermined direction, in incident light, and the selectively-reflecting layer transmits light of a wavelength different from the predetermined wavelength in the incident light and a circularly polarized component, which has the predetermined wavelength and rotates in a direction different from the predetermined direction; a transparent electrode disposed on the selectively-reflecting layer; an emission layer disposed on the transparent electrode in a position corresponding to the selectively-reflecting layer, where the emission layer emits light of a predetermined color; and a reflective electrode disposed on the emission layer. | 02-13-2014 |
20140042410 | TOUCH-SENSING STRUCTURE AND TOUCH-SENSITIVE DEVICE - A touch-sensing structure includes a substrate and a conductive layer. The conductive layer spreads over a surface of the substrate and includes a plurality of first electrodes, a plurality of second electrodes, a plurality of first conductive lines, and a plurality of second conductive lines. The surface is divided into a plurality of regions. The second electrodes are divided into multiple second electrode groups, and each second electrode group is formed by at least one of the second electrodes in each of the regions. Each of the first conductive lines is connected to one of the first electrodes, and each of the second conductive lines is connected to one of the second electrodes. The second conductive lines connected to the second electrodes in the same second electrode group are electrically connected with each other. | 02-13-2014 |
20140042411 | METHOD FOR PURIFYING ORGANIC MATERIAL, MATERIAL FOR ORGANIC ELECTRONICS, PHOTOELECTRIC CONVERSION DEVICE, OPTICAL SENSOR, IMAGING DEVICE, AND ORGANIC ELECTROLUMINESCENCE DEVICE - Provided is a method for purifying an organic material having a 10% weight reduction temperature of 250° C. or more as measured by thermogravimetry at a vacuum degree of 1×10 | 02-13-2014 |
20140042412 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME AND DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT-EMITTING DIODE - A compound for an organic optoelectronic device is represented by the following Chemical Formula 1: | 02-13-2014 |
20140042413 | PHOSPHORESCENT EMITTERS AND HOST MATERIALS WITH IMPROVED STABILITY - Iridium complexes with ligands containing twisted aryl groups having extended conjugation (i.e., the twisted aryl is substituted with an additional aryl group) and organic light emitting devices including the same are disclosed. The iridium complexes can be used in organic light emitting devices may provide improved stability color, lifetime and manufacturing. | 02-13-2014 |
20140042414 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC APPLIANCE, AND METHOD OF MANUFACTURING THE SAME - A light-emitting element is provided which has a light-emitting layer between a first electrode and a second electrode, where the light-emitting layer has a first layer and a second layer; the first layer contains a first organic compound and a third organic compound; the second layer contains a second organic compound and the third organic compound; the first layer is provided to be in contact with the second layer on the first electrode side; the first organic compound is an organic compound with an electron transporting property; the second organic compound is an organic compound with a hole transporting property; the third organic compound has an electron trapping property; and light emission from the third organic compound can be obtained when voltage is applied to the first electrode and the second electrode so that the potential of the first electrode is higher than that of the second electrode. | 02-13-2014 |
20140042415 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - Provided are a substrate for an organic electronic device (OED), an OED, and lighting. The substrate capable of forming an OED ensuring excellent performance and reliability because it has excellent performance including light extraction efficiency, penetration of moisture or a gas from an external environment is inhibited, and growth of dark spots is controlled may be provided. | 02-13-2014 |
20140042416 | ORGANIC IMAGE SENSOR AND METHOD OF PRODUCING THE SAME - Pixel electrodes have end portions inclined at inclination angles α, where 30°≦α≦85°, relative to a substrate surface of a substrate. An organic layer disposed on the pixel electrodes is formed by vapor deposition using deposition beams that enter the substrate surface at incident angles θ smaller than 90°−α | 02-13-2014 |
20140042417 | THIN-FILM TRANSISTOR ELEMENT AND METHOD FOR PRODUCING SAME, ORGANIC EL DISPLAY ELEMENT AND METHOD FOR PRODUCING SAME, AND ORGANIC EL DISPLAY DEVICE - A thin film transistor element includes: a gate electrode; a source electrode and a drain electrode; an insulating layer; partition walls; and an organic semiconductor layer. The partition walls define a first aperture. Within the first aperture, at least a part of the source electrode and at least a part of the drain electrode are in contact with the semiconductor layer. The partition walls have side face portions facing the first aperture, and some of the side face portions have gentler slopes than the rest of the side face portions. | 02-13-2014 |
20140042418 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic electroluminescent display device including first to fourth pixel regions each including red, green and blue sub-pixel regions, each of the first to fourth pixel regions being divided into first and second columns, the first column being divided into first and second rows. A red sub-pixel region and a green sub-pixel region are respectively arranged in the first and second rows, and a blue sub-pixel region is arranged in the second column. A red emitting layer is formed in the red sub-pixel region; a green emitting layer is formed in the green sub-pixel region; and a blue emitting layer is formed in the blue sub-pixel region. | 02-13-2014 |
20140042419 | THIN-FILM TRANSISTOR ELEMENT AND METHOD FOR PRODUCING SAME, ORGANIC EL DISPLAY ELEMENT, AND ORGANIC EL DISPLAY DEVICE - A thin film transistor element includes: a gate electrode; a source electrode and a drain electrode; an insulating layer; partition walls; and an organic semiconductor layer. The partition walls define a first aperture. Within the first aperture, at least a part of the source electrode and at least a part of the drain electrode are in contact with the semiconductor layer. In plan view of the bottom of the first aperture, the center of the total of the areas of the source electrode and the drain electrode is offset from the center of the area of the bottom in a given direction. | 02-13-2014 |
20140042420 | TRIAZOLE DERIVATIVE, AND LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, AND ELECTRONIC DEVICE WITH THE USE OF TRIAZOLE DERIVATIVE - It is an object of the present invention to provide a novel triazole derivative. Further, it is another object of the present invention to provide a light-emitting element having high luminous efficiency with the use of the novel triazole derivative. Moreover, it is still another object of the present invention to provide a light-emitting device and electronic devices which have low power consumption. A light-emitting element having high luminous efficiency can be manufactured with the use of a triazole derivative which is a 1,2,4-triazole derivative, in which an aryl group or a heteroaryl group is bonded to each of 3-position, 4-position, and 5-position, and in which any one of the aryl group or heteroaryl group has a 9H-carbazol-9-yl group. | 02-13-2014 |
20140042421 | METHOD FOR MANUFACTURING ORGANIC SEMICONDUCTOR ELEMENT - A method for manufacturing an organic semiconductor element, capable of obtaining an organic semiconductor element in which an organic semiconductor layer is easily patterned without being lowered in mobility, which includes: a source electrode and drain electrode formation step; an organic semiconductor layer formation step of forming an organic semiconductor layer having the liquid crystal organic semiconductor material on the alignment layer to cover the source electrode and the drain electrode; a dielectric layer formation step of forming a dielectric layer on the organic semiconductor layer to be positioned at least on a channel region between the source electrode and the drain electrode; and an annealing step of annealing the organic semiconductor layer, on which the dielectric layer is formed, at a liquid crystal phase temperature of the liquid crystal organic semiconductor material. | 02-13-2014 |
20140042422 | INTERNAL OPTICAL EXTRACTION LAYER FOR OLED DEVICES - A light-emitting device, which improves the light output of organic light emitting diodes (OLEDs), includes at least one porous metal or metalloid oxide light extraction layer positioned between the substrate and the transparent conducting material layer in the OLED. The index of refraction of the light extraction layer and the light scattering may be tuned by changing the pore size, pore density, doping the metal oxide, adding an insulating, conducting or semiconducting component, or filling the pores, for example. A method for forming the light-emitting device includes forming at least one light extraction layer comprising a porous metal or metalloid oxide on a substrate, for example, using atmospheric pressure chemical vapor deposition (APCVD), and subsequently, forming a transparent conducting material on the light extraction layer. | 02-13-2014 |
20140042423 | ORGANIC EL ELEMENT AND MANUFACTURING METHOD THEREOF, AND METAL OXIDE FILM FORMING METHOD - An organic EL element including: an anode and a cathode disposed to face each other with a gap therebetween; a functional layer that contains an organic material and is disposed between the anode and the cathode; and an electron injection layer that has a function to inject electrons into the functional layer and is disposed between the anode and the cathode. The electron injection layer contains a metal oxide with d | 02-13-2014 |
20140042424 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL AND DISPLAY DEVICE - The present application discloses OEL display panel including OEL board including organic emission elements situated in respective emission regions compartmentalized by confining wall, and black matrix facing OEL board. The black matrix is provided with openings, each of which allows passage of light from each of the organic emission elements. Organic emission elements include first organic emission element with organic emission layer for emitting light in first emission color, and second organic emission element with organic emission layer for emitting light in second emission color different from first emission color. Openings include first opening corresponding to first organic emission element, and second opening corresponding to second organic emission element. First organic emission element has lower emission efficiency than second organic emission element does. Thermal conductivity is higher around first opening than second opening. | 02-13-2014 |
20140042425 | COMPOUND HAVING ACRIDAN RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - An organic compound with characteristics excelling in hole-injecting/transporting performance and having an electron blocking ability, a highly stable thin-film state, and excellent heat resistance is provided as material for an organic electroluminescent device of high efficiency and high durability, and the organic electroluminescent device of high efficiency and high durability is provided using this compound. The compound of a general formula (Chemical Formula 1) having a substituted acridan ring structure is used as a constituent material of at least one organic layer in the organic electroluminescent device that includes a pair of electrodes and one or more organic layers sandwiched between the pair of electrodes. | 02-13-2014 |
20140042426 | LIGHT EXTRACTION TRANSPARENT SUBSTRATE FOR ORGANIC EL ELEMENT, AND ORGANIC EL ELEMENT USING THE SAME - A light extraction transparent substrate for an organic EL element includes a transparent supporting substrate; a diffraction grating having a first concavity and convexity layer having first concavities and convexities formed on a surface thereof, which is located on a surface of the transparent supporting substrate, and a microlens having a second concavity and convexity layer having second concavities and convexities formed on a surface thereof, which is located on a surface of the transparent supporting substrate. When a Fourier-transformed image is obtained by performing two-dimensional fast Fourier transform processing on a concavity and convexity analysis image obtained by analyzing the shape of each of the first and second concavities and convexities by use of an atomic force microscope, the Fourier-transformed image shows a circular or annular pattern substantially centered at an origin at which an absolute value of wavenumber is 0 μm | 02-13-2014 |
20140048775 | ORGANIC LIGHT EMITTING DIODE WITH TRANSPARENT ELECTRODE AND METHOD OF MAKING SAME - A transparent electrode is provided for an organic light emitting diode (OLED) device. The electrode may be made according to a method including: sputter-depositing a first layer of or including indium tin oxide (ITO) on a substrate; sputter-depositing a thin second metallic or substantially metallic layer on the glass substrate over the first layer to form an electrode structure, and heat treating the electrode structure at temperature(s) of at least about 400 degrees C. in order to thermally activate at least the first layer of or including ITO. The electrode structure may then be provided in an OLED device on the light-emitting side of the organic light emitting semiconductor layer. | 02-20-2014 |
20140048776 | PROTEIN TRANSISTOR DEVICE - The present invention discloses a protein transistor device, wherein an antibody molecule (antibody-antigen) is bonded to at least two gold nanoparticles in a high reproducible self-assembly way to form molecular junctions, and wherein the two gold nanoparticles are respectively joined to a drain and a source. The protein transistor device can be controlled to regulate current via applying a bias to the gate. The conformational change of the protein molecule will cause the variation of the charge transport characteristics of the protein transistor device. The protein transistor device can be further controlled by different optical fields via conjugating a quantum dot to the molecular junctions. Therefore, the present invention has diversified applications. | 02-20-2014 |
20140048777 | ORGANIC LIGHT EMITTING DIODE MODULE - An organic light emitting diode module is provided and includes a substrate, a first electrode located on the substrate, a pair of second electrodes located on the substrate, a light emitting element located on the substrate, a first copper foil electrically connected to the first electrode, a pair of second copper foils respectively electrically connected to the second electrodes, and a cross connection conductor electrically connected to the second copper foils. The second electrodes are in an arrangement opposite to one another. The light emitting element includes a first electrode layer electrically connected to the first electrode, a second electrode layer located between the second electrodes and electrically connected to the second electrodes, and an organic light emitting layer located between the first and second electrode layers. | 02-20-2014 |
20140048778 | Display Apparatus - A display apparatus including an organic light emitting display including a terminal portion, a battery disposed on a surface of the organic light emitting display, and a flexible printed circuit board (PCB) bent to cover the organic light emitting display and the battery, a side of the flexible PCB being connected to the terminal portion and another side of the flexible PCB extending outside and attached to the battery. | 02-20-2014 |
20140048779 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes an organic light emitting display panel including first to third pixels that emit light of different colors, a wavelength of a color light emitted from the third pixel being shorter than wavelengths of color lights emitted from the first and second pixels, a window on an upper portion of the display panel, and a light shielding pattern on the window, the light shielding pattern being adjacent to an outline of the third pixel. | 02-20-2014 |
20140048780 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - Disclosed are an organic light emitting diode display and a manufacturing method thereof, and, more particularly, an organic light emitting diode display which includes an encapsulation layer including an inorganic layer containing carbon at a level of about 0.2 wt % to about 6.2 wt % and an organic layer and a manufacturing method thereof. | 02-20-2014 |
20140048781 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate comprising pixels, each of which comprises a first sub-pixel, a second sub-pixel, and a third sub-pixel, and a plurality of pixel electrodes independently formed for respective sub-pixels; a first common layer commonly formed on the pixels; first lines covering first sub-pixels arranged in a first direction, wherein the first lines comprise a first organic light-emitting layer; a plurality of second lines covering second sub-pixels arranged in the first direction, wherein the second lines comprise a second organic light-emitting layer differing from the first organic light-emitting layer; a second common layer commonly formed on the plurality of pixels, wherein the second common layer comprises a third organic light-emitting layer differing from the first organic light-emitting layer and the second organic light-emitting layer; a third common layer commonly formed on the pixels; and an opposite electrode commonly formed on the pixels. | 02-20-2014 |
20140048782 | SPUTTERING TARGET AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE INCLUDING BLACK MATRIX DEPOSITED THEREBY - A sputtering target and an organic light-emitting display device including a black matrix deposited thereby. The sputtering target is used in a sputtering process for depositing a black matrix in an organic light-emitting display device. The sputtering target has a cermet structure in which a metal and a metal oxide are mixed. | 02-20-2014 |
20140048783 | SPUTTERING TARGET AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE INCLUDING BLACK MATRIX DEPOSITED THEREBY - A sputtering target that can form a black matrix having high-resistance and low-reflection characteristics and an organic light-emitting display device including the black matrix deposited thereby. The sputtering target that is used in a sputtering process for depositing a black matrix contains one selected from the group consisting of Mo—Si—O, W—Si—O and Mo—W—Si—O, the content of the Mo or W being at least 0.5 times the content of the Si. | 02-20-2014 |
20140048784 | BISCARBAZOLE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - A biscarbazole derivative having a specific group, which is represented by formula (1): | 02-20-2014 |
20140048785 | OPTOELECTRONIC COMPONENT AND USE OF A COPPER COMPLEX AS DOPANT FOR DOPING A LAYER - An optoelectronic component includes: a wet-chemically processed hole injection layer; and an additional layer doped with a dopant and adjacent to the wet-chemically processed hole injection layer, the dopant comprising a copper complex having at least one ligand with the chemical structure according to formula I in which E1 and E2 are each independently one of the following elements: sulfur, oxygen or selenium, and R is selected from the group of: hydrogen or substituted or unsubstituted, branched, linear or cyclic hydrocarbons. | 02-20-2014 |
20140048786 | ELECTRODE COMPOSITE AND PHOTOELECTRIC ELEMENT EQUIPPED THEREWITH - The present invention provides an electrode composite that has a reaction interface with a large area and can constitute a photoelectric element having high electron transport properties between the reaction interface and the electrode. The electrode composite of the present invention includes a first electrode and a conductive particle layer stacked on the first electrode. The conductive particle layer includes conductive particles containing acicular particles. The conductive particle layer has a three-dimensional porous network structure that is formed by the interconnection of the conductive particles. The three-dimensional network structure is joined to the first electrode. The conductive particle layer contains pores having a pore size of 50 nm or more in a total volume of 50% or more based on the volume of all pores in the conductive particle layer. | 02-20-2014 |
20140048787 | COMPOUND HAVING TRIAZOLE RING STRUCTURE SUBSTITUTED WITH PYRIDYL GROUP AND ORGANIC ELECTROLUMINESCENT DEVICE - An organic compound having excellent electron transport property and hole blocking property as a material for a highly efficient organic EL device, and also provide a highly efficient organic EL device using the compound. This invention relates to a compound having a triazole ring structure to which a substituted pyridyl group is bonded, represented by the following general formula (1), and to an organic electroluminescence device comprising the compound: | 02-20-2014 |
20140048788 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - The present invention relates to a substrate for an organic electrode device, a manufacturing method thereof, and an organic electronic device. An exemplary substrate of the invention, if an organic light emitting element is formed on an upper part of the substrate, can obtain luminance with high emission and uniformity by efficiently controlling the surface resistance of an electrode even when the device is configured into larger sizes. | 02-20-2014 |
20140048789 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE AND ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME - A compound for an organic optoelectronic device is represented by the following Chemical Formula 1: | 02-20-2014 |
20140048790 | ORGANIC EL ELEMENT, TRANSLUCENT SUBSTRATE AND METHOD OF MANUFACTURING ORGANIC LED ELEMENT - An organic LED element includes a transparent substrate, a light scattering layer, a first electrode, an organic light emitting layer, and a second electrode. The light scattering layer includes a base material made of glass, and scattering substances dispersed in the base material. The light scattering layer has a refractive index [N″] greater than a refractive index [N′] of the transparent substrate. First and second layers made of a material other than molten glass are arranged between the light scattering layer and the first electrode. A refractive index N | 02-20-2014 |
20140048791 | ELECTRODE FOIL AND ORGANIC DEVICE - There are provided an electrode foil which has all the functions of a supporting base material, an electrode and a reflective layer and also has a superior thermal conductivity; and an organic device using the same. The electrode foil comprises a metal foil, wherein the electrode foil has at least one outermost surface which is an ultra-smooth surface having an arithmetic average roughness Ra of 10.0 nm or less as measured in accordance with JIS B 0601-2001. | 02-20-2014 |
20140048792 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - The present invention provides an organic light emitting device comprising a first electrode, a second electrode, and one or more organic material layers disposed between the first electrode and the second electrode, and having an excellent life-span property by changing a dipole moment of a compound comprised in the organic material layers. | 02-20-2014 |
20140048793 | ORGANIC LIGHT-EMITTING ELEMENT, PRODUCTION METHOD FOR ORGANIC LIGHT-EMITTING ELEMENT, DISPLAY DEVICE, AND ILLUMINATION DEVICE - An organic light-emitting element having a high light extraction efficiency and a high light emission efficiency is provided, by an organic light-emitting element ( | 02-20-2014 |
20140048794 | COMPONENT HAVING AN ORIENTED ORGANIC SEMICONDUCTOR - For an organic semiconductor component and production thereof, an organic semiconductor layer is formed from complexes disposed on a boundary between a first layer and a second layer. The organic semiconductor layer is thereby orientated. The first layer is formed of a salt providing the central cations for the complexes. The second layer is formed of molecules that are the ligands of the complexes. Complex formation takes place when the second layer is deposited on the first layer. | 02-20-2014 |
20140054553 | ACTIVE MATRIX ORGANIC LIGHT-EMITTING DIODE PANEL PACKAGING STRUCTURE - The present invention provides an active matrix organic light-emitting diode (AMOLED) panel packaging structure, which includes a substrate, a pixel zone formed on the substrate, multiple loops of inorganic packaging material formed on the substrate and located outside the pixel zone, multiple loops of organic bonding material formed on the substrate and located outside the pixel zone, and a back lid covering over the inorganic packaging material and the organic bonding material. The multiple loops of inorganic packaging material include at least one loop that circumferentially and hermetically surrounds the pixel zone. The multiple loops of organic bonding material include at least one loop that circumferentially and hermetically surrounds the pixel zone. The inorganic packaging material and the organic bonding material are arranged to separate from each other. The AMOLED panel packaging structure possesses advantages of excellent packaging sealability and bondability and helps realization of size enlargement of AMOLED panel. | 02-27-2014 |
20140054554 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - An organic light emitting diode display device includes: a substrate; a first antireflection line formed on the substrate and including a first metallic layer and a first inorganic layer stacked sequentially; a gate line formed on the first antireflection line; a gate insulation layer formed on the substrate and the gate line; a second antireflection line formed on the gate insulation layer and including a second metallic layer and a second inorganic layer stacked sequentially; a data line formed on the second antireflection line; and wherein the first inorganic layer connects the first metallic layer and the gate line electrically and the second inorganic layer connects the second metallic layer and the data line. | 02-27-2014 |
20140054555 | Organic Light Emitting Display Device and Method for Fabricating the Same - The organic light emitting display device includes a substrate, a thin film transistor formed on the substrate, a protective film formed to cover the thin film transistor, a color filter layer formed on the substrate exposed by removing a gate insulating layer of the thin film transistor and the protective film, an overcoat layer formed over the entire surface of the substrate to cover the color filter layer and the protective film, a drain contact hole exposing the thin film transistor by selectively removing the protective film and the overcoat layer, and a first electrode connected to the thin film transistor through the drain contact hole on the overcoat layer, a white organic light emitting layer formed on the first electrode, and a second electrode formed to cover the white organic light emitting layer. | 02-27-2014 |
20140054556 | ORGANIC LIGHT-EMITTING DIODE AND METHOD OF FABRICATING THE SAME - An organic light-emitting diode includes an anode on a substrate; a first hole transporting layer on the anode; a second hole transporting layer on the first hole transporting layer and corresponding to the red and green pixel areas; a first emitting material pattern of a first thickness on the second hole transporting layer and corresponding to the red pixel area; a second emitting material pattern of a second thickness on the second hole transporting layer and corresponding to the green pixel area; a third emitting material pattern of a third thickness on the first hole transporting layer and corresponding to the blue pixel area; an electron transporting layer on the first, second and third emitting material patterns; and a cathode on the electron transporting layer, wherein the second thickness is less than the first thickness and greater than the third thickness. | 02-27-2014 |
20140054557 | DISPLAY DEVICE - A display device including a substrate, a display unit on the substrate, a sealing substrate coupled to the display unit, a plurality of power pads on the sealing substrate and electrically coupled to the display unit, and a connector including a housing unit, a power connection unit electrically coupled to the plurality of power pads, and a power contact unit for maintaining contact between the plurality of power pads and the power connection unit. | 02-27-2014 |
20140054558 | CASCADE-TYPE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A cascade-type compound and an organic light-emitting device (OLED) including the same are provided. The cascade-type compound can be generically represented as Formula 1 below: | 02-27-2014 |
20140054559 | ORGANIC LIGHT EMITTING DEVICE - An organic light-emitting device is disclosed, the organic light-emitting device comprising a first electrode, a second electrode disposed opposite to the first electrode, and an emission layer comprising organic materials and disposed between the two electrodes. The emission layer may include a host and a dopant. The host may be a silane derivative of anthracene having at least one silicon substituent that is an aryl group having at least two rings that are fused to each other. The dopant may be a 7H-benzo[c]fluorene having diarylamino substituents at the 5- and 9-positions. This scheme provides organic light-emitting devices having low driving voltages, high light-emitting efficiencies and long lifetimes. | 02-27-2014 |
20140054560 | Bottom and Top Gate Organic Transistors with Fluropolymer Banked Crystallization Well - A method is provided for fabricating a printed organic thin film transistor (OTFT) with a patterned organic semiconductor using a fluropolymer banked crystallization well. In the case of a bottom gate OTFT, a substrate is provided and a gate electrode is formed overlying the substrate. A gate dielectric is formed overlying the gate electrode, and source (S) and drain (D) electrodes are formed overlying the gate dielectric. A gate dielectric OTFT channel interface region is formed between the S/D electrodes. A well with fluropolymer containment and crystallization banks is then formed, to define an organic semiconductor print area. The well is filled with an organic semiconductor, covering the S/D electrodes and the gate dielectric OTFT channel interface. Then, the organic semiconductor is crystallized. Predominant crystal grain nucleation originates from regions overlying the S/D electrodes. As a result, an organic semiconductor channel is formed, interposed between the S/D electrodes. | 02-27-2014 |
20140054561 | Electron Transport Material and Organic Electroluminescent Device Using the Same - Provided are a new electron transport material and an organic electroluminescent device including the same. The electron transport material according to the present invention may have the excellent luminescence property and reduce the driving voltage to increase the power efficiency, such that the organic electroluminescent device using less consumption power may be manufactured. | 02-27-2014 |
20140054562 | THIN-FILM TRANSISTOR ARRAY SUBSTRATE AND DISPLAY DEVICE INCLUDING THE SAME - A thin-film transistor (TFT) array substrate including: a first conductive layer selected from an active layer, a gate electrode, a source electrode, and a drain electrode of a TFT; a second conductive layer in a layer different from the first conductive layer; and a connection node coupling the first conductive layer to the second conductive layer. Here, the TFT array has a node contact hole formed by: a first contact hole in the first conductive layer; and a second contact hole in the second conductive layer, the second contact hole being integral with the first contact hole and not being separated from the first contact hole by an insulating layer, and at least a portion of the connection node is in the node contact hole. | 02-27-2014 |
20140054563 | PHOSPHORESCENT EMITTERS WITH PHENYLIMIDAZOLE LIGANDS - Phosphorescent materials are provided, where the materials comprise a coordination compound having at least one ligand L | 02-27-2014 |
20140054564 | ELECTROLUMINESCENT DEVICE USING ELECTROLUMINESCENT COMPOUND AS LUMINESCENT MATERIAL - Provided is an organic electroluminescent device that exhibits an efficient host-dopant energy transfer mechanism, and thus, expresses a certain high-efficiency electroluminescent performance, based on improved electron density distribution. The organic electroluminescent device also overcomes low initial efficiency and short operation life property, and secures high-performance electroluminescent performance with high efficiency and long life property for each color. | 02-27-2014 |
20140054565 | OTFT ARRAY SUBSTRATE, DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to embodiments of the present invention, there are disclosed an organic thin film transistor (OTFT) array substrate, a display device and a method for manufacturing the same, which are capable of improving quality of a channel. The OTFT array substrate comprises: a transparent substrate, a gate line and a data line which are formed on the transparent substrate, and a pixel unit which are defined by crossing of the gate line and the data line; the pixel unit including an organic thin film transistor (OTFT) and a pixel electrode; on a channel region of an active layer of the OTFT, there is provided a first passivation layer unit. | 02-27-2014 |
20140054566 | Novel Structures for Light-Emitting Transistors - Disclosed are light-emitting transistors having novel structures that can lead to enhanced device brightness, specifically, via new arrangements of electrodes that can favor carrier recombination and exciton formation. | 02-27-2014 |
20140054567 | Organic Electroluminescent Device - Provided is an organic electroluminescent device including, in an order mentioned: a reflective electrode; an organic electroluminescent layer; a light extraction layer; and a transparent substrate, wherein a ratio (w/d) is 9 or more where “d” denotes a total average thickness from the organic electroluminescent layer to the transparent substrate and “w” denotes a minimum width of a non-light-emitting region present outside of an outer periphery of an effective light-emitting region in the organic electroluminescent layer. | 02-27-2014 |
20140054568 | SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A semiconductor device includes: a gate electrode; an organic semiconductor film forming a channel; and a pair of source-drain electrodes formed on the organic semiconductor film, the pair of source-drain electrodes each including a connection layer, a buffer layer, and a wiring layer that are laminated in order. | 02-27-2014 |
20140054569 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode display includes a display substrate including a display region having an organic light emitting diode; an encapsulation substrate facing the display substrate and covering the display region; a first sealing material between the display substrate and the encapsulation substrate, the first sealing material sealing the display region; a second sealing material in contact with an external exposed surface of the first sealing material and sealing the first sealing material; and a molding portion surrounding a lateral surface and an external corner of each of the display substrate and the encapsulation substrate and surrounding an external exposed surface of the second sealing material. | 02-27-2014 |
20140054570 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF PREPARING SAME AND DISPLAY DEVICE THEREOF - Disclosed is an organic light-emitting device comprising a substrate ( | 02-27-2014 |
20140054571 | Electroluminescent Element, Display Device And Method For Preparing Electroluminescent Element - Disclosed are an electroluminescent element, a display device and a method for preparing the electroluminescent element. The electroluminescent element comprises a substrate ( | 02-27-2014 |
20140054572 | ORGANIC ELECTROLUMINESCENT DEVICE - [Problem] To provide an organic electroluminescent device that is excellent in external extraction efficiency of emitted light and able to attain reduced power consumption and prolonged service life. | 02-27-2014 |
20140054573 | Hidden organic optoelectronic devices - An optoelectronic device comprising at least one optoelectronic active region comprising at least a rear electrode and a front electrode between which an organic optoelectronic material is sandwiched, said rear electrode being reflective, and a cover layer arranged in front of said front electrode. The cover layer comprises a material with light-scattering particles of a first material dispersed in a transparent matrix of at an least partly hydrolyzed silica sol. | 02-27-2014 |
20140054574 | HYBRID LAYERS FOR USE IN COATINGS ON ELECTRONIC DEVICES OR OTHER ARTICLES - A method for protecting an electronic device comprising an organic device body. The method involves the use of a hybrid layer deposited by chemical vapor deposition. The hybrid layer comprises a mixture of a polymeric material and a non-polymeric material, wherein the weight ratio of polymeric to non-polymeric material is in the range of 95:5 to 5:95, and wherein the polymeric material and the non-polymeric material are created from the same source of precursor material. Also disclosed are techniques for impeding the lateral diffusion of environmental contaminants. | 02-27-2014 |
20140054575 | DISPLAY UNIT - A display unit with which lowering of long-term reliability of a transistor is decreased is provided. The display unit includes a display section having a plurality of organic EL devices with light emitting color different from each other and a plurality of pixel circuits that are singly provided for every said organic EL device for every pixel. The pixel circuit has a first transistor for writing a video signal, a second transistor for driving the organic EL device based on the video signal written by the first transistor, and a retentive capacity, and out of the first transistor and the second transistor, a third transistor provided correspondingly to a second organic EL device adjacent to a first organic EL device is arranged farther from the first organic EL device than a first retentive capacity provided correspondingly to the second organic EL device out of the retentive capacity. | 02-27-2014 |
20140054576 | ORGANIC ELECTROLUMINESCENT DISPLAY - An organic electroluminescent (EL) display includes a plurality of organic EL devices for red, green, and blue subpixels, each including a first electrode on a light output side, a second electrode opposite the first electrode, and an organic compound layer including a light-emitting layer therebetween. The organic EL devices have a resonator structure between a first reflective surface closer to the first electrode than the organic compound layer and a second reflective surface closer to the second electrode than the organic compound layer. A predetermined white color is displayed by mixing the three colors such that an optical distance of the organic EL devices of each color between an emission position in the light-emitting layer and the second reflective surface is set within ±10% from an optical distance corresponding to an nth-order minimum of a curve of required current density against at least the optical distance. | 02-27-2014 |
20140054577 | PHOTOELECTRIC CONVERSION ELEMENT AND SOLID-STATE IMAGING DEVICE - A photoelectric conversion element comprises a first photoelectric conversion part, the first photoelectric conversion part comprising: a pair of electrodes; and a photoelectric conversion film between the pair of electrodes, wherein the photoelectric conversion film comprises an organic photoelectric conversion material having an absorption peak in an infrared region of an absorption spectrum within a combined range of a visible region and the infrared region and generating an electric charge according to light absorbed, and the first photoelectric conversion part as a whole transmits 50% or more of light in the visible region. | 02-27-2014 |
20140054578 | LAYERED ELECTRONIC DEVICE - A device includes an organic polymer layer and an electrode positioned against the polymer layer, the electrode being constituted by a transparent stack of thin layers including an alternation of n thin metallic layers and of (n+1) antireflection coatings, with n≧1, where each thin metallic layer is placed between two antireflection coatings. At least one of the two antireflection coatings located at the ends of the constituent stack of the electrode includes a stack that is a barrier to moisture and gases, the layers of the or each barrier stack having alternately lower and higher densities. | 02-27-2014 |
20140061591 | OLED DEVICES WITH INTERNAL OUTCOUPLING - Optoelectronic devices with enhanced internal outcoupling include a substrate, an anode, a cathode, an electroluminescent layer, and electron transporting layer comprising a fluoro compound of formula I | 03-06-2014 |
20140061592 | OLED DEVICES WITH INTERNAL OUTCOUPLING - Optoelectronic devices that have enhanced internal outcoupling are disclosed. The devices include a substrate, an anode, a cathode, an electroluminescent layer, and a hole injecting layer. The hole injecting layer includes inorganic nanoparticles that have a bimodal particle size distribution and which are dispersed in an organic matrix. | 03-06-2014 |
20140061593 | OLED DEVICES WITH INTERNAL OUTCOUPLING - Optoelectronic devices with enhanced internal outcoupling include a substrate, an anode, a cathode, an electroluminescent layer, and an electron transporting layer comprising inorganic nanoparticles dispersed in an organic matrix. | 03-06-2014 |
20140061594 | HIGH EFFICIENCY AND BRIGHTNESS FLUORESCENT ORGANIC LIGHT EMITTING DIODE BY TRIPLET-TRIPLET FUSION - A first device is provided. The first device further comprises an organic light emitting device. The organic light emitting device further comprises an anode, a cathode, and an emissive layer disposed between the anode and the cathode. The emissive layer may include an organic host compound and at least one organic emitting compound capable of fluorescent emission at room temperature. Various configurations are described for providing a range of current densities in which T-T fusion dominates over S-T annihilation, leading to very high efficiency fluorescent OLEDs. | 03-06-2014 |
20140061595 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An OLED display device is provided. The OLED display device includes a substrate segmented into a plurality sub-pixel regions, a thin film transistor formed in each of the sub-pixel regions, an insulating layer and a planarizion layer formed on the thin film transistor, a semitransparent reflective layer selectively formed in each sub-pixel region on the planarizion layer, a protective layer formed on the semitransparent reflective layer, an anode electrode formed in a region corresponding to the semitransparent reflective layer on the protective layer and connected to the thin film transistor, an organic light emitting layer connected to the anode electrode, and emitting light, and a cathode electrode formed on the organic light emitting layer. | 03-06-2014 |
20140061596 | ORGANIC LIGHT-EMITTING DIODE DISPLAY PANEL AND MANUFACTURING METHOD FOR THE SAME - The present invention discloses an OLED display panel which includes a first TFT array substrate, a first cover and a structural stiffening glue. A first frit and a second frit of the first cover have the structural stiffening glue provided at an outer side thereof, and the structural stiffening glue is in contact with the first TFT array substrate and the first cover. The present invention further discloses a method for manufacturing the OLED display panel. The present invention enables more solid and stable for a structure of the OLED display panel. | 03-06-2014 |
20140061597 | ORGANIC LIGHT EMITTING DISPLAY AND METHOD FOR MANUFACTURING THE SAME - Disclosed are an organic light emitting display that has a configuration excluding a polarizing plate and exhibits improved flexibility and visibility, and a method for manufacturing the same, the organic light emitting display includes a touch electrode array facing the organic light emitting diode on the second buffer layer, the touch electrode array including first and second touch electrodes intersecting each other and an exterior light shielding layer including at least a color filter layer, an adhesive layer formed between the organic light emitting diode and the touch electrode array. | 03-06-2014 |
20140061598 | Organic Light Emitting Display Device and Method of Fabricating the Same - Disclosed is an organic light emitting display device including: a first substrate including a plurality of pixel regions; a thin film transistor (TFT) formed in each of the plurality of pixel regions of a display unit of the substrate; a first electrode formed in the pixel region of the display unit; an organic light emitting unit formed in the pixel region of the display unit to emit light; a second electrode formed on the organic light emitting unit of the display unit; a passivation layer formed on the second electrode; and a second substrate formed on the passivation layer, wherein the second electrode is made of an alloy of silver (Ag) and an alkaline earth metal or an alloy of silver (Ag) and a rare earth metal, a composition ratio of the silver (Ag) and the alkaline earth metal or the rare earth metal is (more than 1):1, and a thickness of the second electrode ranges from 200 Å to 350-400 Å. | 03-06-2014 |
20140061599 | Organic Light Emitting Display Device - An organic light emitting display device with improved thermal reliability is disclosed. The organic light emitting display device includes a substrate, and an organic light emitting device that includes a first electrode, an organic light emitting layer including a first host, a second host, and a dopant, and a second electrode sequentially stacked on the substrate. The first host and the second host have different glass transition temperatures. | 03-06-2014 |
20140061600 | PYRENE COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME - A pyrene compound and an organic light emitting diode device including the same are disclosed. The organic light emitting diode device includes at least two stacks provided between a first electrode and a second electrode, and a charge generation layer provided between the stacks and including an N type charge generation layer and a P type charge generation layer, wherein the N type charge generation layer is made of the pyrene compound. | 03-06-2014 |
20140061601 | ANTHRACENE COMPOUND AND ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME - An anthracene compound and organic light emitting diode including the same are disclosed. The organic light emitting diode includes, at least two stacks formed between a first electrode and a second electrode and a charge generation layer (CGL) including an N-type CGL and a P-type CGL formed between the stacks, wherein the N-type CGL is formed of the anthracene compound. | 03-06-2014 |
20140061602 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device contains an anode and a cathode facing each other, and intervening therebetween at least two hole transporting layers and a light emitting layer sequentially, and one of the hole transporting layers contains a compound having a particular structure having a fluorene structure at the center thereof, and is not adjacent to the light emitting layer. The organic electroluminescence device has a hole transporting layer having an increased thickness, is capable of being controlled in the thickness of the optical film, and has an enhanced device capability. | 03-06-2014 |
20140061603 | DISPLAY PANEL AND MANUFACTURING METHOD OF THE SAME - In one aspect, a display panel and a manufacturing method of the same is provided. The display panel includes a non-emission region layer having a plurality of emission regions and a connection region that is open to connect adjacent emission regions; an organic emission layer formed in each of the plurality of emission regions; a counter electrode formed in the emission regions and the connection region; and an encapsulation layer formed on the counter electrode. | 03-06-2014 |
20140061604 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - A light-emitting element having high external quantum efficiency is provided. A light-emitting element having a long lifetime is provided. A light-emitting layer is provided between a pair of electrodes. The light-emitting layer is a stack of a first light-emitting layer, which contains a first phosphorescent compound, a first organic compound having an electron-transport property, and a second organic compound having a hole-transport property and is provided on the anode side, and a second light-emitting layer, which contains at least a second phosphorescent compound and the first organic compound having an electron-transport property. A combination of the first organic compound and the second organic compound forms an exciplex. | 03-06-2014 |
20140061605 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display and a manufacturing method thereof, and more particularly, an organic light emitting diode display having improved light extraction efficiency by forming both a first electrode and a second electrode as reflective electrodes to guide generated light to the side of a pixel, and a manufacturing method thereof. | 03-06-2014 |
20140061606 | THIN-FILM TRANSISTOR ARRAY SUBSTRATE AND DISPLAY DEVICE INCLUDING THE SAME - A thin-film transistor (TFT) array substrate includes a first conductive layer of a TFT, a second conductive layer that partially overlaps the first conductive layer, a through hole in a layer between the first and second conductive layers, a node contact hole integrally formed to include a first contact hole in the first conductive layer and a second contact hole in the second conductive layer such that the first contact hole is continuous with the second contact hole and is not separated from the second contact hole by an insulation layer, and a connection node that is in another layer different from the first conductive layer and the second conductive layer. The connection node is connected to the first and second conductive layers through the through hole and the node contact hole. | 03-06-2014 |
20140061607 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device includes: a substrate; an active layer on the substrate; a gate electrode insulated from the active layer and overlapping with the active layer; a source electrode including a first source electrode layer, connected to the active layer, and a second source electrode layer connected to the first source electrode layer, the second source electrode layer being larger than the first source electrode layer; a drain electrode including a first drain electrode layer connected to the active layer, and a second drain electrode layer connected to the first drain electrode layer, the second drain electrode layer being larger than the first drain electrode layer; a first electrode directly connected to a top surface of the source electrode or the drain electrode; an intermediate layer on the first electrode and including an organic emission layer; and a second electrode on the intermediate layer. | 03-06-2014 |
20140061608 | OLEDS AND OTHER ELECTRONIC DEVICES USING DESICCANTS - Electronic devices that use desiccants for protection from moisture. The electronic devices comprise a substrate and an organic element disposed over the top surface of the substrate. The substrate has one or more voids which may store desiccants. The voids may penetrate partially or completely through the thickness of the substrate. An environmental barrier is disposed over the organic element and the voids. Also provided are methods for making electronic devices that use desiccants. | 03-06-2014 |
20140061609 | NOVEL COMPOUNDS FOR ORGANIC ELECTRONIC MATERIAL AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Provided are novel compounds in accordance with Formula I for an organic electronic material and an organic electroluminescent device using same. The compound for an organic electronic material disclosed herein exhibits high electron transport efficiency and thus prevents crystallization upon manufacturing a device, and also facilitates the formation of a layer, thus improving current properties of the device. Thereby, OLED devices having improved power efficiency as well as reduced operating voltage can be manufactured. | 03-06-2014 |
20140061610 | ORGANIC LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - A method for manufacturing an organic light emitting device includes: forming an organic light emitting display panel including a substrate provided on a support substrate, an organic light emitting element on the substrate, and a thin film encapsulating film covering the organic light emitting element; detaching the support substrate from the organic light emitting display panel; attaching a bottom protecting film to a bottom of the organic light emitting display panel, the bottom protecting film comprising a first electricity removing layer configured to remove static electricity; and cutting the organic light emitting display panel into a plurality of organic light emitting devices. | 03-06-2014 |
20140061611 | LIGHT SOURCE MODULE - A light source module, including a first electrode, a second electrode, a first light-emitting unit and a second light-emitting unit, is provided. The first light-emitting unit and the second light-emitting unit both are electrically connected between the first electrode and the second electrode and are configured to emit a light by a driving of a voltage signal formed between the first electrode and the second electrode. A first light-emitting area of the first light-emitting unit has an area size different to that of a second light-emitting area of the second light-emitting unit. The first light-emitting unit is configured to emit a light with a first spectrum, and the second light-emitting unit is configured to emit a light with a second spectrum. | 03-06-2014 |
20140061612 | DISPLAY DEVICE - A highly reliable display device is provided. Alternatively, a display device with a narrow frame is provided. The display device includes: a first substrate and a second substrate facing each other; a pixel portion including a display element, between the first substrate and the second substrate; a first sealant provided around a periphery of the pixel portion; a second sealant which is in contact with at least one of a side surface of the first substrate and a side surface of the second substrate and with which a gap between the first substrate and the second substrate is filled; and a third sealant overlapping with a side surface of the first sealant and at least one of the side surface of the first substrate and the side surface of the second substrate with the second sealant interposed therebetween. | 03-06-2014 |
20140061613 | Light Emitting Device and Method of Manufacturing the Same - A light-emitting device structured so as to increase the amount of light taken out in a certain direction is provided as well as a method of manufacturing this light emitting device. As a result of etching treatment, an upper edge portion of an insulator ( | 03-06-2014 |
20140061614 | COMPOUNDS FOR USE IN LIGHT EMITTING DEVICES - Optionally substituted ambipolar naphthalene compounds useful in light-emitting devices are described, including without limitation 9-(3-(10-(3-(1-phenyl-1H-benzo[d]imidazol-2-yl)phenyl)anthracen-9-yl)phenyl)-9H-carbazole and 9-(3-(10-(3-(1-phenyl-1H-benzo[d]imidazol-2-yl)phenyl)anthracen-9-yl)phenyl)-9H-carbazole. | 03-06-2014 |
20140061615 | ORGANIC THIN FILM TRANSISTOR ARRAY SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME, AND DISPLAY DEVICE - There are provided an organic thin film transistor array substrate and a method for manufacturing the same which increases the manufacturing efficiency of the organic thin film transistor array substrate. In the method, a pattern layer of pixel electrode, and a pattern layer of source electrode and data line and a pattern layer of drain electrode, which are located above the pattern layer of pixel electrode, are formed on a substrate through one patterning process; an organic semiconductor layer that covers the pattern layer of source electrode and data line and the pattern layer of drain electrode and a gate insulating layer that covers the organic semiconductor layer are formed through one patterning process; and a pattern layer of gate electrode and gate line is formed through one patterning process on the substrate formed with the gate insulating layer. | 03-06-2014 |
20140061616 | ORGANIC SEMICONDUCTOR MATERIAL, COATING LIQUID CONTAINING THE MATERIAL, AND ORGANIC THIN FILM TRANSISTOR - An organic semiconductor material is represented by the following formula (1), wherein two or more of R | 03-06-2014 |
20140061617 | METHOD AND APPARATUS FOR INTEGRATING AN INFRARED (HR) PHOLOVOLTAIC CELL ON A THIN PHOTOVOLTAIC CELL - Embodiments of the subject invention relate to a method and apparatus for providing an at: least partially transparent one-side emitting OLED. The at least partially transparent one-side emitting OLED can include a mirror, such as a mirror substrate, substrate with a transparent anode and a transparent cathode. The mirror can allow at least a portion of the visible spectrum of light to pass through, while also reflecting at least another portion of the visible spectrum of light. The mirror can reflect at least a portion of the visible light emitted by a light emitting layer of the OLED incident on a first surface of the mirror, while allowing another portion of the visible light incident on a second surface of the mirror to pass through the mirror. | 03-06-2014 |
20140061618 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device including a substrate on which a display region and a non-display region are defined is described, the organic light-emitting display device comprising: a first electrode disposed on a substrate; an intermediate layer disposed on the first electrode and including an organic light-emitting layer; a second electrode disposed on the intermediate layer; an encapsulation layer disposed on the substrate; a plurality of pad units disposed on the non-display region; a wiring unit disposed on the display region; and a bridge wiring that is disposed across the display region and the non-display region and connects one of the plurality of pad units and the wiring unit to each other. | 03-06-2014 |
20140061619 | OLED ENCAPSULATING STRUCTURE AND MANUFACTURING METHOD THEREOF, AND LIGHT-EMITTING DEVICE - An OLED encapsulating structure and a manufacturing method thereof, and a light-emitting device are disclosed. The OLED encapsulating structure comprises: a base substrate, an OLED, barrier layers, and optical modulation layers; the OLED is formed on the base substrate; the barrier layers and the optical modulation layers are alternately and periodically formed on the OLED. The OLED encapsulating structure can reduce viewing-angle dependence of an OLED caused by a micro-cavity effect. | 03-06-2014 |
20140061620 | SUBSTITUTED BIPHENYL COMPOUNDS FOR USE IN LIGHT-EMITTING DEVICES - This disclosure relates to compounds for use in light-emitting devices are described herein. These compounds may include a biphenyl that includes four substituents, such as benzoxazolyl, benzothiazolyl, or benzimidazolyl substituents, such as a compound represented by Formula 1. These compounds can incorporated into a nanostructure material or a plurality of nanostructures, which can be useful for light-scattering or light-extraction, for example, to increase the efficiency of light-emitting devices. | 03-06-2014 |
20140061621 | ORGANIC SEMICONDUCTOR ILLUMINATION DEVICE AND ORGANIC LIGHT EMITTING COMPONENT THEREOF - An organic semiconductor illumination device including a first and second organic light emitting components is provided. Each of the organic light emitting components includes a transparent substrate, an organic light emitting structure, a first electrode structure and a second electrode structure. The transparent substrate has a first region and a second region. The first electrode structure has a first electrode body disposed between the transparent substrate and the organic light emitting structure and having a first contact portion extending from the first electrode body to the second region. The second electrode structure having a second electrode body over the organic light emitting structure and having a second contact portion extending from the second electrode body to the second region. The second region of the second organic light emitting component is disposed over the first region of the first organic light emitting component so as to form an overlapping region. | 03-06-2014 |
20140061622 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device according to the invention includes: a cathode; an anode; and an organic layer being interposed between the cathode and the anode, the organic layer comprising one or more layers comprising at least an emitting layer. The emitting layer contains: an anthracene derivative represented by a formula ( | 03-06-2014 |
20140061623 | ANTIMONY-FREE GLASS, ANTIMONY-FREE FRIT AND A GLASS PACKAGE THAT IS HERMETICALLY SEALED WITH THE FRIT - An antimony-free glass comprising TeO | 03-06-2014 |
20140061624 | DISPLAY DEVICE - A space between a lower substrate and an upper substrate including an organic EL light-emitting layer which includes a display region for displaying an image is filled by a dam material which is applied to enclose an exterior edge of the display region and a filling material which is dripped into the interior side of the dam material. The dam material is an epoxy resin with a comparatively high viscosity before hardening and the filling material is an epoxy resin with a comparatively low viscosity before hardening. A substrate concave part is formed between the display region on a surface of the lower substrate and a coating region of the dam material. | 03-06-2014 |
20140061625 | ELECTROLUMINESCENT DEVICES COMPRISING INSULATOR-FREE METAL GRIDS - A device, such as an electroluminescent device, comprising (i) a transparent conductor; (ii) a metal grid disposed on said transparent conductor; and (iii) said metal grid is not covered by an insulator, but by a hole injection layer comprising at least one conjugated polymer and at least one matrix polymer. Methods for making the electroluminescent device are also disclosed. | 03-06-2014 |
20140061626 | ORGANIC LIGHT-EMITTING DIODE AND METHOD FOR MANUFACTURING SAME - The present invention provides an organic light emitting diode comprising a first electrode, a second electrode and an organic material layer of one or more layers disposed between the first electrode and the second electrode, in which the organic material layer comprises a light emitting layer, an organic material layer comprising the compound represented by Formula 1 is comprised between the first electrode and the light emitting layer, and the light emitting layer comprises a host comprising the compound represented by Formula 1 and a dopant. | 03-06-2014 |
20140061627 | ORGANIC EL ELEMENT AND SOLUTION CONTAINING ORGANIC EL MATERIAL - An organic electroluminescence device ( | 03-06-2014 |
20140061628 | FUSED AROMATIC DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A fused aromatic derivative shown by the following formula (1): | 03-06-2014 |
20140061629 | LIGHT-EMITTING DEVICE MATERIAL AND LIGHT-EMITTING DEVICE - A light emitting device material containing a pyrene compound of general formula (1) and a light emitting device. In formula (1), R | 03-06-2014 |
20140061630 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE - An aromatic amine derivative having a specific structure. An organic electroluminescence device which is composed of one or more organic thin film layers sandwiched between a cathode and an anode, wherein at least one of the organic thin film layers, especially a hole transporting layer, contains the aromatic amine derivative. The aromatic amine derivative has at least one substituted or unsubstituted dibenzofuran skeleton and at least one substituted or unsubstituted terphenylene skeleton. Because the molecules in the aromatic amine derivate hardly crystallize, organic electroluminescence devices improving their production yield and having prolonged lifetime are provided. | 03-06-2014 |
20140070171 | Infrared Organic Light-Emitting Diode - The present invention provides an infrared organic light-emitting diode, which includes a light-transmitting substrate, an anode arranged on the light-transmitting substrate, a hole transporting layer arranged on the anode, a light emission layer arranged on the hole transporting layer, a hole blocking layer arranged on the light emission layer, an electron transporting layer arranged on the hole blocking layer, and a cathode arranged on the electron transporting layer. The light emission layer is an infrared light emission layer. The infrared organic light-emitting diode uses the infrared light emission layer to emit infrared light so as to overcome the drawbacks of high manufacturing cost, complicated operation, and being not able to form a film on polycrystalline, amorphous, or flexible plastic substrate found in the inorganic semiconductor infrared devices and thus lower down the manufacture cost to quite an extent and provides versatile utilization to help popularization | 03-13-2014 |
20140070172 | ORGANIC LIGHT EMITTING DIODE LIGHT SOURCE DEVICE - An organic light emitting diode (OLED) light source device is provided, including a lower substrate, a plurality of OLED modules disposed on the lower substrate and arranged in a matrix, a bus circuit surrounding the OLED modules to form a mesh structure and connecting the OLED modules in parallel, and an upper substrate disposed on the OLED modules and the bus circuit. The bus circuit connects the OLED modules in parallel. Therefore, the OLED light source device can be arbitrarily cut into different shapes, and its service life and light emitting performance are not affected by the cutting. | 03-13-2014 |
20140070173 | CUTTABLE ORGANIC LIGHT EMITTING DIODE LIGHT SOURCE DEVICE WITH WIRELESS POWER TRANSMISSION - A cuttable organic light emitting diode (OLED) light source device is provided, including a lower substrate, a plurality of OLED modules disposed on the lower substrate and arranged in a matrix, a plurality of sensing electrodes disposed on respective OLED modules for sensing an external magnetic field in order to provide power to the OLED modules, and an upper substrate disposed on the OLED modules and the sensing electrodes. The present disclosure independently provides power to each OLED module through the sensing electrodes, and allows the cuttable OLED light source device with wireless transmission to arbitrarily cut into different shapes, so that the service life and light emitting performance are not affected by the cutting. | 03-13-2014 |
20140070174 | ORGANIC LIGHT EMITTING DIODE DEVICE AND METHOD FOR FABRICATING THE SAME - The organic light emitting diode (OLED) device includes a first substrate defined by a display area and a panel edge portion surrounding the display area, a thin film transistor, a first electrode connected to the thin film transistor, a bank formed on the first substrate, an organic light emitting layer formed on the display area of the first substrate, a second electrode formed on an entire surface of the first substrate having the organic light emitting layer, an anti-moisture permeation pattern formed on the second electrode on the bank located at the panel edge portion, a passivation layer formed on an entire surface of the first substrate and a second substrate attached to the first substrate. | 03-13-2014 |
20140070175 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF FABRICATING THE SAME - An organic light emitting diode (OLED) display is provided. The OLED display includes: a substrate; a first electrode on the substrate; a first pixel defining layer exposing at least a portion of the first electrode; a medium layer on the first pixel defining layer and the first electrode, the medium layer including a first region and a second region; a second pixel defining layer overlapping the first pixel defining layer with the first region therebetween; a light emission layer overlapping the first electrode with the first region therebetween; and a second electrode covering the second pixel defining layer and the light emission layer. | 03-13-2014 |
20140070176 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE WITH IMPROVED COLOR PROPERTY - An organic light-emitting display device including a red light-emitting layer that includes a deep-red light-emitting layer and a light-red light-emitting layer, and a blue light-emitting layer that includes a deep-blue light-emitting layer and a light-blue light-emitting layer. The organic light-emitting display device is capable of displaying a deep color and a light color so as to be distinguishable from each other. | 03-13-2014 |
20140070177 | ORGANIC ELECTROLUMINESCENT DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic electroluminescent display includes: a substrate having a plurality of pixel areas and a non-pixel area; pixel electrodes located at respective ones of the pixel areas; driving transistors electrically connected to respective ones of the pixel electrodes; an insulating cover layer covering the driving transistors and having a dummy well located at a portion of the insulating cover layer corresponding to the non-pixel area; a pixel definition layer on the insulating cover layer and having openings therethrough corresponding to the pixel areas, respectively; an organic light emitting layer on the pixel electrodes; and a common electrode on the organic light emitting layer. A portion of the pixel definition layer fills the dummy well. | 03-13-2014 |
20140070178 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including: a substrate; a transparent cathode on the substrate; an anode disposed opposite to the cathode; an emission layer between the cathode and the anode; and a first electron transport layer between the cathode and the emission layer and including an imidazole derivative. | 03-13-2014 |
20140070179 | ORGANIC LIGHT EMITTING DISPLAY DEVICE HAVING IMPROVED AUXILIARY LIGHT EMITTING LAYER STRUCTURE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device includes: a substrate; a first electrode on the substrate; an auxiliary light emitting layer on the first electrode and having a pattern including a plurality of sub-patterns spaced apart from each other; a light emitting layer on the auxiliary light emitting layer; and a second electrode on the light emitting layer. | 03-13-2014 |
20140070180 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound may be represented by Formula 1: | 03-13-2014 |
20140070181 | ARRAY SUBSTRATE, METHOD FOR MANUFACTURING THE SAME AND DISPLAY DEVICE - According to embodiments of the present invention, there are provided an array substrate, a method for manufacturing the same and a display device, capable of reducing production difficulty of the array substrate. The manufacturing process of the array substrate is simplified, and the production cost is reduced. The array substrate comprises thin film transistor in a top-gate, bottom-contact configuration which is located on a substrate. Regarding the thin film transistor, its gate electrode is connected to a gate line, its source electrode is connected to a data line, and its drain electrode is connected to a pixel electrode. | 03-13-2014 |
20140070182 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An OLED display includes: a substrate; a thin film transistor on the substrate; a first insulation layer on the thin film transistor; a second insulation layer on the first insulation layer, the second insulation layer having a first opening exposing a portion of the first insulation layer; a first electrode electrically connected with the thin film transistor and contacting the second insulation and contacting the first insulation layer through the first opening; a pixel defining layer disposed on the first electrode and having a second opening exposing a portion of the first electrode in a region corresponding to the first opening, the second opening being smaller than the first opening; an organic emission layer on the first electrode in a region corresponding to the second opening; and a second electrode on the organic emission layer in a region corresponding to the second opening. | 03-13-2014 |
20140070183 | ORGANIC PHOTOELECTRIC DEVICE AND IMAGE SENSOR - An organic photoelectric device includes a first electrode, a metal nanolayer contacting one side of the first electrode, an active layer on one side of the metal nanolayer, and a second electrode on one side of the active layer. An image sensor includes the organic photoelectric device. | 03-13-2014 |
20140070184 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display is disclosed. In one embodiment, the display includes: 1) a data line and a driving voltage line crossing a scan line and respectively transmitting a data signal and a driving voltage, 2) a switching thin film transistor connected to the scan line and the data line and 3) a driving thin film transistor connected to the switching thin film transistor and the driving voltage line. The display also includes a compensation thin film transistor compensating a threshold voltage of the driving thin film transistor and connected to the driving thin film transistor and an OLED connected to the driving thin film transistor, wherein the compensation gate electrode of the compensation thin film transistor includes a first compensation gate electrode and a second compensation gate electrode separated from and formed with different layers from each other. | 03-13-2014 |
20140070185 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display including a substrate, a first electrode on the substrate, a light-emitting layer on the first electrode, a second electrode on the light-emitting layer, and a p-doping layer between the first electrode and the light-emitting layer. | 03-13-2014 |
20140070186 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display includes a substrate, a first electrode on the substrate, an emission layer on the first electrode, a second electrode on the emission layer, and an encapsulation layer on the second electrode. The encapsulation layer has a multilayered structure and a photochromic material is within at least one layer of the multilayered structure. | 03-13-2014 |
20140070187 | ORGANIC LIGHT EMITTING DISPLAY PANEL - The organic light emitting display panel includes a first electrode formed on a substrate, an organic light emitting layer formed on the first electrode, a second electrode formed on the organic light emitting layer, a front sealing layer formed on the second electrode, wherein the front sealing layer is formed by alternately laminating an inorganic barrier layer and an organic barrier layer at least once, and at least one capping layer formed between the lowest layer closest to the second electrode among a plurality of thin films of the front sealing layer and the second electrode and having a higher index of refraction than an index of refraction of the lowest layer. | 03-13-2014 |
20140070188 | DISPLAY DEVICE - The display device includes a substrate and a capacitor positioned on the substrate, the capacitor including a first capacitor electrode having a mesh shape and a second capacitor electrode having a mesh shape and positioned on the first capacitor electrode with an insulation layer therebetween. | 03-13-2014 |
20140070189 | LIGHT TRANSMISSIVE ELECTRODE, ORGANIC PHOTOELECTRIC DEVICE, AND IMAGE SENSOR - According to example embodiments, a transmissive electrode may include a light transmission layer. The light transmission layer may include a metal and a metal oxide that is included in a smaller amount than the metal. According to example embodiments, an organic photoelectric device, as well as an image sensor, may include the transmissive electrode. | 03-13-2014 |
20140070190 | LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - There are provided a light emitting device and a method for manufacturing the same, which may effectively improve heat dissipation of the light emitting device and extend service life thereof. The light emitting device comprises a substrate ( | 03-13-2014 |
20140070191 | METHOD AND APPARATUS FOR PROVIDING A WINDOW WITH AN AT LEAST PARTIALLY TRANSPARENT ONE SIDE EMITTING OLED LIGHTING AND AN IR SENSITIVE PHOTOVOLTAIC PANEL - Embodiments of the subject invention relate to a method and apparatus for providing a apparatus that can function as a photovoltaic cell, for example during the day, and can provide solid state lighting, for example at night. The apparatus can therefore function as a lighting window. An embodiment can integrate an at least partially transparent one-side emitting OLED and a photovoltaic cell. The photovoltaic cell can be sensitive to infrared light, for example light having a wavelength greater than 1 μm. The apparatus can be arranged such that the one direction in which the OLED emits is toward the inside of a building or other structure and not out into the environment. | 03-13-2014 |
20140070192 | Organic Light Emitting Diode Illuminating Device - An OLED illuminating device includes an OLED unit and an insulating unit. The OLED unit includes: a substrate having a top surface that includes a first zone provided with a terminal area; a light emitting element having a first electrode layer that includes a first terminal portion disposed on the terminal area, a second electrode layer that includes a second terminal portion disposed on the terminal area, and an organic light emitting multilayer structure; and a packaging cover partially covering the light emitting element in such a manner that the first and second terminal portions are exposed therefrom. The insulating unit covers the first and second terminal portions and is bonded adhesively to the terminal area. | 03-13-2014 |
20140070193 | TRANSISTOR, METHOD OF MANUFACTURING TRANSISTOR, METHOD OF MANUFACTURING SEMICONDUCTOR UNIT, AND METHOD OF MANUFACTURING DISPLAY UNIT - A method of manufacturing a transistor includes: forming a gate electrode; forming a laminated film of an organic insulating film and an organic semiconductor film with a gate insulating film therebetween, the laminated film being opposed to the gate electrode; and patterning the organic semiconductor film. | 03-13-2014 |
20140070194 | WHITE ORGANIC LIGHT-EMITTING DIODE - An emissive construct comprising a fluorescent layer comprising a fluorescent host and a fluorescent dopant, wherein the fluorescent layer has a higher electron mobility than hole mobility; a first phosphorescent layer, comprising a first phosphorescent host and a first phosphorescent dopant, wherein the phosphorescent layer has a higher electron mobility than hole mobility; and an exciton blocking layer disposed between the fluorescent layer and the phosphorescent layer. | 03-13-2014 |
20140070195 | ORGANIC LIGHT EMITTING DEVICE AND METHOD FOR PREPARING THE SAME - An organic light-emitting device with a sealing layer covering a light-emitting diode, wherein the sealing layer comprises n number of sealing units, each comprising a sequential stack of an organic film and an inorganic film, wherein n is an integer of 1 or greater and a method of manufacturing the same. | 03-13-2014 |
20140070196 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - Disclosed is an organic light emitting display device with an enhanced light emission efficiency at high current and an enhancement in panel efficiency through prevention of a roll-off phenomenon. The organic light emitting display device includes first and second electrodes formed on a substrate facing each other. A hole injection layer, a hole transport layer, at least first and second light emitting layers, and an electron transport layer are sequentially stacked between the first and second electrodes. The first light emitting layer includes different hosts while being doped with the same dopant in different dosages. The first light emitting layer includes a first host and a second host, and the second light emitting layer includes the first host and a third host different from the second host. The first and second light emitting layers are doped with the same phosphorescent yellow-phosphorescent green dopant in the same dosage. | 03-13-2014 |
20140070197 | METHOD FOR FORMING PATTERNED ORGANIC ELECTRODE - A method for forming a patterned organic electrode includes printing a toner on a surface of a substrate using a laser printer such that a reverse pattern formed of the toner is formed on the substrate, supplying a solution containing PEDOT and PSS onto the substrate having the reverse pattern formed of the toner such that the solution containing PEDOT and PSS is supplied into a region of the surface of the substrate not covered with the reverse pattern, drying the solution containing PEDOT and PSS supplied onto the substrate, and supplying onto the substrate a stripping solution containing a toner removing solvent which removes the toner and a high conductive solvent which selectively removes the PSS such that the reverse pattern formed of the toner is stripped from the substrate. | 03-13-2014 |
20140070198 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, LIGHTING DEVICE, AND ELECTRONIC DEVICE - An object is to provide a light-emitting element which exhibits light emission with high luminance and can be driven at low voltage. Another object is to provide a light-emitting device or an electronic device with reduced power consumption. Between an anode and a cathode, n (n is a natural number of two or more) EL layers are provided, where between a first EL layer and a second EL layer, a first layer containing any of an alkali metal, an alkaline earth metal, a rare earth metal, an alkali metal compound, an alkaline earth metal compound, and a rare earth metal compound, a second layer containing a material having a high electron-transporting property in contact with the first layer, and a region containing a material having a high hole-transporting property and an acceptor material in contact with the second layer are provided in this order from the anode side. | 03-13-2014 |
20140070199 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, an organic light emitting diode, and a display device including the organic light emitting diode, the compound being represented by the following Chemical Formula 1: | 03-13-2014 |
20140070200 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - A display device is provided which includes: lower electrodes each have a light-reflective first metal material layer and a second metal material layer provided thereon which has a superior alkaline-solution resistance to that of the first metal material layer; an insulating pattern which is formed from a photosensitive composition material, which has opening portions to expose the lower electrodes, and which covers peripheries of the lower electrodes; organic layers each of which at least include an organic light emitting layer and which are provided in the opening portions so as to cover the lower electrodes; and a light-transmissive upper electrode provided to sandwich the organic layers with the lower electrodes. | 03-13-2014 |
20140070201 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - The light-emitting element of the present invention includes a light-emitting layer and a layer for controlling movement of carriers between a pair of electrodes. The layer for controlling movement of carriers includes a first organic compound having a carrier transporting property and a second organic compound for reducing the carrier transporting property of the first organic compound, and the second organic compound is dispersed in the first organic compound. The layer for controlling movement of carriers is provided in such a manner, whereby change in carrier balance with time can be suppressed. Therefore, a light-emitting element having a long lifetime can be obtained. | 03-13-2014 |
20140070202 | PHOTOELECTRIC ELEMENT - A photoelectric element includes a first electrode; and a second electrode positioned so as to face the first electrode; and a semiconductor disposed on a face of the first electrode, the face being positioned so as to face the second electrode; and a photosensitizer carried on the semiconductor; and a first charge-transport layer interposed between the first electrode and the second electrode; and a second charge-transport layer interposed between the first charge-transport layer and the second electrode. The first charge-transport layer and the second charge-transport layer contain different oxidation-reduction materials. The oxidation-reduction material in the first charge-transport layer has an oxidation-reduction potential higher than an oxidation-reduction potential of the oxidation-reduction material in the second charge-transport layer. | 03-13-2014 |
20140070203 | FLEXIBLE DEVICE MANUFACTURING METHOD AND FLEXIBLE DEVICE - Flexible device manufacturing method including: forming thin film by coating surface of support with predetermined solution in state where hydroxyl groups are present on surface; forming releasing layer by baking thin film; forming flexible substrate on releasing layer; forming device on flexible substrate; and releasing releasing layer, flexible substrate and device from support at interface between support and releasing layer. Predetermined solution contains alkylsilane alkoxide derivative and titanium alkoxide derivative. Baking temperature for baking thin film is at least 200° C. and at most 350° C. Ratio of number of silicon atoms in alkylsilane alkoxide derivative to number of titanium atoms in titanium alkoxide derivative is 3.3:1 to 4.1:1 when baking temperature is at least 200° C. and less than 270° C., 3.3:1 to 23:1 when baking temperature is at least 270° C. and at most 330° C., and 19:1 to 23:1 when baking temperature is more than 330° C. and at most 350° C. | 03-13-2014 |
20140070204 | LIGHT EMITTING DEVICE MATERIAL AND LIGHT EMITTING DEVICE - The present invention provides an organic thin-film light emitting device having both high luminance efficiency and durability due to the use of a light emitting device material containing a compound having a specific carbazole skeleton. | 03-13-2014 |
20140070205 | ORGANIC THIN-FILM TRANSISTOR INSULATING LAYER MATERIAL - An object of the invention is to provide an organic thin film transistor insulating layer material which can be used to produce organic thin film transistors having a small absolute value of threshold voltage and low hysteresis. The solution to the problem is an organic thin film transistor insulating layer material including a macromolecular compound (A) which contains a repeating unit having a cyclic ether structure and a repeating unit having an organic group capable of being detached by an acid. | 03-13-2014 |
20140077163 | VERY HIGH RESOLUTION AMOLED DISPLAY - OLED displays having a resolution of 300 dpi, 400 dpi, or greater are provided. Devices as disclosed may use one or more transistors, such as metal oxide transistors, which have a leakage current of not more than about 10 | 03-20-2014 |
20140077164 | OLED FABRICATION USING LASER TRANSFER - Techniques for fabricating organic light emitting devices, and devices fabricating using the disclosed techniques, are provided. In the disclosed techniques, a layer including an emissive material and a buffer material may be deposited in a single laser transfer process, such as a laser-induced thermal imaging process. The emissive and buffer materials may be deposited in discrete layers during the transfer process. Examples of buffer materials as disclosed include blocking materials, transfer materials, and the like. Additional layers may be deposited using conventional techniques or additional laser transfer processes. | 03-20-2014 |
20140077165 | ORGANIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - The present invention provides an organic light emitting device comprising a first electrode, at least one organic layer and a second electrode, laminated successively, in which at least one layer of the organic layer has a polycyclic aromatic hydrocarbon as a core and comprises at least one of a derivative in which a substituted or unsubstituted C | 03-20-2014 |
20140077166 | ORGANIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - The present invention provides an organic light emitting device comprising a first electrode, at least one organic layer and a second electrode, laminated successively, in which at least one layer of the organic layer has a polycyclic aromatic hydrocarbon as a core and comprises at least one of a derivative in which a substituted or unsubstituted C | 03-20-2014 |
20140077167 | ORGANIC DISPLAY DEVICE - The present invention provides an organic display device, comprising: an organic solar module for obtaining solar energy and converting the obtained solar energy into electric power, and an ultraviolet organic light emitting module driven to emit ultraviolet light by the electric power obtained from the organic solar module. The present invention can fully use solar energy and carry out ultraviolet display by combining the ultraviolet organic light emitting module with the organic solar module. | 03-20-2014 |
20140077168 | ORGANIC LAYER DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY DEVICE USING THE SAME, AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic layer deposition apparatus includes: a deposition source configured to discharge a deposition material; a deposition source nozzle unit arranged at a side of the deposition source and including a plurality of deposition source nozzles; a patterning slit sheet facing the deposition source nozzle unit and having a plurality of patterning slits; an electrostatic chuck configured to chuck a substrate; a chuck moving member combined with and configured to transport the electrostatic chuck; and a guide member configured to guide a moving direction of the chuck moving member, and the chuck moving member includes a hook unit having a variable height, and the guide member includes a chain unit corresponding to the hook unit, and the hook unit is insertable into the chain unit, and the chuck moving member is movable along the guide member as the chain unit operates. | 03-20-2014 |
20140077169 | ORGANIC ELECTROLUMINESCENT DEVICE - According to one embodiment, an organic electroluminescence device includes a first electrode, a second electrode, a first organic layer and a second organic layer. The second electrode includes a metal. The first organic layer is provided between the first electrode and the second electrode. The first organic layer is configured to emit light. The second organic layer is provided between the first organic layer and the second electrode. A refractive index of the second organic layer in a thickness direction for the light is lower than a refractive index of the first organic layer for the light. | 03-20-2014 |
20140077170 | HYBRID LIGHT EMITTING DEVICE - Provided is a hybrid light emitting device. The hybrid light emitting device may include the first light emitting part on the substrate, the capping layer, and the second light emitting part. The first light emitting part may emit light having a first wavelength, and the first light emitting part may include a first electrode, an organic emitting layer, and a second electrode sequentially disposed. A second light emitting part may generate light having a second wavelength. A capping layer may be disposed between the organic emitting layer and the second light emitting part. The capping layer may reflect light having the first wavelength and transmit light having the second wavelength. | 03-20-2014 |
20140077171 | ORGANIC ELECTROLUMINESCENCE DISPLAY UNIT, METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE DISPLAY UNIT, AND COLOR FILTER SUBSTRATE - A display device includes a first electrode, an organic layer including a light emitting region, and a second electrode. The display device also includes a conductive layer electrically connected to the second electrode and including an opening corresponding to the light emitting region. | 03-20-2014 |
20140077172 | PHOSPHORESCENCE-SENSITIZED DELAYED FLUORESCENCE LIGHT EMITTING SYSTEM - A region of a device is provided that includes a first material and a second material. The first and second materials may be co-dopants of an emissive material or region. The first material may have an energy gap of not more than about 100 meV between the first excited singlet state and the first excited triplet state. Excitons that transition to the T | 03-20-2014 |
20140077173 | THIN FILM TRANSISTOR AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A thin film transistor includes a substrate, a gate electrode on the substrate, an active layer spaced from the gate electrode, a source electrode and a drain electrode spaced from the gate electrode and coupled to the active layer, a gate wiring at a same layer as the gate electrode and coupled to the gate electrode, and first conductive members electrically coupled to, and overlapping, the gate wiring. | 03-20-2014 |
20140077174 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device including: a substrate; an active layer formed on the substrate; a first insulation film disposed on the substrate to cover the active layer; a transistor including a gate electrode disposed at a location corresponding to the active layer with the first insulation film in between, and source and drain electrodes electrically connected to the active layer; a first electrode layer disposed on the substrate and electrically connected to any one of the source and drain electrodes of the transistor; a second electrode layer formed on the first electrode layer; an organic light emitting layer disposed between the first electrode layer and the second electrode layer; and a light reflecting unit covering a side and a part of top of the first electrode layer. | 03-20-2014 |
20140077175 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE CONDENSED-CYCLIC COMPOUND - A condensed-cyclic compound and an organic light-emitting diode including the condensed-cyclic compound. | 03-20-2014 |
20140077176 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND ORGANIC LIGHT-EMITTING DIODE DISPLAY - A thin film transistor (TFT) array substrate includes: a substrate; a first insulation layer on the substrate; a capacitor including a lower electrode on the first insulation layer, and an upper electrode arranged to overlap with the whole lower electrode and having an opening, and the upper electrode is insulated from the lower electrode by a second insulation layer; an inter-layer insulation film covering the capacitor; a node contact hole in the inter-layer insulation film and the second insulation layer, and within the opening; and a connection node on the inter-layer insulation film and electrically coupling the lower electrode and at least one TFT to each other through the node contact hole. | 03-20-2014 |
20140077177 | LIFETIME OLED DISPLAY - Light emitting devices including sub-pixels having different numbers of emissive layers are provided. At least one sub-pixel of a first color may include a single emissive layer, and at least one sub-pixel of a second color may include multiple emissive layers disposed in a vertical stack. Light emitting devices in which different voltages are applied to each sub-pixel or group of sub-pixels are also provided. In some configurations, the voltage to be applied to a sub-pixel may be selected based upon the number of emissive layers in the sub-pixel. | 03-20-2014 |
20140077178 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING DISPLAY DEVICE - A display device includes a substrate; a color filter layer positioned on the substrate correspondingly to a path where an image is outputted; and an organic overcoat layer covering the color filter layer and including an ion implantation layer coated on the surface. | 03-20-2014 |
20140077179 | NOVEL COMPOUND FOR ORGANIC ELECTRONIC MATERIAL AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Provided are a novel compound for an organic electronic material and an organic electroluminescent device using the same. The compound for an organic electronic material according to the present invention has high electron transport efficiency, thereby preventing crystallization at the time manufacturing of a device, and allows a layer to be easily formed, thereby improving current characteristics of the device, and thus an OLED device having a lowered driving voltage and improved power efficiency as well as superior luminous efficiency and lifespan characteristics as compared with the existing material can be manufactured. | 03-20-2014 |
20140077180 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes: a substrate; an organic light emitting element formed on the substrate; a first thin film transistor connected to the organic light emitting element and including an amorphous silicon channel region; and at least one other thin film transistor connected to the first thin film transistor and including a polysilicon channel region. | 03-20-2014 |
20140077181 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display according to an exemplary embodiment includes: a display substrate; an organic light emitting element on the substrate; a sealing member covering the organic light emitting element; a sealant formed between a surrounding portion of the display substrate and a surrounding portion of the sealing member; and an impact absorption layer under the display substrate, wherein an area ratio of the impact absorption agent in the impact absorption layer increases from the surrounding portion of the display substrate to a center of the display substrate such that the impact absorption ratio of the outer portion of the surrounding impact absorption layer is higher than the impact absorption ratio of the center impact absorption layer, and accordingly, damage to the surrounding portion of the display substrate that is weak against external impact may be prevented. | 03-20-2014 |
20140077182 | ORGANIC ELECTROLUMINESCENT DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic electroluminescent display includes a substrate having a pixel area, a pixel definition layer having an opening corresponding to the pixel area, a pixel electrode in the pixel area, a hole injection layer on the pixel electrode, a conductive primer layer in the opening and on the hole injection layer, the conductive primer layer being more lyophilic than the hole injection layer, a hole transfer layer in the opening and on the conductive primer layer ,an organic light-emitting layer on the hole transfer layer, and a common electrode on the organic light-emitting layer. | 03-20-2014 |
20140077183 | THIN FILM ENCAPSULATION UNIT, ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME AND MANUFACTURING METHOD THEREOF - A thin film encapsulation unit including an inorganic layer, a first organic layer on the inorganic layer and including a light-blocking unit and a light-transmitting unit, and a reflection-preventing layer on the first organic layer. | 03-20-2014 |
20140077184 | CAPACITOR AND ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME - A capacitor positioned on a substrate insulating layer positioned on a substrate. The capacitor includes a first capacitor electrode positioned on the substrate insulating layer, a second capacitor electrode positioned on the first capacitor electrode, and a capacitor insulating layer coming into contact with the first capacitor electrode and the second capacitor electrode between the first capacitor electrode and the second capacitor electrode, and having a higher dielectric constant than the substrate insulating layer. | 03-20-2014 |
20140077185 | ORGANIC LIGHT EMITTING DISPLAY - An organic light emitting display includes an array substrate, a plurality of light emitting devices disposed over the array substrate, and a plurality of color filters having different colors. The plurality of light emitting devices include a first light emitting device configured to emit light having a first color and a second light emitting device configured to emit light having a second color different from the first color, and the plurality of color filters include first and second color filters disposed over the first light emitting device and the second light emitting device, respectively. | 03-20-2014 |
20140077186 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting diode (OLED) display device includes a substrate, a pixel unit formed over the substrate and including a plurality of sub-pixels, and a non-pixel unit immediately neighboring the pixel unit. The pixel unit has an octagonal shape. | 03-20-2014 |
20140077187 | ENCAPSULATION MEMBER, ORGANIC LIGHT EMITTING DISPLAY DEVICE HAVING ENCAPSULATION MEMBER AND METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICE HAVING ENCAPSULATION MEMBER - An encapsulation member is disclosed. The encapsulation member includes at least two organic material layers, at least two inorganic material layers and a light absorption layer pattern. The inorganic material layers and the organic material layers are stacked alternately. The light absorption layer pattern is disposed between one of the organic material layers and one of the inorganic material layers which immediately neighbor each other. The light absorption layer pattern includes a plurality of wires which extend in a first direction, and are arranged substantially parallel to each other. | 03-20-2014 |
20140077188 | METHOD OF MANUFACTURING ORGANIC EL ELEMENT AND ORGANIC EL ELEMENT - A method of manufacturing an organic EL element includes: a first step of forming a lower electrode on a substrate; a second step of forming an organic functional layer on the lower electrode; and a third step of forming an upper electrode on the organic functional layer, wherein the third step includes: a first film-forming step of forming a thin film on the organic functional layer by magnetron sputtering, the thin film being formed of material of the upper electrode; and a second film-forming step of forming, after the first film-forming step, another thin film by a film-forming process different from the magnetron sputtering on the thin film formed in the first film-forming step, said another thin film being formed of the material of the upper electrode. | 03-20-2014 |
20140077189 | ORGANIC LIGHT EMITTING DEVICE AND METHOD - An organic light-emitting device comprises an anode; a hole injection layer in contact with the anode; a light-emitting layer over the hole injection layer; and a cathode over the light-emitting layer, wherein the hole injection layer comprises an organic semiconductor material doped with a partially fluorinated fullerene such as C | 03-20-2014 |
20140077190 | PHOSPHINE OXIDE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A phosphine oxide-based compound represented by Formula 1, and an organic light-emitting device including the phosphine oxide-based compound. | 03-20-2014 |
20140077191 | NEW COMPOUND, ORGANIC ELECTROLUMINESCENCE DEVICE MATERIAL, ORGANIC ELECTROLUMINESCENCE DEVICE AND ELECTRONIC DEVICE - A compound is represented by a formula (1) below. In the formula (1), X | 03-20-2014 |
20140077192 | ORGANIC LIGHT EMITTING DIODE - An organic light emitting diode (OLED) has a plurality of light emitting regions. The OLED includes an anode layer, a cathode layer, an organic light emitting layer, and a wavelength shift layer. The organic light emitting layer is disposed between the anode layer and the cathode layer and correspondingly provides the light emitting regions with a plurality of emitted lights. Here, the organic light emitting layer has a fixed thickness. The wavelength shift layer is disposed outside the organic light emitting layer, the cathode layer, and the anode layer. A wavelength range at half-peak of combination of the emitted lights is wider than a wavelength range at half-peak of one of the lights. | 03-20-2014 |
20140077193 | MANUFACTURING METHOD OF ELECTRONIC DEVICE USING IMPRINTING AND ELECTRONIC DEVICE MADE THEREFROM - A manufacturing method of an electronic device simplifies the process by performing a patterning process by using an imprinting technology. An electronic device manufactured by the manufacturing method is also disclosed. | 03-20-2014 |
20140077194 | COMPOUND - The present invention relates to a compound of general formula (I) which can transport holes in an organic optoelectronic device, and to blends and solutions comprising the compound of general formula (I): | 03-20-2014 |
20140077195 | ORGANIC LIGHT-EMITTING DIODE PACKAGE STRUCTURE AND METHOD OF MANUFACTURING CONCAVITY ON SUBSTRATE - The present invention provides an organic light-emitting diode package structure including a first substrate, a second substrate, at least an organic light-emitting diode device and a dam. The first substrate and a surface of the second substrate are disposed opposite to each other, wherein the surface of the second substrate includes a plurality of concavities, each of the concavities has an opening area, and a ratio of a sum of the opening areas of the concavities to an area of the first surface of the second substrate is substantially between 0 and 1. The organic light-emitting diode device is disposed on the first substrate, and a light emitting surface of the organic light-emitting diode device faces the second substrate. The dam is disposed between the first substrate and the second substrate to combine the first substrate and the second substrate, and the dam surrounds the organic light-emitting diode device. | 03-20-2014 |
20140077196 | ORGANIC LIGHT EMITTING DIODE DISPLAY PANEL AND PORTABLE DISPLAY INCLUDING THE SAME - An organic light emitting display panel that includes a substrate, a plurality of pixels disposed on the substrate and forming a matrix, and an auxiliary organic light emitting element disposed at a distance from the pixel. | 03-20-2014 |
20140077197 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - The organic electroluminescence display device has a laminated portion on a base substrate. The device may have a cavernous portion formed by exploding a part of the laminated portion in a screening processing. A protective layer is formed to cover a whole surface of a wall defining the cavernous portion. Therefore, substances contained in the air are prevented from contacting to an organic electroluminescence layer at least partially defining the cavernous portion. Therefore, even if moisture is contained in the air, it is possible to prevent moisture from being absorbed by the organic electroluminescence layer. Moreover, since moisture is not absorbed by the organic electroluminescence layer, it is possible to reduce irregular spot on the device. In addition, it is possible to reduce a short circuit at an open defective portion. | 03-20-2014 |
20140077198 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic electroluminescence display device includes a p-doped layer doped with a P-type dopant on an anode electrode, a P-type dopant diffusion blocking layer on the p-doped layer, a first hole transport layer on the P-type dopant diffusion blocking layer, a light emitting layer on the first hole transport layer, an electron transport layer on light emitting layer, and a cathode electrode on the electron transport layer, the p-doped layer, the P-type dopant diffusion blocking layer, the hole transport layer, and the light emitting layer being stacked in the stated order on the anode. | 03-20-2014 |
20140077199 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - The present invention has an object of providing a light-emitting device including an OLED formed on a plastic substrate, which prevents degradation due to penetration of moisture or oxygen. On a plastic substrate, a plurality of films for preventing oxygen or moisture from penetrating into an organic light-emitting layer in the OLED (“barrier films”) and a film having a smaller stress than the barrier films (“stress relaxing film”), the film being interposed between the barrier films, are provided. Owing to a laminate structure, if a crack occurs in one of the barrier films, the other barrier film(s) can prevent moisture or oxygen from penetrating into the organic light emitting layer. The stress relaxing film, which has a smaller stress than the barrier films, is interposed between the barrier films, making it possible to reduce stress of the entire sealing film. Therefore, a crack due to stress hardly occurs. | 03-20-2014 |
20140077200 | AROMATIC AMINE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME - The present invention provides a novel aromatic amine derivative having a specific structure and an organic electroluminescence device in which an organic thin film layer comprising a single layer or plural layers including at least a light emitting layer is interposed between a cathode and an anode, wherein at least one layer in the above organic thin film layer, particularly a hole injecting layer contains the aromatic amine derivative described above in the form of a single component or a mixed component. Use of the aromatic amine derivative described above materialize an organic electroluminescence device which reduces an operating voltage and makes molecules less liable to be crystallized and which enhances a yield in producing the organic EL device and has a long lifetime. | 03-20-2014 |
20140077201 | OPTOELECTRONIC COMPONENT AND METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT - An optoelectronic component may include: at least one layer of the optoelectronic component; at least one adhesive on the layer of the optoelectronic component; and a cover on the at least one adhesive; wherein the at least one adhesive is cured only in a partial region above at least one of a substrate and the layer. | 03-20-2014 |
20140077202 | TOP-EMITTING ORGANIC LIGHT-EMITTING DEVICE AND METHOD FOR PREPARING THE SAME - A top-emitting organic light-emitting device (OLED) and a method for preparing the same are provided. The top-emitting organic light-emitting device comprises, stacked in sequence, a substrate ( | 03-20-2014 |
20140084251 | ZINC OXIDE-CONTAINING TRANSPARENT CONDUCTIVE ELECTRODE - A transparent conductive electrode stack containing a work function adjusted zinc oxide is provided. Specifically, the transparent conductive electrode stack includes a layer of zinc oxide and a layer of a work function modifying material. The presence of the work function modifying material in the transparent conductive electrode stack shifts the work function of the layer of zinc oxide to a higher value for better hole injection into the OLED device as compared to a transparent conductive electrode that includes only a layer of zinc oxide and no work function modifying material. | 03-27-2014 |
20140084252 | DOPED GRAPHENE TRANSPARENT CONDUCTIVE ELECTRODE - Graphene is used as a replacement for indium tin oxide as a transparent conductive electrode which can be used in an organic light emitting diode (OLED) device. Using graphene reduces the cost of manufacturing OLED devices and also makes the OLED device extremely flexible. The graphene is chemically doped so that the work function of the graphene is shifted to a higher value for better hole injection into the OLED device as compared to an OLED device containing an undoped layer of graphene. An interfacial layer comprising a conductive polymer and/or metal oxide can also be used to further reduce the remaining injection barrier. | 03-27-2014 |
20140084253 | TRANSPARENT CONDUCTIVE ELECTRODE STACK CONTAINING CARBON-CONTAINING MATERIAL - A transparent conductive electrode stack containing a work function adjusted carbon-containing material is provided. Specifically, the transparent conductive electrode stack includes a layer of a carbon-containing material and a layer of a work function modifying material. The presence of the work function modifying material in the transparent conductive electrode stack shifts the work function of the layer of carbon-containing material to a higher value for better hole injection into the OLED device as compared to a transparent conductive electrode that includes only a layer of carbon-containing material and no work function modifying material. | 03-27-2014 |
20140084254 | OLED DISPLAY WITH SPALLED SEMICONDUCTOR DRIVING CIRCUITRY AND OTHER INTEGRATED FUNCTIONS - Spalling is employed to generate a single crystalline semiconductor layer. Complementary metal oxide semiconductor (CMOS) logic and memory devices are formed on a single crystalline semiconductor substrate prior to spalling. Organic light emitting diode (OLED) driving circuitry, solar cells, sensors, batteries and the like can be formed prior to, or after, spalling. The spalled single crystalline semiconductor layer can be transferred to a substrate. OLED displays can be formed into the spalled single crystalline semiconductor layer to achieve a structure including an OLED display with semiconductor driving circuitry and other functions integrated on the single crystalline semiconductor layer. | 03-27-2014 |
20140084255 | Organic Light-Emitting Diode Using Bandgap Matching Dye as Co-Host - The present invention relates to an organic light-emitting diode using an bandgap matching dye as a co-host, comprising: a first conductive layer, a hole injection layer, a hole transport layer, a host light-emitting layer, a first dye, a second dye, an electronic transport layer, an electronic injection layer, and a second conductive layer; wherein the host energy gap of the host light-emitting layer is greater than the energy gap of the first dye, and the energy gap of the first dye is greater than the energy gap of the second dye; therefore the first dye can be a co-host light-emitting layer opposite to the host light-emitting layer, and the energy of the first dye can be effectively conducted to the second dye, such that the luminous efficiency of the light emitted by the second dye through the host light-emitting layer is largely enhanced. | 03-27-2014 |
20140084256 | ORGANIC LIGHT EMITTING DIODE DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode device and a method for manufacturing the same are disclosed. The organic light emitting diode device including a substrate, stacks disposed between a first electrode and a second electrode on the substrate, wherein the stacks including a first stack having a first blue layer and a second stack disposed on the first stack and having a second blue layer, and a first emission layer is formed at a partial region of the first stack, and a second emission layer is formed at a partial region of the second stack. | 03-27-2014 |
20140084257 | ORGANIC LIGHT EMITTING DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display panel including a partition wall to prevent different organic light emitting materials from being mixed with each other between adjacent light emitting areas. The partition wall may protrude from a surface of a pixel definition layer or a first common layer. Accordingly, desired light colors are generated by organic light emitting patterns respectively disposed in the light emitting areas. | 03-27-2014 |
20140084258 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device is disclosed. In one aspect, the device includes a display panel including a display area including a plurality of pixels displaying an image and a non-display area formed in a peripheral area of the display area while including a plurality of non-pixels. The display panel also includes a substrate in which the pixels and the non-pixels are formed and a pixel defining layer including a plurality of openings corresponding to the pixels and the non-pixels, the pixel defining layer being formed on the substrate. The device further includes organic light emitting devices which are formed in the openings corresponding to the pixels and generate a light in response to corresponding drive voltages and organic layers formed in the openings corresponding to the non-pixels. The organic layers do not receive the drive voltages. | 03-27-2014 |
20140084259 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus and a method of manufacturing the same. The organic light-emitting display apparatus includes a substrate; a first passivation layer formed on the substrate; at least one of color filters formed on the first passivation layer; an overcoat layer that covers the color filter; a second passivation layer that is formed on the first passivation layer and surrounds the overcoat layer; a first electrode formed on the second passivation layer; a second electrode facing the first electrode; and an organic layer disposed between the first electrode and the second electrode. | 03-27-2014 |
20140084260 | ORGANIC COMPOUNDS CONTAINING B-N HETEROCYCLES - In certain embodiments, the invention provides boron-nitrogen heterocycles having Formula (I): | 03-27-2014 |
20140084261 | ELECTROLUMINESCENT ELEMENT - In certain embodiments, the invention provides metal complexes having Formula (I): | 03-27-2014 |
20140084262 | ORGANIC LAYER DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS USING THE SAME, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED USING THE METHOD - An organic layer deposition apparatus, a method of manufacturing the same, and an organic light-emitting display apparatus using the same, and an organic light-emitting display apparatus manufactured using the method, are disclosed. An organic layer deposition apparatus is suitable for mass production of organic light-emitting display apparatuses on large-size substrates, and enables high-precision patterning. A method of manufacturing an organic light-emitting display apparatus by using the organic layer deposition apparatus, and an organic light-emitting display apparatus manufactured using the method, are disclosed. | 03-27-2014 |
20140084263 | ORGANIC LAYER DEPOSITION APPARATUS, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic layer deposition apparatus includes a transfer unit; a first conveyer unit including a guide member having accommodation grooves, a first accommodation part, a second accommodation part, and a connection part that connects the first accommodation part to the second accommodation part; a second conveyer unit for moving the transfer unit without the substrate; a loading unit for fixing the substrate on the transfer unit; a deposition unit including a chamber and an organic layer deposition assembly; and an unloading unit for separating the substrate, wherein the first accommodation part of the guide member is located close to ground compared to the second accommodation part, and includes a lower member, an upper member, elastic members located between the lower and upper members. The substrate fixed on the transfer unit is spaced from the organic layer deposition assembly while being transferred by the first conveyer unit. | 03-27-2014 |
20140084264 | THIN FILM TRANSISTOR ARRAY SUBSTRATE, ORGANIC LIGHT-EMITTING DISPLAY DEVICE INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE SAME - A thin film transistor (TFT) array substrate includes a TFT including an active layer, a gate electrode, a source electrode, a drain electrode, a first gate insulating layer and a second gate insulating layer formed between the active layer and the gate electrode, and an interlayer insulating layer formed between the gate electrode and the source electrode and the drain electrode; a pixel electrode formed in an opening of the interlayer insulating layer, the pixel electrode including transparent conductive oxide; a translucent electrode formed in a region corresponding to the pixel electrode, between the first gate insulating layer and the second gate insulating layer; and a capacitor including a lower electrode formed from the same layer as the active layer, and an upper electrode formed from the same layer as the translucent electrode. | 03-27-2014 |
20140084265 | ORGANIC THIN FILM TRANSISTOR, ORGANIC THIN FILM TRANSISTOR ARRAY SUBSTRATE AND DISPLAY DEVICE - Embodiments of the invention provide an organic thin film transistor, an organic thin film transistor array substrate and a display device. The organic thin film transistor comprises a transparent substrate; source and drain electrodes formed on the transparent substrate; an active layer formed on the transparent substrate by an organic semiconductor material and disposed between the source and drain electrodes; a gate insulating layer formed on the active layer; a gate electrode formed on the gate insulating layer; and first and second banks disposed on the transparent substrate, inner sides of the first and second banks being covered by the source and drain electrodes, respectively. | 03-27-2014 |
20140084266 | SEMI-TRANSPARENT, TRANSPARENT, STACKED AND TOP-ILLUMINATED ORGANIC PHOTOVOLTAIC DEVICES - An electro-optic device includes a first electrode, an active layer formed over and electrically connected with the first electrode, a buffer layer formed over and electrically connected with the active layer, and a second electrode formed directly on the buffer layer. The second electrode includes a plurality of nanowires interconnected into a network of nanowires. The buffer layer provides a physical barrier between the active layer and the plurality of nanowires to prevent damage to the active layer while the second electrode is formed. | 03-27-2014 |
20140084267 | ORGANIC LIGHT EMITTING DIODE, ORGANIC LIGHT EMITTING DISPLAY PANEL INCLUDING THE ORGANIC LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE ORGANIC LIGHT EMITTING DISPLAY PANEL - An organic light emitting diode includes a first electrode layer, a first common layer disposed on the first electrode layer, an organic light emitting layer disposed on the first common layer, a second common layer disposed on the organic light emitting layer, and a second electrode layer disposed on the second common layer. The organic light emitting layer and the first common layer have the same directional property. Since an injection/transportation of charge at an interface of the first common layer and the organic light emitting layer becomes smooth, charges are not accumulated at the interface. Thus, life of the organic light emitting diode is extended. | 03-27-2014 |
20140084268 | METHOD OF FORMING POLYSILICON FILM, THIN FILM TRANSISTOR AND DISPLAY DEVICE INCLUDING POLYSILICON FILM - A method of forming a polysilicon film includes: forming an amorphous silicon film on a substrate; adsorbing a metal catalyst on the amorphous silicon film, crystallizing the amorphous silicon film through heat treatment to form the polysilicon film, the polysilicon film including a grain internal region and a grain boundary where the metal catalyst remains, providing an etchant having different oxidation selectivities with respect to the grain internal region and the grain boundary, and etching a surface of the polysilicon film by the etchant to remove the metal catalyst remaining on the grain boundary. | 03-27-2014 |
20140084269 | THREE STACK HYBRID WHITE OLED FOR ENHANCED EFFICIENCY AND LIFETIME - OLEDs containing a stacked hybrid architecture including a phosphorescent organic emissive unit and two fluorescent organic emissive units are disclosed. The stacked hybrid architecture includes a plurality of electrodes and a hybrid emissive stacked disposed between at least two of the electrodes. The stack contains at least three emissive units and at least two charge generation layers. At least one of the three emissive units is a phosphorescent organic emissive unit and at least two of the three emissive units are fluorescent organic emissive units. More specifically, the two fluorescent organic emissive units may be blue organic emissive units that emit light from the same or different color regions. | 03-27-2014 |
20140084270 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes an anode, a cathode, a first organic layer and an emitting layer including a luminescent material, in which the first organic layer and the emitting layer are interposed between the anode and the cathode in this sequence from the anode. The emitting layer contains a first material represented by the following formula (1-1) and a second material. The first organic layer contains a compound represented by the following formula (4). | 03-27-2014 |
20140084271 | NOVEL ORGANIC ELECTROLUMINESCENT COMPOUNDS AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Provided are novel organic electroluminescent compounds and organic electroluminescent devices using the same. Since the organic electroluminescent compound exhibits good luminous efficiency and excellent life property, it may be used to manufacture OLED devices having superior operation life and consuming less power due to improved power efficiency. | 03-27-2014 |
20140084272 | SURFACE PLANARISATION - This invention generally relates to planarisation of a surface of a substrate. In an embodiment of planarising a surface region of a substrate, the substrate having a body on a portion of said surface region, the method comprises: modifying the wetability of a surface of said body with respect to a liquid planariser composition by providing a surface modifying layer such as a self-assembled monolayer thereon; and then depositing the liquid planariser composition on said substrate and said body such that the planariser composition wets said surface region, wherein said surface modifying layer determines a contact angle of said liquid planariser composition to said surface of said body such that the deposited liquid planariser composition is repelled from said surface of said body. | 03-27-2014 |
20140084273 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A light-emitting element emitting phosphorescence and having high emission efficiency, in which a property of injecting holes to a light-emitting layer is increased, is provided. The light-emitting layer of the light-emitting element includes a first organic compound represented by the following general formula (G1) and a second organic compound which is a phosphorescent compound. The difference between the HOMO level of the first organic compound and the HOMO level of the second organic compound is lower than or equal to 0.3 eV. | 03-27-2014 |
20140084274 | Light-Emitting Element - A light-emitting element with high emission efficiency is provided. The light-emitting element includes, between a pair of electrodes, a layer containing a p-type host, a light-emitting layer containing a guest, the p-type host, and an n-type host, and a layer containing the n-type host. A combination of the p-type host and the n-type host forms an exciplex. Among the layer containing the p-type host, the light-emitting layer, and the layer containing the n-type host, the light-emitting layer has the highest secondary ion intensity of the n-type host, the layer containing the n-type host has the second-highest secondary ion intensity of the n-type host, and the layer containing the p-type host has the lowest secondary ion intensity of the n-type host in analysis by a time-of-flight secondary ion mass spectrometer. | 03-27-2014 |
20140084275 | COATED ARTICLES AND/OR DEVICES WITH OPTICAL OUT-COUPLING LAYER STACKS (OCLS), AND/OR METHODS OF MAKING THE SAME - Certain example embodiments relate to organic light emitting diode (OLED) inclusive devices, and/or methods of making the same. A substrate supports a transparent conductive coating (TCC) based layer, and first and second organic layers disposed thereon. A reflective conductive layer is supported by the organic layers. An out-coupling layer stack (OCLS) interposed between the organic layers and a viewer of the device includes a hybrid organic-inorganic polymer matrix having scatterers dispersed throughout in a manner such that each scatterer is located in the far field of its nearest neighbor. The scatterers are dispersed to have a high Zeta potential, and promote Mie-like scattering of light passing through the OCLS. Mie-like scattering caused by the OCLS may help to frustrate the wave-guiding modes in the glass, e.g., by breaking down the in-phase coherence. | 03-27-2014 |
20140084276 | METHOD AND APPARATUS FOR MANUFACTURING ORGANIC EL DEVICE - Provided are a method and an apparatus for manufacturing an organic EL device which enable deposition of a vaporized material from an evaporation source onto a substrate in a desired pattern, while eliminating the need for a conventional strip-shaped shadow mask. A shielding portion | 03-27-2014 |
20140084277 | ORGANIC ELECTROLUMINESCENCE ELEMENT - The organic electroluminescence element in accordance with the present invention includes: a light-emitting layer; a first electrode layer disposed on a first surface in a thickness direction of the light-emitting layer; a second electrode layer disposed on a second surface in the thickness direction of the light-emitting layer; and an electrically conductive layer. The light-emitting layer is configured to emit light when a predetermined voltage is applied between the first electrode layer and the second electrode layer. The second electrode layer includes an electrode part covering the second surface and an opening part formed in the electrode part to expose the second surface. The electrically conductive layer is configured to allow the light to pass therethrough, and is formed on an exposed region of the second surface exposed through the opening part in such a way as to be electrically connected to the electrode part and the light-emitting layer. | 03-27-2014 |
20140084278 | ORGANIC LIGHT-EMITTING ELEMENT, METHOD FOR MAKING ORGANIC LIGHT-EMITTING ELEMENT, DISPLAY DEVICE AND ILLUMINATION DEVICE - An organic light-emitting element having a high light extraction efficiency and a high light emission efficiency is provided, by an organic light-emitting element ( | 03-27-2014 |
20140084279 | POLYMER FOR USE IN ORGANIC ELECTROLUMINESCENT ELEMENT AND ORGANIC ELECTROLUMINESCENT ELEMENT EMPLOYING SAME - Provided are a polymer for an organic electroluminescent element, which has improved luminous efficiency and is applicable to a wet process, and an organic electroluminescent element obtained therefrom. The polymer for an organic electroluminescent element has a repeating unit represented by [—(Z) | 03-27-2014 |
20140084280 | ORGANIC ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - In a coating-type electron injection layer or electron transport layer using a metal oxide, the present invention aims at improving uniformity or stability of composition distribution and adhesion with another adjoining constituent layer, and improving film forming property, to thereby provide an organic electronic device and manufacture of the device whose efficiency is improved. In the organic electronic device having one pair of electrodes on a substrate, and having at least one organic layer between the electrodes, the electron injection layer or the electron transport layer is formed by application of a liquid material in which an alkaline metal salt and zinc-oxide nano particles are dissolved in alcohol. | 03-27-2014 |
20140091282 | PROCESS FOR FABRICATING METAL BUS LINES FOR OLED LIGHTING PANELS - Systems and methods for the design and fabrication of OLEDs, including high-performance large-area OLEDs, are provided. Variously described fabrication processes may be used to deposit and pattern bus lines with a smooth profile and a gradual sidewall transition. Such smooth profiles may, for example, reduce the probability of electrical shorting at the bus lines. Accordingly, in certain circumstances, an insulating layer may no longer be considered essential, and may be optionally avoided altogether. In cases where an insulating layer is not used, further enhancements in the emissive area and shelf life of the device may be achieved as well. According to aspects of the invention, bus lines such as those described herein may be deposited, and patterned, using vapor deposition such as vacuum thermal evaporation (VTE) through a shadow mask, and may avoid multiple photolithography steps. Other vapor deposition systems and methods may include, among others, sputter deposition, e-beam evaporation and chemical vapor deposition (CVD). A final profile of the bus line may substantially correspond to the profile as deposited. | 04-03-2014 |
20140091283 | Semiconducting Compounds and Optoelectronic Devices Incorporating Same - Disclosed are new compounds having semiconducting properties. Such compounds can be processed in solution-phase into thin film semiconductors that exhibit high carrier mobility and/or good current modulation characteristics. | 04-03-2014 |
20140091284 | ORGANIC LIGHT EMITTING DIODE - An organic light emitting diode includes a substrate, a first electrode, an organic functional layer; and a second electrode. One of the first electrode and the second electrode includes a treated patterned carbon nanotube film. The treated patterned carbon nanotube film includes at least two carbon nanotube linear units spaced from each other; and carbon nanotube groups spaced from each other. The carbon nanotube groups are located between the at least two carbon nanotube linear units, and combined with the at least two carbon nanotube linear units. | 04-03-2014 |
20140091285 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES - An organic light emitting display device is disclosed. The organic light emitting display device includes a substrate, a first electrode, a pixel defining layer, a first hydrophobic pattern, at least one charge transport layer, a second hydrophobic pattern, an organic light emitting layer and a second electrode. The substrate has a pixel region and a non pixel region surrounding the pixel region. The first electrode, the at least one charge transport layer and the organic light emitting layer are disposed on the substrate in the pixel region, while the pixel defining layer, the first hydrophobic pattern and the second hydrophobic pattern are disposed on the substrate in the non pixel region. The charge transport layer of one pixel is separated from a charge transport layer of another pixel by the first and second hydrophobic patterns to prevent crosstalk phenomenon. | 04-03-2014 |
20140091286 | ORGANIC LIGHT EMITTING DIODE, TOUCH DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME - The invention provides an OLED, a touch display device and method for fabricating the same. The OLED comprises: a substrate; a pixel electrode functioning as a first conducting electrode on the substrate; a first signal electrode and a second signal electrode disposed on the same layer as the pixel electrode; an insulating layer overlaying the first signal electrode and the second signal electrode; an EL layer in the same layer as the insulating layer and overlaying the pixel electrode; a second conducting electrode overlaying at least the EL layer; and an encapsulating layer overlaying at least the second conducting electrode. | 04-03-2014 |
20140091287 | ORGANIC EL DEVICE, METHOD FOR MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - An organic EL device has a pixel including a red, a green and a blue sub-pixel. The organic EL device includes anodes disposed in the sub-pixels, and a cathode. A red luminescent layer is formed by liquid application between the anode and the cathode, and a green luminescent layer is formed by liquid application between the anode and the cathode. A blue luminescent layer is formed by vapor deposition over the entire region of the red, green and blue sub-pixels between the red and green luminescent layers and the cathode, and between the anode and the cathode in the blue sub-pixel. An infrared luminescent layer is formed by vapor deposition over the entire region of the red, green and blue sub-pixels between the red and green luminescent layers and the blue luminescent layer, and between the anode and the blue luminescent layer in the blue sub-pixel. | 04-03-2014 |
20140091288 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode (OLED) display includes a display panel including a flexible substrate and a thin film encapsulation (TFE) for covering and protecting an organic light emitting element formed on the flexible substrate, a first protective film arranged on the TFE to be opposite to the TFE, a second protective film arranged on the flexible substrate to be opposite to the flexible substrate, a first adhesive disposed between the TFE and the first protective film, a second adhesive disposed between the flexible substrate and the second protective film, a third protective film arranged on the second protective film to be opposite to the second protective film, and a third adhesive disposed between the second protective film and the third protective film. | 04-03-2014 |
20140091289 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes first and second electrodes facing each other on a substrate, a first stack including a first hole transport layer, a second hole transport layer, a first emitting layer, and a first electron transport layer, the layers being sequentially stacked on the first electrode, a second stack including a third hole transport layer, a fourth hole transport layer, a second emitting layer including at least two hosts and a single dopant and having at least three emitting areas, and a second electron transport layer, the layers being sequentially stacked between the first stack and the second electrode, wherein the second emitting layer includes a first emitting area including a material of the fourth hole transport layer, a second emitting area including a material of the second electron transport layer, and a third emitting area including the at least two hosts. | 04-03-2014 |
20140091290 | ENCAPSULATED STRUCTURE OF LIGHT-EMITTING DEVICE, ENCAPSULATING PROCESS THEREOF AND DISPLAY DEVICE COMPRISING ENCAPSULATED STRUCTURE - An encapsulated structure of a light-emitting device, an encapsulating process thereof, and a display device comprising said encapsulated structure. The encapsulated structure of the light-emitting device comprises: a light-emitting device; and a protective layer of a quaternary ammonium salt formed on a top electrode of the light-emitting device, the quaternary ammonium salt having the following structure: | 04-03-2014 |
20140091291 | ARRAY SUBSTRATE AND MANUFACTURING METHOD THEREOF, OLED DISPLAY DEVICE - An array substrate and a manufacturing method thereof, and an OLED display device are provided. The array substrate comprises: sub-pixel units defined by gate lines and data lines that cross with each other on a substrate, each of the sub-pixel units comprising a first TFT, a second TFT and a pixel electrode, a gate electrode of the first TFT being connected to the gate line, a source electrode of the first TFT being connected to the data line, and a drain electrode of the second TFT being connected to the pixel electrode. The source electrode and a drain electrode of the first TFT are formed on the same layer as a gate electrode of the second TFT, and the drain electrode of the first TFT is directly connected to the gate electrode of the second TFT. | 04-03-2014 |
20140091292 | OLEDs COMPRISING LIGHT EXTRACTION SUBSTRUCTURES AND DISPLAY DEVICES INCORPORATING THE SAME - An organic light emitting diode comprising a light extraction substructure and a diode superstructure is provided. The light extraction substructure comprises a light expulsion matrix distributed over discrete light extraction waveguide elements and a waveguide surface of the glass substrate. The light expulsion matrix is distributed at varying thicknesses to enhance the planarity of a diode superstructure-engaging side of the light extraction substructure and to provide light expulsion sites at the waveguide element termination points of the discrete light extraction waveguide elements. In operation, light originating in the organic light emitting semiconductor material of the diode superstructure is coupled to the discrete waveguide elements of the light extraction substructure as respective coupled modes characterized by an approximate coupling length defined as the propagation distance required for an optical mode to be coupled from the superstructure waveguide to one of the discrete waveguide elements of the light extraction substructure. | 04-03-2014 |
20140091293 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device - Provided is a light-emitting element in which an adverse effect by halides in an EL layer is suppressed and which can be provided with low cost. The light-emitting element including at least two layers between an anode and a light-emitting layer. One of the two layers which is closer to the anode has higher concentration of halides and halogen elements than the other layer closer to the light-emitting layer. | 04-03-2014 |
20140091294 | Organic light-emitting diode package structure - An organic light-emitting diode package structure includes an organic light-emitting diode device disposed on a substrate, and a filling layer covering the organic light-emitting diode device and including a fluorine-containing polyimide layer. | 04-03-2014 |
20140091295 | MIXTURES OF ORGANIC EMISSIVE SEMICONDUCTORS AND MATRIX MATERIALS, THEIR USE AND ELECTRONIC COMPONENTS COMPRISING SAID MATERIALS - The present invention relates to new types of material mixtures composed of at least two substances, one serving as a matrix material and the other being an emission material capable of emission and containing at least one element of atomic number greater than 20, and for their use in organic electronic components such as electroluminescent elements and displays. | 04-03-2014 |
20140091296 | ADHESIVE FILM AND METHOD OF ENCAPSULATING ORGANIC ELECTRONIC DEVICE - Provided are an adhesive film, an encapsulated product of an organic electronic device using the same, and a method of encapsulating an organic electronic device. Particularly, the adhesive film encapsulating the organic electronic device to cover an entire surface of the organic electronic device includes an adhesive layer including a curable resin and a moisture adsorbent. The adhesive layer has a viscosity in a temperature range of 30 to 130° C. of 10 | 04-03-2014 |
20140091297 | LIGHT EMITTING DEVICE - A triplet light emitting device which has high efficiency and improved stability and which can be fabricated by a simpler process is provided by simplifying the device structure and avoiding use of an unstable material. In a multilayer device structure using no hole blocking layer conventionally used in a triplet light emitting device, that is, a device structure in which on a substrate, there are formed an anode, a hole transporting layer constituted by a hole transporting material, an electron transporting and light emitting layer constituted by an electron transporting material and a dopant capable of triplet light emission, and a cathode, which are laminated in the stated order, the combination of the hole transporting material and the electron transporting material and the combination of the electron transporting material and the dopant material are optimized. | 04-03-2014 |
20140091298 | NOVEL COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE USING SAME - The present invention provides a novel compound that is capable of largely improving a life span, efficiency, electrochemical stability and thermal stability of an organic light emitting device, and an organic light emitting device in which the compound is included in an organic compound layer. | 04-03-2014 |
20140091299 | ORGANIC ELECTROLUMINESCENCE ELEMENT, ILLUMINATION DEVICE, AND DISPLAY DEVICE - The purpose of the present invention is to provide: an organic electroluminescence element having a plurality of light-emitting dopants of different light-emitting wavelengths and emitting white light, the white-light-emitting organic electroluminescence element having excellent longevity, low-voltage driving, and chromatic stability, and also having a few dark spots; as well as an illumination device and a display device that use the element. This organic electroluminescence element contains at least one light-emitting layer sandwiched between a positive electrode and a negative electrode, the organic electroluminescence element characterized in that the light-emitting layer contribution ratio, defined as the ratio ΔPL/ΔEL of the photoluminescence intensity decay rate to the electroluminescence intensity decay rate, is 0.3 to 1.0. | 04-03-2014 |
20140091300 | HYBRID AMBIPOLAR TFTS - The present invention relates inter alia to an electronic device, preferably a thin film transistor (TFT) comprising layers with n-type and p-type semi conducting materials, wherein the p-type layer comprises at least one organic hole transport material. Furthermore, the present invention relates to the use of the electronic device according to the invention in an electronic equipment selected from an RFID and backplanes for a display, electronic book and electronic paper, and an electronic equipment comprising an electronic device according to the invention. | 04-03-2014 |
20140097406 | ARYLOXYALKYLCARBOXYLATE SOLVENT COMPOSITIONS FOR INKJET PRINTING OF ORGANIC LAYERS - A liquid composition (e.g., inkjet fluid) for forming an organic layer of an organic electronic device (e.g., an OLED). The liquid composition comprises a small molecule organic semiconductor material mixed in a solvent in which the solvent compound has the following formula: | 04-10-2014 |
20140097407 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display apparatus and a method of manufacturing the same. The organic light emitting display apparatus includes a substrate; an insulating layer formed on the substrate and including a groove; a first electrode formed on the insulating layer so as to overlap at least with the groove; a pixel defining layer covering edges of the first electrode and including an opening that overlaps at least with the groove; an intermediate layer formed on the first electrode to overlap with the opening and including an organic emission layer; and a second electrode formed on the intermediate layer. | 04-10-2014 |
20140097408 | FLEXIBLE DISPLAY APPARATUS - A flexible display apparatus includes a flexible substrate having a bending area, and a non-bending area adjacent the bending area, and having a display area for realizing a visible image, a plurality of wirings at the bending area, and a plurality of insulating patterns between the flexible substrate and the plurality of wirings, wherein respective ones of the plurality of insulating patterns are separated by separate areas. | 04-10-2014 |
20140097409 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display includes: a substrate, an insulating layer on the substrate; a plurality of pixel electrodes on the insulating layer; a pixel defining layer on the insulating layer overlapping with an end of at least one of the pixel electrodes and defining an emission region and a non-emission region; an organic emission layer on the pixel electrodes; and a common electrode on the organic emission layer, wherein the insulating layer has a plurality of concave portions in the non-emission region adjacent corresponding ones of the pixel electrodes, wherein each of the concave portions has a bottom portion and an inclined portion, and wherein a reflective surface is on at least one of the inclined portions. | 04-10-2014 |
20140097410 | THIN FILM TRANSISTOR SUBSTRATE, METHOD OF REPAIRING THE THIN FILM TRANSISTOR SUBSTRATE, ORGANIC LIGHT EMITTING DISPLAY APPARATUS, AND METHOD OF REPAIRING THE ORGANIC LIGHT EMITTING DISPLAY APPARATUS - A thin film transistor substrate includes a capacitor including a first capacitor electrode and a second capacitor electrode on a substrate, a first wire connected to the first capacitor electrode, a second wire connected to the second capacitor electrode, a first conductive pattern layer spaced apart from the first capacitor electrode and the second capacitor electrode, a second conductive pattern layer spaced apart from the first conductive pattern layer and formed to overlap with the first conductive pattern layer, a first conductive wire pattern connected to the first conductive pattern layer, spaced apart from the second conductive pattern layer, and overlapping with the second wire in at least one area, and a second conductive wire pattern connected to the second conductive pattern layer, spaced apart from the first conductive pattern layer and the first conductive wire pattern, and overlapping with the first wire in at least one area. | 04-10-2014 |
20140097411 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device may include a plurality of scan lines, a plurality of data lines, and a plurality of pixels located at an intersection region of the scan line and the data line, wherein the organic light-emitting display device includes a thin film transistor including a gate electrode on a different layer than a scan line, an active layer on the gate electrode, and source and drain electrodes that are in contact with source and drain regions of the active layer, and a capacitor including a first capacitor electrode on the same layer as the scan line, a second capacitor electrode on the gate electrode, and a third electrode on the same layer as the source and drain electrodes. | 04-10-2014 |
20140097412 | BRIGHTNESS ENHANCED SELF-LUMINOUS DISPLAY - A brightness enhanced self-luminous type display including a self-luminous display panel and a brightness enhancement stacked layer is provided. The self-luminous display panel includes pixels arranged in array, wherein each pixel includes light-emitting sub-pixels displaying different colors. The brightness enhancement stacked layer is disposed on the self-luminous display panel. The brightness enhancement stacked layer includes an absorptive polarizer layer, a phase retardation layer and a reflective polarizer layer. The reflective polarizer layer is between the self-luminous display panel and the phase retardation layer. The phase retardation layer is between the absorptive polarizer layer and the reflective polarizer layer. The reflective polarizer layer includes reflective polarizer blocks arranged in array. Each reflective polarizer block is disposed over one of the light-emitting sub-pixels correspondingly, and a wavelength of maximum intensity of each light-emitting sub-pixel is respectively within a wavelength band of light effectively reflected and polarized by the corresponding reflective polarizer block. | 04-10-2014 |
20140097413 | ORGANIC LIGHT EMITTING DIODE DISPLAY PANEL AND METHOD FOR MANUFACTURING THE SAME - Embodiments of the present invention provide an organic light emitting diode display panel and a method for manufacturing the same. The manufacturing method comprises: coating a photoresist layer on a transparent substrate with an active array formed; performing exposure on the photoresist layer from one side of the transparent substrate opposed to the photoresist layer, where the scan lines and the at least one kind of lines are used as a mask to prevent exposure of the corresponding photoresist, so that a photoresist remaining region is formed by the photoresist layer; conducting a development treatment on the photoresist layer, so that the photoresist outside the photoresist remaining region is removed and the photoresist in the photoresist remaining region is retained to form the pixel defining layer. The embodiments of the invention may simplify the fabricating flow of the display panel, reduce production costs of the display panel, and increase yield of the display panel. | 04-10-2014 |
20140097414 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a substrate in which a first pixel area and a second pixel area different from each other are defined, a first electrode, a pixel defining layer, a common layer, a first surface processing layer, a second surface processing layer, a first liquid solution layer, a second liquid solution layer, and a second electrode. The first surface processing layer has a first width and is correspondingly included in the first pixel area. The second surface processing layer has a second width different from the first width and is correspondingly included in the second pixel area. The first liquid solution layer has the first width, and the second liquid solution layer has the second width. The first and second liquid solution layers have the same volume and different thicknesses. | 04-10-2014 |
20140097415 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display is disclosed. In one aspect, the display includes a substrate, an organic light emitting element positioned on the substrate, and a first thin film transistor (TFT) connected to the organic light emitting element and having a driving channel region including at least one groove. | 04-10-2014 |
20140097416 | ORGANIC PHOTOELECTRIC DEVICE AND IMAGE SENSOR - An organic photoelectric device may include a first electrode and a second electrode facing each other and an active layer between the first electrode and the second electrode, the active layer including a compound represented by Chemical Formula 1 and a compound represented by Chemical Formula 2. An image sensor may include the organic photoelectric device. | 04-10-2014 |
20140097417 | Flexible display and method for manufacturing the same - A flexible display and a method for manufacturing the same are disclosed. The flexible display comprises a carrier; an interface layer disposed on a surface of the carrier; and an organic light-emitting diode layer disposed on the interface layer, wherein the interface layer has a thickness of 0.5 μm to 10 μm. | 04-10-2014 |
20140097418 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode (OLED) display including a substrate, a plurality of organic light emitting diodes placed on the substrate and each configured to include a first electrode, an organic emission layer, and a second electrode, a filling film placed on the substrate and configured to include an opening corresponding to the organic light emitting diode, and a sealing member formed on the filling film. | 04-10-2014 |
20140097419 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode (OLED) display includes a substrate, a first signal line on the substrate, a first thin film transistor connected to the first signal line, a second thin film transistor connected to the first thin film transistor, an interlayer insulating layer on the first thin film transistor and the second thin film transistor, a second signal line on the interlayer insulating layer and connected to a source electrode of the first thin film transistor, a third signal line on the interlayer insulating layer and connected to a source electrode of the second thin film transistor, a first electrode on the interlayer insulating layer and connected to a drain electrode of the second thin film transistor, an organic emission layer on the first electrode, and a second electrode placed on the organic emission layer, wherein the third signal line and the first electrode are made of different metals. | 04-10-2014 |
20140097420 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes: a pixel region; and a peripheral region surrounding the pixel region, the peripheral region including: a gate common voltage line; an interlayer insulating film that covers the gate common voltage line and has a common voltage contact hole exposing part of the gate common voltage line; a data common voltage line that is formed on the interlayer insulating film and comes in contact with the gate common voltage line via the common voltage contact hole; barrier ribs that cover the data common voltage line and have common voltage openings exposing part of the data common voltage line; and a peripheral common electrode that is formed on the barrier ribs and comes in contact with the data common voltage line via the common voltage openings, wherein the barrier ribs are formed at positions corresponding to the boundaries with the common voltage contact hole. | 04-10-2014 |
20140097421 | ORGANIC EL DISPLAY - Disclosed is a coated type organic EL display wherein the light extraction efficiencies of all organic light-emitting elements are improved even when the organic light-emitting elements have different organic light-emitting layers for respective emission colors. Specifically disclosed is an organic EL display which comprises a substrate and a red organic light-emitting element (R), a green organic light-emitting element (G), and a blue organic light-emitting element (B) arranged on the substrate. Each organic light-emitting element has a pixel electrode that is a reflective electrode, a functional layer formed on the pixel electrode by coating, an organic light-emitting layer arranged on the functional layer, a counter electrode that is a transparent electrode arranged on the organic light-emitting layer, and a tapered bank that defines the functional layer formed by coating. A dimension of a bank-to-bank gap at a top of the bank differs among the R, G, and B elements. | 04-10-2014 |
20140097422 | LIGHTING DEVICE - For integration of light-emitting elements and for suppression of a voltage drop, plural stages of light-emitting element units provided over a substrate having an insulating surface and each including a plurality of light-emitting elements which is connected in parallel are connected in series. Further, besides a lead wiring with a large thickness, a plurality of auxiliary wirings with different widths and different thicknesses is used, and the arrangement of the wirings, electrodes of the light-emitting elements, and the like is optimized. Note that in the lighting device, light emitted from the light-emitting element passes through the substrate having an insulating surface and then is extracted. | 04-10-2014 |
20140097423 | ORGANIC LIGHT-EMITTING ELEMENT AND LIGHT-EMITTING DEVICE INCLUDING SAME - The present invention relates to an organic light-emitting element comprising a first electrode, a second electrode, and an organic layer interposed between said first electrode and said second electrode, and to a light-emitting device including the same, wherein in the organic light-emitting element, a connection electrode for electrically connecting two or more elements in serial is formed on a non-light-emitting surface of said organic light-emitting element. The invention can electrically connect a plurality of organic light-emitting elements easily, and can be implemented as a large-scale lighting or display device or the like. | 04-10-2014 |
20140097424 | PLANAR LIGHT EMITTING DEVICE HAVING STRUCTURE FOR BRIGHTNESS UNIFORMITY AND A COMPACT AREA OF NON-LIGHT EMITTING PART - Planar light emitting device includes: anode and cathode feeding parts formed on first surface side of transparent substrate and electrically connected to quadrilateral planar anode and cathode, respectively; quadrilateral frame shaped anode auxiliary electrode formed at the whole circumference of surface of the planar anode; anode feeding auxiliary electrode integrally and continuously formed to the auxiliary electrode and laminated on anode feeding part. Two distances between predetermined two parallel sides of four sides of a light emitting part and outer circumferential edges of the transparent substrate on sides adjacent to the two parallel sides, respectively are smaller than two distances between the other two parallel sides and the outer circumferential edges of the transparent substrate on sides adjacent to the other two parallel sides, respectively. | 04-10-2014 |
20140097425 | ORGANIC EL ELEMENT, ORGANIC EL PANEL HAVING ORGANIC EL ELEMENT, ORGANIC EL LIGHT-EMITTING APPARATUS, AND ORGANIC EL DISPLAY APPARATUS - An organic EL element comprises: an anode; a cathode; a buffer layer; and a hole injection layer between the anode and the buffer layer. The hole injection layer includes a nickel oxide that includes both nickel atoms with a valence of three and nickel atoms with a valence of two. At least part of the hole injection layer has a crystal structure A | 04-10-2014 |
20140097426 | TRANSISTORS - This invention comprises a field effect transistor which comprises source and drain electrodes ( | 04-10-2014 |
20140097427 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND PRODUCTION METHOD THEREFOR - The organic electroluminescence element of the present invention includes: a first substrate; a second substrate facing the first substrate; an element member between the first and second substrates; first and second extension electrodes on first and second inner surfaces of the first and second substrates facing the element member; and an insulating member having an electrically insulating property. The element member includes: a functional layer including a light-emitting layer and having first and second surfaces in a thickness direction; and first and second electrode layers on the respective first and second surfaces of the functional layer. The element member is between the first and second extension electrodes such that parts of the first and second electrode layers are in contact with the first and second extension electrodes respectively. The insulating member is between the first and second inner surfaces of the respective first and second substrates. | 04-10-2014 |
20140103300 | NOVEL HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Novel heterocyclic compounds that impart improved luminescence efficiency characteristics such as low voltage, high luminance and long lifetime to organic light-emitting devices are described. Synthetic methods for the subject heterocyclic compounds are described. Methods for the construction of an organic light-emitting device comprising at least one of the subject heterocyclic compounds and comprising various hole transport layers, various electron transport layers and an emission layer are disclosed. The emission layer can comprise red, green, blue and white emission layers; one of said emission layers can comprise a phosphorescent compound. In certain embodiments, the heterocyclic compounds are useful as either fluorescent dopants or as phosphorescent hosts in the emission layer. | 04-17-2014 |
20140103301 | ORGANIC LIGHT EMITTING DEVICE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE USING THE SAME - Disclosed is a an organic light emitting device including a first electrode and a second electrode; and an organic layer formed between the first electrode and the second electrode, in which the organic layer includes a compound represented by Formula 1 and the second electrode is a double-layer structure comprised of LiF:Mg. Accordingly, an organic light emitting device which has excellent voltage efficiency and emission efficiency and may improve service-life characteristics, and an organic light emitting display device using the same may be provided. | 04-17-2014 |
20140103302 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting device with an electron transport layer disposed between the organic emission layer and the second electrode and comprising an anthracene-based compound and a carbazole-based compound represented by Formula 1 below: | 04-17-2014 |
20140103303 | Conjugated Polymeric Systems And Applications Thereof - In some embodiments, conjugated polymers and oligomers are described herein, which can demonstrate white light or substantially white light emission, thereby reducing or precluding reliance on layered or blended polymer constructions for organic white light emitting devices. | 04-17-2014 |
20140103304 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device including: a plurality of first wirings extending in a first direction; and a plurality of second wirings extending in a second direction that crosses the first direction, wherein at least one of the plurality of first wirings includes a first conductive layer and a second conductive layer that extends from an upper portion of the first conductive layer to the same layer as the first conductive layer or a lower layer than the first conductive layer. | 04-17-2014 |
20140103305 | 5-SUBSTITUTED 2-PHENYLQUINOLINE COMPLEXES MATERIALS FOR LIGHT EMITTING DIODE - Compounds are provided that comprise a ligand having a 5-substituted 2-phenylquinoline. In particular, the 2-phenylquinoline may be substituted with a bulky alkyl at the 5-position. These compounds may be used in organic light emitting devices, in particular as red emitters in the emissive layer of such devices, to provide devices having improved properties. | 04-17-2014 |
20140103306 | ORGANIC LIGHT-EMITTING DIODE, METHOD OF MANUFACTURING THE SAME, AND METHOD OF FORMING MATERIAL LAYER - In an aspect, an organic light-emitting diode, a method of manufacturing the same, and a method of forming a material layer are provided. | 04-17-2014 |
20140103307 | VERTICAL THIN-FILM TRANSISTOR STRUCTURE OF DISPLAY PANEL AND METHOD OF FABRICATING THE SAME - A vertical thin-film transistor structure includes a substrate, a source electrode, an insulation layer, a drain electrode, two first channel layers, a gate insulation layer and a gate electrode, which are stacked upward in that order on the substrate. The first channel layers are respectively disposed at two opposite ends of the drain electrode, and extend from the upper surface of the drain electrode to the upper surface of the source electrode respectively. Each of the first channel layers contacts the source electrode and the drain electrode. The gate insulation layer is disposed on the source electrode, the first channel layers and the drain electrode. The gate electrode is disposed on the gate insulation layer and covers the first channel layers. Therefore, the volume of the conventional thin-film transistor structure shrinks, and the ratio of the volume of the conventional thin-film transistor structure to that of a pixel structure decreases. | 04-17-2014 |
20140103308 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting element including: a first electrode; a bump partially covering an end of the first electrode and having an island shape, which has a thickness larger than a thickness of the first electrode; an organic emission layer on the first electrode and the bump; and a second electrode on the organic emission layer. | 04-17-2014 |
20140103309 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - In an embodiment of the present disclosure, a polymer layer is formed on a second electrode of an organic light emitting display device. | 04-17-2014 |
20140103310 | COMPLEXATION OF LOW-MOLECULAR SEMICONDUCTORS FOR THE APPLICATION AS AN EMITTER COMPLEX IN ORGANIC LIGHT-EMITTING ELECTROCHEMICAL CELLS (OLEECS) - The invention relates to an OLEEC component and to a production process therefor. This component has an active layer including a novel emitter complex. This complex is formed by the coordination of low molecular weight semiconductors around a central cation. The complexation allows wet-chemical processing of low molecular weight semiconductors. This also allows formation of emitter complexes from effective hole or electron transport materials. | 04-17-2014 |
20140103311 | OLED LIGHTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic light-emitting diode (OLED) lighting device includes a substrate, at least a conductive wire, an insulating layer, a first electrode layer, an organic light-emitting layer and a second electrode layer. The conductive wire is disposed on the substrate and has a top surface away from the substrate. The insulating layer is disposed on the substrate and has an upper surface away from the substrate. At least a part of the top surface of the conductive wire is exposed from the insulating layer. The first electrode layer is disposed to cover the upper surface of the insulating layer, and is electrically connected with the conductive wire. The organic light-emitting layer is disposed on the first electrode layer. The second electrode layer is disposed on the organic light-emitting layer. In addition, a method for manufacturing the OLED lighting device is provided. | 04-17-2014 |
20140103312 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display and a manufacturing method thereof are provided. The organic light emitting diode display includes a first substrate, a second substrate, a plurality of organic light emitting diodes, and a frit layer. The organic light emitting diodes are disposed on the first substrate, and the frit layer adheres the first substrate and the second substrate to each other. The frit layer includes a first porous region having pores, a second porous region having pores, and a third porous region having pores. The number of the pores of the first porous region with a diameter of larger than or equal to 4 μm and smaller than or equal to 15 μm is greater than the number of the pores of the second porous region with the above-mentioned diameter range. | 04-17-2014 |
20140103313 | ACTIVE MATRIX ORGANIC LIGHT-EMITTING DISPLAY AND DISPLAY APPARATUS - An AMOLED comprises a plurality of pixel structures arranged in a matrix and one layer of power supply signal electrode configured to provide a power supply voltage signal for the pixel structures, and the power supply signal electrode has a planar structure. The planar power supply signal electrode can greatly reduce its resistance and hence can reduce the IR drop of power supply voltage signals that are transmitted over the power supply signal electrode, effectively reduce the impact of the IR drop on the display effect, and remarkably reduce the power consumption of a panel. | 04-17-2014 |
20140103314 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic electroluminescence device includes a support substrate, a first transparent electrode on the support substrate, an organic light-emitting layer on the first transparent electrode, a second transparent electrode on the organic light-emitting layer, and a high refractive index layer arranged between the support substrate and the first transparent electrode, having at least one layer having a refractive index greater than or equal to a refractive index of the support substrate, having a light dispersion portion for dispersing incident light from the organic light-emitting layer, and having a planar surface contacting the first transparent electrode. | 04-17-2014 |
20140103315 | ORGANIC LIGHT EMITTING DIODE DISPLAY, MANUFACTURING METHOD THEREOF, AND ROTATING DEVICE FOR CIRCUIT FILM - A manufacturing method of an organic light emitting diode (OLED) display includes: supplying a circuit film on the pad area of the display panel and bonding a first end portion of the circuit film to the pad area; vertically standing and inserting the display panel in a bonding device; holding a portion of the circuit film including a second end portion to be horizontal by using a rotating device including a vacuum absorbing portion; supplying a flexible printed circuit (FPC) into a space under the second end portion of the circuit film, and attaching the flexible printed circuit to the second end portion of the circuit film; and operating the rotating device to move the second end portion to a vertical position, and separating the circuit film from the vacuum absorbing portion. | 04-17-2014 |
20140103316 | ORGANOMETALLIC COMPLEX AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic complex and an organic light-emitting device (OLED) including the same are described. In exemplary embodiments, the subject OLED devices may comprise an alkyl derivative of a tris(2-phenylpyridine)iridium complex paired with a carbazole-based host in an emission layer and emit green phosphorescent light. | 04-17-2014 |
20140103317 | THIN FILM TRANSISTOR ARRAY PANEL AND ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME - A thin film transistor substrate according to an exemplary embodiment includes: a substrate; a gate electrode disposed on the substrate; a gate insulating layer disposed on the gate electrode; an oxide semiconductor disposed on the gate insulating layer; a first interlayer insulating layer disposed on the oxide semiconductor; a data line disposed on the first interlayer insulating layer; a second interlayer insulating layer disposed on the data line; a source electrode disposed on the second interlayer insulating layer and connected with the oxide semiconductor and the data line through a first contact hole through the second interlayer insulating layer; and a drain electrode disposed on the second interlayer insulating layer and connected with the semiconductor through a second contact hole through the second interlayer insulating layer. | 04-17-2014 |
20140103318 | ORGANIC LIGHT-EMITTING ELEMENT AND LIGHT-EMITTING DEVICE WITH THE ORGANIC LIGHT-EMITTING ELEMENT - The present invention provides a white organic light-emitting element high in the emission efficiency. In particular, the invention provides a white organic light-emitting element that has an emission spectrum having peaks in the respective wavelength regions of red color, green color and blue color and is high in the emission efficiency. It is preferable to use an electron transport material between a first emission region and a second emission region and more preferable to use a hole block material. | 04-17-2014 |
20140103319 | ANTHRACENE DERIVATIVES, LUMINESCENT MATERIALS AND ORGANIC ELECTROLUMINESCENT DEVICES - Anthracene derivatives each having a structure including an anthracene skeleton, a phenanthrene skeleton selected from among various phenanthrene skeletons different in bonding site which is bonded to the 9-position of the anthracene skeleton and a group selected from among various aryl groups and so on which is bonded to the 10-position of the anthracene skeleton. Organic EL devices made by using the derivatives exhibit high light emission efficiency and a long life. | 04-17-2014 |
20140103320 | ORGANIC LIGHT-EMITTING DIODE THREE-DIMENSIONAL IMAGE DISPLAY DEVICE - An organic light-emitting diode three-dimensional image display device comprises a first substrate, a cathode formed on the first substrate, an electron injection layer formed on the cathode, an electron transfer layer formed on the electron injection layer, an emission layer formed on the electron transfer layer, a hole transfer layer formed on the emission layer, a hole injection layer formed on the hole transfer layer, an anode formed on the hole injection layer, a wire grid polarizer formed on the anode and composed of a metal thin film pattern formed at a first angle and a method thin film pattern formed at a second angle perpendicular to the first angle, which are alternately arranged, and a second substrate arranged on the wire grid polarizer. | 04-17-2014 |
20140103321 | PHOSPHORESCENT ORGANIC ELECTROLUMINESCENT ELEMENT AND LIGHTING DEVICE - An organic electroluminescent element may be provided that emits white light by energization which may include: an anode; a cathode; and two light-emitting layers provided between the anode and the cathode, each of the light-emitting layers comprising a host material and a phosphorescent dopant, wherein the host materials in the respective light-emitting layers are different from each other, at least one of the phosphorescent dopants in the respective light-emitting layers is a blue phosphorescent dopant having an ionization potential (Ip) of 5.3 eV or less, at least one of the two light-emitting layers comprises a plurality of the phosphorescent dopants, and at least a first layer has a concentration gradient of the blue phosphorescent dopant where the concentration of the blue phosphorescent dopant in an anode side is higher in the light-emitting layer in thickness direction. | 04-17-2014 |
20140103322 | DISPIRODIBENZONAPHTHACENE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE HAVING THE SAME - The present invention provides a novel organic compound having high thermal stability and can form a stable amorphous thin-film and also provides an organic light-emitting device having a high luminous efficiency and a low driving voltage. | 04-17-2014 |
20140103323 | ORGANIC COMPONENT COMPRISING ELECTRODES HAVING AN IMPROVED LAYOUT AND SHAPE - A component with organic active material including at least one first electrode and at least one second electrode, the first electrode and the second electrode being separated by a region of an active layer based on a polymer material, the region of the active layer separating the electrodes having a variable critical dimension. | 04-17-2014 |
20140103324 | ORGANIC ELECTROLUMINESCENT ELEMENT - The organic electroluminescence element in accordance with the present invention includes: a functional layer including a light-emitting layer and having a first surface and a second surface in a thickness direction; a first electrode layer positioned on the first surface of the functional layer; a second electrode layer positioned on the second surface of the functional layer; and a hygroscopic member absorbing moisture. The second electrode layer includes a patterned electrode. The patterned electrode includes: an electrode part covering the second surface of the functional layer; and an opening part formed in the electrode part to expose the second surface of the functional layer. The hygroscopic member is positioned on the electrode part to expose the opening part. | 04-17-2014 |
20140103325 | NOVEL COMPOUNDS AND ORGANIC ELECTRONIC DEVICE USING SAME - The present invention provides a new compound and an organic electronic device using the same. The compound according to the present invention may serve as hole injection, hole transporting, electron injection and transporting, and light emitting materials and the like in an organic electronic device comprising an organic light emitting device, and the organic electronic device according to the present invention shows excellent properties in terms of efficiency, driving voltage and service life. | 04-17-2014 |
20140103326 | ORGANIC LIGHT EMISSIVE DEVICE COMPRISING A TRILAYER CATHODE - A method of manufacturing an organic light emissive device comprising: depositing an organic light emissive layer over an anode and depositing a cathode over the organic light emissive layer, wherein the cathode comprises a trilayer structure formed by: depositing a first layer comprising an electron injecting material; depositing a second layer over the first layer, the second layer comprising a metallic material having a workfunction greater than 3.5 eV; and depositing a third layer over the second layer, the third layer comprising a metallic material having a workfunction greater than 3.5 eV. | 04-17-2014 |
20140103327 | HOST MATERIALS FOR ORGANIC LIGHT EMITTING DEVICES - Disclosed is an organic electroluminescent device including an anode, a cathode, and an emissive layer between the anode and the cathode, the emissive layer including a phosphorescent material and a compound having a repeat unit that contains a novel triphenylene moiety. A preferred group of the novel triphenylene moiety are triphenylenes that are substituted with a non-fused aryl group having one or more meta-substituents, where each meta-substituent is a non-fused aryl group optionally substituted with further substituents selected from the group consisting of non-fused aryl groups and alkyl groups. A further preferred group of compounds are triphenylenes that are substituted with a non-fused heteroaryl group having one or more meta-substituents, where each meta-substituent is a non-fused aryl or heteroaryl group optionally substituted with further substituents selected from the group consisting of non-fused aryl groups, non-fused heteroaryl groups, and alkyl groups. The compounds may be useful in phosphorescent organic light emitting devices. | 04-17-2014 |
20140103328 | ELECTROLUMINESCENT LIGHT EMISSION DEVICE COMPRISING AN OPTICAL LATTICE STRUCTURE AND METHOD FOR MANUFACTURING SAME - A light emission device includes a substrate and a layer arrangement applied onto the substrate. The layer arrangement has a first electrode layer made of a conductive material and a second electrode layer made of a conductive material. At least one light-emitting layer made of an organic material is arranged between the first and second electrode layers. At least one intermediate layer having an optical lattice structure is provided between the light-emitting layer, a first main surface of the intermediate layer facing the light-emitting layer and the first main surface of the intermediate layer being formed to be planar within a tolerance range at least in the region of the optical lattice structure. The intermediate layer is conductive at least in regions between the first and a second main surface thereof. | 04-17-2014 |
20140103329 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic EL device includes a pair of electrodes and an organic compound layer between pair of electrodes. The organic compound layer includes an emitting layer including a first material and a second material. The second material is a fluorescent material. Singlet energy EgS(H) of the first material and singlet energy EgS(D) of the second material satisfy a relationship of the following formula (1). The first material satisfies a relationship of the following formula (2) in terms of a difference ΔST(H) between the singlet energy EgS(H) and an energy gap Eg | 04-17-2014 |
20140110672 | ONE-WAY TRANSPARENT DISPLAY - A transparent emissive device is provided. The device may include one or more OLEDs having an anode, a cathode, and an organic emissive layer disposed between the anode and the cathode. In some configurations, the OLEDs may be non-transparent. The device may also include one or more locally transparent regions, which, in combination with the non-transparent OLEDs, provides an overall device transparency of 5% or more. | 04-24-2014 |
20140110673 | Organic Light-Emitting Diode and Display Device Having the Same - The present invention discloses an OLED panel and the OLED display device thereof. The OLED panel includes a metallic absorbing film, disposed on a light-out side of the OLED panel and grounded, for absorbing electromagnetic radiation produced by the OLED panel. The present invention uses the metallic absorbing film integrating on a glass substrate on a light-out side so that the metallic absorbing film absorbs the electromagnetic radiation from the OLED panel effectively and has the advantages of a simple structure and cheap material. | 04-24-2014 |
20140110674 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device and a method of manufacturing the same are proposed. The organic light emitting display device includes a display unit having a plurality of sub-pixels, each of which includes a pixel electrode and a counter electrode facing each other and a light emitting layer interposed therebetween; an encapsulation substrate, which covers the display unit; and an auxiliary electrode, which is formed on a surface of the encapsulation substrate, which faces the display unit, and is connected to the counter electrode. Using the structure, voltage drop may be effectively reduced by connecting an auxiliary electrode formed on an encapsulation substrate to a counter electrode, thereby improving reliability of an organic light emitting display device employing the same. | 04-24-2014 |
20140110675 | COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - A compound represented by Formula 1 below and an organic light-emitting device including the compound of Formula 1: | 04-24-2014 |
20140110676 | COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - A compound represented by Formula 1, below, and an organic light-emitting device including the compound represented by Formula 1: | 04-24-2014 |
20140110677 | ORGANIC THIN FILM TRANSISTOR ARRAY SUBSTRATE, METHOD FOR MANUFACTURING THE SAME AND DISPLAY DEVICE - According to embodiments of the present invention, there are provided an organic semiconductor array substrate, a method for manufacturing the same and a display device. The organic thin film transistor array substrate comprises a pixel structure formed on a transparent substrate; the pixel structure includes: a gate line, a data line, an organic thin film transistor, a pixel electrode, a common electrode line and a common electrode; the organic thin film transistor includes a gate electrode, a gate insulating layer, an organic semiconductor layer, a source electrode and a drain electrode; above the data line, the source electrode, the drain electrode and the pixel electrode, there are disposed in order a first bank insulating layer and a second bank insulating layer from bottom to top; and at openings and through holes of the first bank insulating layer and the second bank insulating layer, the pixel structure is formed by printing. | 04-24-2014 |
20140110678 | THIN FILM TRANSISTOR ARRAY PANEL AND METHOD FOR REPAIRING THE SAME - A thin film transistor substrate includes a substrate including a display area including pixels and a periphery area where a driver for driving the pixels is disposed; first signal lines connected with the pixels and extended to the periphery area, and including a first short-circuit portion provided in the periphery area; second signal lines connected with the pixels and extended to the periphery area by crossing the first signal lines in an insulated manner; first connection members overlapping lateral ends of the first signal lines, disposed in lateral sides with respect to the first short-circuited portion and formed of a doped semiconductor; and first repairing conductors overlapping the lateral ends of the first signal line, disposed in the lateral sides with respect to the first short-circuited portion. Lateral ends of the first connection member are connected with the lateral ends of the first signal line through contact holes. | 04-24-2014 |
20140110679 | PROTECTION OF LIGHT EMITTING DEVICES - A light emitting device, includes a light emitting diode unit on a substrate; a gas-generating species; an inert gas; a barrier; and a sealant; wherein: the sealant, barrier, and substrate define a protective chamber; and the light emitting diode unit, the gas generating species, and the inert gas are disposed within the chamber. | 04-24-2014 |
20140110680 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes: a substrate; a plurality of thin film transistors on the substrate, each of the thin film transistors including an active layer, a gate electrode, and source and drain electrodes; first electrodes electrically connected to the plurality of thin film transistors, respectively, and being on respective pixels corresponding to the plurality of thin film transistors; organic layers on the first electrodes, respectively, and including light-emitting layers; auxiliary electrodes each of which is on at least a portion between adjacent organic layers of the organic layers; and a second electrode facing the first electrodes and covering the organic layers and the auxiliary electrodes. | 04-24-2014 |
20140110681 | TRANSPARENT DISPLAY AND ILLUMINATION DEVICE - A transparent emissive device is provided. The device may include one or more OLEDs having an anode, a cathode, and an organic emissive layer disposed between the anode and the cathode. In some configurations, the OLEDs may be non-transparent. The device may also include one or more locally transparent regions, which, in combination with the non-transparent OLEDs, provides an overall device transparency of 5% or more. | 04-24-2014 |
20140110682 | PIXEL STRUCTURE OF ELECTROLUMINESCENT DISPLAY PANEL - A pixel structure of an electroluminescent display panel having a first sub-pixel region and a second sub-pixel region is disclosed. The pixel structure includes a first organic light emitting layer disposed in the first sub-pixel region and the second sub-pixel region. The first organic light emitting layer is a single layered organic light emitting layer made of one single organic light emitting material. A cavity length of the first sub-pixel region is shorter than a cavity length of the second sub-pixel region so as to enable the first sub-pixel region and the second sub-pixel region to respectively provide a first primary color light and a second primary color light. A peak wavelength of the second primary color light is larger than a peak wavelength of the first primary color light. | 04-24-2014 |
20140110683 | ORGANIC LIGHT EMITTING DIODE TOUCH DISPLAY PANEL - The present invention provides an organic light emitting diode touch display panel including a substrate, a plurality of first electrodes and a plurality of second electrodes disposed on the substrate, a plurality of light emitting layers, a plurality of dielectric layers, a plurality of first electrode stripes, and a plurality of second stripes. Each light emitting layer is disposed on each first electrode, and each dielectric layer is disposed on each second electrode. Each first electrode stripe is disposed on the light emitting layers in each row, and each second electrode stripe is disposed on the dielectric layers in each row. Each first electrode, each light emitting layer and each first electrode stripe form an organic light emitting diode, and each second electrode, each dielectric layer and each second electrode stripe form a touch sensing capacitor. | 04-24-2014 |
20140110684 | ORGANIC ELECTROLUMINESCENT DEVICE AND LIGHT EMITTING APPARATUS - According to one embodiment, an organic electroluminescent device includes a support substrate, a first electrode, a second electrode, and an intermediate layer. The first electrode is opposed to the support substrate. The second electrode is provided between the support substrate and the first electrode and includes a conductive polymer material. The intermediate layer is provided between the first electrode and the second electrode. A thickness dimension of the intermediate layer is 140 nanometers or less. | 04-24-2014 |
20140110685 | METHOD FOR PREPARING DESICCANT LAYER, OLED DISPLAY PANEL AND METHOD FOR PACKAGING THE SAME - A method for packaging an organic light emitting diode display panel, comprising providing a desiccant layer on a package cover plate. The desiccant layer is capable of effectively absorbing water and oxygen permeating, edges of the package cover plate and a substrate are hermetically coupled, and the desiccant layer and a device on the substrate are enclosed in a packaging cavity. This method can improve the packaging efficiency of the organic light emitting diode display panel and reduces production costs. | 04-24-2014 |
20140110686 | THIADIAZOLE, COMPOUND FOR LIGHT-EMITTING ELEMENTS, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING APPARATUS, AUTHENTICATION APPARATUS, AND ELECTRONIC DEVICE - A thiadiazole contains a basic skeleton represented by any of formulae (1), (2), and (3) in the molecule. | 04-24-2014 |
20140110687 | NOVEL CONDENSED POLYCYCLIC COMPOUND - Provided is a novel indenochrysene compound serving as a guest compound suitable for a guest material. In particular, the novel indenochrysene compound is represented by Formula (1), where R | 04-24-2014 |
20140110688 | ORGANIC LUMINESCENT DISPLAY DEVICE - An organic electroluminescent display device of the invention includes an element substrate, an organic electroluminescent light-emitting element disposed on the element substrate, and a sealing film disposed on the organic electroluminescent light-emitting element, wherein the organic electroluminescent light-emitting element includes an anode formed of metal and disposed on the element substrate, a light-emitting layer disposed on the anode, and a transparent cathode disposed on the light-emitting layer, and the sealing film includes a light-transmittance-reducing layer colored in black. | 04-24-2014 |
20140110689 | SEMI-FLUOROALKYL GROUP SUBSTITUTED ORGANIC SEMICONDUCTOR POLYMER AND ORGANIC THIN FILM TRANSISTOR INCLUDING THE SAME - A semi-fluoroalkyl group substituted organic semiconductor polymer and an organic thin film transistor including the same are disclosed. A structure in which hydrogen of only a terminal of an alkyl group is substituted with fluorine exhibits significantly increased hole mobility, and significantly improved properties in terms of thermal stability and chemical stability, as compared to a structure in which all hydrogens coupled to a thiophene ring are substituted with fluorine, or a structure in which hydrogen of the terminal thereof is not substituted with fluorine and only hydrogens of the remaining portion are coupled to the thiophene ring. | 04-24-2014 |
20140110690 | LIGHT EMITTING DEVICE AND DISPLAY DEVICE HAVING THE SAME - A light emitting device includes a transparent substrate having an uneven surface, a black matrix on a predetermined area of the uneven surface of the transparent substrate, a first insulation layer on the transparent substrate and the black matrix, a thin film transistor on the first insulation layer, the thin film transistor corresponding to a position of the black matrix, a first electrode on the thin film transistor and electrically connected to the thin film transistor, an EL layer on the first electrode, and a second electrode on the EL layer. | 04-24-2014 |
20140110691 | HETEROLEPTIC IRIDIUM CARBENE COMPLEXES AND LIGHT EMITTING DEVICE USING THEM - Novel heteroleptic iridium carbene complexes are provided, which contain phenyl imidazole moieties. In particular, ligands containing 2,4,6-trisubstituted N-phenyl imidazole fragments have highly desirable properties that make them suitable materials for use in OLED devices. | 04-24-2014 |
20140110692 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescence device includes a first organic thin-film layer and a second organic thin-film layer between an anode and a cathode opposing the anode in this order from the anode side. The first organic thin-film layer includes a specific aromatic heterocyclic derivative A, and the second organic thin-film layer includes a specific aromatic heterocyclic derivative B. The aromatic heterocyclic derivative A and the aromatic heterocyclic derivative B are different from each other. The organic electroluminescence device is capable of driving at a low voltage and has a long lifetime. | 04-24-2014 |
20140110693 | TOP-EMITTING ORGANIC ELECTROLUMINESCENT DEVICE AND MANUFACTURING METHOD THEREOF - A top-emitting organic electroluminescent device and a manufacturing method thereof are provided. The device comprises a substrate ( | 04-24-2014 |
20140110694 | NOVEL COMPOUNDS AND ORGANIC ELECTRONIC DEVICE USING SAME - The present invention provides a new compound and an organic electronic diode using the same. The compound according to the present invention may be used as hole injection, hole transport, electron injection and transport, and light emitting materials in an organic light emitting diode and an organic electronic diode, and the organic electronic diode according to the present invention exhibits excellent efficiency, driving voltage, and life-span properties. | 04-24-2014 |
20140110695 | ORGANIC PHOTODIODE PROVIDED WITH AN ACTIVE ZONE COMPRISING MEANS FOR PROMOTING CHARGE CARRIER COLLECTION AND CONDUCTION - A photodiode including at least one active zone located between a first electrode and a second electrode, the active zone including elongated conducting or semiconducting elements extending between the electrodes and configured to promote collection and transport of charge carriers in the active zone. | 04-24-2014 |
20140110696 | PHOTODIODE DEVICE CONTAINING A CAPACITOR FOR CONTROLLING DARK CURRENT OR LEAKAGE CURRENT - An organic photodiode, including a first electrode forming an anode, an active layer, a second electrode, and at least one third electrode, forming a capacitance with another electrode, to trap at least part of dark current or leakage current. | 04-24-2014 |
20140110697 | ORGANIC LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - The present invention relates to an organic light emitting device and a manufacturing method thereof. A manufacturing method of an organic light emitting device according to an exemplary embodiment of the present invention includes forming a thin film structure on a first substrate, forming a dehumidification buffer layer on a second substrate, combining the first substrate and the second substrate, and heat treating the dehumidification buffer layer to soften the dehumidification buffer layer. | 04-24-2014 |
20140110698 | EMITTER MATERIALS FOR OLEDS - Novel organic compounds comprising a substituted anthracene or acridine ligand are provided. In particular, the compound includes an anthracene ligand substituted at the 9 and 10 positions. The compound may be used in organic light emitting devices to provide devices having improved efficiency and lifetime. In particular, these compounds may be especially beneficial for use in blue-emitting OLEDs. | 04-24-2014 |
20140110699 | ADHESIVE FILM - An adhesive film used to encapsulate an organic electronic diode (OED) is provided. The adhesive film may be useful in effectively preventing penetration of moisture into an encapsulated structure of the organic electronic diode when the organic electronic diode is encapsulated, and effectively performing the encapsulation process under moderate conditions without causing damage to the organic electronic diode during the encapsulation process. | 04-24-2014 |
20140117314 | Organic Light Emitting Display Device - Disclosed is an organic light emitting display device. The organic light emitting display device includes a plurality of pixels that include a pixel circuit connected to a gate line, a data line, and a high-level power line, and an emission cell formed between an anode electrode connected to the pixel circuit and a cathode electrode layer receiving low-level power. The organic light emitting display device includes a display panel including a plurality of first pad parts, second pad parts, and cathode connection parts, a plurality of first flexible circuit films respectively connected to the first pad parts to supply the low-level power to a low-level power pad of each of the first pad parts, and a plurality of second flexible circuit films respectively connected to the second pad parts to supply the high-level power to a high-level power pad of each of the second pad parts. | 05-01-2014 |
20140117315 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Discussed is an organic light emitting display device. The organic light emitting display device includes a substrate in which red, green, and blue pixel areas are defined, a first electrode and a first hole transporting layer that are formed on the substrate, first to third emission common layers formed in each of the pixel areas on the first hole transporting layer, and an electron transporting layer and a second electrode that are formed on the third emission common layer. Accordingly, color mixture is prevented, limitations due to a defective mask are overcome, a process is simplified, and the manufacturing cost is saved. | 05-01-2014 |
20140117316 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light-emitting display device and a method of manufacturing the organic light-emitting display device, the method including operations of forming pattern layers in an active area and a pad area, respectively, on a substrate; and forming an encapsulation thin-film layer that covers the pattern layers on the substrate, wherein the operation of the encapsulation thin-film layer may include an operation of forming the encapsulation thin-film layer on the substrate by using a raw material of the encapsulation thin-film layer that is a single layer comprising an inorganic material. | 05-01-2014 |
20140117317 | ORGANIC LIGHT EMITTING TRANSISTOR AND DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting transistor increases the amount of charge induced into an organic layer, and a display device includes the organic light emitting transistor. The organic light emitting transistor includes a substrate, an organic semiconductor layer positioned on the substrate, a source electrode and a drain electrode spaced apart from each other while contacting the organic semiconductor layer, a gate electrode insulated from the organic semiconductor layer, the source electrode and the drain electrode and positioned to overlap the organic semiconductor layer, and an auxiliary electrode overlapping the source electrode or the drain electrode. | 05-01-2014 |
20140117318 | ORGANOMETALLIC COMPOUNDS AND ORGANIC LIGHT EMITTING DEVICES INCLUDING THE SAME - Organometallic compounds and organic light-emitting devices including the same are provided. Each subject organometallic compound may be a transition metal complex comprising up to seven organic ligands including one to three ligands which are derivatives of one of 2-(pyrazole-3-yl)pyrimidine and 2-(1,2,4-triazol-3-yl)pyrimidine. Organic light-emitting devices including the subject organometallic compounds show lower driving voltages, higher luminences, higher efficiencies and better lifetime characteristics versus organic light-emitting devices including comparative organometallic complexes. | 05-01-2014 |
20140117319 | Active Matrix Organic Light-Emitting Diode and Manufacturing Method Thereof - The present invention provides an active matrix organic light-emitting diode and a manufacturing method thereof. The active matrix organic light-emitting diode includes an organic light-emitting diode body and a thin-film transistor electrically connected to the organic light-emitting diode body. The thin-film transistor is formed on a substrate and includes semiconductor layer formed on the substrate, a gate insulation layer formed on the semiconductor layer, a gate terminal formed on the gate insulation layer, a protection layer formed on the gate terminal, and a source terminal and a drain terminal formed on the protection layer. The light-emitting diode body includes an anode formed on the protection layer and electrically connected to the thin-film transistor, an organic light emission layer formed on the anode, and a cathode formed on the organic light emission layer. The organic light-emitting diode body is arranged to be positioned above the thin-film transistor in an alternate manner. | 05-01-2014 |
20140117320 | DISPLAY APPARATUS AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A display apparatus and an organic display apparatus are disclosed. In one aspect, the display apparatus includes a display substrate divided into a display region for displaying an image via a plurality of pixels for emitting light and a non-display region around the display region. It includes a pad unit formed on the non-display region. It also includes a fan-out unit for connecting the display region and the pad unit. It further includes a plurality of line groups sequentially formed, wherein each line group includes a first fan-out line, a second fan-out line insulated from the first fan-out line by a first insulating layer, and a third fan-out line insulated from the second fan-out line by a second insulating layer, and wherein the third fan-out line at least partially overlaps with at least one of the first and second fan-out lines. | 05-01-2014 |
20140117321 | ORGANIC PHOTOELECTRIC DEVICE AND IMAGE SENSOR INCLUDING THE SAME - An organic photoelectric device may include an anode and a cathode facing each other and the active layer between the anode and cathode, wherein the active layer includes a compound represented by Chemical Formula 1 and a compound represented by Chemical Formula 2. Chemical Formula 1 and Chemical Formula 2 are the same as in the detailed description. | 05-01-2014 |
20140117322 | ORGANIC LIGHT EMITTING DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display panel includes a light emitting diode array substrate and an encapsulation substrate adhered to the light emitting array diode substrate by an adhesive film. The light emitting array diode substrate includes a driving thin film transistor formed on a substrate, an organic light emitting diode including a first electrode connected to the driving thin film transistor, an organic emission layer formed on the first electrode, and a second electrode formed on the organic emission layer, and first and second passivation layers formed on the second electrode. In this regard, the first passivation layer is formed of an organic compound having at least one of the structural formulae described in Formula 1 below: | 05-01-2014 |
20140117323 | ORGANIC LIGHT EMITTING DIODE DISPLAY, THIN FILM TRANSITOR ARRAY PANEL, AND METHOD OF MANUFACTURING THE SAME - A thin film transistor array panel includes a substrate, a semiconductor that is positioned on the substrate and that has a source area, a drain area, and a channel area, a gate insulating layer that is positioned on the semiconductor, a gate electrode that is positioned on the gate insulating layer and that overlaps the channel area, a first interlayer insulating layer that is positioned on the gate electrode and that has contact holes that expose the source area and the drain area, respectively, of which the source area and the drain area have a same plane pattern as that of the contact holes, and a source electrode and a drain electrode that are positioned on the first interlayer insulating layer and that are connected to the source area and the drain area, through the contact holes, respectively. | 05-01-2014 |
20140117324 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed is an organic light emitting device and a method of manufacturing the same, wherein the organic light emitting device is decreased in its thickness, and also decreased in its radius of curvature so as to realize the flexible device, and the organic light emitting device comprising a first component including a first plurality of layers, the first plurality of layers including a thin film transistor layer deposited on a surface of a first substrate, an emitting component layer deposited on the thin film transistor layer, and a passivation layer deposited on the emitting component layer; a second component including a second plurality of layers that are deposited on a surface of a second substrate without using an adhesive; and an adhesion layer between the first component and the second component, the adhesion layer coupling together the first component and the second component. | 05-01-2014 |
20140117325 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device is described. The organic light emitting device includes: a substrate; a first electrode on the substrate; an emission layer on the first electrode; a second electrode on the emission layer; and an exciton blocking layer between the first electrode and the emission layer, in which a LUMO energy level of the exciton blocking layer is higher than a LUMO energy level of the emission layer. | 05-01-2014 |
20140117326 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 below, and an organic light-emitting device including the heterocyclic compound: | 05-01-2014 |
20140117327 | ORGANIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME - An organic compound represented by Formula 1 below and an organic light-emitting device including the organic compound; | 05-01-2014 |
20140117328 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display including: a substrate; an organic light emitting diode on the substrate; a first thin film transistor coupled to the organic light emitting diode; and a first capacitor including a first capacitor electrode coupled to a first gate electrode of the first thin film transistor and a second capacitor electrode on the first capacitor electrode. The first capacitor electrode includes a protruding portion not overlapping the second capacitor electrode, and the second capacitor electrode includes a recess portion through which the first capacitor electrode is exposed. | 05-01-2014 |
20140117329 | AMINE-BASED COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - An amine-based compound and an organic light-emitting device including the amine-based compound are provided. | 05-01-2014 |
20140117330 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A display device and a method of manufacturing the same. The display device includes a substrate, an organic light emitting diode (OLED) arranged on the substrate, a thin film encapsulation layer arranged on the substrate to cover the OLED and including an inorganic material layer and an organic material layer, and an anti-reflection layer arranged on the thin film encapsulation layer and including a dielectric layer and a metal layer. | 05-01-2014 |
20140117331 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 below, and an organic light-emitting device including the heterocyclic compound: | 05-01-2014 |
20140117332 | ORGANIC LIGHT EMITTING DEVICE - Provided is an organic light emitting device for which lifetime is increased by preventing its constituent hole transport layer from deteriorating due to introduction of electrons and excitons from its light emitting layer into the hole transport layer. The organic light emitting device includes a substrate, a first electrode formed on the substrate, a hole injection layer formed on the first electrode, a hole transport layer formed on the hole injection layer, a buffer layer formed on the hole transport layer, a light emitting layer formed on the buffer layer, an electron transport layer formed on the light emitting layer, an electron injection layer formed on the electron transport layer, a second electrode formed on the electron injection layer, and a blocking layer formed between the hole transport layer and the buffer layer so as to contact the hole transport layer and the buffer layer. | 05-01-2014 |
20140117333 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode display device is disclosed. The organic light emitting diode display device includes: an element substrate configured to include a plurality of pixel regions; a first passivation layer formed on the element substrate; an organic light emitting diode which includes a first electrode formed on the first passivation layer, a first insulation film formed on the first passivation layer with the first electrode and configured to define an emission region, and an organic layer and a second electrode formed on the first insulation film; a first fixed layer formed on the first passivation layer under an edge of the insulation film and configured to prevent a direct contact of the first passivation layer and the edge of the first insulation film; and a second passivation layer formed on the organic light emitting diode. | 05-01-2014 |
20140117334 | METHOD OF MANUFACTURING ORGANIC EL DEVICE, ORGANIC EL DEVICE AND ELECTRONIC APPARATUS - A method of manufacturing an organic EL device according to the present application example includes forming a sealing layer by covering multiple organic EL elements and a connection terminal, forming a color filter as an organic layer that covers the sealing layer, patterning the color filter in such a manner that an opening extending up to the sealing layer is formed in a portion of the color filter, which overlaps the connection terminal, and etching the sealing layer in such a manner as to expose at least one part of the connection terminal with the pattern-formed color filter serving as a mask. | 05-01-2014 |
20140117335 | ORGANIC LIGHT EMITTING DIODE DISPLAY - A display substrate including an organic light emitting member, an encapsulation substrate that is disposed to be opposite to the display substrate, a sealant that is disposed between the display substrate and the encapsulation substrate and bonds the display substrate and the encapsulation substrate, and an outer anti-moisture protective layer that covers the side surface of the sealant are disclosed. In the organic light emitting diode display according to the exemplary embodiment, moisture penetrating into from the outside may be minimized with an outer anti-moisture protective layer by forming a sealant and a getter member in the organic light emitting diode display and forming the outer anti-moisture protective layer on the side surface of the organic light emitting diode display, and moisture penetration resistance may be improved by blocking a small amount of moisture that passes through the outer anti-moisture protective layer and penetrates into with a getter member. | 05-01-2014 |
20140117336 | FLEXIBLE ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to a flexible organic electroluminescent device, and the invention disclosed herein includes a switching thin film transistor and a drive thin film transistor formed at the each pixel region on a substrate; a first electrode connected to a drain electrode of the drive thin film transistor, and formed at the each pixel region; a bank formed on the display area and non-display area of the substrate; a spacer formed on a bank in the non-display area, and disposed in the vertical direction in parallel to a lateral surface of the display area; an organic light emitting layer separately formed for each pixel region; a second electrode formed on an entire surface of the organic light emitting layer; an organic layer formed on an entire surface of the substrate; a barrier film located to face the substrate. | 05-01-2014 |
20140117337 | LIGHT EMITTING DEVICE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - A light emitting device includes first and second electrodes facing each other on a substrate, a plurality of stacks stacked between the first and second electrodes and each including an EML so as to emit particular light, and a charge generation layer formed between the stacks so as to adjust charge balance therebetween and including an N-type charge generation layer and a P-type charge generation layer, wherein at least any one of the N-type charge generation layer and the P-type charge generation layer includes the same electron transporting material as that of an electron transport layer of one of the stacks that is adjacent to the N-type charge generation layer. | 05-01-2014 |
20140117338 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device with improved lifespan is disclosed. The organic light emitting display device includes first and second electrodes facing each other on a substrate, at least two light emitting units formed between the first and second electrodes, an N-type charge generation layer and a P-type charge generation layer sequentially stacked between the light emitting units, and at least one auxiliary charge generation layer formed between at least any one of the P-type charge generation layer and the N-type charge generation layer and an emitting layer of the light emitting unit disposed on an upper or lower portion of the at least any one thereof and generating electrons and holes supplied to the emitting layer of the light emitting unit. | 05-01-2014 |
20140117339 | Light-Emitting Panel, Display Device, and Method for Manufacturing Light-Emitting Panel - A light-emitting panel in which a decrease in aperture ratio accompanied by fabrication of a high-definition panel is suppressed is provided. A light-emitting panel which can be produced easily is provided. The light-emitting panel includes a first light-emitting element and a second light-emitting element which include a selectively formed layer containing a light-emitting organic compound, optical elements which are formed before forming the layer or formed so as not to cause damage to the layer and which light emitted from the first light-emitting element or the second light-emitting element enters, and a third light-emitting element which does not include the selectively formed layer containing the light-emitting organic compound. Lights of different colors are emitted from the optical elements and the third light-emitting element. | 05-01-2014 |
20140117340 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode display device includes a substrate including a pixel portion and a peripheral portion, a semiconductor layer including a pixel switching semiconductor layer and a driving semiconductor layer formed on the pixel portion, and a peripheral switching semiconductor layer formed on the peripheral portion. A first gate insulating layer is formed on the semiconductor layer. A peripheral switching gate electrode is formed on the first gate insulating layer of the peripheral portion, and a pixel switching gate electrode and a driving gate electrode are formed on the first gate insulating layer of the pixel portion. A length of a peripheral switching low concentration doping region formed in the peripheral switching semiconductor layer may be larger than a length of a pixel switching low concentration doping region and a driving low concentration doping region formed in the pixel switching semiconductor layer and the driving semiconductor layer, respectively. | 05-01-2014 |
20140117341 | FLEXIBLE ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR FABRICATING THE SAME - A flexible organic electroluminescent device and a method for fabricating the same includes a substrate defined with a display area including a plurality of pixel regions and a non-display area at the outside thereof; a switching thin film transistor and a drive thin film transistor formed at the each pixel region on the substrate; an organic insulating layer deposited on the substrate including the switching thin film transistor and drive thin film transistor to expose a drain electrode of the drive thin film transistor; a first electrode formed in each pixel region on the inorganic insulating layer, and connected to the drain electrode of the drive thin film transistor; banks formed around each pixel region on the substrate including the first electrode and separated from one another; an organic light emitting layer separately formed for each pixel region on the first electrode; a second electrode formed on an entire surface of the display area on the organic light emitting layer; and an organic layer formed on an entire surface of the substrate including the second electrode. | 05-01-2014 |
20140117342 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - The present disclosure relates to an organic light emitting display device including a substrate having an outer part and a display part, a driving thin film transistor on each of a plurality of pixel regions within the display part of the substrate, a pixel electrode on each pixel region of the display part, an organic light emitting unit on each pixel region of the display part to emit light, a common electrode on the organic light emitting unit and a bank layer to apply a signal to the organic light emitting layer, and a first passivation layer, an organic insulating layer and a second passivation layer on the outer part and the display part, wherein the first passivation layer and the second passivation layer are removed from the outermost region of the outer part, so that the substrate is exposed to the outside. | 05-01-2014 |
20140117343 | HOST MATERIALS FOR OLEDS - Novel aryl silicon and aryl germanium host materials, and in particular host materials containing triphenylene and pyrene fragments, are described. These compounds improve OLED device performance when used as hosts in the emissive layer of the OLED. | 05-01-2014 |
20140117344 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - Disclosed is a light-emitting element with a good carrier balance and manufacturing method thereof which does not require the formation of the heterostructure. The light-emitting element includes an organic compound film containing a first organic compound as the main component (base material) between an anode and a cathode, wherein the organic compound film is provided in contact with the anode and with the cathode. The first organic compound further includes a light-emitting region to which a light-emitting substance is added and includes a hole-transport region to which a hole-trapping substance is added and/or an electron-transport region to which an electron-trapping substance is added. The hole-transport region is located between the light-emitting region and the anode, and the electron-transport region is located between the light-emitting region and the cathode. | 05-01-2014 |
20140117345 | OPTOELECTRONIC COMPONENT AND METHOD FOR THE PRODUCTION THEREOF - An optoelectronic component having a substrate ( | 05-01-2014 |
20140117346 | METAL WIRING STRUCTURE, ORGANIC LIGHT-EMITTING DISPLAY DEVICE USING THE SAME, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device comprises a substrate, an anode electrode formed on the substrate, an organic layer formed on the anode electrode, a cathode electrode formed on the organic layer, and an organic capping layer formed on the cathode electrode and containing a capping organic material and a rare-earth material which has higher oxidizing power than the material which forms the cathode electrode. | 05-01-2014 |
20140124740 | HEXACENE DERIVATIVE, METHOD FOR FORMING HEXACENE, METHOD FOR FORMING HEXACENE CRYSTAL, PROCESS FOR MAKING ORGANIC SEMICONDUCTOR DEVICE, AND ORGANIC SEMICONDUCTOR DEVICE - A hexacene derivative is described, being expressed by formula (1): | 05-08-2014 |
20140124741 | DEVICES CONTAINING ORGANIC POLYMERIC MULTI-METALLIC COMPOSITES - Organic polymeric multi-metallic alkoxide or aryloxide composites are used as dielectric materials in various devices with improved properties such as improved mobility. These composites comprise an organic polymer comprising metal coordination sites, and multi-metallic alkoxide or aryloxide molecules that are coordinated with the organic polymer, the multi-metallic alkoxide or aryloxide molecules being represented by: | 05-08-2014 |
20140124742 | CIRCUIT LAYOUT FOR THIN FILM TRANSISTORS IN SERIES OR PARALLEL - Multiple thin film transistors are aligned in serial and parallel orientation. A second source region is disposed between a first source region and a first drain region. A second drain region is disposed between the first source region and the first drain region. The second drain region and the second source region substantially coincide. A first gate is disposed between the first source region and the coinciding second source and second drain regions. A second gate region is disposed between the first drain region and the coinciding second source and second drain regions. An semiconductor is disposed between the first source region, the first drain region, and the coinciding second source and second drain regions. A dielectric material is disposed between the semiconductor substrate and the first and second gates. | 05-08-2014 |
20140124743 | OLED Liquid Crystal Display and Method for Laminating Alignment Film - The present invention relates to a method for laminating an alignment film onto an organic light emitting diode. The method includes a) deploying a bonding agent over a surface of the organic light emitting diode; b) laminating the alignment film with the organic light emitting diode on the surface deployed with bonding agent; and c) curing the bonding agent with heat or light such that the alignment film and the organic light emitting diode are completely laminated. The present invention further discloses an LED display device. By way of foregoing, during the lamination of the alignment film, bubbles can be avoided, and the yield can be increased. | 05-08-2014 |
20140124744 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display apparatus including a plurality of sub-pixels disposed on a substrate, wherein each of the sub-pixels includes: a first electrode formed on the substrate; an intermediate layer formed on the first electrode and including an organic emission layer; and a second electrode formed on the intermediate layer, wherein at least one sub-pixel for emitting light of a color among the sub-pixels includes a shadow emission layer for emitting light of different color between the organic emission layer and the first electrode, and the organic emission layer of the one sub-pixel includes a hole transport material. | 05-08-2014 |
20140124745 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF INSPECTING THE SAME - An organic light emitting display apparatus and a method of inspecting the same, the organic light emitting display apparatus including a plurality of sub-pixels; a plurality of conductive line portions connected to the sub-pixels, the plurality of conductive line portions including at least two conductive lines connected in parallel to one another; and inspection thin film transistors (TFTs) disposed adjacent to one end and both ends of at least one conductive line of the conductive lines connected in parallel to one another. | 05-08-2014 |
20140124746 | THIN FILM TRANSISTOR, AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE THEREWITH - A thin film transistor includes a gate electrode on a substrate, the gate electrode including a wire grid pattern, an active layer on the substrate, a gate insulating film between the gate electrode and the active layer, and a source electrode and a drain electrode connected to the active layer. | 05-08-2014 |
20140124747 | HETEROCYCLIC COMPOUNDS AND ORGANIC LIGHT EMITTING DEVICES INCLUDING THE SAME - Provided are heterocyclic compounds represented by general Formula 1 below and organic light-emitting devices including the same: | 05-08-2014 |
20140124748 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - Provided is a heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the compound of Formula 1: | 05-08-2014 |
20140124749 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a first substrate, an organic light emitting diode on the first substrate, a second substrate on the organic light emitting diode, and a capping layer between the second substrate and the organic light emitting diode. The capping layer collects light emitted from the organic light emitting diode, and the capping layer collects the light in a direction of the second substrate corresponding to the organic light emitting diode. | 05-08-2014 |
20140124750 | DEVICE AND METHOD FOR IMPROVING AMOLED DRIVING - Devices and methods for increasing the aperture ratio and providing more precise gray level control to pixels in an active matrix organic light emitting diode (AMOLED) display are provided. By way of example, one embodiment includes disposing a gate insulator between a gate of a driving thin-film transistor and a gate of a circuit thin-film transistor. The improved structure of the display facilitates a higher voltage range for controlling the gray level of the pixels, and may increase the aperture ratio of the pixels. | 05-08-2014 |
20140124751 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display and a method of manufacturing the same, the display including a substrate; a plurality of thin film transistors on the substrate; a protective film covering the plurality of thin film transistors; a pixel electrode on the protective film; a pixel defining film on the protective film, the pixel defining film having an opening exposing the pixel electrode; an organic emission layer on the pixel electrode and the pixel defining film; and a common electrode covering the organic emission layer, wherein a cross-section of an opening sidewall of the opening in the pixel defining film has a rounded shape. | 05-08-2014 |
20140124752 | ORGANIC COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE EMPLOYING THE SAME - Organic compounds and organic electroluminescence devices employing the same are provided. The organic compound has a chemical structure as represented as follows: | 05-08-2014 |
20140124753 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode is provided which is capable of preventing screen stain occurring when the organic light-emitting diode is driven at low gradation and/or low brightness. | 05-08-2014 |
20140124754 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display according to the present invention includes a substrate and a plurality of pixels formed on the substrate. One pixel includes: a scan line formed on the substrate and transmitting a scan signal; a data line and a driving voltage line crossing the scan line and transmitting a data signal and a driving voltage, respectively; a switching thin film transistor connected to the scan line and the data line; a driving thin film transistor connected to a switching drain electrode of the switching thin film transistor; and an organic light emitting diode connected to a driving drain electrode of the driving thin film transistor. The plurality of pixels includes a separation pixel at which the driving voltage line is separated and a connection pixel at which the driving voltage line is connected. | 05-08-2014 |
20140124755 | THIN FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - A thin film transistor and method of manufacturing the same are disclosed. In one aspect, the thin film transistor includes a gate electrode positioned on a substrate It also includes a gate insulating layer positioned on the gate electrode and a semiconductor positioned on the gate insulating layer. It further includes a source electrode and a drain electrode positioned on the semiconductor, in which the semiconductor has a step at a boundary surface that is in contact with the gate insulating layer. | 05-08-2014 |
20140124756 | COMPOUND HAVING AN INDOLOCARBAZOLE RING STRUCTURE AND ORGANIC ELECTROLUMINESCENT DEVICE - A compound having an indolocarbazole ring structure is represented by the following general formula (1), and is used as a material for forming a highly efficient and highly durable organic electroluminescent device. The compound features excellent hole injection/transport capability, has electron blocking power and is highly stable in the form of a thin film. | 05-08-2014 |
20140124757 | PHOTOELECTRIC ELEMENT AND METHOD FOR PRODUCING THE SAME - To provide a photoelectric element A including a first electrode | 05-08-2014 |
20140124758 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display includes a red pixel, a green pixel, and a blue pixel, each pixel including a pixel electrode, a hole supplementary layer on the pixel electrode, a blue organic emission layer on the hole supplementary layer, a first buffer layer on the blue organic emission layer, an electron supplementary layer on the first buffer layer, and a common electrode on the electron supplementary layer, the red pixel and the green pixel further include a red resonance auxiliary layer and a green resonance auxiliary layer respectively on the first buffer layer, a red organic emission layer and a green organic emission layer respectively on the red resonance auxiliary layer and the green resonance auxiliary layer, and a second buffer layer on the red organic emission layer and the green organic emission layer. | 05-08-2014 |
20140124759 | ORGANIC LIGHT EMITTING TRANSISTOR AND ORGANIC LIGHT EMITTING DISPLAY - An organic light emitting transistor includes: a first electrode positioned on a substrate; a gate electrode positioned on the first electrode and including an opening formed at a center region; a first auxiliary layer positioned within the opening; an organic emission layer positioned on the first auxiliary layer and the gate electrode; a second auxiliary layer positioned on the organic emission layer; and a second electrode positioned on the second auxiliary layer. | 05-08-2014 |
20140124760 | ORGANOMETALLIC COMPLEXES, AND ORGANIC ELECTROLUMINESCENCE DEVICE AND DISPLAY USING THE SAME - Disclosed are an organometallic complex, and an organic electroluminescence device and a display device including the same. The organometallic complex is represented by the following Chemical Formula 1. | 05-08-2014 |
20140124761 | THIN FILM TRANSISTOR ARRAY PANEL AND ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME - A thin film transistor array panel according to an exemplary embodiment includes: a substrate; a thin film transistor positioned on the substrate; a first electrode connected to the thin film transistor; and a diffractive layer positioned between the substrate and the thin film transistor. The diffractive layer is positioned within a boundary line of semiconductors of the thin film transistor. | 05-08-2014 |
20140124762 | ORGANIC CONDUCTIVE MATERIALS AND DEVICES - Embodiments described herein relate to compositions including iptycene-based structures and extended iptycene structures. In some embodiments, the compositions may be useful in organic light-emitting diodes (OLEDs), organic photovoltaics, and other devices. | 05-08-2014 |
20140124763 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT MADE WITH THE SAME - The present invention relates to aromatic amine derivatives having a specific structure in which a substituted diphenylamino group is bonded to a pyrene structure; and organic electroluminescent devices comprising a cathode, an anode and one or plural organic thin film layers having at least a light emitting layer which are sandwiched between the cathode and the anode wherein at least one of the organic thin film layers contains the above material for organic electroluminescent devices in the form of a single substance or a component of a mixture. There are provided the material for organic electroluminescent devices exhibiting a long lifetime and a high efficiency of blue light emission, as well as the aromatic amine derivatives capable of realizing such organic electroluminescent devices. | 05-08-2014 |
20140124764 | HETEROCYCLIC COMPOUND, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - To provide a novel heterocyclic compound capable of being used as a host material in which a light-emitting substance is dispersed. To provide a light-emitting element having a long lifetime. A heterocyclic compound in which a dibenzo[f,h]quinoxalinyl group and a benzo[b]naphtho[1,2-d]furanyl group are bonded through an arylene group having 6 to 13 carbon atoms. The dibenzo[f,h]quinoxalinyl group, the benzo[b]naphtho[1,2-d]furanyl group, and the arylene group separately are unsubstituted or have, as a substituent, an alkyl group having 1 to 4 carbon atoms or an aryl group having 6 to 13 carbon atoms. | 05-08-2014 |
20140124765 | ORGANIC EL DISPLAY DEVICE AND ELECTRONIC APPARATUS - Disclosed herein is an organic EL display device in which pixels each including an organic EL element formed by interposing an organic layer between an anode electrode and a cathode electrode are arranged in a matrix, the organic EL display device including: a common layer configured to be included in the organic EL element and be formed in the organic layer in common to the pixels; and a metal interconnect configured to surround periphery of the anode electrode and be electrically connected to the organic layer, wherein potential of the metal interconnect is set to a potential lower than potential of the anode electrode in a non-light-emission state of the organic EL element. | 05-08-2014 |
20140124766 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes first and second electrodes facing each other on a substrate, at least one emission layer formed between the first and second electrodes, a hole transport layer formed between the first electrode and the emission layer, and an electron transport layer formed between the second electrode and the emission layer, wherein the emission layer includes a first emission mixed layer formed on the hole transport layer, the first emission mixed layer including a first hole-type host and a first phosphorescent dopant, and a second emission mixed layer formed between the first emission mixed layer and the electron transport layer, the second emission mixed layer including a first electron-type host and a second phosphorescent dopant. | 05-08-2014 |
20140124767 | Organic Optoelectronic Device And The Encapsulation Method Thereof - An organic optoelectronic device incorporating a hermetic thin-film encapsulation, and encapsulation method are provided. This device-has a useful emission or absorption face and, behind this face, a substrate coated with an array of radiation-emitting or radiation-absorbing organic structures inserted between, and electrically contacting, electrodes that are respectively proximal and distal relative to the substrate. Separating beads between structures, composed of an insulating material, extend between the respective proximal electrodes of the structures from peripheral edges of these electrodes. The device includes a hermetic encapsulation that has at least one inorganic internal film surmounting the distal electrode, a photosensitive polymer layer covering this internal film, and a dielectric inorganic external film acting as a barrier covering the polymer layer. The polymer layer is etched with a discontinuous geometry formed of segments that respectively surmount the structures and end beyond the structures in line with the beads. | 05-08-2014 |
20140124768 | TOP-EMITTING FLEXIBLE ORGANIC LIGHT EMISSION DIODE DEVICE AND PREPARATION METHOD THEREOF - A top-emitting flexible organic light emission diode device and preparation method thereof are provided. The device involves overlapping a substrate, an anode layer, a hole injection layer, a hole transport layer, an emission layer, an electron transport layer, an electron injection layer and a cathode layer sequentially. The material of the cathode is scythe-silver alloy or ytterbium-silver alloy. The method for preparing the device comprises the following steps: cleaning and drying the substrate; depositing the anode layer on the surface of the substrate; overlapped depositing the hole injection layer, the hole transport layer, the emission layer, the electron transport layer and the electron injection layer sequentially on the surface of the anode layer; depositing the cathode layer on the surface of the electron injection layer to obtain the device. | 05-08-2014 |
20140124769 | FLAT PANEL DISPLAY DEVICE - A flat panel display device including a substrate including first and second regions; an active layer on the first region of the substrate including a semiconductor material; a lower electrode on the second region of the substrate including the semiconductor material; a first insulating layer on the substrate including the active layer and the lower electrode thereon; a gate electrode on the first insulating layer overlying the active layer and including a first conductive layer pattern and a second conductive layer pattern; an upper electrode on the first insulating layer overlying the lower electrode and including the first conductive layer pattern and the second conductive layer pattern; a second insulating layer on the gate electrode and the upper electrode exposing portions of the active layer and portions of the upper electrode; and a source electrode and a drain electrode connected to the exposed portions of the active layer. | 05-08-2014 |
20140124770 | ACTIVE MATRIX TYPE DISPLAY APPARATUS AND A DRIVING DEVICE OF A LOAD - An active matrix type display device having a plurality of pixel circuits ( | 05-08-2014 |
20140124771 | Light Emitting Device, Method of Preparing the Same and Device for Fabricating the Same - A light emitting device having a high definition, a high aperture ratio and a high reliability is provided. The present invention realizes a high definition and a high aperture ratio for a flat panel display of full colors using luminescent colors of red, green and blue without being dependent upon the film formation method and deposition precision of an organic compound layer by forming the laminated sections | 05-08-2014 |
20140124772 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic electroluminescence display device is provided. The organic electroluminescence display device includes plural organic electroluminescence elements. Each organic electroluminescence element includes: a lower electrode; an insulating layer having an opening, in which a lower electrode is exposed at the bottom of the opening; an auxiliary wiring; a stacked structure provided from a portion over the lower electrode exposed at the bottom of the opening to a portion of the insulating layer surrounding the opening, including a light emitting layer made of an organic light-emitting material; and an upper electrode. At least one layer of the stacked structure partially contacts the auxiliary wiring. The insulating layer and the auxiliary wiring are provided in common to the plurality of organic EL elements. The upper electrode covers the whole surface of the stacked structures and the auxiliary wiring. | 05-08-2014 |
20140124773 | Display Device - The present invention is intended to suppress power consumption of an EL display. In accordance with the brightness of an image to be displayed in a pixel portion, the contrast of the image is determined whether to be inverted or not, and the number of bits of the digital video signal to be input into the pixel portion is reduced, and the magnitude of a current to flow through the EL element is allowed to be maintained at a constant level even when a temperature of an EL layer changes by providing the EL display with another EL element to be used for monitoring a temperature. | 05-08-2014 |
20140131663 | Iridium Complexes With Aza-Benzo Fused Ligands - Novel iridium complexes containing phenylpyridine and pyridyl aza-benzo fused ligands are described. These complexes are useful as light emitters when incorporated into OLEDs. | 05-15-2014 |
20140131664 | INDENOTRIPHENYLENE DERIVATIVES AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - The present invention discloses a new indenotriphenylene derivatives and organic light emitting device using the derivatives. The organic light emitting device employing new indenotriphenylene derivatives as host material can lower driving voltage, prolong half-lifetime, increasing efficiency. The new indenotriphenylene derivatives are represented by the following formula (A): | 05-15-2014 |
20140131665 | Organic Electroluminescent Device With Delayed Fluorescence - Novel electroluminescent devices containing bicarbazole triazine compounds as emissive dopants are described. Devices incorporating this class of compounds exhibit delayed fluorescence characteristics that showed EQE's far exceeding the theoretical limit for a conventional fluorescent device. | 05-15-2014 |
20140131666 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display (OLED) device is provided. The OLED device includes: a substrate of which a pixel region is defined; a light-condensing means disposed on the pixel region of the substrate; a lower electrode disposed on the light-condensing means; an organic layer, which is disposed on the lower electrode and includes an organic light-emitting layer; and an upper electrode disposed on the organic layer. A method for manufacturing such an OLED device is also provided. | 05-15-2014 |
20140131667 | ORGANIC LAYER DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS BY USING THE SAME, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED BY THE METHOD - An organic layer deposition apparatus, a method of manufacturing an organic light-emitting display apparatus by using the same, and an organic light-emitting display apparatus manufactured by the method, and more particularly, an organic layer deposition apparatus that is suitable for use in the mass production of a large substrate, that enables high-definition patterning, and that is capable of controlling a distance between a patterning slit sheet and a substrate that moves, a method of manufacturing an organic light-emitting display apparatus by using the organic layer deposition apparatus, and an organic light-emitting display apparatus manufactured by the method. | 05-15-2014 |
20140131668 | FLEXIBLE DISPLAY DEVICE AND MANUFACTURING METHOD OF THE SAME - A flexible display device includes: a display panel including a display substrate, an organic light emitting element formed on the display substrate, and a thin film encapsulation layer covering the organic light emitting element; a first insulation layer formed under the display panel; a lower protection film formed under the first insulation layer; and a reinforcement layer formed under the lower protection film, between the lower protection film and the first insulation layer, or on the display panel, wherein the reinforcement layer is configured to prevent damage to the display panel due to bending stress. | 05-15-2014 |
20140131669 | SUBSTRATE FOR ORGANIC LIGHT-EMITTING DEVICE WITH ENHANCED LIGHT EXTRACTION EFFICIENCY, METHOD OF MANUFACTURING THE SAME AND ORGANIC LIGHT-EMITTING DEVICE HAVING THE SAME - A substrate for an organic light-emitting device (OLED) with enhanced light extraction efficiency, a method of manufacturing the same and an OLED having the same, in which the light extraction efficiency is enhanced, thereby reducing the phenomenon of color shift in which color changes depending on the position of a viewer within the viewing angle. The transparent substrate used in an OLED includes an anode, an organic light-emitting layer and a cathode which are stacked on each other, the transparent substrate comprising a porous layer in at least one portion of one surface thereof that adjoins the organic light-emitting device, a refractive index of the porous layer being smaller than a refractive index of the transparent substrate. | 05-15-2014 |
20140131670 | ORGANIC COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE EMPLOYING THE SAME - The disclosure provides an organic compound and an organic electroluminescence device employing the same. According to an embodiment of the disclosure, the organic compound has a chemical structure as represented as below: | 05-15-2014 |
20140131671 | ORGANIC ELECTROLUMINESCENT DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic electroluminescent display and method of manufacturing the same are disclosed. In one aspect, the organic electroluminescent display includes a substrate and a first electrode disposed on the substrate. It also includes a pixel definition layer disposed on the first electrode, wherein the pixel definition layer has an opening portion formed in an area overlapped with the first electrode. It further includes a lyophilic layer disposed on the first electrode and the pixel definition layer, an organic light emitting layer disposed on the lyophilic layer, and a second electrode disposed on the organic light emitting layer. The lyophilic layer includes a center portion and an edge portion. The center portion is disposed on the first electrode through the opening portion and includes at least one recess portion formed therein. The edge portion is extended from the center portion and disposed on the pixel definition layer. | 05-15-2014 |
20140131672 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Embodiments are directed to an organic light emitting display device, including a substrate, and a plurality of pixels, each pixel including a protrusion pattern and a trench area formed in the substrate, an organic light emitting device disposed on the substrate, a capacitor, the capacitor including a first capacitor electrode and a second capacitor electrode, a first transistor, the first transistor being coupled to a gate line extended in a row direction, a data line extended in a column direction crossing the row direction, and the first capacitor electrode, and a second transistor, the second transistor being coupled to the first capacitor electrode, a voltage line extended in the column direction, and the organic light emitting device, wherein the second capacitor electrode is branched from the voltage line, and the gate line and the first capacitor electrode are formed on and overlap the protrusion pattern. | 05-15-2014 |
20140131673 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR FABRICTING THE SAME - Embodiments of the present invention include an organic light emitting display device and a method for fabricating the same. The organic light emitting display device may include a thin film transistor on a base substrate and including a semiconductor layer, a gate electrode, a source electrode, and a drain electrode; and an organic light emitting diode, which includes a first electrode connected to the drain electrode, an organic layer on the first electrode, and a second electrode on the organic layer. The drain electrode may have a larger area than the first electrode. | 05-15-2014 |
20140131674 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a substrate and a plurality of pixels defined in the substrate. A pixel includes red subpixel, green subpixel, blue subpixel, and white subpixel. The organic light emitting display device includes an anode electrode formed on the substrate, a cathode electrode opposing the anode electrode, and a red common emission layer, a green common emission layer, and a blue common emission layer formed across each of the red, green, blue and white subpixel areas. The blue common emission layer is disposed above and adjacent to the anode electrode, the green common emission layer is disposed above the blue common emission layer, and the red common emission layer is disposed above the green common emission layer and adjacent to the cathode electrode. | 05-15-2014 |
20140131675 | LIGHT-EXTRACTION ELEMENT AND LIGHT-EMITTING DEVICE - The invention provides a light-extraction element, comprising a light-diffusion layer which including a resin; a first particle with a single refractive index; and a second particle with two different refractive indices, wherein the second particle is a hollow particle or a core-shell particle, wherein the refractive index of the core is different from that of the shell. The invention also provides a light-emitting device, including a pair of electrodes composed of an anode and a cathode; an organic light-emitting unit disposed between the pair of electrodes, wherein the organic light-emitting unit includes a light-emitting layer; and a light-extraction element which is disposed on a light-emitting surface of the light-emitting device. | 05-15-2014 |
20140131676 | IRIDIUM COMPLEXES WITH AZA-BENZO FUSED LIGANDS - Novel iridium complexes containing phenylpyridine and pyridyl aza-benzo fused ligands are described. These complexes are useful as light emitters when incorporated into OLEDs. | 05-15-2014 |
20140131677 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes: a substrate; a thin film transistor (TFT) on the substrate and including an active layer, a gate electrode, a source electrode, and a drain electrode; an organic light emitting device including a pixel electrode that contacts at least one of the source electrode or the drain electrode of the TFT, an interlayer including a light emitting layer, and a counter electrode facing the pixel electrode, the pixel electrode, the interlayer, and the counter electrode being stacked; and a cathode contact part including a first contact layer and a second contact layer, the first contact layer being at a same layer as the active layer and being doped with ion impurities, the second contact layer including a same material as the source electrode and the drain electrode and coupling the first contact layer and the counter electrode to each other. | 05-15-2014 |
20140131678 | THIN FILM TRANSISTOR AND ORGANIC LIGHT EMITTING PIXEL HAVING THE SAME - A thin film transistor (TFT) that includes a control electrode, a semiconductor pattern, a first input electrode, a second input electrode, and an output electrode is disclosed. in one aspect, the semiconductor pattern includes a first input area, a second input area, a channel area, and an output area. The channel area is formed between the first input area and the output area and overlapped with the control electrode to be insulated from the control electrode. The second input area is formed between the first input area and the channel area and doped with a doping concentration different from a doping concentration of the first input areas. The second input electrode makes contact with the second input area and receives a control voltage to control a threshold voltage. | 05-15-2014 |
20140131679 | ORGANIC LIGHT EMITTING DEVICES - An organic light emitting device (OLED) includes a first electrode on a substrate, an organic light emitting layer on the first electrode, a second electrode on the organic light emitting layer, and an optical path difference compensation layer. The optical path difference compensation layer is between the substrate and the first electrode or on the second electrode. The optical path difference compensation layer includes at least one layer in which transmittance decreases as an angle between incident light and an incident surface of the optical path difference compensation layer increases. | 05-15-2014 |
20140131680 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes an anode, a cathode, and an organic thin-film layer interposed between the anode and the cathode. The organic thin-film layer includes a phosphorescent-emitting layer containing a host and a phosphorescent dopant, and an electron transporting layer that is provided closer to the cathode than the phosphorescent-emitting layer. The host contains a substituted or unsubstituted polycyclic fused aromatic skeleton. | 05-15-2014 |
20140131681 | ORGANIC ELECTROLUMINESCENT DEVICE - Provided are an amine compound having a benzofluorene structure and further having a dibenzofuran structure and/or a dibenzothiophene structure, and an organic electroluminescent device containing a cathode, an anode and an organic thin film layer intervening between the cathode and anode, the organic thin film layer comprising one layer or plural layers comprising at least an emitting layer, at least one layer of the organic thin film layer comprising the aforementioned amine compound solely or as a component of a mixture. | 05-15-2014 |
20140131682 | FLEXIBLE ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR FABRICATING THE SAME - Disclosed are a flexible organic electroluminescent device, and a method for fabricating the same. The flexible organic electroluminescent device comprises a non-active area formed outside an active area of a substrate; a switching thin film transistor and a driving thin film transistor on the substrate; an interlayer insulating layer formed on the substrate; a first electrode formed on the interlayer insulating layer; a bank formed in the non-active area of the substrate; an organic light-emitting layer formed on the first electrode; a second electrode formed on the organic light-emitting layer; a first passivation layer formed on the substrate; an organic layer formed on the first passivation layer; a partition wall pattern formed on the first passivation layer; a second passivation layer formed on the first passivation layer; and a barrier film disposed to face the substrate. | 05-15-2014 |
20140131683 | FLEXIBLE ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR FABRICATING THE SAME - Provided is a flexible organic electroluminescent device and a method for fabricating the same. The device includes a switching thin film transistor and a drive thin film transistor formed at an each pixel region on the substrate; an interlayer insulating layer formed on the substrate; a partition wall pattern formed in the non-display area of the substrate; a first electrode formed on the interlayer insulating layer; a bank formed around each pixel region; an organic light emitting layer separately formed on the first electrode; a second electrode formed on an entire surface of the display area; a first passivation layer formed on an entire surface of the substrate; an organic layer and a second passivation layer formed on the first passivation layer of the display area; a barrier film located to face the substrate. | 05-15-2014 |
20140131684 | ELECTRONIC DEVICE HAVING RADIATION-PRODUCED CONTAINMENT REGIONS AND PROCESSES FOR MAKING SAME - An electronic device includes a backplane having a layer of an organic hole injection material disposed thereon. Some areas of the hole injection material having a first predetermined surface energy while selected other areas of the layer of hole injection material have a second, lower, predetermined surface energy associated therewith. The selected other areas of lower surface energy are produced by heating of these areas by exposure of the selected other areas to radiation, such as from a laser. A layer of a composition including an organic hole transport material disposed directly on at least some of the areas of the layer of the hole injection material having the first, higher, predetermined surface energy. | 05-15-2014 |
20140131685 | CHANNEL LAYER FOR STRETCHABLE TRANSISTORS - Provided are a material for forming a channel layer for a stretchable TFT, a method of preparing a channel layer for a stretchable TFT, a channel layer for a stretchable TFT, and a stretchable TFT. The material for forming the channel layer for the stretchable TFT includes an elastomer, an organic semiconductor material and a solvent. By mixing an elastomer and an organic semiconductor material and forming a thin film, a channel layer having an excellent conductivity and stretchability may be obtained. | 05-15-2014 |
20140131686 | Light-Emitting Element, Light-Emitting Device, Lighting Device, and Electronic Device - To provide a light-emitting element including a novel compound, which is capable of being used for a transport layer, a host material, or a light-emitting material in a light-emitting element. A light-emitting element which includes an EL layer between a pair of electrodes. In an analysis of the EL layer by liquid chromatography mass spectrometry, an ion having a mass/charge ratio (m/z) of 772 is detected, and by collision of an argon gas with the ion at an energy greater than or equal to 30 eV and less than or equal to 100 eV, one or more of an ion having a mass/charge ratio (m/z) of 349 and an ion having a mass/charge ratio (m/z) of 425 is or are detected. | 05-15-2014 |
20140131687 | HETEROLEPTIC IRIDIUM CARBENE COMPLEXES AND LIGHT EMITTING DEVICE USING THEM - Novel heteroleptic iridium carbene complexes are provided. The complexes have lower-than expected sublimation temperatures, which is beneficial for the processing of these materials in solid state applications. Selective substitution of the ligands provides for phosphorescent compounds that are suitable for use in a variety of OLED devices. The carbene complexes can also be used as materials in a hole blocking layer and/or an electron transport layer to improve device performance. | 05-15-2014 |
20140131688 | INTERCONNECTION STRUCTURE INCLUDING REFLECTIVE ANODE ELECTRODE FOR ORGANIC EL DISPLAYS - Provided is an interconnection structure comprising a reflective anode electrode for organic EL displays, which is provided with an Al alloy film that has excellent durability and is capable of assuring stable light emission characteristics even in cases where an Al reflective film is directly connected with an organic layer, while achieving high yield. The present invention is related to an interconnection structure which comprises, on a substrate, an Al alloy film that constitutes a reflective anode electrode for organic EL displays and an organic layer that contains a light-emitting layer. In the interconnection structure, the Al alloy film contains a specific rare earth element in an amount of 0.05-5% by atom and the organic layer is directly connected onto the Al alloy film. | 05-15-2014 |
20140131689 | ORGANIC SEMICONDUCTOR COMPOSITIONS - The present invention relates to organic semiconductor compositions and organic semiconductor layers and devices comprising such organic semiconductor compositions. The invention is also concerned with methods of preparing such organic semiconductor compositions and layers and uses thereof. The invention has application particularly in the field of displays such as organic field effect transistors (OFETS), integrated circuits, organic light emitting diodes (OLEDS), photodetectors, organic photovoltaic (OPV) cells, sensors, lasers, memory elements and logic circuits. | 05-15-2014 |
20140131690 | ORGANIC LIGHT EMITTING ELEMENT AND METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING ELEMENT - An organic light emitting element ( | 05-15-2014 |
20140131691 | POLYMERIZABLE EPOXY COMPOSITION AND ORGANIC EL DEVICE - The purpose of the present invention is to provide a polymerizable resin composition with which the cured product refractive index is high and the viscosity under a high shear stress is a specific viscosity or lower. Provided is an polymerizable epoxy composition comprising (A1) an S-containing epoxy resin represented by a general formula, (A2) an epoxy compound having a softening point of 70° C. or lower, (B) a curing promoter, and (C) a thiol compound having two or more thiol groups per molecule, wherein viscosity at 25° C. and 60 rpm as determined using a B viscometer is between 100 and 15,000 mPa·s. | 05-15-2014 |
20140131692 | ORGANIC EL ELEMENT, ORGANIC EL PANEL, ORGANIC EL LIGHT-EMITTING APPARATUS AND ORGANIC EL DISPLAY APPARATUS - An organic EL element including: pixel electrode on a section of TFT substrate corresponding to pixel region; auxiliary connector on a section of TFT substrate corresponding to auxiliary region; hole injection layer on pixel electrode and auxiliary connector; and light-emitting layer on a section of hole injection layer corresponding to pixel region. The organic EL element additionally includes: electron injection layer on light-emitting layer and a section of hole injection layer corresponding to auxiliary region; and seamless common electrode on electron injection layer. Hole injection layer contains WO | 05-15-2014 |
20140131693 | DISPLAY PANEL AND DISPLAY DEVICE - The present application discloses a display panel including flexible substrates on which first power lines are mounted to supply power; a substrate including a first surface provided with a display area, a second surface opposite to the first surface, and second power lines for connecting the first power lines to the display pixels; a thermal conduction member partially covering the second surface and conducting heat in an in-plane direction; and a thermal conduction seal covering a periphery of the thermal conduction member. The first surface includes an arrangement area to arrange the second power lines between the flexible substrates and the display area. The second surface includes a first area opposite to the display area and a second area opposite to the arrangement area. The thermal conduction member covers at least the first area. The thermal conduction seal covers the second area. | 05-15-2014 |
20140131694 | Semiconductor Device and Method of Manufacturing Same - A FET is formed on a semiconductor substrate, a curved surface having a radius of curvature is formed on an upper end of an insulation, a portion of a first electrode is exposed corresponding to the curved surface to form an inclined surface, and a region defining a luminescent region is subjected to etching to expose the first electrode. Luminescence emitted from an organic chemical compound layer is reflected by the inclined surface of the first electrode to increase a total quantity of luminescence taken out in a certain direction. | 05-15-2014 |
20140131695 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - A top emission type organic electroluminescent display device includes a first substrate including a pixel region, a switching thin film transistor and a driving thin film transistor in the pixel region on the first substrate, a passivation layer covering the switching thin film transistor and the driving thin film transistor and exposing a drain electrode of the driving thin film transistor, a first electrode on the passivation layer and connected to the drain electrode of the driving thin film transistor, a buffer pattern in a border of the pixel region and overlapping an edge of the first electrode, a first spacer on the buffer pattern along a first direction, the first spacer having a first thickness and a dam shape, a second spacer on the buffer pattern along a second direction, the second spacer having a second thickness and a dam shape, a third spacer on the buffer pattern at a crossing portion of the first and second spacers, the third spacer having a third thickness and a columnar shape, wherein the third thickness is thicker than the first thickness or the second thickness, an organic emission layer on the first electrode between adjacent buffer patterns, a second electrode on the organic emission layer and the first, second and third spacers, a second substrate facing the first substrate, and a seal pattern between peripheries of the first and second substrates. | 05-15-2014 |
20140131696 | METHOD FOR PRODUCING FIELD EFFECT TRANSISTOR, FIELD EFFECT TRANSISTOR, DISPLAY DEVICE, IMAGE SENSOR, AND X-RAY SENSOR - There is provided a method of fabricating a field effect transistor including: forming a first oxide semiconductor film on a gate insulation layer disposed on a gate electrode; forming a second oxide semiconductor film on the first oxide semiconductor film, the second oxide semiconductor film differing in cation composition from the first oxide semiconductor film and being lower in electrical conductivity than the first oxide semiconductor film; applying a heat treatment at over 300° C. in an oxidizing atmosphere; forming a third oxide semiconductor film on the second oxide semiconductor film, the third oxide semiconductor film differing in cation composition from the first oxide semiconductor film and being lower in electrical conductivity than the first oxide semiconductor film; applying a heat treatment in an oxidizing atmosphere; and, forming a source electrode and a drain electrode on the third oxide semiconductor film. | 05-15-2014 |
20140131697 | FLUORENE DERIVATIVE, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - An object is to provide a new fluorene derivative as a good light-emitting material for organic EL elements. A fluorene derivative represented by General Formula (G1) is provided. | 05-15-2014 |
20140138627 | Organic Electroluminescent Device With Delayed Fluorescence - Novel devices containing organic compounds containing electron donor and acceptor characteristics and that act as emissive dopants are provided. The energy ratio obtained by dividing the T1 energy of the emissive dopant by ΔE | 05-22-2014 |
20140138628 | Electroactive Fluoroacylated Arylamines - Fluoroacyl arylamines are disclosed for use in an electronic applications, such as, in photoresponsive and electroresponsive devices. | 05-22-2014 |
20140138629 | OPTOELECTRONIC DEVICE FORMED WITH CONTROLLED VAPOR FLOW - An organic optoelectronic device (OED) includes a plurality of OED cells separated by a vapor flow barrier that extends away from a substrate surface. The vapor flow barrier partially defines an OED cell area and helps prevent stray organic material deflected away from the substrate surface during deposition from being deposited outside the cell area. An organic vapor jet (OVJ) print head can be used to deposit organic material along the vapor flow barrier and may include one or more features configured to capture some of the stray organic material. A method that includes use of vapor flow barriers and/or capturing stray organic material can facilitate high-density printing of OED cells such as OLEDs with sharply defined edges and without cross-contamination among adjacent cells. | 05-22-2014 |
20140138630 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - In an aspect, an organic light-emitting display apparatus including: a substrate; at least one color filter formed on the substrate; an overcoat layer covering the at least one color filter; a first passivation layer formed on the overcoat layer; a light scattering layer formed on the first passivation layer; a first electrode formed on the light scattering layer; a second electrode facing the first electrode; and an organic layer located between the first and second electrodes is provided. | 05-22-2014 |
20140138631 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device including a sub-pixel including a pixel electrode, a counter electrode, and a light emitting layer between the pixel electrode and the counter electrode, a planarization layer covering the counter electrode, and an auxiliary electrode in the planarization layer and coupled to the counter electrode. | 05-22-2014 |
20140138632 | AMINE-BASED COMPOUND AND ORGANIC LIGHT- EMITTING DEVICE INCLUDING THE SAME - An amine-based compound and an organic light-emitting diode including the amine-based compound are provided. The amine-based compound may be used between a pair of electrodes of an organic light-emitting diode. For example, the amine-based compound may be used in an emission layer and/or between the emission layer and an anode (for example, in a hole injection layer, a hole transport layer, a functional layer having a hole injection ability and a hole transport ability). Accordingly, an organic light-emitting diode including a first electrode, a second electrode facing the first electrode, and an organic layer that is interposed between the first electrode and the second electrode, and includes the amine-based compound is provided. | 05-22-2014 |
20140138633 | ORGANIC LIGHT-EMITTING DEVICE - Provided is an organic light-emitting device including a compound represented by Formula 1 below: | 05-22-2014 |
20140138634 | ORGANIC LIGHT-EMITTING DISPLAY SYSTEM AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display system and a method of manufacturing the same are disclosed. In one aspect, the organic light-emitting display system includes a substrate, a display unit that defines an active area on the substrate and includes a plurality of thin film transistor (TFTs), and an encapsulation layer that seals the display unit and has a stacked structure in which at least a first inorganic film, a first organic film, and a second inorganic film are sequentially stacked. The TFTs includes an active layer, a gate electrode, a source electrode, a drain electrode, and an interlayer insulating film that is disposed between the gate electrode and the source electrode and between the gate electrode and the drain electrode, wherein the second inorganic film directly contacts the interlayer insulating film outside the active area. Accordingly, in various embodiments, since an inorganic layer of a thin film encapsulation layer is prevented from being cracked, penetration of external moisture or oxygen into the active area of the display can be reduced or prevents. | 05-22-2014 |
20140138635 | STRETCHABLE BASE PLATE, STRETCHABLE ORGANIC LIGHT-EMITTING DISPLAY DEVICE USING THE SAME, AND METHOD OF MANUFACTURING THE STRETCHABLE BASE PLATE AND THE STRETCHABLE ORGANIC LIGHT EMITTING DISPLAY DEVICE - A stretchable organic light-emitting display device includes a stretchable base plate including a stretchable substrate, first metal electrodes that are separated from each other and located in a plurality of rows on a the stretchable substrate, and first power wirings electrically coupling respective ones of the metal electrodes of each row, a light-emitting layer on the stretchable base plate, second metal electrodes located in a plurality of rows on the light-emitting layer and corresponding to the first metal electrodes, second power wirings for electrically coupling respective ones of the second metal electrodes of each row, and an encapsulation substrate covering the second power wiring. | 05-22-2014 |
20140138636 | ORGANIC LIGHT EMITTING DISPLAY DEVICE HAVING IMPROVED LIGHT EMITTING EFFICIENCY - In an organic light emitting display device according to an embodiment of the present disclosure, a light extraction reduction preventing layer is disposed between a display unit disposed on a substrate and an encapsulation layer for protecting the display unit, and as a result, light emission efficiency may be improved by reducing an amount of light dissipating while light generated from an emission layer of the display unit is extracted to the outside. | 05-22-2014 |
20140138637 | FLEXIBLE DISPLAY - A flexible display having an array of pixels or sub-pixels is provided. The display includes a flexible substrate and an array of thin film transistors (TFTs) corresponding to the array of pixels or sub-pixels on the substrate. The display also includes a first plurality of metal lines coupled to gate electrodes of the TFTs and a second plurality of metal lines coupled to source electrodes and drain electrodes of the TFTs. At least one of the first plurality of metal lines and the second plurality of metal lines comprises a non-stretchable portion in the TFT areas and a stretchable portion outside the TFT areas. | 05-22-2014 |
20140138638 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - Disclosed is an organic light emitting diode display including: a substrate including a display area configured to display an image and a peripheral area surrounding the display area; a plurality of pad wires at the peripheral area of the substrate; and an inspection wire having a zigzag form on the plurality of pad wires. | 05-22-2014 |
20140138639 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode includes a first electrode including a reflective metal layer of a light-reflective metal, an upper transparent conductive layer on the reflective metal layer, and an amorphous oxide layer on the upper transparent conductive layer, an organic emission layer on the first electrode, and a second electrode on the organic emission layer. | 05-22-2014 |
20140138640 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode (OLED) display that is flexible is disclosed. According to one aspect it includes: a flexible substrate, a moisture permeation preventing layer formed on the flexible substrate, a barrier layer formed on the moisture permeation preventing layer, an OLED formed on the barrier layer, a thin film encapsulation layer covering the OLED, and a lower protection film attached beneath the flexible substrate. | 05-22-2014 |
20140138641 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display and a method of manufacturing the same are disclosed. In one aspect, the method includes: forming a barrier layer on a base substrate of a mother panel, forming a plurality of display units in units of cell panels on the barrier layer and forming an encapsulation layer on each of the plurality of display units of the cell panels. The method further includes applying an organic film to an interface portion between the cell panels and cutting along the interface portion applied with the organic film. Accordingly, cracks are prevented from occurring in the barrier layer when the mother panel is cut in units of the cell panels, thereby reducing a defect rate of a product and stabilizing its quality. | 05-22-2014 |
20140138642 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate, a thin film transistor (TFT) on the substrate, the TFT including an active layer, a gate electrode, a source electrode, and a drain electrode, an uneven pattern unit on the substrate and spaced apart from the TFT, the uneven pattern including an insulating film, a pixel electrode on the uneven pattern unit, an intermediate layer on the pixel electrode and including an organic light-emitting layer, and a counter electrode on the intermediate layer. | 05-22-2014 |
20140138643 | MUTLI-DISPLAY APPARATUS - Provided is a multi-display apparatus. The multi-display apparatus includes a first display including a region configured to allow external light to pass therethrough, a first module electrically coupled to the first display unit, a second display coupled to the first display, the second display overlapping the first module and being configured to not allow external light to pass therethrough, and a second module electrically coupled to the second display. | 05-22-2014 |
20140138644 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode display includes: a substrate; a semiconductor layer formed on the substrate and including a switching semiconductor layer, a driving semiconductor layer, and a light emission control semiconductor layer spaced apart from each other; a first gate insulating layer covering the semiconductor layer; a light emission control gate electrode formed on the first gate insulating layer and overlapping the light emission control semiconductor layer; a second gate insulating layer covering the light emission control gate electrode; a switching gate electrode and a driving gate electrode formed on the second gate insulating layer and respectively overlapping the switching semiconductor layer and the driving semiconductor layer; and an interlayer insulating layer covering the switching gate electrode, the driving gate electrode, and the second gate insulating layer. A doping concentration of a channel region of the driving semiconductor layer is higher than a doping concentration of a channel region of the light emission control semiconductor layer. | 05-22-2014 |
20140138645 | ORGANIC LIGHT EMTTING DISPLAY DEVICE - An organic light emitting display device includes: a substrate; a display unit on the substrate; and an encapsulation layer on the display unit, the encapsulation layer including a plurality of inorganic layers and a plurality of organic layers, the plurality of inorganic layers and the plurality of organic layers being alternately located, and the plurality of organic layers being at a region where the plurality of inorganic layers is located. The plurality of inorganic layers covers the display unit and is sequentially on the substrate, and areas of each of the inorganic layers are increased moving in a direction away from the display unit. | 05-22-2014 |
20140138646 | ORGANIC LIGHT-EMITTING DIODE DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display apparatus including a substrate, an insulation layer on the substrate, and an align mark formed of an insulation material, wherein an upper surface of the insulation layer contacts a lower surface of the align mark. | 05-22-2014 |
20140138647 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An OLED display includes: a substrate including a display area with a plurality of pixels; an encapsulation substrate at the display area; and a sealant formed along an edge of the encapsulation substrate between the substrate and the encapsulation substrate to bond the substrate to the encapsulation substrate. The sealant includes a plurality of straight line portions and crossing portions formed by two straight line portions crossing each other. | 05-22-2014 |
20140138648 | DISPLAY DEVICE - According to one embodiment, a display device, includes: a display unit and a control unit. The display unit has a plurality of segments. Each of the plurality of segments includes a plurality of organic light emitting layers to emit light of mutually different colors. The plurality of segments forms one of a plurality types of images by changing the colors of the emitted light from the plurality of segments. The control unit drives each of the plurality of segments of the display unit to emit light. | 05-22-2014 |
20140138649 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device comprises a substrate, a first optical structure, a transparent electrode, an organic light emitting structure, a reflecting layer and a second optical structure. The substrate has a first surface and a second surface. The first optical structure is disposed on the first surface and has a first haze. The transparent electrode is disposed on the first optical structure. The organic light emitting structure is disposed on the transparent electrode. The reflecting layer is disposed on the organic light emitting structure. The second optical structure is disposed on the second surface and has a second haze, wherein the first haze is less than the second haze. | 05-22-2014 |
20140138650 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND DISPLAY PANEL THEREOF - An organic light emitting diode (OLED) display device and a display panel thereof are provided. The organic light emitting diode display panel comprises a first substrate, a first electrode, an organic light emitting layer, a second electrode, and a second substrate. The first electrode is disposed on the first substrate. The organic light emitting layer is disposed on the first electrode. The second electrode is disposed on the organic light emitting layer. The second substrate is located on the second electrode. The material of the second electrode comprises an alkaline earth element and silver. The second electrode comprises a first portion and a second portion, and the first portion is located between the second portion and the first substrate. The ratios of the alkaline earth element to silver in the first portion and in the second portion are different. | 05-22-2014 |
20140138651 | ORGANIC LIGHT-EMITTING DIODE DISPLAY PANEL AND METHOD FOR FABRICATING THE SAME - Disclosed is an organic light-emitting diode (OLED) display panel. An OLED display panel comprises a plurality of signal lines and a thin film transistor formed on a substrate, an interlayer insulating layer, a first electrode, a bank, an organic light-emitting layer, a second electrode, a first passivation layer, an organic layer, a second passivation layer and a barrier film, wherein the bank is formed to completely cover the interlayer insulating layer, and an inclination formed by side surfaces of the bank and the interlayer insulating layer is made to be gradual. | 05-22-2014 |
20140138652 | BLUE PHOSPHORESCENCE COMPOUND AND ORGANIC LIGHT EMITTING DIODE USING THE SAME - A blue phosphorescence compound and an organic light emitting diode using the same are disclosed. The blue phosphorescence compound is represented by Chemical Formula 1 below: | 05-22-2014 |
20140138653 | OSMIUM (IV) COMPLEXES FOR OLED MATERIAL - A novel compound having the formula Os(L) | 05-22-2014 |
20140138654 | POLY ALPHA-AMINO ACID AND FERROELECTRIC MEMORY ELEMENT USING SAME - Ferroelectric memory elements which contain a poly α-amino acid which is a copolymer containing a glutamic acid-γ-ester unit represented by the formula (I), defined herein, and a glutamic acid-γ-ester unit represented by the formula (II), defined herein, in a molar ratio of units of formula (I) to units of formula (II) of 10/90-90/10 are useful as recording elements such as RFID and the like. | 05-22-2014 |
20140138655 | INK FOR FORMING FUNCTIONAL LAYER, INK CONTAINER, DISCHARGING APPARATUS, METHOD FOR FORMING FUNCTIONAL LAYER, METHOD FOR MANUFACTURING ORGANIC EL ELEMENT, LIGHT EMITTING DEVICE, AND ELECTRONIC APPARATUS - An ink for forming a functional layer includes a first component that contains at least one kind of aromatic solvent of which a boiling point is higher than or equal to 250° C. and lower than or equal to 350° C., a second component that contains at least one kind of aliphatic solvent of which a boiling point is higher than or equal to 200° C., and a third component that is a positive hole injection material (m-MTDATA) for forming a positive hole injection layer, in which a solubility of the third component in the first component is higher than the solubility of the third component in the second component, a mixing ratio of the second component is 30 vol %, the boiling point of the first component is higher than the boiling point of the second component, and a difference between the boiling points thereof is higher than or equal to 30° C. | 05-22-2014 |
20140138656 | SUBSTRATE ASSEMBLY OF AN OLED - A method for manufacturing an organic electroluminescent display device (OLED), wherein an arrangement of layers is applied to a substrate such that first conductors extend in a first direction as well as in a second direction, while between intersections of the conductors an organic electroluminescent connection has been provided which, under the influence of an electric tension, emits light. The substrate is manufactured from plastic and is provided with a surface structure which forms a boundary for at least a number of the layers to be applied. Also provided is a substrate intended for use in a method for manufacturing an organic electroluminescent display device, wherein the substrate has been manufactured from plastic and is provided with a surface structure which forms a boundary for at least a number of the layers to be applied. Further provided is an organic electroluminescent display device obtained with the method. | 05-22-2014 |
20140138657 | EMITTING COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE USING THE SAME - An embodiment of the present invention provides an emitting compound of following formula: | 05-22-2014 |
20140138658 | METHOD FOR PRODUCING ORGANIC LIGHT-EMITTING ELEMENT, METHOD FOR AGING ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC LIGHT-EMITTING DEVICE, ORGANIC DISPLAY PANEL, AND ORGANIC DISPLAY DEVICE - A manufacturing method for an organic light-emitting element includes: a first step of forming a first electrode, and forming an organic layer including a light-emitting layer; a second step of forming a second electrode, and thereby forming an element structure including the first electrode, the organic layer, and the second electrode; and a third step of performing an aging process by applying electric power between the first electrode and the second electrode in the element structure. a duration of the application of electric power in the third step is determined as the time elapsed before a time point at which a rate of decrease in a luminance of the light-emitting layer is substantially equal to a rate of decrease in a luminance of the element structure. | 05-22-2014 |
20140138659 | NOVEL COMPOUNDS AND ORGANIC ELECTRONIC DEVICE USING SAME - The present invention provides a new compound and an organic electronic device using the same. The compound according to the present invention may serve as hole injection, hole transporting, electron injection and transporting, and light emitting materials and the like in an organic electronic device comprising an organic light emitting device, and the organic electronic device according to the present invention shows excellent properties in terms of efficiency, driving voltage and service life. | 05-22-2014 |
20140138660 | PROCESS FOR CONTROLLING THE ACCEPTOR STRENGTH OF SOLUTION-PROCESSED TRANSITION METAL OXIDES FOR OLED APPLICATIONS - The present invention provides a process for the adjustment of the electron acceptor strength of a transition metal oxide (TMO) to the HOMO of a semiconducting hole transport layer material (HTL material) in a device comprising an anode, a layer of said TMO deposited on said anode and a layer of said HTL material deposited on said TMO layer, comprising: depositing a solution comprising a precursor for said TMO on said anode, wherein the precursor solution has a pH selected so that the acceptor strength of the TMO for which the solution is a precursor is adjusted to the HOMO of said HTL material; drying the deposited solution to form a solid layer precursor layer; depositing a solution of said HTL material onto said solid layer precursor layer; and annealing thermally the resulting product to give the desired device having TMO at the interface between said anode and said HTL. | 05-22-2014 |
20140138661 | COMPOUNDS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to crosslinkable compounds, to the crosslinked compounds obtained from these compounds, and to processes for the preparation thereof. The invention is furthermore directed to the use of these compounds in electronic devices and to the corresponding electronic devices themselves. | 05-22-2014 |
20140138662 | LIGHT-EMITTING COMPONENTS AND METHOD FOR PRODUCING A LIGHT-EMITTING COMPONENT - A light-emitting component may include: a first electrode; an organic electroluminescent layer structure on or over the first electrode; a second translucent electrode on or over the organic electroluminescent layer structure; and a mirror layer structure on or over the second electrode, wherein the mirror layer structure has a lateral thermal conductance of at least 1*10 | 05-22-2014 |
20140138663 | MATERIAL FOR FORMING ORGANIC LIGHT-EMITTING LAYER, COATING LIQUID FOR FORMING ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC LIGHT-EMITTING ELEMENT AND LIGHT SOURCE DEVICE, AND METHOD FOR MANUFACTURING SAME - In an organic light-emitting element including a first electrode, a second electrode, and a light-emitting layer placed between the first electrode and the second electrode, the light-emitting layer includes a host material, a first emitter, and a second emitter, the emission peak wavelength of the first emitter is longer than the emission peak wavelength of the second emitter, and an aromatic heterocyclic ligand or an auxiliary ligand of the first emitter include an electron withdrawing group. Accordingly, an organic light-emitting element can be provided in which the HOMO value of a specific luminescent dopant is closer to the HOMO value of another luminescent dopant. | 05-22-2014 |
20140138664 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE AND METHOD FOR DRIVING THE SAME - In an organic electroluminescence display device ( | 05-22-2014 |
20140138665 | SOLID-STATE IMAGE PICKUP DEVICE, METHOD FOR MANUFACTURING THE SAME, AND IMAGE PICKUP APPARATUS - A solid-state image pickup device is provided which includes a plurality of pixels provided in a semiconductor substrate, the pixels including a plurality of photoelectric conversion portions and MOS transistors which selectively read out signals therefrom, at least one organic photoelectric conversion film on the photoelectric conversion portions, and an isolation region provided in the organic photoelectric conversion film at a position corresponding to between the pixels to perform optical and electrical isolation. | 05-22-2014 |
20140138666 | Diode for a Printable Composition - An exemplary printable composition of a liquid or gel suspension of diodes comprises a plurality of diodes, a first solvent and/or a viscosity modifier. An exemplary diode comprises: a light emitting or absorbing region having a diameter between about 20 and 30 microns and a height between about 2.5 to 7 microns; a first terminal coupled to the light emitting region on a first side, the first terminal having a height between about 1 to 6 microns; and a second terminal coupled to the light emitting region on a second side opposite the first side, the second terminal having a height between about 1 to 6 microns. | 05-22-2014 |
20140138667 | ORGANIC SEMICONDUCTOR DEVICE, AND METHOD FOR PRODUCING SAME - An organic semiconductor device includes a laminate and films sealing the laminate in which excellent connection precision is achieved between connection lands and electrodes by suppression of slipping between the laminate and the films upon sealing of the laminate under evacuation, and the laminate is highly sealed. The organic semiconductor device has, between a laminate and a first film substrate, an antislip members that suppress slipping between the laminate and the first film substrate upon sealing of the laminate. An open hole and an electrode contained in the laminate are kept aligned by suppressing of the slipping. The electrode contained in the laminate is connected outside the device through the open hole by a conductive material. | 05-22-2014 |
20140138668 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD OF THE SAME - An organic light emitting diode (OLED) display includes: a first substrate including a display area and a non-display area; a driving element on the display area of the first substrate, and including a driving thin film transistor, a switching thin film transistor, and a capacitor; a circuit unit on the non-display area of the first substrate; an organic light emitting element on the driving element, and including a pixel electrode, an organic emission layer, and a common electrode; an inorganic protective layer covering the circuit unit and the common electrode of the organic light emitting diode; a sealing member on the inorganic protective layer in the non-display area of the first substrate; and a second substrate on the sealing member. | 05-22-2014 |
20140138669 | DELAYED-FLUORESCENCE MATERIAL AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME - A delayed fluorescence material comprising a compound represented by the general formula below. At least one of R | 05-22-2014 |
20140138670 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND COMPOUND USED THEREIN - An organic electroluminescence element in which a compound represented by the general formula below is used in a light-emitting layer exhibits a high emission efficiency and is inexpensive to provide. At least one of R | 05-22-2014 |
20140145149 | Organic Luminescent Compound With Delayed Fluorescence - Novel organic compounds containing an imidazole core and electron donor and acceptor fragments are provided. By selection of the disclosed donor and acceptor groups, compounds exhibiting small singlet-triplet gaps are obtained. These compounds are useful in OLED devices as host materials or as delayed fluorescent emitters. | 05-29-2014 |
20140145150 | Electronic Devices With Display-Integrated Light Sensors - An electronic device is provided with a display and a display-integrated light sensor. The display includes a transparent cover layer, light-generating layers, and a touch-sensitive layer. The display-integrated light sensor is interposed between the transparent cover layer and a display layer such as the touch-sensitive layer or a thin-film transistor layer of the light-generating layers. The light-generating layers include a layer of organic light-emitting material. The display-integrated light sensor can be implemented as an ambient light sensor or a proximity sensor. The display-integrated light sensor may be a packaged light sensor that is integrated into the display layers of the display or may be formed from light-sensor components formed directly on a display circuitry layer such as the touch-sensitive layer or the thin-film transistor layer. | 05-29-2014 |
20140145151 | Organic Electroluminescent Device With Delayed Fluorescence - Novel compounds containing benzothiophene or benzofuran fused to a carbazoles moiety are disclosed. The compounds are substituted such that both an electron donor fragment and an electron acceptor fragment are present within the same molecule. The compounds are capable of exhibiting delayed fluorescence when used in the emissive layer of OLED devices. | 05-29-2014 |
20140145152 | DISPLAY DEVICE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE - A display device including a substrate; an organic light emission unit, which is arranged on the substrate, wherein light emission regions arranged in a first direction emit light of a same color and light emission regions adjacent to one another from among light emission regions arranged in a second direction crossing the first direction emit light of different colors; a counter electrode, which is arranged to cover at least the light emission regions; and an auxiliary electrode, which is formed on the auxiliary electrode, does not overlap the light emission regions, and extends in the second direction. | 05-29-2014 |
20140145153 | DISPLAY DEVICE AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE - A display device and organic light-emitting display device, the display device including a substrate; a first line on the substrate; a first pad electrically connected to the first line, the first pad being on a same layer as the first line; a second line on another layer different from the layer on which the first line is formed, an insulation layer being interposed between the first line and the second line; a second pad on a same layer as the first pad; and a connection part electrically connecting the second line and the second pad. | 05-29-2014 |
20140145154 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including: a substrate; a display unit on the substrate; and an encapsulation layer covering the display unit, the encapsulation layer having an alternating stack structure of an organic layer and an inorganic layer, and the organic layer including a polymer polymerized from monomers of Formula 1 and Formula 2: | 05-29-2014 |
20140145155 | DISPLAY DEVICE AND ORGANIC LUMINESCENT DISPLAY DEVICE - Provided is a display device including: a structure including a display area and a peripheral area surrounding the display area; and an inorganic encapsulation thin film disposed on the display and peripheral areas. The peripheral area includes at least one inorganic surface portion having a closed shape continuously. | 05-29-2014 |
20140145156 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device includes a thin film transistor, a first insulating layer, a first electrode a second insulating layer, an organic emission layer, a second electrode, a first auxiliary layer in a first region on the second electrode and having a first edge, a third electrode in a second region, on the second electrode and having a second edge contacting the first edge of the first auxiliary layer, and a second auxiliary layer on at least the first region and having a refractive index higher than the first auxiliary layer. | 05-29-2014 |
20140145157 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode display device includes a substrate including a display region, wherein a plurality of pixel regions are defined in the display region; a first electrode over the substrate and in each of the plurality of pixel regions; a first bank on edges of the first electrode and having a first width and a first thickness; a second bank on the first bank and having a second width smaller than the first width; an organic emitting layer on the first electrode and a portion of the first bank; and a second electrode on the organic emitting layer and covering an entire surface of the display region. | 05-29-2014 |
20140145158 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode display device includes a substrate including a display region, wherein a plurality of pixel regions are defined in the display region; a first electrode over the substrate and in each of the plurality of pixel regions; a bank including a lower layer and an upper layer on the first electrode, the lower layer disposed on edges of the first electrode and having a first width and a first thickness, the upper layer disposed on the lower layer and having a second width smaller than the first width; an organic emitting layer on the first electrode and a portion of the lower layer; and a second electrode on the organic emitting layer and covering an entire surface of the display region. | 05-29-2014 |
20140145159 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device comprises a plurality of Pixel Defining Layers (PDLs) formed on a substrate, first electrodes formed in a space that is defined by the substrate and the PDLs, white light emitting layers formed in a space that is defined by the first electrodes and the PDLs, a second electrode formed on the white light emitting layers, first black matrices formed on the second electrode, and a color filter layer located adjacent to the first black matrices and spaced apart from the first black matrices. | 05-29-2014 |
20140145160 | METHODS OF FORMING AN ORGANIC SCATTERING LAYER, AN ORGANIC LIGHT EMITTING DIODE WITH THE SCATTERING LAYER, AND A METHOD OF FABRICATING THE ORGANIC LIGHT EMITTING DIODE - Provided is a method of fabricating an organic scattering layer. The method may include providing a deposition apparatus with a reaction chamber and a source chamber, loading a substrate in the reaction chamber, supplying carrier gas into the source chamber that may be configured to supply an evaporated organic source material into the reaction chamber, a temperature of the carrier gas ranging from 25° C. to 50° C., and spraying the carrier gas and the evaporated organic source material into the reaction chamber through a showerhead to deposit an organic scattering layer on the substrate, the organic scattering layer including organic particles, which may be provided in a molecularized form of the evaporated organic source material, and thereby having an uneven surface. | 05-29-2014 |
20140145161 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a substrate having three folded portions overlapping each other, and a first light emitting unit, a second light emitting unit, and a third light emitting unit on respective ones of the three folded portions of the substrate, the first through third light emitting units overlapping each other and emitting different colors, wherein the first through third light emitting units are on a same surface of the substrate, when the substrate is unfolded to have the three folded portions substantially planar. | 05-29-2014 |
20140145162 | Touch OLED Display Panel and Display Device Comprising the Same - A touch organic light-emitting diode (OLED) display panel and a display device comprising the same are disclosed. The touch OLED display panel comprises: a thin film transistor (TFT) substrate; an OLED layer disposed on the TFT substrate; a substrate disposed on the OLED layer; a sensor layer disposed on the substrate; an optical functional layer having a transmittance of 50% to 80%; and a cover plate, wherein the optical functional film is disposed on a surface of the cover plate. | 05-29-2014 |
20140145163 | Organic Light Emitting Display - An organic light emitting display includes first and second electrodes facing each other on a substrate, a red light emitting layer, a green light emitting layer and a blue light emitting layer formed between the first and second electrodes, a hole-transporting layer formed between the first electrode and each of the red light emitting layer, the green light emitting layer and the blue light emitting layer, and an electron-transporting layer formed between the second electrode and each of the red light emitting layer, the green light emitting layer and the blue light emitting layer, wherein a gap between a photo-luminescence (PL) peak maximum of the red host of the red light emitting layer and a photo-luminescence (PL) peak maximum of the electron-transporting layer contacting the red light emitting layer is within ±25 nm. | 05-29-2014 |
20140145164 | OLED DISPLAY PANEL - An OLED display panel is provided which can dissipate heat generated from a circuit on the OLED display panel to the exterior using a structure which can rapidly reduce costs. A metal film | 05-29-2014 |
20140145165 | ORGANIC LIGHT EMITTING DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting display and a method for manufacturing the same are discussed. The organic light emitting display includes a substrate, an organic light emitting diode positioned on the substrate, and a bather covering the organic light emitting diode. The organic light emitting diode includes a first electrode, an organic layer, and a second electrode. The barrier includes at least one first block monomer layer and at least one second block monomer layer including inorganic precursors. The at least one first block monomer layer and the at least one second block monomer layer are alternately stacked in a vertical direction. | 05-29-2014 |
20140145166 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - To provide a light-emitting element with high emission efficiency. In a light-emitting element including an organic compound between a pair of electrodes, the molecular weight X of the organic compound is 450 or more and 1500 or less, and the absorption edge of the organic compound is at 380 nm or more. By liquid chromatography mass spectrometry in a positive mode in which an argon gas is made to collide with the organic compound subjected to separation using a liquid chromatograph at any energy higher than or equal to 1 eV and lower than or equal to 30 eV, a product ion is detected at least around m/z=(X−240). | 05-29-2014 |
20140145167 | FLAT PANEL DISPLAY - A flat panel display such as an organic light emitting diode (OLED) display or a liquid crystal display (LCD) is disclosed. In one aspect, the OLED display includes: an OLED panel, a foam member attached to the bottom side of the panel by interposing an adhesive layer therebetween and a flexible circuit board electrically connected to the panel and curved and then attached to the foam member. The foam member includes a corresponding portion corresponding to the flexible circuit board and a non-corresponding portion not corresponding to the flexible circuit board, and the adhesive layer forms an air outlet path in at least the non-corresponding portion. | 05-29-2014 |
20140145168 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - Provided is a novel light-emitting element and a light-emitting element with high light emission efficiency. A light-emitting element at least includes a first electrode, a first light-emitting layer over the first electrode, a second light-emitting layer over and in contact with the first light-emitting layer, a third light-emitting layer over and in contact with the first light-emitting layer, and a second electrode over the third light-emitting layer. One of the first light-emitting layer and the second light-emitting layer contains at least a green-light-emitting phosphorescent compound. The other of the first light-emitting layer and the second light-emitting layer contains at least an orange-light-emitting phosphorescent compound. The third light-emitting layer contains at least a blue-light-emitting hole-trapping fluorescent compound and an organic electron-transport compound that disperses the fluorescent compound. | 05-29-2014 |
20140145169 | MULTICYCLIC AROMATIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - The present specification describes a multicyclic aromatic ring compound having a novel structure and an organic light emitting device using the same. | 05-29-2014 |
20140145170 | GRAPHENE DERIVATIVES, TRANSPARENT CONDUCTIVE FILMS, ORGANICELECTROLUMINESCENT DEVICES, METHODS OF PREPARING THE GRAPHENE DERIVATIVES AND METHODS OF PREPARING ANODE LAYERS OF THE DEVICES - The present invention belongs to the technical field of transparent conductive films and provides a graphene derivative, a transparent conductive film and an organic electroluminescent (EL) device. Methods are also provided for preparation of the graphene derivative and for preparation of an anode of the organic EL device. The graphene derivative exhibits a lower evaporation temperature and a higher work function. The graphene derivative is represented by formula (I): | 05-29-2014 |
20140145171 | ORGANIC LIGHT EMITTING DIODE DISPLAY - Disclosed is an organic light emitting diode display including: a pixel unit including an organic light emitting diode for displaying an image; and a periphery surrounding the pixel unit. The periphery includes a gate common voltage line formed on the substrate and receiving a common voltage from an external circuit, an interlayer insulating layer covering the gate common voltage line and including a common voltage contact hole for exposing a part of the gate common voltage line, a data common voltage line formed on the interlayer insulating layer and contacting the gate common voltage line through the common voltage contact hole, and a plurality of protrusions provided in the common voltage contact hole and formed on the substrate. | 05-29-2014 |
20140145172 | ORGANIC LIGHT-EMITTING ELEMENT - An organic EL element including anode, hole injection layer, buffer layer, light-emitting layer, and cathode, layered on substrate in the stated order, and banks defining a light-emission region, and having excellent light-emission characteristics, due to the hole injection layer having excellent hole injection efficiency, being a tungsten oxide layer including an oxygen vacancy structure, formed under predetermined conditions to have an occupied energy level within a binding energy range from 1.8 eV to 3.6 eV lower than a lowest binding energy of a valence band, and after formation, subjected to atmospheric firing at a temperature within 200° C.-230° C. inclusive for a processing time of 15-45 minutes inclusive to have increased film density and improved dissolution resistance against an etching solution, a cleaning liquid, etc., used in a bank forming process. | 05-29-2014 |
20140145173 | WHITE LIGHT EMITTING ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DISPLAY HAVING THE SAME - A white light emitting organic electroluminescent device and organic electroluminescent display having the same are provided. The organic electroluminescent device includes a first electrode, a second electrode, and an emission layer interposed between the first and second electrodes and having a fluorescence layer and a phosphorescence layer. Thereby, it is possible to obtain the white light emitting organic electroluminescent device having luminance yield improved. | 05-29-2014 |
20140145174 | LIGHT EMITTING DEVICE - A light emitting device having a plastic substrate is capable of preventing the substrate from deterioration with the transmission of oxygen or moisture content can be obtained. The light emitting device has light emitting elements formed between a lamination layer and an inorganic compound layer that transmits visual light, where the lamination layer is constructed of one unit or two or more units, and each unit is a laminated structure of a metal layer and an organic compound layer. Alternatively, the light emitting device has light emitting elements formed between a lamination layer and an inorganic compound layer that transmits visual light, where the lamination layer is constructed of one unit or two or more units, and each unit is a laminated structure of a metal layer and an organic compound layer, wherein the inorganic compound layer is formed so as to cover the end face of the lamination layer. In the present invention, the lamination layer is formed on the primary surface of the plastic substrate, so that a flexible substrate structure can be obtained while preventing the substrate from deterioration with the transmission of oxygen or moisture content. | 05-29-2014 |
20140145175 | Carbazole Derivative, Light-Emitting Element Material and Organic Semiconductor Material - An object is to provide a novel carbazole derivative that has an excellent carrier-transport property and can be suitably used for a transport layer or as a host material of a light-emitting element. Another object is to provide an organic semiconductor material and a light-emitting element material each using the carbazole derivative. As the carbazole derivative that can achieve the above objects, a carbazole derivative in which a carbazolyl group whose either 2- or 3-position of carbazole is substituted by the 4-position of a dibenzothiophene skeleton or a dibenzofuran skeleton is bonded to aromatic hydrocarbon that has 14 to 70 carbon atoms and includes a condensed tricyclic ring, a condensed tetracyclic ring, a condensed pentacyclic ring, a condensed hexacyclic ring, or a condensed heptacyclic ring has been able to be synthesized. | 05-29-2014 |
20140145176 | Use Of Self-Assembled Layers For Checking The Threshold Voltage Of Organic Transistors - The invention relates to an electronic device comprising at least two organic transistors having different threshold voltages. The device comprises at least two transistors, each including a self-assembled layer of molecules having dipole moments that differ from one another by an absolute value of between 0.2 and 10 debye. The invention is particularly suitable for use in the field of electronic circuit production. | 05-29-2014 |
20140151645 | FLUORENE COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - The present invention discloses a new fluorene compound and organic EL device using the compound. The organic EL device employing the new fluorene compound as host material can lower driving voltage, prolong half-lifetime. The fluorene compound can functions as blue emitting host material of a light emitting layer and improve CIE colour purity in blue emitting device. The fluorene compound are represented by the following formula(A): | 06-05-2014 |
20140151646 | COMPOUNDS FOR TRIPLET-TRIPLET ANNIHILATION UPCONVERSION - Novel compounds, and in particular, a dendritic system for improved triple-triplet annihilation upconversion (TTA-UC) are provided. The core of the dendrimer compound includes a metal complex, and on the peripheral, multiple acceptor moieties are covalently linked to the core through a spacer. Consequently, a high efficiency TTA-UC system in both solution and solid state is provided, with particularly high efficiency in the solid state. Additionally, organic light emitting devices (OLEDs) comprising a layer including these novel compounds are provided. | 06-05-2014 |
20140151647 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device employing a specific biscarbazole derivative having a cyano group as a first host and a compound having both a carbazole structure and a nitrogen-containing aromatic heteroring as a second host. The organic electroluminescence device has a prolonged lifetime. | 06-05-2014 |
20140151648 | ORGANIC ELECTROLUMINESCENET DEVICE - An organic electroluminescent device includes at least two light-emissive units provided between a cathode electrode and an anode electrode opposed to the cathode electrode, each of the light-emissive units including at least one light-emissive layer. The light-emissive units are partitioned from each other by at least one charge generation layer, the charge generation layer being an electrically insulating layer having a resistivity of not less than 1.0×10 | 06-05-2014 |
20140151649 | PHOSPHORESCENT COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE USING THE SAME - The present invention provides a phosphorescent compound of following formula: | 06-05-2014 |
20140151650 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the heterocyclic compound are described. | 06-05-2014 |
20140151651 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode (OLED) display device and a method of manufacturing the same. The device includes a substrate, a thin film transistor (TFT) on the substrate and including an active layer, a gate electrode, a source electrode, and a drain electrode, a first pixel electrode coupled to one of the source and drain electrodes, a rough portion on the first pixel electrode, a second pixel electrode on the rough portion and having a rough pattern, an intermediate layer on the second pixel electrode including an organic emission layer (EML), and an opposing electrode on the intermediate layer. | 06-05-2014 |
20140151652 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device includes a first electrode disposed on a substrate; a plurality of insulating layers which are sequentially disposed on the first electrode, and on which a contact hole for exposing a part of a surface of the first electrode is formed; and an organic light-emitting diode which includes a pixel electrode disposed on the plurality of insulating layers, a second electrode facing the pixel electrode and contacting the first electrode through the contact hole, and an organic emissive layer disposed between the pixel electrode and the second electrode. | 06-05-2014 |
20140151653 | ORGANIC LIGHT EMITTING DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting display includes a substrate, a thin film transistor disposed on the substrate, an overcoat layer having a first thru-hole formed therethrough to expose a portion of the thin film transistor and inclined at an angle with respect to the substrate, an upper passivation layer disposed on the overcoat layer and having a second thru-hole formed threrethrough to expose the portion of the thin film transistor, a first electrode connected to the thin film transistor through the second thru-hole, a light emitting layer disposed on the first electrode, and a second electrode disposed on the light emitting layer. | 06-05-2014 |
20140151654 | DISPLAY DEVICE - A display device includes a display panel and a flexible printed circuit (FPC) connected to the display panel. The FPC includes a first region and a second region, the second region having greater flexibility than the first region. | 06-05-2014 |
20140151655 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - Discussed is an organic light emitting display device which prevents a voltage drop, wherein the light emitting display device includes a substrate; a first electrode on the substrate; an organic light emitting layer on the first electrode; a second electrode on the substrate including the organic light emitting layer; and an encapsulation substrate confronting the substrate, wherein the encapsulation substrate is formed of a metal material, and is electrically connected with the second electrode. | 06-05-2014 |
20140151656 | GAS AND MOISTURE PERMEATION BARRIERS - A gas and moisture permeation barrier stack deposited by both sputtering and atomic layer deposition techniques. In one embodiment, the barrier stack comprises a bottom barrier layer deposited on a substrate by sputtering and a top barrier layer deposited on the sputtered layer by atomic layer deposition. In one embodiment, the sputtered barrier layer has a water vapor transmission rate of about 10 | 06-05-2014 |
20140151657 | Furan and Selenophene Derivatized Benzo [1,2-b:4,5-b'] Dithiophene-Thienothiophene Based Conjugated Polymers For High-Efficiency Organic Solar Cells - Compositions, synthesis and applications for furan, thiophene and selenophene derivatized benzo[1,2-b:3,4-b′]dithiophene(BDT)-thienothiophene (BDT-TT) based polymers, namely, poly[(4,8-bis(5-(2-ethyhexyl)selenophen-2-yl)-benzo[1,2-b;4,5-b′]dithiophene)-2,6-diyl-alt-(4-(2-ethylhexanoyl)-3-fluorothieno[3,4-b]thiophene)-2-6-diyl (CS-15), poly[(4,8-bis(5-(2-ethyhexyl)selenophen-2-yl)-benzo[1,2-b;4,5-b′]dithiophene)-2,6-diyl-alt-(4-(2-ethylhexyl)-3-fluorothieno[3,4-b]thiophene)-2-carboxylate-2-6-diyl (CS-16), poly[(4,8-bis(5-(2-ethyhexyl)furan-2-yl)-benzo[1,2-b;4,5-b′]dithiophene)-2,6-diyl-alt-(4-(2-ethylhexyl)-3-fluorothieno[3,4-b]thiophene)-2-carboxylate-2-6-diyl (CS-18) and poly[(4,8-bis(5-hexylfuran-2-yl)-benzo[1,2-b;4,5-b′]dithiophene)-2,6-diyl-alt-(4-(2-ethylhexanoyl)-3-fluorothieno[3,4-b]thiophene)-2-6-diyl (CS-24) are disclosed. Further, an organic solar cell constructed of a derivatized benzo[1,2-b:3,4-b′]dithiophene(BDT)-thienothiophene (BDT-TT) based polymer is discussed. | 06-05-2014 |
20140151658 | ORGANIC LIGHT EMITTING DISPLAY - An organic light emitting display includes a red light emitting layer, a green light emitting layer and a blue light emitting layer formed between first and second electrodes, a hole-transporting layer formed between the first electrode and each of the red, the green and the blue light emitting layers, and an electron-transporting layer formed between the second electrode and each of the red, the green and the blue light emitting layers, wherein at least one light emitting layer of the red, the green and the blue light emitting layers includes a first light emitting layer including a light emitting host and a light emitting dopant, and a second light emitting layer which is formed between the first light emitting layer and at least one of the electron-transporting layer and the hole-transporting layer, and includes the light emitting dopant. | 06-05-2014 |
20140151659 | LIGHT-EMITTING COMPOUND - An unsubstituted or substituted phosphorescent compound of formula (I): | 06-05-2014 |
20140151660 | POLYMER AND ORGANIC ELECTRONIC DEVICE - A polymer comprising repeat units of formula (I) and one or more co-repeat units: | 06-05-2014 |
20140151661 | AMINE DERIVATIVE, ORGANIC ELECTROLUMINESCENCE MATERIAL, AND ORGANIC ELECTROLUMINESCENCE DEVICE INCLUDING THE SAME - An amine derivative having a phenanthroimidazole group, an organic electroluminescence material, and an electroluminescence device, the amine derivative being represented by Formula 1, below: | 06-05-2014 |
20140151662 | Light-Emitting Element, Light-Emitting Device, Electronic Appliance, and Lighting Device - A light-emitting element in which a light-emitting layer contains an organic compound capable of emitting phosphorescence is provided. A light-emitting element which can have low driving voltage, high current efficiency, or a long lifetime is provided. In a light-emitting element in which a light-emitting layer is interposed between a pair of electrodes, the light-emitting layer contains an organic compound. The organic compound has a 1,2,4-triazole skeleton, a phenyl skeleton, an arylene skeleton, and a Group 9 metal or a Group 10 metal. The nitrogen atom at the 4-position of the 1,2,4-triazole skeleton coordinates to the Group 9 metal or the Group 10 metal. The nitrogen atom at the 1-position of the 1,2,4-triazole skeleton is bonded to a phenyl skeleton. The arylene skeleton is bonded to the 3-position of the 1,2,4-triazole skeleton and the Group 9 metal or the Group 10 metal. | 06-05-2014 |
20140151663 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic EL device having a red light emitting area and a green light emitting area is provided. Each of the red light emitting area and the green light emitting area has a structure such that a first electrode having a light transmitting characteristic and an organic layer formed on the first electrode are stacked, and a second electrode having a light reflecting characteristic is disposed on the organic layers of the red and green light emitting areas. Reflectance of green light by the second electrode in the red light emitting area is smaller than reflectance of green light in the second electrode of the green light emitting area. Reflectance of red light by the second electrode of the red light emitting area is larger than reflectance of red light by the second electrode of the green light emitting area. | 06-05-2014 |
20140151664 | BENZOIMIDAZOLE DERIVATIVE, ORGANIC ELECTROLUMINESCENCE MATERIAL AND ORGANIC ELECTROLUMINSCENCE DEVICE - A benzoimidazole derivative includes two carbazole substituents connected to each other, and a benzoimidazole substituent connected to a benzene ring of one of the carbazole substituents through an aryl group. | 06-05-2014 |
20140151665 | CARBAZOLE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - An indolo[3,2,1-jk] carbazole derivative is represented by the following Formula 1. | 06-05-2014 |
20140151666 | AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENCE MATERIAL AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - An amine derivative represented by compound (1) of following Formula 1: | 06-05-2014 |
20140151667 | AMINE DERIVATIVE, ORGANIC ELECTROLUMINESCENCE MATERIAL HAVING THE SAME AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE MATERIAL - An amine derivative including a fluorine substituted aryl group is represented by compound (1) of the following Formula 1. | 06-05-2014 |
20140151668 | ORGANIC LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light emitting diode and a method of manufacturing the same. The organic light emitting diode adjusts an optical resonance thickness and prevents spectrum distortions without use of an auxiliary layer. The organic light emitting diode includes a first electrode that is optically reflective; a second electrode that is optically transmissible and faces the first electrode; an organic emission layer interposed between the first electrode and the second electrode, the organic emission layer including: a first emission layer including a mixed layer that contains a host material and a dopant material, and a second emission layer comprising only the host material; and a carrier injection transport layer interposed between the organic emission layer and the first electrode or between the organic emission layer and the second electrode. | 06-05-2014 |
20140151669 | LIGHT-EMITTING DEVICE AND DISPLAY PANEL - Organic semiconductor layers comprise between a first electrode and a photoelectric converting layer a light extraction improving layer that contains at least silver or gold in part as a component, partially reflects light, and has transparency. The light extraction improving layer is in contact with or is inserted into a functional layer containing, for example, an organic semiconductor material, an oxide, a fluoride, or an inorganic compound having strong acceptor properties or strong donor properties with an ionization potential of 5.5 eV or higher, within the organic semiconductor layers. | 06-05-2014 |
20140151670 | POLYCYCLIC COMPOUND AND ORGANIC ELECTRONIC DEVICE COMPRISING THE SAME - An exemplary embodiment of the present application provides a new compound and an organic electronic device using the same. The organic electronic device according to an exemplary embodiment of the present application shows excellent characteristics in terms of efficiency, driving voltage, and service life. | 06-05-2014 |
20140151671 | ORGANIC ELECTROLUMINESCENCE LIGHT-EMITTING DEVICE AND PRODUCTION METHOD THEREOF - An object of the present invention is to provide an organic EL light-emitting device in which a permeation and diffusion of moisture from outside are prevented and a stable light-emitting characteristic is able to be maintained for a long period. The present invention relates to an organic EL light-emitting device comprising a sealing layer, a hygroscopic layer and a protective layer, which are aligned on the back of an organic electroluminescence element under a predetermined condition, wherein the sealing layer and the protective layer are constituted from a specific material, whereby it is possible to maintain a stable light-emitting characteristic for a long period together with suppressing the deterioration caused by moisture being permeated from outside. | 06-05-2014 |
20140151672 | LIGHT-EMITTING ELEMENT AND ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - Provided is a light-emitting element in the structure and configuration of causing no possibility of a short circuit between first and second electrodes even if there is any foreign substance or a protrusion on the first electrode. Such a light-emitting element is configured to include, in order, a first electrode | 06-05-2014 |
20140151673 | ORGANIC ELECTROLUMINESCENCE GENERATING DEVICES - An electroluminescence generating device comprising a channel of organic semiconductor material, said channel being able to carry both types of charge carriers, said charge carriers being electrons and holes; an electron electrode, said electron electrode being in contact with said channel and positioned on top of a first side of said channel layer or within said channel layer, said electron electrode being able to inject electrons in said channel layer; a hole electrode, said hole electrode being spaced apart from said electron electrode, said hole channel and positioned on top of within said channel layer, said hole electrode being able to inject holes into said channel; a control electrode positioned on said first side or on a second side of said channel; whereby light emission of said electroluminescence generating device can be acquired by applying an electrical potential difference between said electron electrode and said hole electrode. | 06-05-2014 |
20140151674 | LIGHT-EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - Provided is a method to manufacture a light-emitting display device in which a contact hole for the electrical connection of the pixel electrode and one of the source and drain electrode of a transistor and a contact hole for the processing of a semiconductor layer are formed simultaneously. The method contributes to the reduction of a photography step. The transistor includes an oxide semiconductor layer where a channel formation region is formed. | 06-05-2014 |
20140151675 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An object of the invention is to reduce an area occupied by a capacitor in a circuit in a semiconductor device, and to downsize a semiconductor device on which the capacitor and an organic memory are mounted. The organic memory and the capacitor, included in a peripheral circuit, in which the same material as the layer containing the organic compound used for the organic memory is used as a dielectric, are used. The peripheral circuit here means a circuit having at least a capacitor such as a resonance circuit, a power supply circuit, a boosting circuit, a DA converter, or a protective circuit. Further, a capacitor in which a semiconductor is used as a dielectric may be provided over the same substrate as well as the capacitor in which the same material as the layer containing the organic compound is used as a dielectric. In this case, it is desirable that the capacitor in which the same material as the layer containing the organic compound is used as a dielectric and the capacitor in which the semiconductor is used as a dielectric are connected to each other in parallel. | 06-05-2014 |
20140151676 | OLEDS HAVING HIGH EFFICIENCY AND EXCELLENT LIFETIME - An OLED device comprises a cathode, an anode, and has therebetween a light-emitting layer wherein the light-emitting layer comprises (a) a 2-arylanthracene compound and (b) a light-emitting second anthracene compound having amino substitution at a minimum of two positions, wherein at least one amine is substituted at the 2 position of the second anthracene compound. | 06-05-2014 |
20140151677 | AROMATIC AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT CONTAINING SAME - An aromatic amine derivative represented by the following formula (1): wherein
| 06-05-2014 |
20140151678 | ORGANIC LIGHT-EMITTING ELEMENT, LIGHT SOURCE DEVICE AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING ELEMENT - In order to achieve the increased efficiency of an organic light-emitting element, there is a need to reduce the influence of non-radiative recombination of electron-hole pairs except for surface plasmon polariton excitation, to convert most of exciton energy into visible light, and to tremendously improve the luminous efficiency of the organic light-emitting element. An organic light-emitting element according to the present invention includes a reflective electrode, a transparent electrode, and a light-emitting layer placed between the reflective electrode and the transparent electrode, and the organic light-emitting element is configured so that the light-emitting layer contains a host and a first dopant, and for the first dopant, one of the vertical component and horizontal component of the average value for transition dipole moments with respect to a substrate surface is larger than the other of the components. | 06-05-2014 |
20140151679 | METHOD OF FORMING A TOP GATE TRANSISTOR - A method of forming a top-gate transistor over a substrate comprises: forming a source and a drain electrode; forming an organic stack over the source and drain electrodes comprising an organic semiconductor layer and an organic dielectric layer over the organic semiconductor layer; forming a gate bi-layer electrode comprising a first gate layer of a first material and a second gate layer of a different second material; selectively depositing regions of a mask material over the gate bi-layer electrode; performing a first plasma etch step to remove portions of the first gate layer using the mask material as a mask; and performing a second plasma etch step to remove portions of the second gate layer and organic stack using the first gate layer as a mask, thereby patterning the gate bi-layer electrode and the organic stack. | 06-05-2014 |
20140151680 | POLYMER COMPOUND AND ORGANIC TRANSISTOR USING SAME - A polymer compound comprising a structural unit represented by the formula: | 06-05-2014 |
20140151681 | ORGANIC ELECTROLUMINESCENCE DEVICE - In order to provide an organic electroluminescent element which has excellent luminous efficiency and long service life, this organic electroluminescent element is provided with: a positive electrode; a negative electrode; an organic light emitting layer that is arranged between the positive electrode and the negative electrode; a first layer that is formed of sodium fluoride and arranged between the negative electrode and the organic light emitting layer so as to be in contact with the organic light emitting layer; and a second layer that is arranged between the first layer and the negative electrode and contains a first material and a second material, said first material being composed of an organic material and containing electrons donated from the second material. | 06-05-2014 |
20140158991 | SEALED ORGANIC OPTO-ELECTRONIC DEVICES AND RELATED METHODS OF MANUFACTURING - The disclosure relates generally to sealed electronic devices. More particularly, the invention relates to electronic devices employing organic devices having a seal. Packages having organic electronic devices are presented, and a number of sealing mechanisms are provided for hermetically sealing the package to protect the organic electronic device from environmental elements. | 06-12-2014 |
20140158992 | Carbazole Compounds For Delayed Fluorescence - Novel carbazole-containing compounds are provided. The novel compounds also contain electron donor groups, aryl linkers, and at least one nitrogen heterocycle. These novel organic compounds are useful in OLED devices and can exhibit delayed fluorescence. | 06-12-2014 |
20140158993 | PHOSPHORESCENCE-SENSITIZING FLUORESCENCE MATERIAL SYSTEM - Novel molecules are provided that include a sensitizer group, an acceptor group, and an electron-transfer barrier that suppresses triplet-triplet energy transfer between the sensitizer group and the acceptor group. Organic light emitting devices (OLEDs) that include a layer including these novel molecules are also provided. These devices may be used to provide highly efficient OLEDs with longer operational lifetime. | 06-12-2014 |
20140158994 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING SAME - An organic light-emitting display device includes a substrate; a passivation layer disposed on the substrate; at least one color filter disposed on the passivation layer; an overcoat layer covering the at least one color filter; a first electrode disposed on the passivation layer and surrounding the overcoat layer; a second electrode facing the first electrode; and an organic layer disposed between the first electrode and the second electrode. | 06-12-2014 |
20140158995 | ORGANIC ELECTROLUMINESCENT DISPLAY - An organic electroluminescent display includes a first substrate, a pixel, a gate line, a data line, a switching transistor, a power signal line, a driving transistor, and a storage capacitor. The storage capacitor includes first, second, and third electrodes. The first electrode is on the first substrate, and the second electrode includes the same material as the gate line. The second electrode is on the first electrode and insulated from the first electrode. The third electrode is insulated from and on the second electrode, and the third electrode is insulated from the first electrode. | 06-12-2014 |
20140158996 | METHOD OF MANUFACTURING POLY-CRYSTALLINE SILICON LAYER, METHOD OF MANUFACTURING AN ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED BY USING THE SAME - A method of manufacturing a polysilicon (poly-Si) layer, a method of manufacturing an organic light-emitting display apparatus using the method, and an organic light-emitting display apparatus manufactured by using the method. The method includes forming an amorphous silicon (a-Si) layer on a substrate having first and second areas, thermally treating the a-Si layer to partially crystallize the a-Si layer into a partially crystallized Si layer, removing a thermal oxide layer through a thermal treatment, selectively irradiating the first areas with laser beams to crystallize the partially crystallized Si layer. | 06-12-2014 |
20140158997 | DUAL-MODE PIXEL INCLUDING EMISSIVE AND REFLECTIVE DEVICES AND DUAL-MODE DISPLAY WITH THE SAME - Provided is a dual-mode display including a substrate, and a plurality of sub pixels on the substrate. Each of the sub pixels may include an emissive device, a reflective optical filter provided on a surface of the emissive device, and an optical shutter provided on other surface of the emissive device. | 06-12-2014 |
20140158998 | ORGANOMETALLIC COMPLEXES, AND ORGANIC ELECTROLUMINESCENT DEVICE AND DISPLAY USING THE SAME - An organometallic complex represented by Chemical Formula 1: | 06-12-2014 |
20140158999 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME, AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - Disclosed are a compound for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode. A compound for an organic optoelectronic device represented by Chemical Formula 1 provides an organic optoelectronic device having an excellent life-span and improved luminous efficiency at a low driving voltage due to excellent electrochemical and thermal stability. | 06-12-2014 |
20140159000 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display apparatus including a thin film encapsulation layer of an improved structure. The organic light emitting display apparatus includes: a display unit formed on a substrate; metal wires formed on an outer portion of the display unit on the substrate; and a thin film encapsulation layer formed by alternately stacking at least one organic layer and at least one inorganic layer on the display unit for sealing the display unit, wherein the at least one organic layer is separated from the metal wires so as not to contact the metal wires. According to the above structure, since the organic layer that is close to the display unit is separated completely from the metal wires formed on an outer portion of the display unit, moisture infiltration to the display unit via the metal wires may be prevented. | 06-12-2014 |
20140159001 | FLEXIBLE ORGANIC LIGHT EMITTING DISPLAY DEVICE - A flexible organic light emitting display device includes: a display panel configured to output an image; a retardation film formed on an upper portion of the display panel to cover the display panel and formed by laminating a half wave plate and a quarter wave plate; and a polarizing plate attached to the retardation film. A color shift in a black screen can be improved by replacing a barrier film used for face seal with a retardation film including a half wave plate and a quarter wave plate combined at a predetermined angle. | 06-12-2014 |
20140159002 | ORGANIC LIGHT EMITTING DIODE DEVICE AND METHOD FOR FABRICATING THE SAME - Disclosed are an organic light emitting diode device, and a method for fabricating the same. The organic light emitting diode device comprises a non-active area formed outside an active area of a substrate; a switching thin film transistor and a driving thin film transistor at each of the pixel regions; a planarization layer on the substrate; a first electrode on the planarization layer; a bank formed in the non-active area outside each pixel region; an organic light emitting layer on the first electrode; a second electrode on an entire surface of the substrate; a first passivation layer on the substrate; an organic layer on the first passivation layer; a second passivation layer on the organic layer and the first passivation layer; a barrier film disposed to face the substrate. | 06-12-2014 |
20140159003 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode (OLED) display device wherein permeation of moisture and oxygen thereinto is prevented and a method of manufacturing the OLED display device are disclosed. The OLED display device includes a substrate, an OLED including a first electrode, an organic emission layer, and a second electrode sequentially formed on the substrate, a protective film formed on the OLED, an encapsulation substrate adhered to an entire surface of the protective film via an adhesive, and a side protective film consisting of a silica film formed by curing a polysilazane solution so as to surround an exterior of elements between the substrate and the encapsulation substrate. | 06-12-2014 |
20140159004 | ACTIVE MATRIX ORGANIC LIGHT EMITTING DIODE PANEL AND METHOD FOR MANUFACTURING THE SAME - The invention discloses an active matrix organic light emitting diode panel and a method for manufacturing the same. The active matrix organic light emitting diode panel includes a substrate, an organic film formed on the substrate, and a plurality of red, green and blue organic light emitting diodes formed on the organic film. A recess or protrusion is formed in the organic film in a region corresponding to the blue organic light emitting diode. The blue organic light emitting diode is formed on the recess or protrusion, and the surface area of the recess or protrusion is larger than the area of the surface where the red or green organic light emitting diodes contacts the organic film. | 06-12-2014 |
20140159005 | ANTHRACENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING THE SAME - An anthracene derivative represented by the following formula (1): | 06-12-2014 |
20140159006 | AROMATIC AMINE DERIVATIVE AND ELECTROLUMINESCENCE DEVICE USING THE SAME - Provided are a novel aromatic amine derivative having a specific structure and an organic electroluminescence device in which an organic thin layer comprising a single layer or plural layers including a light emitting layer is interposed between a cathode and an anode, wherein at leas one layer of the above organic thin layer contains the aromatic amine derivative described above in the form of a single component or a mixed component. Thus, the organic electroluminescence device is less liable to be crystallized in molecules, improved in a yield in producing the organic electroluminescence device and extended in a lifetime. | 06-12-2014 |
20140159007 | ARRAY SUBSTRATE AND FABRICATION METHOD THEREOF, DISPLAY DEVICE - Embodiments of the invention disclose an array substrate and a fabrication method thereof, and a display device. The array substrate comprises a plurality of pixel units disposed on a base substrate. Each pixel unit comprises a thin-film transistor region and a display region. A thin-film transistor structure is formed in the thin-film transistor region, and an organic light-emitting diode. The organic light-emitting diode comprises a transparent first electrode, a light-emitting layer, and a second electrode for reflecting light that are sequentially formed. A transflective layer is formed in the display region. A color filter film is formed in the display region and is disposed between the second electrode of the organic light-emitting diode and the transflective layer. The second electrode of the organic light-emitting diode and the transflective layer form a microcavity structure. The color filter films in the pixel units of different colors have different thicknesses. | 06-12-2014 |
20140159008 | DOUBLE GATE TYPE THIN FILM TRANSISTOR AND ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME - A double gate type thin film transistor includes a first electrode on a substrate; a gate insulating layer on the first gate electrode; a semiconductor layer on the gate insulating layer corresponding to the first gate electrode; an etch stop layer on the semiconductor layer; source and drain electrodes contacting both sides of the semiconductor layer, respectively, and spaced apart from each other on the etch stop layer; a passivation layer on the source and drain electrode; and a second gate electrode on the passivation layer and having a double-layered structure of a transparent electrode and an opaque electrode. | 06-12-2014 |
20140159009 | ARRAY SUBSTRATE, MANUFACTURING METHOD THEREOF AND DISPLAY DEVICE - Embodiments of the present invention provide an array substrate, a manufacturing method thereof and a display device. The array substrate comprises a plurality of pixel units disposed on a substrate, each pixel unit including a thin-film transistor (TFT) structure and an organic light-emitting diode (OLED) driven by the TFT structure. The OLED includes a transparent first electrode, an emission layer and a second electrode for reflecting light in sequence in a direction away from the substrate. A color filter disposed between a layer provided with the OLED and a layer provided with the TFT structure. A transflective layer disposed between the OLED and the color filter. The second electrode of the OLED and the transflective layer constitute a microcavity structure. | 06-12-2014 |
20140159010 | ARRAY SUBSTRATE, MANUFACTURING METHOD THEREOF AND OLED DISPLAY DEVICE - An array substrate, a manufacturing method thereof and an OLED display device are provided. The array substrate comprises a plurality of pixel units disposed on a substrate, wherein each pixel unit includes a TFT structure formed on the substrate and an OLED driven by the TFT structure; the TFT structure includes a drive TFT. A drain electrode of the drive TFT is connected with the OLED; a gate electrode and the drain electrode of the drive TFT are at least partially overlapped to form a storage capacitor. A recess is formed in an insulating layer interposed between the gate electrode and the drain electrode corresponding to the overlapped area, so that the distance between the gate electrode and the drain electrode is less than the thickness of the insulating layer corresponding to the non-overlapped area. | 06-12-2014 |
20140159011 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - Provided is a light-emitting element which has high emission efficiency and a long lifetime and is driven at low voltage. The light-emitting element includes an EL layer between a pair of electrodes. The EL layer includes a compound which gives a first peak at a m/z of around 266.10 in a mass spectrum. | 06-12-2014 |
20140159012 | ARRAY SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME, DISPLAY DEVICE - Embodiments of the present invention disclose an array substrate comprising a plurality of pixel units disposed on a base substrate, the pixel units comprising: a thin film transistor structure formed on the base substrate; and an OLED driven by the thin film transistor structure, the OLED disposed in a pixel region of the pixel units, the OLED comprising sequentially in a direction away from the base substrate a first electrode which is transparent, a light-emitting layer and a second electrode which reflects light; a transflective layer disposed between the OLED and the thin film transistor structure; a color filter disposed between the second electrode of the OLED and the transflective layer; the second electrode of the OLED and the transflective layer constitute a microcavity structure. | 06-12-2014 |
20140159013 | PROCESS FOR THE MANUFACTURE OF A MULTILAYER STRUCTURE - A process for the manufacture of a multilayer structure suitable for forming part of an organic electronic device having a cathode and an anode wherein liquid compositions with solvent systems comprising organic compounds with ionizable groups are deposited out of solution. | 06-12-2014 |
20140159014 | CROSSLINKABLE COMPOUND, METHOD FOR PREPARING THE SAME AND LIGHT EMITTING DEVICE COMPRISING THE SAME - A crosslinkable compound comprising trifluorovinyl has a structure of Formula (I). A method for preparing the crosslinkable compound and a light emitting device prepared from the compound are also disclosed. | 06-12-2014 |
20140159015 | ORGANIC LIGHT EMITTING HOST MATERIALS - Heteroaryl-aryl compounds such as compounds represented by Formula may be used in electronic devices such as organic light-emitting devices. For example, the compounds may be used as an emissive material in an emissive layer. | 06-12-2014 |
20140159016 | ARRAY SUBSTRATE AND FABRICATION METHOD THEREOF, DISPLAY DEVICE - Embodiments of the invention disclose an array substrate and a fabrication method thereof, and a display device. The array substrate comprises a plurality of pixel units disposed on a base substrate, and the pixel unit comprises a thin-film transistor structure region and a display region other than the thin-film transistor structure region. A thin-film transistor structure is formed in the thin-film transistor structure region, an organic light-emitting diode is formed in the display region, and the thin-film transistor structure is configured to drive the organic light-emitting diode. A light-shielding layer is formed above the thin-film transistor structure in the thin-film transistor structure region, and the light-shielding layer is configured to block a blue light from entering the thin-film transistor structure. | 06-12-2014 |
20140159017 | Light-Emitting Device and Method for Manufacturing the Same - A light-emitting device with high reliability is provided. A light-emitting device includes a substrate | 06-12-2014 |
20140159018 | ARRAY SUBSTRATE, METHOD FOR MANUFACTURING THE SAME, AND DISPLAY DEVICE - Embodiments of the present invention disclose an array substrate comprising a base substrate and a plurality of pixel units disposed on the base substrate, the pixel unit comprising a transflective layer formed on the base substrate; a thin film transistor structure formed over the transflective layer; an organic light-emitting diode disposed in a pixel region of the pixel unit and driven by the thin film transistor structure, and in a direction away from the base substrate, the organic light-emitting diode sequentially comprising a first electrode that is transparent, an organic light-emitting layer and a second electrode for reflecting light; and a color filter, disposed between the second electrode of the organic light-emitting diode and the transflective layer; wherein the second electrode of the organic light-emitting diode and the transflective layer constitute a microcavity structure. Embodiments of the present invention also disclose a method for manufacturing the array substrate and a display device including the above array substrate. | 06-12-2014 |
20140159019 | ORGANIC LIGHT EMITTING DIODE DISPLAY HAVING HIGH LUMINESCENCE - The present disclosure relates to an organic light emitting diode display having high luminescence. The present disclosure suggests an organic light emitting diode display comprising: a data line, a scan line and a driving current line defining a pixel area on a substrate; an anode electrode formed within the pixel area; an additional capacitance formed by overlapping expanded portions of the anode electrode with some portions of the driving current line; a bank defining a light emitting area in the anode electrode; an organic emission layer formed on the anode electrode; and a cathode electrode formed on the organic emission layer. The present disclosure suggests high luminescence organic light emitting diode display by including an additional capacitance for increasing the anode capacitance. | 06-12-2014 |
20140159020 | ARRAY SUBSTRATE AND MANUFACTURING METHOD THEREOF - Embodiments of the present invention disclose a method for manufacturing an array substrate comprising: forming patterns of a thin film transistor structure and a passivation layer on a base substrate to define a plurality of pixel units on the base substrate; forming subsequently patterns of a transflective layer and a color filter in a pixel region of the pixel unit, the color filter being disposed above the transflective layer; forming an organic light-emitting diode in the pixel region of the pixel unit so that the transflective layer and the color filter are disposed between the organic light-emitting diode and the thin film transistor structure. Embodiments of the present invention also provide an array substrate. | 06-12-2014 |
20140159021 | ARRAY SUBSTRATE, METHOD FOR FABRICATING THE SAME, AND OLED DISPLAY DEVICE - This invention provides an array substrate, a method for fabricating the same, and an OLED display device. Each pixel unit of the array substrate comprises: a TFT drive layer; an OLED further away from the substrate than the TFT drive layer and driven by it, the OLED sequentially comprises a first electrode, a light emitting layer, a second electrode, wherein the first electrode is transparent, and the second electrode is a transflective layer, or the second electrode is transparent and has a transflective layer disposed thereon; a reflection layer disposed between the TFT drive layer and the OLED and forming a microcavity structure with the transflective layer, and a reflective surface of the reflection layer has a concave-convex or corrugated structure disposed thereon for causing diffuse reflection of light; and a color filter film disposed between the reflection layer and the OLED and located in the microcavity structure. | 06-12-2014 |
20140159022 | ARRAY SUBSTRATE, METHOD FOR FABRICATING THE SAME, AND OLED DISPLAY DEVICE - This invention provides an array substrate, a method for fabricating the same, and an OLED display device, which can solve the technical problem that the existing OLED display device has low luminous efficiency. Each pixel unit of the array substrate comprises: a TFT drive layer; an OLED further away from the substrate than the TFT drive layer and driven by it, the OLED sequentially comprises a first electrode, a light emitting layer, and a transparent second electrode, wherein the first electrode is a reflection layer, or the first electrode is transparent and has a reflection layer disposed thereunder; a transflective layer further away from the substrate than the OLED and forming a microcavity structure with the reflection layer; and a color filter film disposed between the OLED and the transflective layer and located in the microcavity structure. The present invention is particularly suitable for a WOLED display device. | 06-12-2014 |
20140159023 | ORGANIC EL MULTI-COLOR LIGHT-EMITTING DEVICE - An organic EL multi-color emitting device including a substrate, and a first light-emitting element and a second light-emitting element arranged on the surface of the substrate; the first light-emitting element including, between an anode and a cathode, a first organic layer, a second organic layer and a third organic layer in this sequence in a direction perpendicular to the surface of the substrate; the second light-emitting element including, between an anode and a cathode, a second organic layer and a third organic layer in this sequence in a direction perpendicular to the surface of the substrate; the first organic layer including a first light-emitting dopant; the third organic layer including a second light-emitting dopant; the second organic layer including any of (A) a compound including an arylamine site, and a furan site or a thiophene site, (B) a compound including an arylamine site and a site comprising a nitrogen-containing six-membered ring structure, (C) a compound including a carbazole site, and a furan site or a thiophene site, and (D) a compound including a carbazole site and a site including a nitrogen-containing six-membered ring structure. | 06-12-2014 |
20140159024 | Organic Electric-Field Light-Emitting Element, Light-Emitting Material For Organic Electric-Field Light-Emitting Element, And Light-Emitting Device, Display Device, And Illumination Device Using Same Element - An organic electroluminescent element that uses a compound expressed by the following general formula emits dark blue light and exhibits little change in chromaticity during brightness modulation. (n1 is an integer from 0 to 8; the R | 06-12-2014 |
20140159025 | MESOPOROUS SILICA PARTICLES, METHOD FOR PRODUCING MESOPOROUS SILICA PARTICLES, MESOPOROUS SILICA PARTICLE-CONTAINING COMPOSITION, MESOPOROUS SILICA PARTICLE-CONTAINING MOLDED ARTICLE, AND ORGANIC ELECTROLUMINESCENCE DEVICE - The mesoporous silica particles of the present invention each include an inner portion having first mesopores and an outer peripheral portion covering the inner portion. The outer peripheral portion includes an organosilica coating portion made of organosilica. The organosilica includes a bridged-type organosilica in which two silicon atoms in a silica framework are bridged by an organic group. | 06-12-2014 |
20140159026 | THIN-FILM TRANSISTOR, DISPLAY PANEL, AND METHOD FOR PRODUCING A THIN-FILM TRANSISTOR - A thin-film transistor including: a gate electrode that is located above a substrate; a gate insulating layer that faces the gate electrode; a partition that defines an opening and has higher liquid repellency than liquid repellency of the gate insulating layer, the opening having a surface of the gate insulating layer therewithin; a semiconductor layer that faces the gate electrode with the gate insulating layer interposed therebetween and is formed within the opening by an application method; a source electrode and a drain electrode that are electrically connected to the semiconductor layer; and an intermediate layer that is made of the same material as a material of the partition and is located between the gate insulating layer and the semiconductor layer, wherein the intermediate layer is discretely present above the gate insulating layer. | 06-12-2014 |
20140159027 | Host Material for Blue Phosphor, and Organic Thin Film and Organic Light-Emitting Device Including Same - Provided are a host material for a blue phosphor, and an organic thin film and an organic light-emitting device including the same. The host material for a blue phosphor is such that a carbazole compound is bonded around a central atom, wherein the central atom is a Group 14 element, and the carbazole compound bonded around the central atom is 3 or 4, wherein the carbazole compound includes carbazole in which an alkyl group is substituted. The host material for a blue phosphor has high triplet energy (ET) and excellent electrical mobility and thermal stability. As a result, the organic thin film, which includes the host material, and the organic light-emitting device, which includes the organic thin film, implement a deep blue color and have excellent luminous efficiency. | 06-12-2014 |
20140159028 | HETEROLEPTIC IRIDIUM COMPLEXES AS DOPANTS - Novel phosphorescent heteroleptic iridium complexes with phenylpyridine and dibenzo-containing ligands are provided. Alkyl substitution at specific positions on the ligands gives rise to compounds with improved OLED properties, including saturated green emission. | 06-12-2014 |
20140159029 | ORGANIC ELECTROLUMINESCENT LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, an organic electroluminescent light emitting device includes a transparent substrate, an intermediate layer, a first electrode, an organic light emitting layer, and a second electrode. The intermediate layer includes a plurality of fine particles and a flattened layer. The fine particles are adhered to a major surface of the transparent substrate. The flattened layer covers the fine particles and has a refractive index different from a refractive index of the fine particles. The flattened layer is transparent. The first electrode is provided on the intermediate layer. The first electrode is transparent. The organic light emitting layer is provided on the first electrode. The second electrode is provided on the organic light emitting layer. | 06-12-2014 |
20140159030 | PROCESS FOR PREPARING NANOPARTICLE EMBEDDED ELECTRONIC DEVICE - The present invention relates to a process for preparing an electronic device comprising at least one layer selected from the group consisting of a upper electrode layer, a lower electrode layer, an organic layer and an inorganic layer, which comprises a step of introducing a nanoparticle layer or a nano/micro structure layer by adhering charged nanoparticles, before, after or during forming the layer. | 06-12-2014 |
20140159031 | ORGANIC LIGHT-EMITTING ELEMENT - The present invention provides an organic light-emitting element with improved chemical stability at the interface between the light-emitting layer and the electron transport layer, which maintains excellent, stable luminous efficiency for a long period. For this purpose, one aspect of the present invention is an organic EL element having a substrate, and a hole injection layer, a buffer layer, a light-emitting layer, a regulation layer, an electron transport layer and a cathode which are sequentially layered on one side of the substrate. The regulation layer is made of NaF, which is not chemically reactive with the light-emitting layer or the electron transport layer, and the electron transport layer is made of a CT complex using a host material and an n-type dopant, which are both organic materials. | 06-12-2014 |
20140166986 | SYSTEM AND METHOD FOR MATCHING ELECTRODE RESISTANCES IN OLED LIGHT PANELS - Provided are an OLED device and a method of manufacturing the OLED device that may provide improved luminance uniformity. The disclosed OLED may have a first electrode that has a first sheet resistance Rs, and a second electrode that has a second sheet resistance, wherein the second sheet resistance may be in the range of 0.3Rs-1.3Rs. In addition, the disclosed OLED may have a plurality of equal potential difference between points on a first electrode and a second electrode. The equal potential difference may be provided by a gradient resistance formed on at least one of the electrodes. | 06-19-2014 |
20140166987 | Disordered Organic Electronic Materials Based on Non-Benzenoid 1,6-Methano[10]Annulene Rings - Conjugated polymers and small molecules including the nonplanar aromatic 1,6-methano[10]annulene ring structure along with aromatic subunits, such as diketopyrrolopyrrole, and 2,1,3-benzothiadiazole, substituted with alkyl chains in a “Tail In,” “Tail Out,” or “No Tail” regiochemistry are disclosed. | 06-19-2014 |
20140166988 | ORGANIC COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - The present invention discloses a novel organic compound is represented by the following formula(I), the organic EL device employing the organic compound as host material or dopant material of emitting layer and/or as electron transporting material can lower driving voltage, prolong half-lifetime and increase the efficiency. | 06-19-2014 |
20140166989 | MANUFACTURING FLEXIBLE ORGANIC ELECTRONIC DEVICES - A method of forming microelectronic systems on a flexible substrate includes depositing (typically sequentially) on a first side of the flexible substrate at least one organic thin film layer, at least one electrode and at least one thin film encapsulation layer over the at least one organic thin film layer and the at least one electrode, wherein depositing the at least one organic thin film layer, depositing the at least one electrode and depositing the at least one thin film encapsulation layer each occur under vacuum and wherein no physical contact of the at least one organic thin film layer or the at least one electrode with another solid material occurs prior to depositing the at least one thin film encapsulation layer. | 06-19-2014 |
20140166990 | MANUFACTURING FLEXIBLE ORGANIC ELECTRONIC DEVICES - A method of forming microelectronic systems on a flexible substrate includes depositing a plurality of layers on one side of the flexible substrate. Each of the plurality of layers is deposited from one of a plurality of sources. A vertical projection of a perimeter of each one of the plurality of sources does not intersect the flexible substrate. The flexible substrate is in motion during the depositing the plurality of layers via a roll to roll feed and retrieval system. | 06-19-2014 |
20140166991 | TRANSPARENT LIGHT-EMITTING DISPLAY - A transparent light emitting display is described. A display has a transparent substrate, a plurality of light emitting elements on the substrate, and transparent wires on the substrate to provide an electrical connection to each light emitting element. | 06-19-2014 |
20140166992 | SYSTEM AND METHOD FOR A FLEXIBLE DISPLAY ENCAPSULATION - Provided is an OLED device that is rollable and has a rollable protective covering to protect the OLED when rolled. The rollable protective covering may include a single layer barrier and a plastic lid. The single layer barrier may provide permeation protection to the OLED in the OLED device. The protective covering provides mechanical protection to the OLED device when rolled. The protective covering and the OLED may be fabricated separately and assembled afterwards. | 06-19-2014 |
20140166993 | ORGANIC LIGHT EMITTING DIODE - Disclosed is an organic light emitting diode (OLED), including a flexible substrate having a surface with a bulge and groove structure. The OLED also includes a first electrode on the flexible substrate, an organic light emitting layer on the first electrode, and a second electrode on the organic light emitting layer. The flexible substrate includes polyimide. | 06-19-2014 |
20140166994 | AMOLED DISPLAY PANEL AND AMOLED DISPLAY DEVICE - An Active Matrix Organic Light Emitting Diode (AMOLED) display panel is disclosed. The display panel includes first and second substrates, and a glass frit layer bonding the first and the second substrates in an edge encapsulation area of the AMOLED display panel. The second substrate includes an electrode overlapped with the glass frit layer, and the electrode is connected to a heat conduction component. | 06-19-2014 |
20140166995 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - An organic light emitting diode display device is disclosed. The organic light emitting diode display device includes an organic light emitting diode array formed on a flexible substrate, a cover film formed to cover the organic light emitting diode array, and a bottom film attached to a lower surface of the flexible substrate. Reliability of the organic light emitting diode display device may be improved by forming a cover film attached to the organic light emitting diode array and a bottom film attached to the lower surface of the flexible substrate on which the organic light emitting diode array is formed using the same material, and forming a moisture absorbent on the bottom film. | 06-19-2014 |
20140166996 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes: a substrate; a display part on the substrate and configured to display an image, the display part including a thin-film transistor (TFT) and an organic light-emitting diode (OLED); and a pad electrode on the substrate and outside the display part, and the pad electrode includes an embossed-shaped conductive reflective layer. | 06-19-2014 |
20140166997 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus that has reduced resonance effect includes a thin film transistor (TFT) layer including a plurality of TFTs, first protective layer covering the TFT, a color filter disposed in at least a partial area of the region in the first protective layer, a first overcoat covering the color filter, a second protective layer covering the first overcoat, a second overcoat disposed on the second protective layer, and a pixel electrode disposed on top of the second overcoat and electrically coupled to the TFTs in the TFT layer. | 06-19-2014 |
20140166998 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE HAVING BUILT-IN TOUCH PANEL AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode (OLED) display device having a built-in touch panel and a manufacturing method thereof in which an OLED array and a touch array are formed on a flexible substrate and thus the OLED display device has flexibility, and a flexible printed circuit board for driving the touch array is integrally formed with a printed circuit board for driving the OLED array and thus manufacturing costs are reduced are disclosed. The OLED display device includes an OLED array formed on a lower flexible substrate, a touch array formed on an upper flexible substrate, and an adhesive layer adhering the upper flexible substrate to the lower flexible substrate such that the touch array and the OLED array face each other. | 06-19-2014 |
20140166999 | DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME - In some aspects, a display device comprising a substrate, an organic film positioned on the substrate, an inorganic film positioned on the organic film and having at least one hole for exposing at least a part of the organic film, a first electrode positioned on the inorganic film, a second electrode positioned on the first electrode, an emission layer positioned between the first electrode and the second electrode to emit light by the first electrode and the second electrode, and an organic pattern positioned on the organic film that is exposed by the hole is provided. | 06-19-2014 |
20140167000 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF FABRICATING THE SAME - An organic light emitting display device includes a thin film transistor on a substrate, a first protection layer covering the thin film transistor, a conductive organic layer on the first protection layer and coupled to the thin film transistor, and an organic light emitting device on the conductive organic layer and coupled to the conductive organic layer. | 06-19-2014 |
20140167001 | BLUE PHOSPHORESCENCE COMPOUND AND ORGANIC LIGHT EMITTING DIODE COMPRISING THE SAME - A blue phosphorescence compound is disclosed. The blue phosphorescence compound represented by Chemical Formula 1 below. | 06-19-2014 |
20140167002 | ORGANIC SMALL MOLECULE SEMICONDUCTING CHROMOPHORES FOR USE IN ORGANIC ELECTRONIC DEVICES - Small organic molecule semi-conducting chromophores containing a pyridalthiadiazole, pyridaloxadiazole, or pyridaltriazole core structure are disclosed. Such compounds can be used in organic heterojunction devices, such as organic small molecule solar cells and transistors. | 06-19-2014 |
20140167003 | ORGANIC ELECTROLUMINESCENCE DEVICE - Problem to Be Solved: | 06-19-2014 |
20140167004 | MOTHER PANEL FOR DISPLAY PANEL AND METHOD OF MANUFACTURING DISPLAY PANEL USING THE MOTHER PANEL - A mother panel for a display panel includes a first mother substrate and a second mother substrate that are spaced apart from each other to face each other, each of which includes at least one usable area that is usable as the display panel, and at least one unusable area that surrounds the usable area. The mother panel also includes a plurality of display panel patterns between the first mother substrate and the second mother substrate that are spaced apart from one another in the usable area, a seal pattern that adheres the first mother substrate with the second mother substrate and seals each of the plurality of display panel patterns, and an etching stopping unit that prevents an etchant from permeating between the first mother substrate and the second mother substrate and prevents a boundary area of an etching target surface from being etched. | 06-19-2014 |
20140167005 | ORGANIC LIGHT EMITTING DISPLAY - An organic light emitting display includes a thin film transistor substrate, and an organic light emitting device on the thin film transistor substrate, the organic light emitting device including a first electrode on the thin film transistor substrate, the first electrode being configured to reflect light, an organic layer on the first electrode and including at least an emitting layer, a transflective second electrode on the organic layer, and a color filter between the first electrode and the transflective second electrode. | 06-19-2014 |
20140167006 | FLEXIBLE SUBSTRATE FOR ROLL-TO-ROLL PROCESSING AND METHOD OF MANUFACTURING THE SAME - In a flexible substrate for roll-to-roll processing having improved thermal, mechanical, and chemical stabilities, a method of manufacturing the same, and an organic light emitting display apparatus including the same, the flexible substrate for roll-to-roll processing includes a base film formed of an organic material and an inorganic mesh pattern formed of inorganic material. The base film includes a first surface and a second surface opposite to the first surface, the first surface comprising first trenches extending in a first direction and second trenches extending in a second direction. The inorganic mesh pattern buries the first trenches and the second trenches. | 06-19-2014 |
20140167007 | PYRENE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A pyrene-based compound and an organic light-emitting diode including the pyrene-based compound are provided. | 06-19-2014 |
20140167008 | Organic Light Emitting Diode Display Device and Method of Fabricating the Same - An organic light emitting diode display device comprises: first and second substrates facing and spaced apart from each other; a gate line and a data line on the first substrate, the gate line and the data line crossing each other to define a plurality of pixel regions; at least one thin film transistor coupled to the gate line and the data line; a light emitting diode coupled to the at least one thin film transistor, the light emitting diode including a first electrode, an emitting layer and a second electrode; and a passivation layer on the light emitting diode, the passivation layer having a smaller area than the second electrode. | 06-19-2014 |
20140167009 | ORGANIC LIGHT-EMITTING DIODE DISPLAY DEVICE - The present invention discloses an organic light-emitting diode display device. More particularly, the present invention relates to the structure of an organic light-emitting diode display device for suppressing a vertical crosstalk phenomenon in the organic light-emitting diode display device having an internal compensation structure for threshold voltage variations in driving transistors. According to an embodiment of the present invention, a shield electrode may be formed using the same metal layer as that of scan lines or data lines, thereby providing an organic light-emitting diode display device in which the effect of coupling between the gate electrode of driving thin-film transistors and the data lines is minimized. | 06-19-2014 |
20140167010 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a display panel including a display area to which a touch screen panel is attached and a pad area in which a metal wire is formed; a cover window on one side of the display panel; a resin layer between the display panel and the cover window; and a touch screen circuit film in the pad area and connected to the touch screen panel, at least one of the touch screen circuit film and the metal wire including an ultraviolet ray transmitter configured to increase a hardening degree of the resin layer in the pad area. | 06-19-2014 |
20140167011 | PIXEL STRUCTURE, DISPLAY PANEL AND DISPLAY APPARATUS - The embodiment of the present invention provides a pixel structure, pixel unit structure, display panel and display apparatus, which is used to increase the electrical-optical efficiency of the display apparatus. The pixel structure includes an active matrix driving circuit, also includes at least two light emitting devices connected in series which are connected to the active matrix driving circuit, the light emitting devices compose the light emitting device group, and the active matrix driving circuit drives the light emitting devices to emit light. | 06-19-2014 |
20140167012 | ORGANIC LIGHT-EMITTING DIODE DEVICE, METHOD FOR PACKAGING THE SAME AND DISPLAY DEVICE - The present invention provided an OLED device, a method for packaging the same, and a display device. With the solution of the present invention, it is not necessary to dispose a recess in the package substrate and the cost of production and thickness of the package substrate are decreased. The OLED device comprises a package substrate and an array substrate a surface of which is formed thereon with an OLED structure. Edges of the array substrate and the package substrate are bonded by a frame sealant, and the OLED structure is positioned between the array substrate and the package substrate. The OLED device further comprises a moisture barrier layer on a surface of the OLED structure for block moisture and oxygen and a desiccant layer positioned between the moisture layer and the package substrate, the desiccant layer including desiccant particles for absorbing moisture and oxygen within the OLED device. | 06-19-2014 |
20140167013 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR FABRICATING THE SAME - Disclosed are an organic light emitting diode display device and a method for fabricating the same. The OLED display device includes first and second electrodes formed on a substrate, a red light-emitting layer, a green light-emitting layer and a blue light-emitting layer formed between the first and second electrodes, a hole transport layer formed between each of the red light-emitting layer, the green light-emitting layer and the blue light-emitting layer, and the first electrode, an electron transport layer formed between each of the red light-emitting layer, the green light-emitting layer and the blue light-emitting layer, and the second electrode, and a conducting polymer formed between the substrate and the first electrode, the conducting polymer having different thicknesses in regions respectively corresponding to the red light-emitting layer, the green light-emitting layer and the blue light-emitting layer. | 06-19-2014 |
20140167014 | LIGHT-EMITTING DEVICES COMPRISING EMISSIVE LAYER - Light-emitting devices comprising an fluorescent emissive layer, and three different phosphorescent emissive layers are described herein. | 06-19-2014 |
20140167015 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a first electrode formed on a substrate and being a reflective electrode, a second electrode facing the first electrode and being a semi-transparent electrode, and red, green and blue emission layers formed between the first and second electrodes, wherein a maximum electroluminescent peak of the redemission layer and a maximum photoluminescence peak of a host included in the red emission layer satisfy Equation 1 below: | 06-19-2014 |
20140167016 | WHITE ORGANIC LIGHT EMITTING DEVICE - A white organic light emitting device includes: first and second electrodes formed to face each other on a substrate; a first stack configured with a hole injection layer, a first hole transportation layer, a first light emission layer and a first electron transportation layer which are stacked between the first and second electrodes; a second stack configured with a second hole transportation layer, a second light emission layer, a third light emission layer, a second electron transportation layer and an electron injection layer which are stacked between the first stack and the second electrode; and a charge generation layer interposed between the first and second stacks and configured to adjust a charge balance between the two stacks. | 06-19-2014 |
20140167017 | ORGANIC LIGHT-EMITTING DIODE MANUFACTURING METHOD, ORGANIC LIGHT-EMITTING DIODE, IMAGE DISPLAY DEVICE, ILLUMINATION DEVICE, AND SUBSTRATE - A method of manufacturing an organic light-emitting diode including preparing, by a dry etching method using a particle single layer film as an etching mask, a substrate provided with an uneven structure in which a plurality of unevenness is arranged in two dimensions on the surface of the substrate, and stacking, on the uneven structure, at least an anode conductive layer, an EL layer including a light-emitting layer containing an organic light-emitting material, and a cathode conductive layer containing a metal layer, such that the uneven structure is reproduced on the surface of the metal layer on the side of the EL layer, wherein the particle single layer film is formed using a mixture of a plurality of particles having different particle sizes, and an uneven structure is provided which satisfies particular requirements. | 06-19-2014 |
20140167018 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL AND METHOD OF MANUFACTURING THEREOF - An organic EL display panel has a transistor array substrate, an inter-layer insulation film, pixel electrodes, an organic EL layer, and a common electrode. The transistor array substrate has drive units, including TFT elements. The inter-layer insulation film covers the transistor array substrate, and has contact holes corresponding to the drive units. The pixel electrodes on the inter-layer insulation film correspond to the drive units, and are electrically connected thereto via the contact holes. The organic EL layer covers regions where the pixel electrodes are and are not disposed. The common electrode covers the entire organic EL layer. Organic EL layer regions corresponding to the contact holes of the inter-layer insulation film and between neighbouring pixel electrodes have greater electrical resistance than other regions. | 06-19-2014 |
20140167019 | LIGHT-EMITTING COMPONENT AND METHOD FOR PRODUCING A LIGHT-EMITTING COMPONENT - A light-emitting component may include: an electrically active region, including: a first electrode; a second electrode; and an organic functional layer structure between the first electrode and the second electrode; and a thermotropic layer, which is arranged outside the electrically active region. | 06-19-2014 |
20140167020 | Passive Matrix Organic Light Emitting Diodes - A passive matrix OLED display comprises an array of individually addressable OLED pixels arranged in column and row lines in an imaging area of the display, wherein at least one OLED pixel comprises at least one rectifying component connected in series with an electroluminescent diode, and wherein the at least one OLED pixel has an extended pixel on-time compared with a similar pixel lacking the at least one rectifying component. | 06-19-2014 |
20140167021 | ORGANIC EL ELEMENT SEALING MEMBER - The present invention provides a sealing member for organic EL elements that enables organic EL elements, in particular, organic EL elements for illumination devices to maintain stable luminescence over a long period and that can be fabricated at reduced cost. The sealing member for organic EL elements of the present invention includes a barrier film including a plastic film and at least one thin metal layer, and a curable resin composition layer on the barrier film. The curable resin composition layer has a thickness of 5 to 100 μm and the curable resin composition exhibits nonfluidity at 25° C. in an uncured state and gains fluidity at an elevated temperature in the range of 40 to 80° C. | 06-19-2014 |
20140167022 | ORGANIC LIGHT EMITTING DIODES AND METHODS OF MANUFACTURING THE SAME - The inventive concept provides organic light emitting diodes and methods of manufacturing an organic light emitting diode. The organic light emitting diode includes a substrate, a first electrode layer and a second electrode layer formed on the substrate, an organic light emitting layer disposed between the first electrode layer and the second electrode layer and generating light, and a scattering layer between the first electrode layer and the substrate or between the first electrode layer and the organic light emitting layer. The scattering layer scatters the light. | 06-19-2014 |
20140167023 | OLED DEVICE IN CONTACT WITH A CONDUCTOR - Disclosed is a method for contacting a device with a conductor | 06-19-2014 |
20140167024 | DISPLAY APPARATUS - Provided is a display apparatus and a method of manufacture. The display apparatus includes a first substrate with a plurality of organic electroluminescence devices, a second substrate with a color filter, the second substrate facing the first substrate, and an adhesive layer disposed between the first substrate and the second substrate so as to cover the plurality of organic electroluminescence devices, the adhesive layer being made of a material selected from the group consisting of a phenol resin, a melanin resin, an unsaturated polyester resin, an epoxy resin, a silicon resin and a polyurethane resin. | 06-19-2014 |
20140167025 | Organic Light Emitting Element and Display Device Using the Element - A hole transporting region made of a hole transporting material, an electron transporting region made of an electron transporting material, and a mixed region (light emitting region) in which both the hole transporting material and the electron transporting material are mixed and which is doped with a triplet light emitting material for red color are provided in an organic compound film, whereby interfaces between respective layers which exist in a conventional lamination structure are eliminated, and respective functions of hole transportation, electron transportation, and light emission are exhibited. In accordance with the above-mentioned method, the organic light emitting element for red color can be obtained in which power consumption is low and a life thereof is long. Thus, the display device and the electric device are manufactured by using the organic light emitting element. | 06-19-2014 |
20140167026 | NITROGENATED AROMATIC HETEROCYCLIC DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - A nitrogen-containing aromatic heterocyclic derivative in which a nitrogen atom of an indenocarbazole skeleton optionally having a hetero atom or an indenoindole skeleton optionally having a hetero atom is bonded to a dibenzofuran or a dibenzothiophene directly or indirectly. The derivative realizes an organic EL device with a high emission efficiency and a long lifetime. | 06-19-2014 |
20140167027 | SINGLET HARVESTING WITH DUAL-CORE COPPER (I) COMPLEXES FOR OPTOELECTRONIC DEVICES - The invention relates to dimeric copper(I) complexes according to formula A, in particular as emitters in optoelectronic devices such as organic light emitting diodes (OLEDs) and other devices | 06-19-2014 |
20140167028 | AMINOINDOLO[3,2,1-jk]CARBAZOLE COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - The present invention provides a novel aminoindolo[3,2,1-jk]carbazole compound having a good film-forming property and provides an organic light-emitting device including the compound. | 06-19-2014 |
20140167029 | SCATTERING FILM FOR ORGANIC EL AND ORGANIC EL LIGHT EMITTING DEVICE USING SAME - There is provided a scattering film that can be used in organic EL light emitting devices and that not only improves efficiency for light utilization and improves viewing angle dependency, which have conventionally been problems, but also can solve the problem of reflection when lights-off. This scattering film for organic EL is used in organic EL light emitting devices. The scattering film comprises a scattering layer that includes a binder resin and particles having a refractive index different from the binder resin. The average particle size of the particles is 10 μm or less, and the coefficient of variation of the average particle size for the particles is 30% or greater. | 06-19-2014 |
20140175383 | INDENOTRIPHENYLENE DERIVATIVES AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - The present invention discloses a new indenotriphenylene derivatives and organic light emitting device using the derivatives. The organic light emitting device employing new indenotriphenylene derivatives as host material can lower driving voltage, prolong half-lifetime, increasing efficiency. The new indenotriphenylene derivatives are represented by the following formula (A): | 06-26-2014 |
20140175384 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE - The present invention discloses a novel material is represented by the following formula (A), the organic EL device employing the material as blue emitting layer can lower driving voltage, prolong half-lifetime and increase the efficiency. | 06-26-2014 |
20140175385 | OLED DEVICE, AMOLED DISPLAY DEVICE AND METHOD FOR MANUFACTURING SAME - Embodiments of the invention disclose an OLED device, an AMOLED display device and a method for manufacturing the AMOLED display device. the AMOLED display device comprises a TFT active layer, a pixel electrode layer and an OLED device; the OLED device comprises a cathode layer and a functional layer, and the pixel electrode layer serves as the anode layer of the OLED device; alternatively, the OLED device comprises an anode layer and a functional layer, and the pixel electrode layer serves as the cathode layer of the OLED device. Moreover, the TFT active layer and the pixel electrode layer are formed from a same IGZO film by a patterning process. | 06-26-2014 |
20140175386 | METHOD OF INCORPORATING A BLACK PHOTO STRIPE OVER PARYLENE LAYER - A method of incorporating a structurally integrated black photo stripe over an OLED based light blocking means by sandwiching the black photo stripe between two layers of polymeric layers, above the OLED stack, seal and RGB color filter layer. | 06-26-2014 |
20140175387 | ORGANIC LIGHT EMITTING DIODE - An OLED is disclosed which includes an anode, a hole transport layer, a light emitting layer, an electron transport layer, and a cathode. The light emitting layer includes a first phosphorescent light emitting layer, a blue fluorescent light emitting layer, and a second phosphorescent light emitting layer, which are stacked along a direction from the anode to the cathode. The first phosphorescent light emitting layer includes a material capable of conducting holes and blocking electrons. The second phosphorescent light emitting layer includes a material capable of conducting electrons and blocking holes. The blue fluorescent light emitting layer includes a material capable of conducting both holes and electrons. With the phosphorescent light emitting layers having a function of restricting charges, the exciton recombination zone is constrained in the blue fluorescent light emitting layer. | 06-26-2014 |
20140175388 | ORGANIC LIGHT EMITTING DIODE - An organic light emitting diode is disclosed. The organic light emitting diode includes an anode, a hole transport layer, a light emitting layer, an electron transport layer, and a cathode. The light emitting layer includes a first phosphorescent light emitting layer, a first isolation layer, a blue fluorescent light emitting layer, a second isolation layer, and a second phosphorescent light emitting layer, which are stacked along a direction from the anode to the cathode. The first isolation layer is configured to conduct holes and to block electrons, and the second isolation layer is configured to conduct electrons and to block holes. The exciton recombination zone is constrained in the blue fluorescent light emitting layer, thus improving the light emitting efficiency and light stability of the organic light emitting diode. | 06-26-2014 |
20140175389 | ORGANIC LIGHT-EMITTING DIODE PACKAGE STRUCTURE AND METHOD FOR FORMING THE SAME - An OLED package structure includes: a substrate, on which an organic light-emitting element is provided, and a cover plate having an annular groove surrounding the organic light-emitting element thereon. A packaging adhesive, partly inside the groove and partly outside the groove, adheres the cover plate to the substrate to seal the organic light-emitting element. In the OLED package structure according to the present invention, the thickness of the spacer can be smaller, so as to eliminate color mixture during an evaporation process and to prevent a Newton ring phenomenon in the OLED package structure. | 06-26-2014 |
20140175390 | Large Area Organic Light Emitting Diode Display and Method for Manufacturing the Same - The present disclosure relates to a large area organic light emitting diode display and a method for manufacturing the same. A photoresist is deposited across first and second pixel areas of the display. The photoresist is patterned to generate a patterned photoresist by stripping away first portions of the photoresist in the first pixel areas while keeping second portions of the photoresist in the second pixel areas. An organic emission layer is deposited across the first and second pixel areas over the patterned photoresist. An electron transport layer is deposited across the first pixel areas and the second pixel areas over the organic emission layer. Portions of the organic emission layer and the electron transport layer in the second pixel areas are removed by stripping away the second portions of the photoresist while keeping portions of the organic emission layer and the electron transport layer in the first pixel areas. | 06-26-2014 |
20140175391 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display includes: a substrate; a thin film transistor provided on the substrate; a first electrode connected to the thin film transistor; an organic emission layer provided on the first electrode; an interlayer provided on the organic emission layer; an electron auxiliary layer provided on the interlayer and including an electron injection layer (EIL) and an electron transport layer (ETL); and a second electrode provided on the electron auxiliary layer, wherein the interlayer is made by mixing a material of the electron auxiliary layer. | 06-26-2014 |
20140175392 | WHITE ORGANIC LIGHT-EMITTING DIODES - In an embodiment of the present disclosure, a white organic light-emitting diode is provided. The white organic light-emitting diode includes an anode, a cathode, and a composite light-emitting layer formed between the anode and the cathode, the composite light-emitting layer including a first host layer, a second host layer, and a dye layer formed between the first host layer and the second host layer, and the dye layer including at least two dyes. | 06-26-2014 |
20140175393 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode display device capable of improving capacitance Cst of a storage capacitor and transmittance and a method of fabricating the same are disclosed. The organic light emitting diode display device includes a driving thin film transistor (TFT) formed on the substrate, a passivation film formed to cover the TFT driver, a color filter formed on the passivation film in a luminescent region, a planarization film formed to cover the color filter, a transparent metal layer formed on the planarization film, an insulating film formed on the transparent metal layer, a first electrode connected to the TFT driver and overlapping the transparent metal layer while interposing the insulating film therebetween, an organic light emitting layer and a second electrode which are sequentially formed on the first electrode. The transparent metal layer, the insulating film, and the first electrode constitute a storage capacitor in the luminescent region. | 06-26-2014 |
20140175394 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode display device and method of fabricating the device according to an embodiment includes a substrate; an oxide semiconductor layer over the substrate; a planarization layer over the oxide semiconductor layer; an emitting diode over the planarization layer; a passivation layer over the emitting diode; and a hydrogen blocking layer between the planarization layer and the passivation layer to block hydrogen diffusion from the passivation layer to the oxide semiconductor layer. | 06-26-2014 |
20140175395 | ORGANIC LIGHT-EMITTING DEVICE AND FLAT PANEL DISPLAY INCLUDING THE SAME - An organic light-emitting device includes: a first electrode; a second electrode; and an organic layer interposed between the first electrode and the second electrode, wherein the organic layer includes a compound of Formula 1 and a compound of Formula 2; and a flat panel display device including the organic light-emitting device. Substituents in Formulae 1 and 2 are the same as described in the specification. | 06-26-2014 |
20140175396 | THIN FILM TRANSISTOR SUBSTRATE, ORGANIC LIGHT-EMITTING APPARATUS INCLUDING THE SAME, METHOD OF MANUFACTURING THE THIN FILM TRANSISTOR SUBSTRATE, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT-EMITTING APPARATUS - A thin film transistor (TFT) substrate which may facilitate subsequent TFT processing by reducing an elevation difference on the top surface of the substrate is disclosed. Aspects include an organic light-emitting apparatus including the TFT substrate, a method of manufacturing the TFT substrate, and a method of manufacturing the organic light-emitting apparatus. In one aspect the TFT substrate includes: a substrate; a height adjusting layer that is disposed on the substrate and has a thickness in a first region greater than a thickness in a second region; and a TFT that is formed on the height adjusting layer to correspond to the second region of the height adjusting layer. | 06-26-2014 |
20140175397 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND THE MANUFACTURING METHOD THEREOF - An organic light emitting display apparatus includes a substrate, a display unit on the substrate, and a thin-film encapsulation layer for sealing the display unit, the thin-film encapsulation layer including a first organic film, a first inorganic film covering the first organic film, and an interlayer disposed at a lower surface of the first organic film, the interlayer defining an area where the first organic film is formed, an area of the interlayer being the same as the area of the first organic film. | 06-26-2014 |
20140175398 | ARYLAMINE COMPOUND AND ORGANIC LIGHT- EMITTING DEVICE COMPRISING SAME - An arylamine compound of Formula 1 below and an organic light-emitting device including the arylamine compound are provided: | 06-26-2014 |
20140175399 | ORGANIC LIGHT EMITTING DIODE (OLED) DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode (OLED) display is disclosed. In one aspect, the display includes a substrate having a plurality of pixel areas, color filters respectively disposed in the pixel areas, a passivation layer disposed on the color filters, a first electrode disposed on the passivation layer. The display also includes a light emitting layer disposed on the first electrode and a second electrode disposed on the light emitting layer. At least a portion of the color filters is provided with a concavo-convex pattern formed on an upper surface thereof. | 06-26-2014 |
20140175400 | Novel Carbazole Derivatives and Organic Light-Emitting Diode Device Using the Same - The present invention relates to novel carbazole derivatives and an organic light-emitting diode device using the same. These carbazole derivatives can simultaneously or singly be used as a hole transporting layer, a host or guest of an emitting layer or an electron transporting layer of an organic light-emitting diode device. | 06-26-2014 |
20140175401 | RED PHOSPHORESCENT COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE USING THE SAME - A red phosphorescent compound has the following formula: | 06-26-2014 |
20140175402 | RED PHOSPHORESCENT COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE USING THE SAME - The present invention provides a phosphorescent compound of one of following formulas: | 06-26-2014 |
20140175403 | Organic Light Emitting Diode Device and Method of Manufacturing the Same - An organic light emitting diode device includes an array substrate including a display region where a plurality of pixel regions each include a light emitting diode, and a non-display region surrounding the display region; and a protection layer covering the display region, extending to the non-display region, and including a round corner, wherein a maximum of a radius of the corner of the protection layer is determined according to a distance between a side of the protection layer and a side of the display region corresponding to the side of the protection layer, and the radius of the corner is at least 0.1 mm. | 06-26-2014 |
20140175404 | OPTICAL FILMS FOR REDUCING COLOR SHIFT AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUSES EMPLOYING THE SAME - Optical films, and organic light-emitting display apparatuses employing the same, include a high refractive index pattern layer including a first surface and a second surface facing each other, wherein the first surface includes a pattern having a plurality of grooves. The plurality of grooves each have a curved surface and a depth greater than a width thereof. The high refractive index pattern layer is formed of a material having a refractive index greater than 1. The optical films, and the organic light-emitting display apparatuses, further include a low refractive index pattern layer formed of a material having a refractive index smaller than the refractive index of the material constituting the high refractive index pattern layer. The low refractive index pattern layer includes a filling material for filling the plurality of grooves. | 06-26-2014 |
20140175405 | ELECTRONIC DEVICE PACKAGE STRUCTURE AND MANUFACTURING METHOD THEREOF - A package structure of an electronic device is provided. The substrate of such package structure has at least one embedded gas barrier structure, which protects the electronic device mounted thereon and offers good gas barrier capability so as to extend the life of the electronic device. | 06-26-2014 |
20140175406 | PHOSPHORESCENT COMPOUNDS AND ORGANIC LIGHT EMITTING DIODE DEVICES USING THE SAME - A phosphorescent compound is disclosed. The phosphorescent compound represented by the following Chemical Formula 1, | 06-26-2014 |
20140175407 | OPTO-ELECTRICAL DEVICES INCORPORATING METAL NANOWIRES - The present disclosure relates to OLED and PV devices including transparent electrodes that are formed of conductive nanostructures and methods of improving light out-coupling in OLED and input-coupling in PV devices. | 06-26-2014 |
20140175408 | HETEROLEPTIC IRIDIUM CARBENE COMPLEXES AND LIGHT EMITTING DEVICE USING THEM - Novel heteroleptic iridium carbene complexes are provided, which contain at least two different carbene ligands. Selective substitution of the carbene ligands provides for phosphorescent compounds hat are suitable for use in a variety of OLED devices. | 06-26-2014 |
20140175409 | TRANSISTORS AND METHODS FOR MAKING THEM - A semiconductor composition which comprises a soluble polyacene semiconductor and a polymeric semiconducting binder the binder having a permittivity greater than 3.4 at 000 Hz. The charge mobility of the semiconducting binder when measured in a pure state is greater than 10 | 06-26-2014 |
20140175410 | TRANSPARENT INFRARED-TO-VISIBLE UP-CONVERSION DEVICE - Embodiments of the invention are directed to a transparent up-conversion device having two transparent electrodes. In embodiments of the invention, the up-conversion device comprises a stack of layers proceeding from a transparent substrate including an anode, a hole blocking layer, an IR sensitizing layer, a hole transport layer, a light emitting layer, an electron transport layer, a cathode, and an antireflective layer. In an embodiment of the invention, the up-conversion device includes an IR pass visible blocking layer, | 06-26-2014 |
20140175411 | ORGANIC EL DEVICE - Organic EL device comprising: anode and cathode disposed to face each other with gap therebetween; functional layer that contains organic material and is disposed between the anode and the cathode; and hole injection layer that has function to inject holes into the functional layer and is disposed between the anode and the functional layer, wherein the hole injection layer is mixture layer containing first oxide and second oxide. The first oxide is p-type metal oxide, the composition of the second oxide contains element that is thermally stable in both first state where the element has the maximum valence and second state where the element has valence smaller than the maximum valence, the element being more thermally stable in the second state than in the first state. The element contained in the composition of the second oxide in the hole injection layer is in the first state. | 06-26-2014 |
20140175412 | ORGANIC LUMINESCENT DISPLAY DEVICE AND METHOD OF MANUFACTURING AT ORGANIC LUMINESCENT DISPLAY DEVICE - A method of manufacturing an organic electroluminescent display device includes the steps of: forming transistors on an element substrate; and forming organic electroluminescent light emitting elements on the respective transistors, in which the step of forming the organic electroluminescent light emitting elements includes the steps of: forming anodes in correspondence with pixels; forming a polymer organic layer made of a polymer material by attaching the polymer material onto upper surfaces and end surfaces of the anodes; forming an organic layer having at least a light emitting layer on the polymer organic layer; and forming a cathode on the organic layer. | 06-26-2014 |
20140175413 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - An organometallic complex which can be provided at low cost and which emits blue phosphorescence is provided. An organometallic complex in which nitrogen at the 1-position of a 5-aryl-4H-1,2,4-triazole derivative is coordinated to a Group 9 metal or a Group 10 metal, the aryl group is bonded to the Group 9 metal or the Group 10 metal, and the 5-aryl-4H-1,2,4-triazole derivative is a 3-aryl-5,6,7,8-tetrahydro-4H-[1,2,4]triazolo[4,3-a]pyridine derivative is provided. The organometallic complex emits green to blue phosphorescence and is also advantageous in terms of cost. | 06-26-2014 |
20140175414 | ORGANIC SEMICONDUCTOR POLYMER, ORGANIC THIN FILM TRANSISTOR, AND ELECTRONIC DEVICE - An organic semiconductor polymer includes a moiety represented by the following Chemical Formula 1 and a heteroaromatic moiety having at least one of sulfur (S) and selenium (Se). | 06-26-2014 |
20140175415 | POLYMER AND ORGANIC ELECTRONIC DEVICE - A composition comprising a polymer and a phosphorescent material wherein the polymer comprises repeat units of formula (I): | 06-26-2014 |
20140175416 | LEAVING SUBSTITUENT-CONTAINING COMPOUND, ORGANIC SEMICONDUCTOR MATERIAL, ORGANIC SEMICONDUCTOR FILM CONTAINING THE MATERIAL, ORGANIC ELECTRONIC DEVICE CONTAINING THE FILM, METHOD FOR PRODUCING FILM-LIKE PRODUCT, PI-ELECTRON CONJUGATED COMPOUND AND METHOD FOR PRODUCING THE PI-ELECTRON CONJUGATED COMPOUND - A leaving substituent-containing compound including a partial structure represented by the following General Formula (I): | 06-26-2014 |
20140175417 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting apparatus includes a substrate; a first electrode formed on the substrate, where the first electrode is a cathode, an electron injection layer formed to contact an upper surface of the first electrode and including Mg, an intermediate layer formed on the electron injection layer and including an organic emission layer, and a second electrode which is formed on the intermediate layer and is an anode. | 06-26-2014 |
20140175418 | Light-Emitting Element, Lighting Device, Light-Emitting Device, and Electronic Device - A light-emitting element whose degree of deterioration with driving time is improved and of which emission colors are easily controlled. A light-emitting emitting element having a first electrode, a second electrode, and a layer containing an organic compound located between the first electrode and the second electrode, in which the layer containing the organic compound at least has, from the second electrode side, a light-emitting layer in which a first layer, a second layer, and a third layer are stacked, and a hole-transporting layer provided in contact with the third layer; the first layer contains a first organic compound and a second organic compound; the second layer contains a third organic compound and a fourth organic compound; and the third layer contains the first organic compound and a fifth organic compound. | 06-26-2014 |
20140175419 | FUSED HETEROCYCLIC AROMATIC DERIVATIVE, ORGANIC ELECTROLUMINESCENCE ELEMENT MATERIAL, AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME - A compound represented by the following formula (1). In the formula, A | 06-26-2014 |
20140175420 | COPOLYMERS WITH FUNCTIONALIZED SIDE CHAINS - The present invention relates, inter alia, to copolymers, preferably conjugated polymers, formulations comprising the copolymers and electronic devices comprising the copolymers. | 06-26-2014 |
20140175421 | POLYMERS AND OLIGOMERS WITH FUNCTIONALIZED SIDE GROUPS - The present invention relates inter alia to oligomers and/or polymers with functionalized side groups which are bound to the backbone of the polymer via spacer. | 06-26-2014 |
20140183454 | EXTENDED ISOINDIGO POLYMERS AND SEMICONDUCTOR COMPOSITIONS - An extended isoindigo polymer of Formula (I), below, is provided. | 07-03-2014 |
20140183455 | PECHMANN DYE BASED POLYMERS AND SEMICONDUCTOR COMPOSITIONS - A Pechmann dye based polymer of formula 1, below, is provided. | 07-03-2014 |
20140183456 | BLUE LIGHT-EMITTING IRIDIUM COMPLEX AND APPLICATION FOR ORGANIC LIGHT EMITTING DIODE - An iridium complex is represented the following formula: | 07-03-2014 |
20140183457 | Transistor with Organic Semiconductor Interface - A method is provided for preparing an interface surface for the deposition of an organic semiconductor material, in the fabrication of an organic thin film transistor (OTFT). A substrate is provided and a gate electrode is formed overlying the substrate. A gate dielectric is formed overlying the gate electrode. Then, source (S) and drain (D) electrodes are formed overlying the gate dielectric, exposing a gate dielectric channel interface region between the S/D electrodes. Subsequent to exposing the OTFT to a H | 07-03-2014 |
20140183458 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - In an aspect, an organic light-emitting display apparatus is provided, including a display substrate; a sealing substrate configured to face the display substrate; a sealing material for bonding the display substrate and the sealing substrate and surrounding a circumference of the display unit; and a bonding layer comprising a plurality of through holes, wherein the plurality of through holes comprise partition walls therein. | 07-03-2014 |
20140183459 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device includes: a first electrode disposed on a substrate; a pixel defining layer disposed on the substrate e and patterned so that at least a portion of the first electrode is exposed in a light emitting region; a hole injection layer disposed over the pixel defining layer and the at least a portion of the first electrode exposed in the light emitting region; an organic thin film layer disposed over the hole injection layer; an electron injection layer disposed over the organic thin film layer; and a second electrode disposed on the electron injection layer, the second electrode having an opening configured to expose the electron injection layer in the light emitting region. The organic light emitting device may be implemented in a display device having excellent color-reproduction, when applied to the display device using white light as a light source and the large area display device. | 07-03-2014 |
20140183460 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed is an OLED display device. The OLED display device includes a substrate in which a plurality of pixels are defined, a thin film transistor formed in each of the pixels defined in the substrate, a passivation layer formed on the thin film transistor, a planarizing layer formed on the passivation layer and including a groove formed in an upper end portion of each pixel, a reflective electrode formed in the groove of the planarizing layer, an anode electrode formed on the reflective electrode, an organic emitting layer formed on the anode electrode, and a cathode electrode formed on the organic emitting layer. | 07-03-2014 |
20140183461 | ORGANIC LIGHT EMITTING DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display panel includes a first pixel and a second pixel respectively disposed in first and second light emitting areas. A portion of a first hole transport layer and a portion of a first light emitting layer of the first pixel are disposed in the second light emitting area. The portions of the first hole transport layer and the first light emitting layer overlap a second hole transport layer and a second light emitting layer, which are successively stacked. The second hole transport layer and the second light emitting layer block holes and electrons from moving to the portion of the first light emitting layer. | 07-03-2014 |
20140183462 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device, including: a substrate; a display unit formed on the substrate; and an encapsulation layer formed on the display unit, in which the encapsulation layer includes a lower layer formed on the display unit, at least one pattern layer formed on the lower layer, and an upper layer formed on the pattern layer, and the lower layer includes at least one of an inorganic film and an organic film, and the pattern layer includes an uneven pattern having a plurality of protrusions which are spaced apart from each other, formed on the lower layer, and a filling layer disposed on the uneven pattern, and the upper layer includes at least one of an inorganic film and an organic film. | 07-03-2014 |
20140183463 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME - A condensed cyclic compound is represented by Formula 1, and an organic light-emitting device includes the condensed cyclic compound. | 07-03-2014 |
20140183464 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An OLED display device includes a substrate; pixel regions defined by gate and data lines, each pixel region including red, green, first blue and second blue sub-pixels; a TFT in each pixel region; a first electrode connected to the thin film transistor; an insulating layer exposing the first electrode; hole injecting and hole transporting layers stacked on the first electrode; red, green and blue emitting layer on the hole transporting layer, the red and green emitting layers respectively being in the red and green sub-pixels, and the blue emitting layer being in the first and second blue sub-pixels; electron transporting and electron injecting layers stacked on the red, green and blue emitting layers; and a second electrode on the insulating layer and the electron injecting layer, wherein the first electrode in the second blue sub-pixel has a multi-layered structure of the first electrode layer and at least one metal layer. | 07-03-2014 |
20140183465 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS - Provided is an organic light emitting display apparatus. The organic light emitting display apparatus includes: a substrate; a display unit disposed on the substrate; an encapsulation layer covering the display unit; an integrated circuit device disposed on an outer portion of the display unit on the substrate; and a transparent protection unit (window) disposed on the encapsulation layer and separated from the integrated circuit device. | 07-03-2014 |
20140183466 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode (OLED) is provided. The OLED comprises a substrate, a first electrode on the substrate, a second electrode disposed opposite to the first electrode, an emission layer disposed between the first electrode and the second electrode, a hole migration region disposed between the first electrode and the emission layer, and an electron migration region disposed between the emission layer and the second electrode. The hole migration region comprises a tertiary amine having one N-substituent comprising a substituted or unsubstituted carbazole moiety and another N-substituent comprising a substituted or unsubstituted fluorene moiety. At least one of the hole migration region and the emission layer comprises a substituted or unsubstituted compound comprising at least two carbazole moieties. | 07-03-2014 |
20140183467 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE COMPRISING THE SAME - An organometallic compound and an organic light-emitting diode (OLED) including the organometallic compound are provided. In exemplary embodiments, the organometallic compound is a platinum complex comprising one or two heterocyclic ligands, the heterocyclic ligands being the same or different if they are two in number, each heterocyclic ligand comprising two nitrogen heterocyclic rings connected by a single bond, one of the rings being six membered and comprising at least one nitrogen and the other ring being a 1,2-diazole or a 1,2,4-triazole ring. One or two other organic ligands may be attached to the central platinum atom in the complex. OLEDs including one of the subject platinum compounds in a light emission layer exhibit lower driving voltages, higher luminances, higher efficiencies and longer lifetimes than do comparative OLEDs built with established dopants incorporated into the light emitting layers. | 07-03-2014 |
20140183468 | PYRENE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE COMPRISING THE SAME - A pyrene-based compound and an organic light-emitting diode including the pyrene-based compound are provided. The pyrene-based compound of Formula 1 above may emit blue light having high color purity. For example, an organic light-emitting diode including the pyrene-based compounds of the invention may emit blue light having a y coordinate with a color purity of 0.1 or less, for example, a color purity of 0.09 or less, which is near to the NTSC or sRGB specification. A thin film including the pyrene-based compounds of the invention may be highly amorphous, and thus may have improved electrical stability. Accordingly, an organic light-emitting diode including the pyrene-based compounds of the invention may have improved lifetime characteristics. | 07-03-2014 |
20140183469 | ORGANIC LIGHT-EMITTING COMPOSITION, DEVICE AND METHOD - A light-emitting composition comprising a mixture of a fluorescent light-emitting material a triplet-accepting copolymer comprising a triplet-accepting repeat unit and a repeat unit of formula (I): | 07-03-2014 |
20140183470 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - An organic light emitting diode (OLED) display device including a base substrate having a display area and a non-display area; OLEDs formed in corresponding sub-pixel regions defined by a bank insulating film in the display area of the base substrate; a pad part formed in the non-display area of the base substrate and configured to apply a driving signal to the OLEDs; a plurality of passivation films formed in the display area to cover the OLEDs, the plurality of passivation films including a first inorganic film, an organic film, and a second inorganic film, the plurality of passivation films being sequentially stacked. A region of an edge of the organic film that corresponds to a wire through which the driving signal is applied to the OLEDs from the pad part includes at least one groove formed at an inside area of the organic film. | 07-03-2014 |
20140183471 | ORGANIC LIGHT EMITTING ELEMENT, ORGANIC LIGHT EMITTING DISPLAY DEVICE, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT EMITTING DISPLAY DEVICE - A white organic light emitting element, a white organic light emitting display device, and a method of manufacturing the white organic light emitting element are provided. The organic light emitting element includes a multi-layered emission layer structure. The multi-layered emission layer structure includes a first electroluminescent layer and a second electroluminescent layer that are arranged to overlap at first area of the white organic light emitting element. The lights from the first and second electroluminescent layers collectively form white light. Among the first and second electroluminescent layers, one of the EL layers is extended out to the second area of the white organic light emitting element. A plurality of color filter elements are used to filter the white light to generate colored lights at the corresponding sub pixel regions. | 07-03-2014 |
20140183472 | TRANSPARENT ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - A transparent organic light emitting display device and a method of manufacturing the transparent organic light emitting display device are provided. The transparent organic light emitting display device comprises a plurality of sub pixel regions, each having a emissive area and a transmissive area, a thin film transistor disposed in the emissive area, and an organic light emitting element electrically connected to the thin film transistor. While the emissive area emits light to display image on the display device, the transmissive area allows the external light to be passed through the display device so that objects behind the display device can be viewed simultaneously with the displayed image. | 07-03-2014 |
20140183473 | FLEXIBLE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A flexible display device and a method of manufacturing the same are provided. The flexible display device comprises a first flexible substrate including a display area including an organic light emitting layer, and a peripheral circuit area, and a second flexible substrate coming in contact with the first flexible substrate and including a pattern for facilitating bending thereof, wherein the second flexible substrate has a certain shape according to the pattern, and the first flexible substrate has a shape corresponding to the certain shape. Various embodiments of the present invention provide a flexible display device capable of realizing a narrow bezel-type or bezel-free display device and simultaneously realizing improved types of design, facilitating bending of a bezel area so as to realize a narrow bezel-type or bezel-free display device, and minimizing damage to an area to be bent. | 07-03-2014 |
20140183474 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device comprises a first substrate; a thin film transistor layer provided on the first substrate; a light emitting diode layer provided on the thin film transistor layer; and a passivation layer provided on the light emitting diode layer, the passivation layer including a first inorganic insulating film and a second inorganic insulating film, wherein a content of H contained in the first inorganic insulating film is smaller than that of H contained in the second inorganic insulating film. | 07-03-2014 |
20140183475 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a first electrode and a second electrode disposed on a substrate opposite to each other, a first stack including a hole injection layer, a first hole transport layer, a first light emitting layer, and a first electron transport layer sequentially stacked on the first electrode, a second stack including a second hole transport layer, a second light emitting layer, and a second electron transport layer sequentially stacked between the first stack and the second electrode, and a charge generation layer disposed between the first stack and the second stack and including an N-type charge generation layer and a P-type charge generation layer to control charge balance between the first and second stacks. The P-type charge generation layer is doped with 1% to 20% of a hole transport material based on a volume of the P-type charge generation layer. | 07-03-2014 |
20140183476 | THIN-FILM TRANSISTOR, METHOD FOR MANUFACTURING THE SAME AND DISPLAY DEVICE COMPRISING THE SAME - A thin film transistor, a method of manufacturing the thin film transistor, and a display device including the thin film transistor are provided. The thin film transistor comprises a gate electrode formed on the oxide semiconductor layer such that a first surface of the oxide semiconductor layer faces the gate electrode. A source electrode and a drain electrode are electrically connected to the oxide semiconductor layer, respectively. The oxide semiconductor layer, gate electrode, source electrode and drain electrode are arranged in a coplanar transistor configuration. A light-blocking element is also arranged to shield a second surface of the oxide semiconductor layer from external light. | 07-03-2014 |
20140183477 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - Provided is an organic light emitting display device. The organic light emitting display device comprises a substrate; a first electrode formed on the substrate and including a first sub-electrode and a second sub-electrode which have different reflectivities with respect to light wavelengths and are mutually stacked; an organic layer formed on the first electrode and including an organic light emitting layer; and a second electrode formed on the organic layer. | 07-03-2014 |
20140183478 | FLEXIBLE ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - A flexible organic light-emitting display device and a method of manufacturing the flexible organic light-emitting display device are provided. The flexible organic light-emitting display device comprises a lower flexible substrate assembly and an upper flexible substrate assembly that are bonded by a bonding layer. The lower flexible substrate assembly includes a first flexible substrate, a thin film transistor formed on the first flexible substrate, a white organic light-emitting element formed on the thin film transistor, and an encapsulation layer formed on the white organic light-emitting element. The upper flexible substrate assembly comprises a second flexible substrate, an interlayer and a touch sensing unit formed on the interlayer layer. The interlayer may be at least one of a color filter layer, a transparent resin layer, an insulating film layer and a second flexible substrate. | 07-03-2014 |
20140183479 | TRANSPARENT ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - Provided are an organic light emitting display device and a method for manufacturing the same. The organic light emitting display device includes a plurality of pixels, each including a set of sub pixels. Each of the sub pixels has an emissive area for emitting light and a transmissive area for passing the external light. At least two sub pixels are symmetrically arranged on each side of an auxiliary electrode, and share the auxiliary electrode. | 07-03-2014 |
20140183480 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An OLED display device which prevents a color change according to a viewing angle. The OLED display device may include a substrate defined by a first pixel, a second pixel, a third pixel and a fourth pixel; an anode electrode on the substrate; a first organic light-emitting layer for emitting a first color light; a second organic light-emitting layer for emitting a second color light; a cathode electrode formed of a semi-transparent metal material on the first or second organic light-emitting layer, wherein the first organic light-emitting layer is formed in the first pixel and the second pixel; the second organic light-emitting layer is formed in the second pixel, the third pixel and the fourth pixel; and the second pixel emits mixed light of the first color light and the second color light. | 07-03-2014 |
20140183481 | Organic Light Emitting Display Device - Provided are an organic light emitting display device, the display device including: a substrate defined into a display area and a non-display area; sub-pixels formed on the display area of the substrate; and dummy sub-pixels formed on the non-display area of the substrate, the dummy sub-pixels have a different shape for each position of the non-display area. | 07-03-2014 |
20140183482 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed is an organic light emitting display device. The organic light emitting display device includes a substrate in which at least three pixel areas are defined, a first electrode and a hole transporting layer formed on the substrate, an light-emitting material layer formed on the hole transporting layer in each of the pixel areas, and an electron transporting layer and a second electrode formed on the light-emitting material layer. An optical assistant transporting layer is formed on the light-emitting material layer at a position corresponding to one of the pixel areas, and formed of an electron transporting material. Accordingly, provided can be a high-resolution organic light emitting display device that solves an imbalance of electric charges and has an excellent light output efficiency and an enhanced service life. | 07-03-2014 |
20140183483 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light-emitting display device comprising a substrate, an insulating layer disposed on the substrate, a first electrode disposed on the insulating layer, an organic layer disposed on the first electrode, a second electrode disposed on the organic layer, an auxiliary electrode disposed on the insulating layer and a metal layer disposed adjacent to the auxiliary electrode and connected to the auxiliary electrode and the second electrode. | 07-03-2014 |
20140183484 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - There is provided an organic light-emitting display device comprising a plurality of pixels arranged in a matrix pattern and a plurality of wiring lines formed in a zigzag pattern and extending in a row direction between the pixels. | 07-03-2014 |
20140183485 | BLUE FLUORESCENT COMPOUNDS AND ORGANIC LIGHT EMITTING DIODE DEVICES USING THE SAME - A blue fluorescent compound is disclosed. The blue fluorescent compound represented by the following Chemical Formula 1, | 07-03-2014 |
20140183486 | NOVEL COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE, AND ORGANIC ELECTROLUMINESCENCE DEVICE - A specific material for organic electroluminescence device having m-phenylene skeleton in its molecule realizes a highly heat-resistant and long lifetime organic electroluminescence device capable of driving at low voltage with high efficiency. | 07-03-2014 |
20140183487 | METHOD OF MANUFACTURING PROTEIN SEMICONDUCTOR, PROTEIN SEMICONDUCTOR, METHOD OF MANUFACTURING PN JUNCTION, PN JUNCTION, METHOD OF MANUFACTURING SEMICONDUCTOR APPARATUS, SEMICONDUCTOR APPARATUS, ELECTRONIC APPARATUS, AND METHOD OF CONTROLLING CONDUCTIVITY TYPE OF PROTEIN SEMICONDUCTOR - A conductivity type of a protein semiconductor is controlled by controlling total amount of charge in amino acid residues, a p-type protein semiconductor or an n-type protein semiconductor is manufactured, and a pn junction is manufactured using the p-type protein semiconductor and the n-type protein semiconductor. The total amount of charge in amino acid residues is controlled by substituting one or more of an acidic amino acid residue, a basic amino acid residue, and a neutral amino acid residue, which are contained in protein, with an amino acid residue having different properties, chemically modifying one or more of an acidic amino acid residue, a basic amino acid residue, and a neutral amino acid residue, which are contained in the protein, or controlling polarity of a medium surrounding the protein. | 07-03-2014 |
20140183488 | ORGANIC ELECTROLUMINESCENT COMPONENT - The invention relates to an organic electroluminescent component having a first organic functional stack ( | 07-03-2014 |
20140183489 | NOVEL COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE USING SAME - The present invention provides a novel compound that is capable of largely improving a life span, efficiency, electrochemical stability and thermal stability of an organic light emitting device, and an organic light emitting device in which the compound is included in an organic compound layer. | 07-03-2014 |
20140183490 | Copper(I) Complexes, In Particular For Optoelectronic Components - The embodiments of the invention relate to copper(I) complexes of the formula A | 07-03-2014 |
20140183491 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - Disclosed is an organic light emitting diode display device in which at least one lateral surface of an encapsulation substrate is inclined to prevent disconnection of a film connected to a pad, thereby achieving a narrow bezel and enhanced reliability. The display device includes a substrate, an organic light emitting diode array including a thin film transistor arranged on the substrate and an organic light emitting diode connected to the thin film transistor, a pad disposed on the substrate and configured to receive a drive signal to drive the organic light emitting diode array, an encapsulation substrate bonded to the substrate to face each other so as to cover the organic light emitting diode array, and a film connected to the pad and provided with a drive chip thereon. At least one lateral surface of the encapsulation substrate is inclined. | 07-03-2014 |
20140183492 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a first electrode formed on a substrate, a second electrode facing the first electrode, a blue emission layer formed between the first and second electrodes, a capping layer formed on the second electrode, and a front sealing layer formed on the capping layer and comprising an inorganic barrier layer and an organic barrier layer alternately formed at least once, wherein a luminescent dopant included in the blue emission layer has a maximum photoluminescence wavelength of 465 nm or less, and blue light generated from the blue emission layer and emitted via the front sealing layer or the substrate has a Y color coordinate (CIEy) of 0.055 or less. | 07-03-2014 |
20140183493 | Organic Light Emitting Display Device and Method of Fabricating the Same - Disclosed herein are an organic light emitting display device includes first to third sub-pixels realizing different colors, wherein each of the first to third sub-pixels includes first and second electrodes disposed on a substrate so as to face each other, an emission layer formed between the first and second electrodes, a multi-layered hole transporting layer formed between the first electrode and the emission layer to be in contact with the first electrode and the emission layer, and an electron transporting layer formed between the second electrode and the emission layer, wherein multi-layered hole transporting layer of at least one of the first to third sub-pixels includes an at least two-layered first hole transporting layer formed of a hole host and a p-type dopant having a doping concentration of 1 to 10% and a second hole transporting layer formed of the hole host. | 07-03-2014 |
20140183494 | Organic Light Emitting Display Device - An organic light emitting display device includes first and second electrodes facing each other on a substrate, a charge generation layer formed between the first and second electrodes, a first light emitting stack formed between the charge generation layer and the first electrode, and a second light emitting stack formed between the charge generation layer and the second electrode, wherein a hole injection layer of a light emitting stack to realize blue color of the first and second light emitting stacks is formed by doping a host formed of hexaazatriphenylene (HAT-CN) with 0.5% to less than 10% of a dopant formed of a hole transporting material based on a volume of the hole injection layer. | 07-03-2014 |
20140183495 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode includes a substrate; a first electrode on the substrate; a second electrode disposed opposite to the first electrode; an emission layer disposed between the first electrode and the second electrode; a hole migration region disposed between the first electrode and the emission layer; and an electron migration region disposed between the emission layer and the second electrode, wherein the hole migration region comprises a first compound represented by Formula 1 below, and at least one of the hole migration region and the emission layer comprises a second compound represented by Formula 2 below. Substituents in Formulae 1 and 2 are the same as described in the specification. | 07-03-2014 |
20140183496 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes first and second electrodes facing each other on a substrate, a charge generation layer formed between first and second electrodes, a first light emitting unit including a first emission layer formed between the first electrode and the charge generation layer, a hole transport layer supplying holes from the first electrode to the first emission layer, and a second light emitting unit including a second emission layer formed between the second electrode and the charge generation layer, a hole transport layer supplying holes from the charge generation layer to the second emission layer, wherein a total thickness of the hole transport layer of the first light emitting unit is greater than that of the hole transport layer of the second light emitting unit. | 07-03-2014 |
20140183497 | ORGANIC LIGHT-EMITTING DIODE, ORGANIC LIGHT-EMITTING DIODE SUBSTRATE, AND METHOD OF MANUFACTURING SAME - An organic light-emitting diode manufactured from an organic light-emitting diode substrate in which a concave-convex structure is provided in at least a part of the surface, in which the concave-convex structure is capable of obtaining an atomic force microscope (AFM) image in which a plurality of dots is dispersed when observed by an AFM. A histogram is created by measuring a diameter (nm) of each of the plurality of dots present in a randomly selected region having an area of 25 μm | 07-03-2014 |
20140183498 | Thin Film Silicon Nitride Barrier Layers On Flexible Substrate - An article comprising a polymeric substrate and at least one inorganic barrier layer, wherein the inorganic barrier layer has a stress not greater than about 400 MPa and a density of at least about 1.5 g/cm | 07-03-2014 |
20140183499 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device with enhanced luminous efficiency and color viewing angle and a method of manufacturing the same are disclosed. The method includes forming a first electrode of each of red, green, blue and white sub-pixels on a substrate, forming a white organic common layer on the first electrodes, and forming a second electrode on the white organic common layer, wherein the first electrodes each includes multiple transparent conductive layers and is formed such that a thickness of the first electrode of each of two sub-pixels among the red, green, blue and white sub-pixels is greater than a thickness of the first electrode of each of the other two sub-pixels, and at least two layers excluding the lowermost layer among the multiple transparent conductive layers of each first electrode are formed to cover opposite sides of the lowermost layer. | 07-03-2014 |
20140183500 | ORGANIC ELECTROLUMINESCENCE - A fused amine compound including a furan ring or a thiophene ring and an organic electroluminescence device employing the amine compound. The organic electroluminescence device includes a cathode, an anode, and one or more organic thin film layers which are disposed between the cathode and the anode. The organic thin film layers include a light emitting layer and at least one layer of the organic thin film layers includes at least one amine compound. | 07-03-2014 |
20140183501 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - Provided are an organic light emitting display device and a method for manufacturing the same. The organic light emitting display device comprises at least a first pixel area and a second pixel area. A partition is disposed between the first pixel area and the second pixel area. An auxiliary electrode is disposed between the first pixel area and the second pixel area and over the partition. Additionally, a first conductive element is disposed over the first pixel area, the second pixel area, and the auxiliary electrode and the first conductive element is electrically connected to the auxiliary electrode. | 07-03-2014 |
20140183502 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An OLED display includes a substrate; a first electrode on the substrate; an organic emission layer on the first electrode; a second electrode on the organic emission layer; an organic layer on the second electrode and corresponding to the first electrode; and an auxiliary electrode contacting the second electrode and neighboring the organic layer. | 07-03-2014 |
20140183503 | Light-Emitting Element, Light-Emitting Device, Electronic Appliance, and Lighting Device - Disclosed is a light-emitting element having high emission efficiency, capable of driving at low voltage, and showing a long lifetime. The light-emitting element contains a compound between a pair of electrodes, and the compound is configured to give a first peak of m/z around 202 and a second peak of m/z around 227 in a mass spectrum. The first and second peaks are product ions of the compound and possess compositions of C | 07-03-2014 |
20140183504 | HETEROARENE DERIVATIVE AND MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A heteroarene derivative including a nitrogen-boron coordinate bond, represented by the following formula (1). In the formula (1). Z | 07-03-2014 |
20140183505 | BINAPHTHALENE DERIVATIVES, PREPARATION METHOD THEREOF AND ORGANIC ELECTRONIC DEVICE USING THE SAME - The present invention relates to a new binaphthalene derivative, a preparation method thereof, and an organic electronic device using the same. The binaphthalene derivative according to the present invention can perform functions of hole injection and transportation, electron injection and transportation, or light emission in an organic electronic device including an organic light-emitting device, and the device according to the present invention has excellent characteristics in terms of efficiency, drive voltage and stability, and in particular excellent effects such as a low voltage and a long life time. | 07-03-2014 |
20140183506 | METHOD FOR MANUFACTURING TRANSISTOR AND TRANSISTOR - A method for manufacturing a transistor includes: forming a base film for supporting a catalyst for electroless plating; forming a resist layer having an opening portion corresponding to source and drain electrodes onto the base film; causing the base film within the opening portion to support the catalyst for electroless plating and performing a first electroless plating; removing the resist layer; performing a second electroless plating on a surface of an electrode which is formed by the first electroless plating and forming the source and drain electrodes; and forming a semiconductor layer in contact with surfaces of the source and drain electrodes, the surfaces facing each other, wherein an energy level difference between a work function of a material which is used for the second electroless plating and an energy level of a molecular orbital which is used for electron transfer in a material of the semiconductor layer is less than an energy level difference between a work function of a material which is used for the first electroless plating and the energy level of the molecular orbital. | 07-03-2014 |
20140183507 | ORGANIC FIELD-EFFECT TRANSISTOR - An organic transistor including at least one lower substrate made of plastic material, two electrodes, respectively a source electrode and a drain electrode, deposited on the plastic substrate, a semiconductor layer made of an organic semiconductor material and deposited on the electrodes and the plastic substrate, a dielectric layer deposited on the semiconductor layer, and a gate electrode formed on said dielectric layer. It further includes a porous layer extending between the plastic substrate and the semiconductor layer, said porous layer extending at least between the source and drain electrodes, to decrease the dielectric constant of the surface of said plastic substrate. | 07-03-2014 |
20140183508 | POLYMERIC LIGHT EMITTING SUBSTANCE AND POLYMER LIGHT EMITTING DEVICE USING THE SAME - A polymeric light emitting substance having a polystyrene reduced number-average molecular weight of from 10 | 07-03-2014 |
20140183509 | LIGHT-EMITTING ELEMENT AND DISPLAY DEVICE - There has been a problem that difference in refractive index between an opposite substrate or a moisture barrier layer provided thereover, and air is maintained large, and light extraction efficiency is low. Further, there has been a problem that peeling or cracking due to the moisture barrier layer is easily generated, which leads to deteriorate the reliability and lifetime of a light-emitting element. A light-emitting element comprises a pixel electrode, an electroluminescent layer, a transparent electrode, a passivation film, a stress relieving layer, and a low refractive index layer, all of which are stacked sequentially. The stress relieving layer serves to prevent peeling of the passivation film. The low refractive index layer serves to reduce reflectivity of light generated in the electroluminescent layer in emitting to air. Therefore, a light-emitting element with high reliability and long lifetime and a display device using the light-emitting element can be provided. | 07-03-2014 |
20140183510 | DISPLAY UNIT HAVING AN ARRANGEMENT OF A RETENTIVE CAPACITOR AND AN EL DEVICE OF EACH PIXEL WITH RESPECT TO ADJACENT PIXEL FOR LOW LIGHT LEAKAGE AMONG ADJACENT PIXELS - A display unit with which lowering of long-term reliability of a transistor is decreased is provided. The display unit includes a display section having a plurality of organic EL devices with light emitting color different from each other and a plurality of pixel circuits that are singly provided for every said organic EL device for every pixel. The pixel circuit has a first transistor for writing a video signal, a second transistor for driving the organic EL device based on the video signal written by the first transistor, and a retentive capacity, and out of the first transistor and the second transistor, a third transistor provided correspondingly to a second organic EL device adjacent to a first organic EL device is arranged farther from the first organic EL device than a first retentive capacity provided correspondingly to the second organic EL device out of the retentive capacity. | 07-03-2014 |
20140183511 | LIGHT-EMITTING DEVICE - There is provided an EL light-emitting device with less uneven brightness. When a drain current of a plurality of current controlling TFTs is Id, a mobility is μ, a gate capacitance per unit area is Co, a maximum gate voltage is Vgs | 07-03-2014 |
20140183512 | ORGANIC LIGHT-EMITTING DIODE HAVING DOPED LAYERS - Organic light-emitting diode comprising a lower electrode ( | 07-03-2014 |
20140183513 | FIELD-EFFECT TRANSISTOR COMPRISING A LEAKAGE-CURRENT LIMITER - A field-effect transistor including at least one lower substrate having two electrodes deposited thereon, respectively a source electrode and a drain electrode, a dielectric layer made of a dielectric material, and a gate electrode deposited on the dielectric layer. It includes an intermediate layer, made of a material comprising molecules having a dipole moment complying with specific direction criteria, deposited between the gate electrode and the dielectric layer, said intermediate layer extending at least under the entire surface area taken up by the gate electrode, the intermediate layer being made of an organic compound comprising at least one binding function for the gate electrode. | 07-03-2014 |
20140183514 | IMAGE SENSOR AND METHOD OF MANUFACTUING THE SAME - An image sensor and a method of manufacturing the same. The image sensor includes a plurality of photoelectric conversion units that are horizontally arranged and selectively emit electric signals by absorbing color beams. | 07-03-2014 |
20140183515 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A high-quality light emitting device is provided which has a long-lasting light emitting element free from the problems of conventional ones because of a structure that allows less degradation, and a method of manufacturing the light emitting device is provided. After a bank is formed, an exposed anode surface is wiped using a PVA (polyvinyl alcohol)-based porous substance or the like to level the surface and remove dusts from the surface. An insulating film is formed between an interlayer insulating film on a TFT and the anode. Alternatively, plasma treatment is performed on the surface of to the interlayer insulating film on the TFT for surface modification. | 07-03-2014 |
20140183516 | ELECTRONIC DEVICE - A method of fabricating an electronic device, such as an organic thin film transistor, is disclosed. A substrate, for example a silicate glass substrate, has a surface which supports at least one metallic electrode comprising at least one metal, for example gold, and at least a portion of the surface of the substrate is exposed. The method comprises selectively forming a self-assembled layer on the exposed portion of the substrate surface such that no self-assembled layer is formed on the at least one metallic electrode and applying a solution or other liquid which is repelled by the self-assembled layer to at least one metal electrode so as to selectively form a layer of further material, such as a charge injection promoting material, on the at least one metallic electrode. | 07-03-2014 |
20140183517 | MATERIAL FOR ORGANIC LIGHT-EMITTING DEVICE, AND ORGANIC LIGHT-EMITTING DEVICE USING SAME - The present specification provides an organic light emitting device comprising: a first electrode, a second electrode, and organic material layers formed of one or more layers comprising a light emitting layer disposed between the first electrode and the second electrode, wherein one or more layers of the organic material layers comprise the compound of Formula 1, or a compound in which a heat-curable or photocurable functional group is introduced into this compound. | 07-03-2014 |
20140191200 | Apparatus and Method for Making OLED Lighting Device - An apparatus for depositing one or more organic material layers of an OLED lighting device upon a first region of a substrate and one or more conducting layers upon a second region, wherein the conducting layers partially or completely cover and extend beyond one side of the organic layers, comprising: a reusable mask in contact with the substrate, at least one mask open area having an overhang feature; one or more sources of vaporized organic material, selected to form layers of the OLED lighting device, and the vaporized organic material plume is shaped, on the side corresponding to the mask overhang feature, so as to limit substantial transfer of organic material on said side to angles less than or equal to a selected cutoff angle to the first region; and one or more sources of vaporized conducting material that transfer conducting material to the second region, wherein the second region partially or completely overlaps the first region and extends beyond the first region on the side corresponding to the overhang feature of the mask. | 07-10-2014 |
20140191201 | Apparatus and Method for Making OLED Lighting Device - An apparatus for depositing one or more organic material layers of an OLED lighting device upon a first region of a substrate and one or more conducting layers upon a second region, wherein the conducting layers partially or completely cover and extend beyond one side of the organic layers, comprising: a reusable mask in contact with the substrate, at least one mask open area having an overhang feature; one or more sources of vaporized organic material, selected to form layers of the OLED lighting device, and the vaporized organic material plume is shaped, on the side corresponding to the mask overhang feature, so as to limit substantial transfer of organic material on said side to angles less than or equal to a selected cutoff angle to the first region; and one or more sources of vaporized conducting material that transfer conducting material to the second region, wherein the second region partially or completely overlaps the first region and extends beyond the first region on the side corresponding to the overhang feature of the mask. | 07-10-2014 |
20140191202 | OLED MICRO-CAVITY STRUCTURE AND METHOD OF MAKING - An organic light emitting diode, including: a substrate; a first cavity electrode in a first micro-cavity region of the substrate; a first transparent electrode of a first thickness in the first micro-cavity region, the first transparent electrode overlaps beyond a first side of the first cavity electrode; a first emissive layer in electrical connection with the first transparent electrode; and a cathode layer on the first emissive layer. | 07-10-2014 |
20140191203 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light-emitting display apparatus that includes a display substrate; a plurality of organic light-emitting devices (OLEDs) that are disposed on the display substrate and are separated by a pixel defining layer; an encapsulation substrate that is disposed facing the display substrate and covers the OLEDs; and a filling material that is disposed between the display substrate and the encapsulation substrate and disposed corresponding to the pixel defining layer, wherein the filling material includes a material that absorbs visible light and a method manufacturing the organic light-emitting display apparatus. | 07-10-2014 |
20140191204 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THEREOF - An organic light-emitting display apparatus includes: a display substrate; a plurality of organic light-emitting diodes (OLEDs) on the display substrate, the OLEDs being divided from one another by a pixel defining layer (PDL); an encapsulation substrate on the display substrate and covering the OLEDs; a filling material on the PDL and between the display substrate and the encapsulation substrate; and a cavity between the OLEDs and the encapsulation substrate. | 07-10-2014 |
20140191205 | BIPOLAR COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE EMPLOYING THE SAME - The present disclosure relates to a bipolar compound represented by the following formula (I); and an organic luminescent diode device containing the same. | 07-10-2014 |
20140191206 | Organic Light-Emitting Device Having Improved Efficiency Characteristics and Organic Light-Emitting Display Apparatus Including the Same - An organic light-emitting device includes: a first electrode, a second electrode facing the first electrode, a phosphorescent emission layer between the first electrode and the second electrode, an electron transportation layer between the phosphorescent emission layer and the second electrode, an electron control layer between the phosphorescent emission layer and the electron transportation layer, and an electron blocking layer between the phosphorescent emission layer and the first electrode. An organic light-emitting display apparatus includes the organic light-emitting device. | 07-10-2014 |
20140191207 | SILICON-BASED COMPOUND AND ORGANIC LIGHT EMITTING DIODE COMPRISING THE SAME - A silicon based compound and an organic light-emitting diode including the same. | 07-10-2014 |
20140191208 | CARBAZOLE-BASED COMPOUND AND ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME - A carbazole-based compound is represented by Formula 1 and may be used in the organic layer of an organic light-emitting diode. An organic light-emitting diode includes a first electrode, a second electrode, and an organic layer between the first and second electrodes. The organic layer includes an emission layer, and the carbazole-based compound of Formula 1 may be included in the emission. | 07-10-2014 |
20140191209 | ORGANIC LIGHT-EMITTING DEVICE AND OF PREPARING THE SAME - An organic light emitting diode (OLED) and a method of manufacturing the same. An auxiliary layer comprising a high density metallic compound and an emission layer are formed by a laser induced thermal imaging (LITI) process. The LITI process reduces manufacturing costs and time by eliminating the need for a mask patterning process. The metallic compound has a density of 2 g/cm | 07-10-2014 |
20140191210 | ORGANIC LIGHT-EMITTING DIODE DEVICE - An organic light-emitting diode device includes a substrate, a patterned anode layer, an organic semiconductor layer and a cathode layer. The patterned anode layer is disposed on the substrate. The organic semiconductor layer is disposed to cover an upper surface and sidewalls of the patterned anode layer and the substrate, wherein a thickness of the organic semiconductor layer is greater than three times of that of the patterned anode layer. The cathode layer is disposed to cover the organic semiconductor layer. | 07-10-2014 |
20140191211 | ELECTRODE SUBSTRATE AND PLANAR OPTOELECTRONIC DEVICE - Electrode substrate for an optoelectronic device having a fabric ( | 07-10-2014 |
20140191212 | SUBSTRATE WITH AN ELECTRODE FOR AN OLED DEVICE AND SUCH AN OLED DEVICE - A substrate carrying an OLED electrode, with a sheet resistance of less than 25 Ω/square, includes an electrically conducting coating, an essentially inorganic thin electrically conducting layer which is a work-function-matching layer and which exhibits a sheet resistance at least 20 times greater than the sheet resistance of the electrically conducting coating, with a thickness of at most 60 nm, and, between the electrically conducting coating and the work-function-matching layer, a thin buffer layer, which is essentially inorganic and which has a surface resistivity within a range from 10 | 07-10-2014 |
20140191213 | DYE DISPERSION LIQUID, PHOTOSENSITIVE RESIN COMPOSITION FOR COLOR FILTERS, COLOR FILTER, LIQUID CRYSTAL DISPLAY DEVICE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE - An object is to provide a photosensitive resin composition for color filters, configured to be able to form a high-luminance color layer with excellent heat resistance and light resistance. Disclosed is a photosensitive resin composition for color filters including the dye dispersion liquid, the dye dispersion liquid including a dye dispersed by a dispersant in a solvent, wherein the dye is a dye having a sulfonic acid group; the dispersant is a graft copolymer having an amine number of 30 mg KOH/g or more and including copolymerizable components that contain a specific monomer having a tertiary amine and a specific polymerizable oligomer; the solvent is a solvent having a solubility of the dye of 0.2 g/100 g solvent or less at 23° C.; and the tertiary amine of the dispersant and the sulfonic acid group of the dye form a micelle. | 07-10-2014 |
20140191214 | FLUORENE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A fluorene-based compound represented by Formula 1 below and an organic light-emitting device (OLED) including the fluorene-based compound. | 07-10-2014 |
20140191215 | ORGANIC EL DISPLAY DEVICE - An organic EL display device ensures brightness and improves a contrast ratio by a reduction in external light reflection. The organic EL display device includes a wavelength selective absorption filter that absorbs a light in a given absorption spectrum uniform in a display region. An absorption spectrum has a negative correlation with an outgoing spectrum in which respective spectrums of R pixels, G pixels, and B pixels are synthesized together. | 07-10-2014 |
20140191216 | ORGANIC EL DISPLAY DEVICE - An organic EL display device includes a first substrate, a plurality of organic EL devices arranged on the first substrate, a second substrate arranged above the first substrate, and a filling layer arranged between the first substrate and the second substrate, and displays an image on the second-substrate side. The organic EL display device is characterized in that: the organic EL devices each have a light-emission layer, a reflection electrode formed below the light-emission layer and reflecting light from the light-emission layer upwards, and an upper electrode formed above the light-emission layer and having a light transmission property and reflectivity; a structure for resonating the light emitted by the light-emission layer is formed between the reflection electrode and the upper electrode; and the filling layer includes fine particles for diffusing light exiting from the upper electrode added therein. | 07-10-2014 |
20140191217 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic electroluminescent display device includes an organic light emitting structure, a back light module, and a light control structure. The organic light emitting structure includes a first electrode, a second electrode, an organic light emitting layer, and a photo current sensitive layer. The back light module is disposed correspondingly to the organic light emitting structure so as to provide a light beam to the organic light emitting structure. The photo current sensitive layer is configured to absorb the light beam for generating an electrical current, and the electrical current is configured to drive the organic light emitting layer. The light control structure is disposed between the organic light emitting structure and the back light module as so to control amount of the light beam entering the organic light emitting structure. | 07-10-2014 |
20140191218 | X-RAY-SENSITIVE DEVICES AND SYSTEMS USING ORGANIC PN JUNCTION PHOTODIODES - An x-ray detector includes a first electrode, a second electrode spaced apart from the first electrode, an organic p-type semiconducting layer disposed between the first and second electrodes, and an organic n-type semiconducting layer disposed between the first and second electrodes and in contact with the organic p-type semiconducting layer to form a pn-junction layer therebetween. At least one of the organic p-type semiconducting layer or the organic n-type semiconducting layer includes an x-ray absorbing material blended therein. | 07-10-2014 |
20140191219 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode (OLED) display includes: pixel electrodes formed on a substrate; a pixel definition layer between the pixel electrodes and partitioning a pixel area; organic emission layers of a plurality of colors on the pixel electrodes; and a common electrode on the organic emission layers. The pixel definition layer includes a first pattern and a second pattern having different formation materials, thicknesses, and extension directions. | 07-10-2014 |
20140191220 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A light-emitting element of the present invention can have sufficiently high emission efficiency with a structure including a host material being able to remain chemically stable even if a phosphorescent compound having higher emission energy is used as a guest material. The relation between the relative emission intensity and the emission time of light emission obtained from the host material and the guest material contained in a light-emitting layer is represented by a multicomponent decay curve. The relative emission intensity of the slowest component of the multicomponent decay curve becomes 1/100 for a short time within a range where the slowest component is not interfered with by quenching of the host material (the emission time of the slowest component is preferably less than or equal to 15 μsec); thus, sufficiently high emission efficiency can be obtained. | 07-10-2014 |
20140191221 | PIEZOELECTRIC PRESSURE SENSOR - A pressure sensor including a lower substrate having two electrodes partially covered with a semiconductor layer and a piezoelectric layer made of a piezoelectric material, and in contact with the semiconductor layer in such a way that semiconductor material is in contact with the piezoelectric material and with the two electrodes, deposited thereon. The electrodes are intended to be connected to a voltage source or to a device for measuring the intensity of a current generated by the displacement of the electric charges in the semiconductor layer between the electrodes, said electric charges being created when a pressure is exerted on the piezoelectric layer. | 07-10-2014 |
20140191222 | RESIN COMPOSITION AND DISPLAY DEVICE USING THE SAME - The resin composition of the present invention is a resin composition characterized by including (a) a polyimide, a polybenzoxazole, a polyimide precursor or a polybenzoxazole precursor, (b) 1,5-dihydroxynaphthalene, 1,6-dihydroxynaphthalene, 1,7-dihydroxynaphthalene, or 2,3-dihydroxynaphthalene, and (c) a thermal cross-linking agent having a specific structure. By the use of the resin composition of the present invention, it is possible to reduce the transmittance in the visible region of a cured film while maintaining the transmittance of a resin film before curing. | 07-10-2014 |
20140191223 | GLASS FOR SCATTERING LAYER OF ORGANIC LED ELEMENT, LAMINATED SUBSTRATE FOR ORGANIC LED ELEMENT AND METHOD OF MANUFACTURING THE SAME, AND ORGANIC LED ELEMENT AND METHOD OF MANUFACTURING THE SAME - Glass for a scattering layer of an organic LED includes, as represented by mol percentage based on the following oxides, 26% to 43% of B | 07-10-2014 |
20140191224 | ORGANIC TRANSISTOR AND METHOD FOR MANUFACTURING SAME - An organic transistor is provided with: an insulating substrate; a pair of insulating pedestals ( | 07-10-2014 |
20140191225 | BISCARBAZOLE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME - A biscarbazole derivative is represented by the following formula (1). A | 07-10-2014 |
20140191226 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescent element having a structure in which a plurality of light-emitting layers stacked between a first electrode with light reflectivity and a second electrode with optical transparency while one or more interlayers with a light transmissive property are interposed between the plurality of light-emitting layers. A first interlayer is formed as the interlayer closest to the first electrode. A first light-emitting unit is formed between the first electrode and the first interlayer to include a first light-emitting layer which has a first light-emitting source, and a second light-emitting unit is formed on a side of the first interlayer close to the second electrode to include a second light-emitting layer which has a second light-emitting source. The first interlayer is a semi-transmissive layer which has both of optical transparency and light reflectivity and has a total light absorption ratio of 10% or less. | 07-10-2014 |
20140191227 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescent element includes a light-emitting layer between an anode and a cathode. The light-emitting layer contains a phosphorescent light-emitting organic metal complex and at least one host compound. The difference in relative dielectric constant between the host compound and the phosphorescent light-emitting organic metal complex is 0 to −0.5, and the difference in dipole moment between the host compound and the phosphorescent light-emitting organic metal complex is 0 to −5.5 debye. | 07-10-2014 |
20140197378 | DEUTERATED COMPOUNDS FOR LUMINESCENT APPLICATIONS - This invention relates to deuterated compounds that are useful in electroluminescent applications. It also relates to electronic devices in which the active layer includes such a deuterated compound. | 07-17-2014 |
20140197379 | OLED PIXEL STRUCTURE AND OLED PANEL - The present disclosure provides an organic light emitting diode (OLED) pixel structure and an OLED panel. The pixel structure comprises a plurality of colored light emitting zones arranged in parallel. The colored light emitting zone of each color is divided into a second zone, a first zone, and a third zone. A distance is set between the second zone and the first zone, and a distance is set between the second zone and the third zone. Anodes of the first zone, the second zone, and the third zone are connected with a first reference voltage by a thin film transistor (TFT), a cathode of the second zone is connected to a second reference voltage, a cathode of the first zone is connected to a first interface, and a cathode of the third zone is connected to a second interface. | 07-17-2014 |
20140197380 | DISPLAY DEVICE AND DISPLAY APPARATUS INCLUDING THE SAME - A display device and a display apparatus including the same are disclosed. The display device includes a first substrate, a second substrate positioned opposite the first substrate, a seal member which attaches the first substrate to the second substrate and seals a display area positioned on the first substrate or the second substrate, and a plurality of pixels which are positioned in the display area and each include an organic light emitting layer. Each of the first and second substrates includes two first straight sides extending in a first direction, two second straight sides extending in a second direction crossing the first direction, and four edges where the two first straight sides and the two second straight sides intersect. The four edges of each of the first and second substrates are formed as curved edges. | 07-17-2014 |
20140197381 | ORGANIC LIGHT-EMITTING DIODE COMPRISING AMINE-BASED COMPOUNDS AND PYRENE-BASED COMPOUNDS - An organic light-emitting diode includes a first electrode, a second electrode, and an organic layer disposed between the first electrode and the second electrode. The organic layer includes an emission layer. The organic layer also includes at least one amine-based compound and at least one pyrene-based compound. The organic layer may include a first emission layer and a second emission layer, and the amine-based compound may be in the first emission layer and the pyrene-based compound may be in the second emission layer. | 07-17-2014 |
20140197382 | THIN FILM TRANSISTOR AND DISPLAY SUBSTRATE HAVING THE SAME - A display substrate includes a base substrate, a semiconductor active layer disposed on the base substrate, a gate insulating layer disposed on the semiconductor active layer, a first conductive pattern group disposed on the gate insulating layer and including at least a gate electrode, a second conductive pattern group insulated from the first conductive pattern group and including at least a source electrode, a drain electrode, and a data pad. The second conductive pattern group includes a first conductive layer and a second conductive layer disposed on the first conductive layer to prevent the first conductive layer from being corroded and oxidized. | 07-17-2014 |
20140197383 | Organic Light-Emitting Diode Comprising Amine-Based Compounds and Anthracene-Based Compounds - An organic light-emitting diode includes a first electrode, a second electrode, and an organic layer disposed between the first electrode and the second electrode. The organic layer includes an emission layer. The organic layer also includes at least one amine-based compound and at least one anthracene-based compound. The organic layer may include a first emission layer and a second emission layer, and the amine-based compound may be in the first emission layer and the anthracene-based compound may be in the second emission layer. | 07-17-2014 |
20140197384 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE COMPRISING THE SAME - A condensed cyclic compound represented by Formula 1 below and an organic light-emitting device (OLED) including the condensed cyclic compound are presented. | 07-17-2014 |
20140197385 | High Resolution Organic Light-Emitting Diode Devices, Displays, and Related Methods - A method of manufacturing an organic-light emitting diode (OLED) display can include providing on a substrate a first electrode associated with a first sub-pixel and a second electrode associated with a second sub-pixel, wherein a gap is formed between the first electrode and the second electrode and wherein the first electrode and the second electrode are positioned in a well having boundaries defined by a confinement structure on the substrate. The method can also include depositing in the well with the electrodes positioned therein, active OLED material to form a substantially continuous layer of active OLED material that spans the boundaries of the well such that a surface of the layer of active OLED material that faces away from the substrate has a non-planar topography. The depositing can be via inkjet printing. | 07-17-2014 |
20140197386 | MATERIAL FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME, AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A material for an organic optoelectronic device including a first compound represented by Chemical Formula A-1 and a second compound represented by Chemical Formula B-1: | 07-17-2014 |
20140197387 | NANOCOMPOSITE, METHOD OF PREPARING THE SAME, AND SURFACE LIGHT EMITTING DEVICE USING THE SAME - Provided is a nanocomposite including a matrix resin including a polyimide, and a surface-modified inorganic oxide nanoparticle dispersed in the matrix, wherein the surface-modified inorganic oxide nanoparticle includes an inorganic oxide nanoparticle, a first functional group modifying a surface of the inorganic oxide nanoparticle and having an imide backbone, and a second functional group modifying a surface of the inorganic oxide nanoparticle and binding to the matrix resin. | 07-17-2014 |
20140197388 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY PANEL - An organic light-emitting diode (OLED) display panel comprises: a plurality of pixel units arranged in an array, in which each pixel unit includes an organic light-emitting element provided with a first light-emitting surface and a second light-emitting surface; and light shield layers configured to shield at least the first light-emitting surfaces of organic light-emitting elements of a portion of the pixel units. The OLED display panel combines the double-faced organic light-emitting elements and the light shield layers to simply achieve double emission display. | 07-17-2014 |
20140197389 | OLED HAVING MULTI-COMPONENT EMISSIVE LAYER - Organic light-emitting devices having a multi-component organic electroluminescent layer. The organic electroluminescent layer comprises a phosphorescent dopant and a host material that is a mixture of at least three different compounds: a wide band gap host compound, an electron-transporting host compound, and a hole-transporting host compound. Use of such a multi-component organic electroluminescent layer may improve device efficiency and lifetime. | 07-17-2014 |
20140197390 | Organic light emitting device with improved light extraction - The invention provides an organic light-emitting device (OLED) comprising: a transparent substrate; a first, transparent electrode layer arranged on said substrate; one or more organic light-active layers arranged on said first electrode layer; a second electrode layer arranged on said one or more organic light-active layers; and a discontinuous layer comprising discrete, randomly distributed nanometer-sized domains of a low refractive index material, arranged between said first electrode layer and said one or more organic light-active layers. The discontinuous layer of discrete, randomly distributed nano-sized domains increases the light extraction efficiency of the OLED. | 07-17-2014 |
20140197391 | ORGANIC ELECTROLUMINESCENT PANEL AND METHOD FOR PRODUCING THE SAME - A method for producing an organic electroluminescent panel having an organic layer formed by a coating step and a large aperture ratio includes: a first step of arraying and distributing a plurality of first electrodes on or above a substrate; a second step of forming stacking bodies each composed of a plurality of organic-emitting layer on the top face of each of the first electrodes; and a third step of forming organic electroluminescent elements by forming second electrodes respectively on or above the stacking bodies. In the first step, a conductive body having an angled edge portion forming a edge line surrounding the top face itself is used. The second step includes a step of supplying at least a liquid having a light-emitting organic material that is ejected from a nozzle and supplied in a fine flow shape to the organic layer on the top face. | 07-17-2014 |
20140197392 | TRIPHENYLENO-BENZOFURAN COMPOUND AND ORGANIC LIGHT EMITTING ELEMENT INCLUDING THE SAME - An organic light emitting element which realizes a high efficiency and long-life light emission is provided. An organic compound represented by the general formula [1] described in Claim | 07-17-2014 |
20140197393 | HYDROCARBON-BASED FUSED RING COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - The present invention relates to a hydrocarbon-based fused ring compound and an organic light emitting device including the same. | 07-17-2014 |
20140197394 | ORGANIC LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREFOR - Provided is an organic light-emitting device including a display region provided with an organic light-emitting device provided on a substrate, where the organic light-emitting device includes: a first electrode provided on the substrate; a hole injection layer provided on the first electrode; an organic compound layer including a light-emitting layer, which is provided on the hole injection layer; and a second electrode provided on the organic compound layer, the hole injection layer is a layer including an organic compound having an electron-withdrawing substituent, and the organic compound layer coats an end of the hole injection layer, which is provided outside the display region. | 07-17-2014 |
20140197395 | ORGANIC LUMINESCENT DISPLAY DEVICE - An organic luminescent display device according to the invention includes: an element substrate; an organic film; and an organic electro luminescent element formed on the organic film. The organic electro luminescent element includes: an anode formed on the organic film; a light emitting layer formed on the anode; and a cathode formed on the light emitting layer. The anode includes: an adhesion layer formed in contact with an upper surface of the organic film; a reflection layer and formed in contact with an upper surface of the adhesion layer; and a light transmitting contact layer formed on the reflection layer. An edge portion of an outer periphery of the adhesion layer is positioned outside an edge portion of an outer periphery of the reflection layer as viewed in a plan view. | 07-17-2014 |
20140197396 | High Resolution Organic Light-Emitting Diode Devices, Displays, and Related Method - In accordance with an exemplary embodiment of the present disclosure, a method of manufacturing an organic light-emissive display can be provided. A plurality of electrodes can be provided on a substrate. A first hole conducting layer can be deposited via inkjet printing over the plurality of electrodes on the substrate. A liquid affinity property of selected surface portions of the first hole conducting layer can be altered to define emissive layer confinement regions. Each emissive layer confinement region can have a portion that respectively corresponds to each of the plurality of electrodes provided on the substrate. An organic light-emissive layer can be deposited via inkjet printing within each emissive layer confinement region. | 07-17-2014 |
20140197397 | ORGANIC SEMICONDUCTOR THIN FILM TRANSISTOR AND METHOD OF FABRICATING THE SAME - A substrate having a thin film transistor includes a buffer layer on a substrate, source and drain electrodes on the buffer layer, a portion of the buffer layer exposed between the source and drain electrodes, a small organic semiconductor layer on the source electrode and the drain electrode, the organic semiconductor layer contacting the exposed portion of the buffer layer, a gate insulating layer on the organic semiconductor layer, the gate insulating layer having substantially the same size as the organic semiconductor layer, a gate electrode on the gate insulating layer, a passivation layer over the surface of the substrate including the gate electrode; and a pixel electrode on the passivation layer, the pixel electrode electrically connected to the drain electrode. | 07-17-2014 |
20140197398 | DOPANT INJECTION LAYERS - The present invention uses an isopotential source layer for an electronic device, wherein the source layer provides ions of charge to be preferentially injected into an active layer of the electronic device, such that a charge of the injected ions has the same sign as the sign of a relative bias applied to the isopotential source layer. The source layer may comprise a composite ionic dopant injection layer having at least one component that has a relatively high diffusivity for ions. The composite ionic dopant injection layer may comprise metallic conductive particles and an ion supporting matrix. The composite ionic dopant injection layer may also comprise a continuous metallic conductive network and an ion supporting matrix. The metallic network comprises metallic nanowires or conductive nanotubes. The ion supporting matrix may comprise a conductive polymer. | 07-17-2014 |
20140197399 | ORGANIC ELECTROLUMINESCENT ELEMENT, LIGHTING DEVICE AND DISPLAY DEVICE - Provided are: an organic electroluminescent element that has high charge injection/transport performance and a long service life, with little change in driving voltage over time; a manufacturing method; a lighting device; and a display device. An organic EL element according to the present invention comprises a plurality of organic compound layers that include a hole transport layer, a light emitting layer, and an electron transport layer. This organic EL element is characterized in that (1) the hole transport layer and the electron transport layer are each adjacent to the light emitting layer, and the light emitting layer contains a phosphorescent light-emitting organic metal complex compound; (2) the Tg of a hole transport material having the highest constituent ratio among the constituents of the hole transport layer is higher that the Tg of a host material having the highest constituent ration among the constituents of the light emitting layer; and (3) the Tg of an electron transport material having the highest constituents ratio among the constituents of the electron transport layer is higher than the Tg of the host material having the highest constituent ration among the constituents of the light emitting layer. | 07-17-2014 |
20140197400 | SOLID-STATE COMPOSITIONS AND METHODS FOR GENERATING WHITE LIGHT - This application discloses a new family of inorganic-organic hybrid semiconductor bulk materials built on periodic nanostructured 2D layers of ZnS that emit bright white light with high quantum efficiency. These ZnS-based crystalline inorganic-organic hybrid semiconductors have well defined and precisely controllable crystal structure and composition. Their optical emission properties, including intensity, quantum yield, and color quality, can be systematically tuned by varying the composition of both inorganic and organic components. Methods for preparing these materials, use of these materials as a new type of single-phased white light emitting phosphors, and their applications in making WLED devices are also disclosed. | 07-17-2014 |
20140197401 | ORGANIC ELECTROLUMINESCENCE DEVICE - The present invention relates to organic electroluminescent devices which comprise a mixture of at least two materials in the electron-transport layer. | 07-17-2014 |
20140197402 | MATERIAL FOR ORGANIC LIGHT-EMITTING DEVICE, AND ORGANIC LIGHT-EMITTING DEVICE USING SAME - The present invention provides an organic light emitting device including a dibenzothiophene-based compound and an organic material layer composed of one more layers including a first electrode, a second electrode and a light emitting layer disposed between the first electrode and the second electrode, in which one or more layers of the organic material layer include the dibenzothiophene-based compound of Formula 1 or a compound in which a heat curable or photo curable functional group is introduced into the dibenzothiophene-based compound. | 07-17-2014 |
20140197403 | LIGHT EMISSION DEVICE - A light emission device includes: an organic electroluminescent element including and second electrodes; a wiring board including first and second patterned conductors; and first and second connection parts. The first and the second electrodes include first and second extended portions. The first and the second extended portions overlap the first and the second patterned conductors, respectively. The first connection part includes a first through-hole wire and a first protrusion electrode protruding from the first patterned conductor to be inside the first through-hole wire so as to be electrically connected to the first through-hole wire. The second connection part includes a second through-hole wire and a second protrusion electrode protruding from the second patterned conductor to be inside the second through-hole wire so as to be electrically connected to the second through-hole wire. | 07-17-2014 |
20140203244 | HIGH RESOLUTION LOW POWER CONSUMPTION OLED DISPLAY WITH EXTENDED LIFETIME - Arrangements of pixel components that allow for full-color devices, while using emissive devices that emit at not more than two colors, and/or a limited number of color altering layers, are provided. Devices disclosed herein also may be achieved using simplified fabrication techniques compared to conventional side-by-side arrangements, because fewer masking steps may be required. | 07-24-2014 |
20140203245 | Active Matrix Organic Light Emitting Diode Display Having Variable Optical Path Length for Microcavity - An organic light emitting diode display includes an array of pixels on a substrate. Each pixel includes three sub-pixels that emits light of different wavelengths from each other. The display includes thin film transistors (TFTs) for the sub-pixels on the substrate. Each TFT is separated from each other by a first pixel defining layer. The display also includes a first pixel electrode connected to the TFT for each sub-pixel, a tuning layer on the first pixel electrode, where the tuning layer has a thickness for each sub-pixel such that each sub-pixel has a optical-path length different from another sub-pixel. The display further includes an organic light emitting layer disposed over the tuning layer, and a second pixel defining layer covering a first end of the tuning layer and a second end of the tuning layer opposing to the first end of the tuning layer, and exposing the light emitting layer. | 07-24-2014 |
20140203246 | Diode and Display Panel - The present invention provides a diode and display panel, which includes: cathode and anode; wherein, cathode and anode being disposed relatively. Electron transport layer is disposed between cathode and anode. Electron transport layer is doped with alkali metal compounds, which is a material used to form electron injection layers. Alkali metal compounds comprise at least one of the materials from lithium metaborate, potassium silicate, lithium tetra (8-hydroxyquinolinato) boron, and alkali metal acetate. The present invention improves the light-emitting performance, lowers operating voltage, simplifies the manufacturing process, and increases the yield rate; as a result, to reduce the cost of diodes. | 07-24-2014 |
20140203247 | THIN LAYER DEPOSITION APPARATUS UTILIZING A MASK UNIT IN THE MANUFACTURE OF A DISPLAY DEVICE - A mask unit for depositing a thin layer in a display device. The mask unit includes: a bead mask support which includes a plate; and a bead mask which is placed on the bead mask support. | 07-24-2014 |
20140203248 | OLED DISPLAY STRUCTURE AND OLED DISPLAY DEVICE - Disclosed are an OLED display structure and an OLED display device having the OLED display structure. The OLED display structure comprises: a substrate ( | 07-24-2014 |
20140203249 | Quasi-Surface Emission Vertical-Type Organic Light-Emitting Transistors And Method Of Manufacturing The Same - An organic light-emitting transistor may include a mesh-type source electrode having a plurality of apertures in an array pattern. The mesh-type source electrode may be located between the gate electrode and the drain electrode. The organic light-emitting transistor adopting a mesh-type source electrode may show quasi-surface emission characteristics similar to that of the organic light-emitting diode. Moreover, an aperture ratio, brightness, and light emission efficiency of the organic light-emitting transistor may be superior to those of an organic light-emitting diode. Another advantage is that the production cost may be reduced since an additional driving element such as a thin-film-transistor is not needed. | 07-24-2014 |
20140203250 | FABRICATION APPARATUS FOR FABRICATING A PATTERNED LAYER - The invention relates to a fabrication apparatus for fabricating a patterned layer ( | 07-24-2014 |
20140203251 | Novel Compound and Organic Electronic Device Comprising the Compound - Provided are a novel compound and an organic electronic device (OED) including the same. The novel compound has better hole injection and hole transport properties than a conventional material, and thus may enhance thermal stability and efficiency when used as a material for a hole injection or hole transport layer of the OED. | 07-24-2014 |
20140203252 | ORGANIC ELECTROLUMINESCENT ELEMENT, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND LIGTH EMITTING DEVICE, DISPLAY DEVICE AND LIGHTING DEVICE EACH USING ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescent element which has a substrate, a pair of electrodes disposed on this substrate and composed of an anode and a cathode, and at least one organic layer disposed between these electrodes and including a light-emitting layer, and in which a compound expressed by General Formula 1-1 is contained in at least one layer of the aforementioned light-emitting layer(s) exhibits high luminous efficiency, excellent blue color purity, and little change in chromaticity accompanying drive deterioration. (R | 07-24-2014 |
20140203253 | Organic Light Emitting Diode, Method for Producing an Organic Light Emitting Diode and Module Comprising at Least Two Organic Light Emitting Diodes - An organic light emitting diode includes a substrate and an organic layer sequence, which generates electromagnetic radiation during operation. The organic layer sequence is arranged in a central region of the substrate A metallization is arranged in an edge region of the substrate and is designed for making electrical contact with the organic layer sequence. A separately produced metallic contact structure is cohesively and electrically conductively connected to the metallization by a joining process based on ultrasonic technology. | 07-24-2014 |
20140203254 | Organic Electronic Component - The invention relates to an organic electron component having a first electrode, a second electrode, a channel layer comprising an organic semiconducting material and a dopant material. | 07-24-2014 |
20140203255 | ORGANIC LIGHT-EMITTING ELEMENT, METHOD FOR MANUFACTURING ORGANIC LIGHT-EMITTING ELEMENT, DISPLAY DEVICE AND ILLUMINATION DEVICE - An organic light-emitting element ( | 07-24-2014 |
20140203256 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a first electrode, a second electrode facing the first electrode, an organic light emitting layer disposed between the first and second electrodes, a first auxiliary structure and a second auxiliary structure both of which are disposed between the first and second electrodes. The first electrode is disposed on a substrate having a first sub-pixel region, a second sub-pixel region and a third sub-pixel region. The organic light emitting layer includes a first organic light emitting layer, a second organic light emitting layer and a third organic light emitting layer. The first auxiliary structure includes a first doping pattern, a first resonance auxiliary pattern, a second doping pattern and a second resonance auxiliary pattern. The second auxiliary structure includes a third doping pattern, a third resonance auxiliary pattern, a fourth doping pattern and a fourth resonance auxiliary pattern. | 07-24-2014 |
20140203257 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME, AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device represented by Chemical Formula 1: | 07-24-2014 |
20140203258 | ELECTROLUMINESCENT DEVICE AND METHOD FOR PRODUCING THE SAME - An electroluminescent device, comprising: a substrate; a first electrode and a second electrode disposed on the substrate; and an electroluminescent layer sandwiched between the first electrode and the second electrode, wherein at least one of the first and second electrodes is configured to have a grating structure; and wherein the grating structure has a grating period within a range of 0.9˜1.1 times of a wavelength of a light wave generated in the electroluminescent layer. | 07-24-2014 |
20140203259 | HOST FOR ORGANIC LIGHT EMITTING DEVICES - A first device comprising a first organic light emitting device (OLED) is described. The first OLED includes an anode, a cathode, and an emissive layer disposed between the anode and the cathode. The emissive layer includes a phosphorescent emissive dopant and a host material. The host material includes inorganic nanocrystals where (i) at least 50% of ligands bonded to said nanocrystals are compact ligands, (ii) an average interparticle distance between adjacent nanoparticles is ≦1 nm, or (iii) both. Also described are a method of making the emissive layer and a composition that includes the phosphorescent emissive dopant with the host materials that include the electronically-coupled inorganic nanocrystal host material. | 07-24-2014 |
20140203260 | DISPLAY DEVICE - A display device includes contact holes opened in an insulating film outside of a display area in which pixels are arranged, and having a conductive film exposed in bottom portions, a first metal film formed to cover the contact holes and come in contact with the conductive film of the bottom portions, and a transparent conductive film formed on the first metal film. | 07-24-2014 |
20140203261 | ORGANIC LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME - Provided is an organic light emitting diode which can easily control color coordinates and improve a device's life span characteristic by using an auxiliary dopant having a higher band gap energy than that of a host, and preferably, having an absolute value of the highest occupied molecular orbital energy level equal to or higher than that of the host, or an absolute value of the lowest unoccupied molecular orbital energy level equal to or lower than that of the host. | 07-24-2014 |
20140203262 | ORGANIC LIGHT EMITTING DISPLAY - An organic light emitting display (OLED) is disclosed. The OLED includes a storage capacitor formed in a first region of the substrate, a thin film transistor formed in a second region of the substrate, a first data line capacitor formed in a third region of the substrate, an organic light emitting diode formed on the storage capacitor and the thin film transistor, and a second data line capacitor formed on the data line capacitor. | 07-24-2014 |
20140203263 | SWITCHABLE MEMORY DIODES BASED ON FERROELECTRIC/CONUUGATED POLYMER HETEROSTRUCTURES AND/OR THEIR COMPOSITES - An embodiment of the present memory cell a first layer of a chosen conductivity type, and a second layer which includes ferroelectric semiconductor material of the opposite conductivity type, the layers forming a pn junction. The first layer may be a conjugated semiconductor polymer, or may also be of ferroelectric semiconductor material. The layers are provided between first and electrodes. In another embodiment, a single layer of a composite of conjugated semiconductor polymer and ferroelectric semiconductor material is provided between first and second electrodes. The various embodiments may be part of a memory array. | 07-24-2014 |
20140203264 | PATTERNING SLIT SHEET ASSEMBLY, ORGANIC LAYER DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS, AND THE ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A patterning slit sheet assembly for performing a deposition process to form a thin film on a substrate in a desired fine pattern. The patterning slit sheet assembly includes a patterning slit sheet having a plurality of slits, a frame combined with the patterning slit sheet to support the patterning slit sheet, and a support unit including an upper member that is allowed to be moved or fixed to support the patterning slit sheet when a gravitational force is applied to the patterning slit sheet and a lower member disposed more apart from the patterning slit sheet than the upper member, wherein the upper member is fixed on the lower member. | 07-24-2014 |
20140203265 | ORGANIC LUMINESCENT MATERIALS, COATING SOLUTION USING SAME FOR ORGANIC - It is an object of the present invention to provide an organic light-emitting device which can emit white light by easily controlling dopant concentrations. The organic light-emitting device has a first electrode ( | 07-24-2014 |
20140203266 | LIGHT EMITTING DEVICE AND ELECTRONIC DEVICE - Color purity of a light emitting element is improved without an adverse effect such as reduction in voltage and luminance efficiency. The light emitting element has a light emitting laminated body including a light emitting layer between a pair of electrodes. A buffer layer is provided to be in contact with at least one of the electrodes. One of the electrodes is an electrode having high reflectance and the other is a translucent electrode. By employing a translucent electrode, light can be transmitted and reflected. An optical distance between the electrodes is adjusted in accordance with a thickness of the buffer layer, and accordingly, light can be resonated between the electrodes. The buffer layer is made of a composite material including an organic compound and a metal compound; therefore, voltage and luminance efficiency of the light emitting element is not affected even if a distance between the electrodes becomes long. | 07-24-2014 |
20140203267 | Photovoltaic Device - A photovoltaic device comprising a first electrode, a second electrode, an active layer between the two electrodes and an interlayer between the active layer and at least one of the electrodes. The interlayer is a conjugated polymer which is preferably in the amorphous phase. The device shows significantly improved voltage-current characteristics compared to prior art devices and is particularly suitable as a low light level detector. | 07-24-2014 |
20140203268 | HETEROLEPTIC IRIDIUM COMPLEX - Novel compounds comprising heteroleptic iridium complexes are provided. The compounds have a particular combination of ligands which includes a single pyridyl dibenzo-substituted ligand. The compounds may be used in organic light emitting devices, particularly as emitting dopants, to provide devices having improved efficiency, lifetime, and manufacturing. | 07-24-2014 |
20140203269 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic electroluminescent device (organic EL device) that is improved in luminous efficiency, sufficiently secures driving stability, and has a simple construction. The organic electroluminescent device includes an organic layer including a light-emitting layer between an anode and cathode laminated on a substrate, and at least one layer of the organic layer contains a carbazole compound represented by the following formula (1). It is advantageous to incorporate the carbazole compound as a host material into the light-emitting layer. In the formula (1), A represents a direct bond or an n-valent group, E represents oxygen or sulfur, and n represents an integer of 2 to 4. | 07-24-2014 |
20140203270 | ORGANIC ELECTROLUMINESCENT ELEMENT MATERIAL HAVING SILICON-CONTAINING FOUR MEMBERED RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT ELEMENT - Provided are a material for an organic electroluminescent element formed of a silicon-containing four-membered ring compound, and an organic electroluminescent element using the material. The material for an organic electroluminescent element is formed of a compound represented by the following formula (1) and is used for, for example, a light-emitting layer containing a phosphorescent light-emitting dopant in an organic electroluminescent element. In the formula, X represents nitrogen or phosphorus, L's each represent an (n+1)-valent aromatic hydrocarbon group or aromatic heterocyclic group, and at least one of the L's represents an aromatic heterocyclic group. A | 07-24-2014 |
20140203271 | ORGANIC LIGHT-EMITTING PANEL AND METHOD FOR PRODUCING SAME - An organic light-emitting panel includes a reflective electrode, a functional layer, having a single or multi-layer structure, located on the reflective electrode, an organic light-emitting layer located on the functional layer, a transparent electrode located above the organic light-emitting layer, a low refractive index layer located on the transparent electrode, and a first thin-film sealing layer located on the low refractive index layer. The low refractive index layer has a lower refractive index than both the transparent electrode and the first thin-film sealing layer. Difference between respective refractive indices of the low refractive index layer and the transparent electrode is 0.4-1.1. Difference between respective refractive indices of the low refractive index layer and the first thin-film sealing layer is 0.1-0.8. The low refractive index layer has thickness of 20-130 nm. | 07-24-2014 |
20140203272 | ORGANIC LIGHT EMITTING DIODE - The present invention relates to an organic light emitting diode and a method of manufacturing the same. An organic light emitting diode according to the present invention comprises an exciton blocking layer comprising a compound represented by Formula 1 to confine an exciton to a light emitting layer to prevent light emitting leakage, and thus there is an effect of implementing an organic electroluminescence diode having excellent light emitting efficiency. Further, even though there is no separate electron injection layer, electron injection and light transport characteristics are excellent by comprising an electron transport layer comprising a compound represented by Formula 2 or 3, and thus it is possible to implement an organic light emitting diode having a simple and economical manufacturing process, a low voltage, high efficiency, and a long life span as compared to the related art. | 07-24-2014 |
20140203273 | ORGANIC ELECTROLUMINESCENCE ELEMENT - The present invention relates to an organic electroluminescence element including a transparent electrode, a light-reflective electrode, and an organic layer including a light-emitting layer and being between the transparent electrode and the light-reflective electrode. The organic layer includes a scattering layer for scattering light from the light-emitting layer. A standing wave results from interference of the light from the light-emitting layer. A center position of a thickness of the scattering layer is at a particular position. A maximum intensity of the standing wave at the particular position is 80% or more of a peak value of an intensity of the standing wave. | 07-24-2014 |
20140209866 | ORGANIC COMPOUND FOR ORGANIC ELECTROLUMINESCENT DEVICE - The present invention discloses a novel organic compound is represented by the following formula(A), the organic EL device employing the compound as blue emitting layer can lower driving voltage, prolong half-lifetime and increase the efficiency. | 07-31-2014 |
20140209867 | Sulfonyl Group-Contained Compound and Organic Electroluminescent Device Using Sulfonyl Group-Contained Compound and Preparation Method Thereof - The present invention provides a sulfonyl group-contained compound, organic electroluminescent device using the same, and a preparation method thereof. The sulfonyl group-contained compound is presented by the following formula: | 07-31-2014 |
20140209868 | ORGANIC LIGHT EMITTING DIODE DISPLAY APPARATUS AND PIXEL CIRCUIT THEREOF - An organic light emitting diode display apparatus and a pixel circuit thereof are provided. The pixel circuit includes a switch unit, a capacitor, a first transistor, a second transistor, a third transistor and an organic light emitting diode. In a pre-charging period, a first terminal of the capacitor receives a data voltage through the switch unit, and a second terminal of the capacitor receives a high voltage through the turned-on first transistor. In a programming period, the first terminal of the capacitor receives the data voltage through the switch unit, and the second terminal of the capacitor receives the high voltage that is encoded through the turned-on second and third transistors. In a display period, the first terminal of the capacitor receives a ground voltage through the switch unit, and the first and third transistors are turned-off. | 07-31-2014 |
20140209869 | SILICON-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE COMPRISING THE SAME - A silicon-based compound represented by Formula 1 below and an organic light-emitting device including the silicon-based compound are provided. | 07-31-2014 |
20140209870 | ORGANIC ELECTROLUMINESCENT DEVICE AND MANUFACTURING METHOD THEREOF - An organic electroluminescent device includes a plurality of main pixels. Each of the main pixels includes red, green, and blue sub-pixels. Each of the sub-pixels includes a first electrode, a second electrode opposite to the first electrode, and an organic light emitting layer between the first and second electrode. The organic light emitting layer of each of the sub-pixels includes a blue light emitting layer and a green light emitting layer. Optical microresonator distances of the red, green, and blue sub-pixels are different from each other. | 07-31-2014 |
20140209871 | NOVEL HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Provided is a heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the heterocyclic compound of Formula 1: | 07-31-2014 |
20140209872 | COMPOUND, ORGANIC LIGHT EMITTING DEVICE INCLUDING THE COMPOUND, AND FLAT DISPLAY DEVICE INCLUDING THE ORGANIC LIGHT EMITTING DEVICE - A compound having an electron injection capability and/or electron transport capability represented by Formula 1, an organic light emitting device including the compound; and a flat display device including the organic light emitting device. | 07-31-2014 |
20140209873 | ORGANOMETALLIC COMPLEX AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - Embodiments are directed to an organometallic complex and an organic light-emitting diode including the organometallic complex. The organometallic complex may be represented by Formula 1: | 07-31-2014 |
20140209874 | ORGANIC LIGHT EMITTING DIODE - Provided is an organic light emitting diode that is highly efficient and has a long lifespan. The organic light emitting diode includes a carbazole-based compound for improving light emission efficiency. In certain embodiments an electron transport layer can include an anthraces-based compound. The organic light emitting diode may be included in a flat display diode including a thin film transistor (TFT). | 07-31-2014 |
20140209875 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a compound represented by Formula 1 below: | 07-31-2014 |
20140209876 | SEMICONDUCTOR DEVICE AND SEMICONDUCTOR UNIT INCLUDING THE SAME - A solid-state image pickup unit including a pixel section having a plurality of unit pixels two-dimensionally arranged in a matrix formation, wherein a unit pixel includes a conductive region of a first conductivity type having a surface adjacent to a multilayer wiring layer, a charge accumulation region of a second conductivity type formed within the first conductive region, wherein the charge accumulation region is separated from the surface of the conductive region adjacent to the multilayer wiring layer by a separation section, and a contact disposed in the conductive region, the contact electrically connecting the charge accumulation region and an external wire of the multilayer wiring layer. | 07-31-2014 |
20140209877 | TFT Substrate Including Barrier Layer, Organic Light-Emitting Display Device Including the TFT Substrate, and Method of Manufacturinq the TFT Substrate - A thin-film transistor (TFT) substrate includes a flexible substrate. A first barrier layer is formed on the flexible substrate. The first barrier layer includes a first silicon oxide layer and a first silicon nitride layer. A second barrier layer is formed on the first barrier layer. The second barrier layer includes a second silicon oxide layer and a second silicon nitride layer. A TFT layer is formed on the second barrier layer. The second silicon oxide layer is disposed adjacent to the TFT layer. | 07-31-2014 |
20140209878 | AMINE-BASED COMPOUND AND ORGANIC LIGHT EMITTING DIODE COMPRISING THE SAME - An amine-based compound and an organic light-emitting diode including the same are provided. | 07-31-2014 |
20140209879 | PREPARATION AND PROPERTIES OF PERYLENE AND NAPHTHALENE POLYIMIDES AND OTHER RIGID CONJUGATED POLYMERS VIA SOLUBLE AMIDE-ESTER PRECURSOR - This invention relates to a method for making soluble precursors to imides, polyimides, and polymers containing imide groups, and a method of making thin films of the same by solution casting and then removing the solubilizing group to produce thermally stable and insoluble materials. | 07-31-2014 |
20140209880 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode includes a substrate, a first electrode, a second electrode facing the first electrode, and an organic layer between the first electrode and the second electrode. The organic layer includes an emission layer, and the emission layer includes a first compound represented by Formula 1 and a second compound represented by Formula 100. | 07-31-2014 |
20140209881 | Self-Light Emitting Device and Electrical Appliance Using the Same - A self-light emitting device and an electrical appliance including the same are provided, in which extracting efficiency of light from a light emitting element, especially in an EL element, can be improved. A light scattering body formed by etching a transparent film is provided on an insulator so that the extracting efficiency of light can be improved, and the self-light emitting device with high efficiency of light emission can be provided. | 07-31-2014 |
20140209882 | SELF-LIGHT EMITTING DISPLAY UNIT AND ELECTRONIC DEVICE - A self-light emitting display unit capable of improving manufacturing yield is provided. Sizes of color pixel circuits corresponding to pixels for R, G, and B are respectively set unevenly within a pixel circuit according to a magnitude ratio of drive currents which allow color self-light emitting elements in the pixel to emit with a same light emission luminance. Thereby, the pattern densities of color pixel circuits respectively corresponding to the pixels for R, G, and B become even to each other, and the pattern defect rate as the whole pixel circuit is decreased. | 07-31-2014 |
20140209883 | VERTICAL ELECTRO-OPTICAL COMPONENT AND METHOD OF FABRICATING THE SAME - A vertical electro-optical component and a method for forming the same are provided. The vertical electro-optical component includes a substrate, a first electrode layer formed on the substrate, a patterned insulating layer formed on the first electrode layer, a metal layer formed on the patterned insulating layer, a semiconductor layer formed on the first electrode layer, and a second electrode layer formed on the semiconductor layer, wherein the semiconductor layer encapsulates the patterned insulating layer and the metal layer. The vertical electro-optical component thus has a low operational voltage of a vertical transistor and a high reaction speed of a photo diode, and may be used to form light-emitting transistors. | 07-31-2014 |
20140209884 | NITROGEN-CONTAINING HETEROCYCLIC COMPOUNDS AND ORGANIC ELECTRONIC DEVICE COMPRISING THE SAME - The present disclosure provides a nitrogen-containing heterocyclic compound and an organic electronic device comprising the same. The organic electronic device according to the present disclosure shows excellent characteristics in terms of efficiency, driving voltage and lifespan. | 07-31-2014 |
20140209885 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic electroluminescent device (organic EL device) which has improved luminous efficiency and a simple configuration, while ensuring sufficient driving stability. This organic electroluminescent device includes a light-emitting layer between an anode and a cathode that are laminated on a substrate. The light-emitting layer contains a phosphorescent light-emitting dopant, and a carbazole compound represented by the following formula (1) as a host material. In the formula (1), E represents oxygen or sulfur, and R | 07-31-2014 |
20140209886 | ORGANIC ELECTROLUMINESCENCE ELEMENT, ILLUMINATION DEVICE AND DISPLAY DEVICE - Provided are an organic electroluminescence element, an illumination device, and a display device having lower driving voltage and excellent luminous efficiency. An organic electroluminescence element has a supporting substrate; and a cathode, a light emitting layer and an adjacent layer provided on the supporting substrate, wherein the adjacent layer is arranged adjacent to the outer side of the cathode (i.e., the side opposite to the light emitting layer), wherein the cathode is a transparent layer containing a metal and having a film thickness of 2 nm or more but less than 10 nm; and wherein the adjacent layer has a refractive index of between 1.6 and 1.95, a film thickness of between 15 nm and 180 nm, and contains no light scattering particle. | 07-31-2014 |
20140209887 | ORGANIC LIGHT-EMITTING DIODE DEVICE - An OLED device includes: a transparent substrate; a light-emitting stack including an anode layer, a cathode layer, and a functional layer, the anode layer including anode units, each of which has first and second anode elements that extend in a column direction and that are aligned with and spaced apart from each other along a row direction transverse to the column direction; an anode-connecting metallic layer stacked on the light-emitting stack; a cathode-connecting metallic layer stacked on the light-emitting stack; and bridging lines disposed in the light-emitting stack and extending in the row direction such that the first and second anode elements are electrically connected to each other through a respective one of the bridging lines. | 07-31-2014 |
20140209888 | HIGH RESOLUTION LOW POWER CONSUMPTION OLED DISPLAY WITH EXTENDED LIFETIME - Arrangements of pixel components that allow for full-color devices, while using emissive devices that use blue color altering layers in conjunction with blue emissive regions, that emit at not more than two colors, and/or that use limited number of color altering layers, are provided. Devices disclosed herein also may be achieved using simplified fabrication techniques compared to conventional side-by-side arrangements, because fewer masking steps may be required. | 07-31-2014 |
20140209889 | DISPLAY AND METHOD OF MANUFACTURING THE SAME - A display includes: a light-emitting element formed by laminating a first electrode layer, an organic layer including a light-emitting layer and a second electrode layer in order on a base; and an auxiliary wiring layer being arranged so as to surround the organic layer and being electrically connected to the second electrode layer, in which the auxiliary wiring layer includes a two-layer configuration including a first conductive layer and a second conductive layer, the first conductive layer has lower contact resistance to the second electrode layer than that of the second conductive layer, the two-layer configuration in the auxiliary wiring layer is formed so that an end surface of the second conductive layer is recessed inward from an end surface of the first conductive layer, thereby a part of a top surface of the first conductive layer is in contact with the second electrode layer. | 07-31-2014 |
20140209890 | ORGANIC ELECTROLUMINESCENT LIGHTING DEVICE AND METHOD FOR MANUFACTURING SAME - An organic electroluminescent lighting device includes an organic electroluminescent element which has a first electrode, a light-emitting layer, and a second electrode, which is formed on a surface of a base substrate and which is sealed with an opposed substrate. The organic electroluminescent lighting device further includes an auxiliary electrode that includes a transparent conductive layer made of optically-transparent electrode material, a conductive resin layer made of electric conductive resin, and a metal film layer made of metal having higher electric conductivity than that of the material of the transparent conductive layer, which are stacked in this order on the surface of the base substrate. The auxiliary electrode is formed on the surface of the base substrate so as to be across an opening edge of the opposed substrate. The auxiliary electrode is formed with a block structure configured to block moisture permeation through the conductive resin layer from outside. | 07-31-2014 |
20140209891 | ELECTRO LUMINESCENCE PANEL AND METHOD FOR MANUFACTURING ELECTRO LUMINESCENCE PANEL - The present invention provides an organic EL panel and a manufacturing method of the organic EL layer which can slow the reduction in the light emission lifetime of an organic layer and allow a short-circuit defect to be repaired. Organic EL elements include: an organic EL element including a short-circuit portion, and an altered portion formed to be highly resistive by irradiating a cathode with a laser beam; and an organic EL element which does not include the short-circuit portion. In the organic EL element, an organic EL layer emits light when a voltage higher than or equal to a first voltage is applied. In the organic EL element, the organic EL layer emits light when a voltage higher than equal to a second voltage that is higher than the first voltage is applied. | 07-31-2014 |
20140217365 | PHOTODETECTOR AND UPCONVERSION DEVICE WITH GAIN (EC) - Embodiments of the invention are directed to IR photodetectors with gain resulting from the positioning of a charge multiplication layer (CML) between the cathode and the IR sensitizing layer of the photodetector, where accumulating charge at the CML reduces the energy difference between the cathode and the CML to promote injection of electrons that result in gain for an electron only device. Other embodiments of the invention are directed to inclusion of the IR photodetectors with gain into an IR-to-visible up-conversion device that can be used in night vision and other applications. | 08-07-2014 |
20140217366 | REFLECTION ORGANIC LIGHT-EMITTING DIODE DISPLAY DEVICE AND DRIVING METHOD THEREOF - A reflection organic light-emitting diode (OLED) display device and driving method thereof are provided. A default cavity produces reflection light of a default wavelength through optical interference with incident light. When imposed by a voltage, an organic emitting layer produces self-luminous light for mixing, so as to change the wavelength of the reflection light and to increase brightness thereof. The mechanism helps improve energy efficiency of the OLED. | 08-07-2014 |
20140217367 | ORGANIC LIGHT EMITTING DEVICE - A full color light emitting device having a reduced driving voltage includes a substrate having a first subpixel, a second subpixel, and a third subpixel. A plurality of first electrodes are in the first subpixel, the second subpixel, and the third subpixel. A second electrode faces the first electrode. An emission layer is between the first electrode and the second electrode. The emission layer includes a first emission layer in the first subpixel for emitting a first color light in the first subpixel, a second emission layer in the first subpixel for emitting a second color light in the second subpixel, and a third emission layer in the first subpixel, the second subpixel and the third subpixel, for emitting a third color light in the third subpixel. The third emission layer includes at least one compound represented by Formula 1: | 08-07-2014 |
20140217368 | METHOD FOR MANUFACTURING TRANSPARENT ELECTRODE OF ORGANIC LIGHT EMITTING DISPLAY DEVICE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE USING THE TRANSPARENT ELECTRODE - A method for manufacturing an organic light emitting display device includes mounting in a chamber a substrate where a transparent electrode is to be formed and a SnO member that is a source of forming the transparent electrode, injecting argon gas and oxygen into the chamber, and evaporating the SnO member to be deposited on the substrate. | 08-07-2014 |
20140217369 | ORGANIC LIGHT-EMITTING TRANSISTOR - An organic light-emitting transistor comprising a first electrode, a first semiconductor layer disposed on the first electrode, a second electrode disposed on the first semiconductor layer, a second semiconductor layer disposed on the second electrode, one of the first and second semiconductor layers having an organic semiconductor which emits light in response to a driving current that flows, and a third electrode disposed on the second semiconductor layer. | 08-07-2014 |
20140217370 | ORGANIC LIGHT EMITTING DISPLAY DEVICE WITH INSULATING LAYER FORMED AS MULTI-LAYERED STRUCTURE - Provided is an organic light emitting display device, including a substrate, an insulating layer on the substrate, and a display element layer on the insulating layer, in which the insulating layer includes one or more low refractive layers and one or more high refractive layers. | 08-07-2014 |
20140217371 | ORGANIC LIGHT EMITTING DIODE DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting diode device can have an enhanced thin film encapsulation layer for preventing moisture from permeating from the outside. The thin film encapsulation layer can have a multilayered structure in which one or more inorganic layers and one or more organic layers are alternately laminated. A barrier can be formed outside of a portion of the substrate on which the organic light emitting diode is formed. The organic layers of the thin film encapsulation layer can be formed inside an area defined by the barrier. | 08-07-2014 |
20140217372 | ORGANIC LIGHT EMITTING DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display includes a substrate, a first electrode disposed on the substrate, a pixel definition layer disposed on the substrate to partition a pixel area, a first common layer disposed on the first electrode, a protrusion pattern that includes a plurality of protrusions disposed on the first common layer and spaced apart from each other, a light emitting layer disposed on the first common layer in the pixel area, and a second electrode disposed on the light emitting layer. | 08-07-2014 |
20140217373 | FLEXIBLE DISPLAY SUBSTRATE, FLEXIBLE ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - A flexible display substrate, a flexible organic light emitting display device, and a method of manufacturing the same are provided. The flexible display substrate comprises a flexible substrate including a display area and a non-display area extending from the display area, a first wire formed on the display area of the flexible substrate, and a second wire formed on the non-display area of the flexible substrate, wherein at least a part of the non-display area of the flexible substrate is curved in a bending direction, and the second wire formed on at least a part of the non-display area of the flexible substrate includes a first portion formed to extend in a first direction and a second portion formed to extend in a second direction. | 08-07-2014 |
20140217374 | ORGANIC SEMICONDUCTOR MATERIAL - Novel compounds useful as organic semiconductor material are described. Semiconductor devices containing said organic semiconductor material are also described. | 08-07-2014 |
20140217375 | NOVEL SEMICONDUCTING ALLOY POLYMERS FORMED FROM ORTHOCARBORANE AND 1,4-DIAMINOBENZENE - Novel semiconducting polymers have been formed via the electron-induced cross-linking of orthocarborane B10C2H2 and 1,4-diaminobenzene. The films were formed by co-condensation of the molecular precursors and 200 eV electron-induced cross-linking under ultra-high vacuum (UHV) conditions. Ultraviolet photoemission spectra show that the compound films display a shift of the valence band maximum from ˜4.3 eV below the Fermi level for pure boron carbide to −1.7 eV below the Fermi level when diaminobenzene is added. The surface photovoltage effect decreases with decreasing B/N atomic ratio. A neutron detector comprises the polymer as the p-type semiconductor to be paired with an n-type semiconductor. | 08-07-2014 |
20140217376 | ORGANIC LIGHT EMISSIVE MATERIAL AND DEVICE - An organic light-emitting device comprises a first electrode, a second electrode and at least one light-emitting layer between the first and second electrodes wherein the device comprises a plurality of light-emitters that together provide a source of white light. A first light-emitting layer comprises a host material and a first light-emitter of the plurality of light-emitters that emits light having a peak photo luminescent wavelength in the range of 580-610 nm; and wherein a LUMO of the first light-emitter is no more than 0.2 eV further from vacuum than a LUMO level of the host material. | 08-07-2014 |
20140217377 | OLED ENCAPSULATED IN A FULL-WAFER ADHESIVE HAVING A PERFORATED COVER - The invention relates to an organic light-emitting diode (OLED) comprising a stack comprising, in sequence and in the following order, a substrate ( | 08-07-2014 |
20140217378 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescence includes an anode, a cathode and at least an emitting layer interposed between the anode and the cathode, in which the emitting layer contains a first host material, a second host material and a phosphorescent dopant material as main components. The first host material is a compound represented by the following formula (1). The second host material is a compound represented by the following formula (2). | 08-07-2014 |
20140217379 | ORGANIC LIGHT EMITTING COMPOSITION, DEVICE AND METHOD - Disclosed is a crosslinkable light-emitting composition comprising at least one host material, at least one phosphorescent light-emitting dopant, a first crosslinker comprising an unsaturated carbon-carbon bond group and a second crosslinker comprising a ring system capable of undergoing ring-opening crosslinking. | 08-07-2014 |
20140217380 | ORGANIC LUMINESCENCE EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display apparatus including an organic light-emitting unit formed on a substrate; a moisture absorbing layer formed on the organic light-emitting unit; and at least one inorganic layer, which is formed on the moisture absorbing layer and includes a low temperature viscosity transition (LVT) inorganic material. | 08-07-2014 |
20140217381 | ILLUMINANT WITH AT LEAST ONE ORGANIC LIGHT EMITTING DIODE - Illustrated and described is an illuminant, including at least one organic light emitting diode which is applied to a carrier material that is vapor deposited with a metal layer which metal layer supplies the at least one organic light emitting diode with voltage and is connectable with connecting conductors, wherein at least one connecting contact is bonded on one side at least to the metal layer and on another side directly fixated in an insulating material housing. | 08-07-2014 |
20140217382 | ELECTRONIC DEVICES WITH FLEXIBLE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - Embodiments of the present disclosure can significantly reduce the non-display area of a flexible OLED display, which would otherwise be covered by a cosmetic trim such as a bezel or an opaque. As such, an electronic device with a display having minimized border area can be provided. This makes it possible to reduce the overall size of the electronic device without sacrificing the size of the display therein. Such a reduction in size of the bezel was achieved by bending the flexible substrate near its edge using an insert member. | 08-07-2014 |
20140217383 | FLEXIBLE DISPLAY DEVICE AND METHOD FOR MANUFACTURING FLEXIBLE DISPLAY DEVICE - A method for manufacturing a flexible display device includes: manufacturing a flexible substrate on a substrate by: forming a first organic layer on the substrate, removing foreign particles formed on the first organic layer and forming a recessed first repair groove in the first organic layer, forming a first inorganic layer on the first organic layer, forming a second organic layer on the first inorganic layer and forming a second inorganic layer on the second organic layer, forming a display for displaying an image on the flexible substrate and removing the substrate from the first organic layer. | 08-07-2014 |
20140217384 | DISPLAY PANEL AND DISPLAY DEVICE - A display panel includes: a plurality of pixel circuits formed in a matrix on a substrate; an insulating layer covering the plurality of pixel circuits; a plurality of light emitting elements connected to the plurality of pixel circuits, and arranged in a matrix on the insulating layer; a filtering layer including a light transmitting section at least in a part of a region facing the light emitting element and a light shielding section formed in a same plane as the light transmitting section, and formed on an opposite side from the pixel circuit in relation to the light emitting element; a light reflecting section formed in a region facing the light shielding section, and between the light emitting element and the filtering layer; and a light receiving element formed in a region facing the light shielding section, and on the pixel circuit side in relation to the light emitting element. | 08-07-2014 |
20140217385 | OUTCOUPLING DEVICE AND LIGHT SOURCE - The invention relates to a light source ( | 08-07-2014 |
20140217386 | LIGHT EMISSION DEVICE - A light emission device includes an organic electroluminescent element including a first electrode and a second electrode, a wiring board including a second substrate, a first patterned conductor and a second patterned conductor, a first bond which is an electrical conductor containing electrically conductive powder and an organic binder, and electrically interconnects the first electrode and the first patterned conductor, and a second bond which is an electrical conductor containing electrically conductive powder and an organic binder, and electrically interconnects the second electrode and the second patterned conductor. The first patterned conductor is provided with a spread restrainer defining a spread range of the first bond, and the second patterned conductor is provided with a spread restrainer defining a spread range of the second bond. | 08-07-2014 |
20140217387 | Electronic Appliance and Light-Emitting Device - An EL element having a novel structure is provided, which is suitable for AC drive. A light-emitting element of the invention is provided with material layers (material layers each having approximately symmetric I-V characteristics with respect to the zero point in a graph having the abscissa axis showing current values and the ordinate axis showing voltage values) between a first electrode and a layer including an organic compound and between the layer including the organic compound and a second electrode respectively. Specifically, each of the material layers is a composite layer including a metal oxide and an organic compound. | 08-07-2014 |
20140217388 | DISPLAY DEVICE AND ELECTRONIC APPARATUS - A display device including: a plurality of sub-pixels arranged in a matrix, each including an electro-optical element having a structure in which a display functional layer is sandwiched between an upper electrode and a lower electrode; and an auxiliary interconnect contact in a pixel area in which the plurality of sub-pixels are arranged in a matrix and electrically connecting the upper electrode to an auxiliary interconnect, wherein m (m is an integer equal to or larger than two) sub-pixels adjacent to each other along an arrangement direction of the sub-pixels are regarded as one group, and n (n is a natural number smaller than m) auxiliary interconnect contacts are formed for each group. | 08-07-2014 |
20140217389 | SURFACE MODIFICATION - A method of modifying a fluorinated polymer surface comprising the steps of depositing a first layer on at least a portion of the fluorinated polymer surface, the first layer comprising a first polymer, the first polymer being a substantially perfluorinated aromatic polymer; and depositing a second layer on at least a portion of the first layer, the second layer comprising a second polymer, the second polymer being an aromatic polymer having a lower degree of fluorination than said first polymer, whereby the second layer provides a surface on to which a substance having a lower degree of fluorination than the first polymer, e.g. a non-fluorinated substance is depositable. | 08-07-2014 |
20140217390 | LAMINATED SUBSTRATE FOR ORGANIC LED ELEMENT, AND ORGANIC LED ELEMENT - A laminated substrate for an organic LED element includes a translucent substrate, a scattering layer including glass and a solid scattering material provided on the translucent substrate and having a thickness of 30 μm or less, and an electrode provided on the scattering layer, and no covering layer including glass is provided between the scattering layer and the electrode. | 08-07-2014 |
20140217391 | ORGANIC EL ELEMENT AND METHOD FOR MANUFACTURING SAME - An organic EL element includes an organic EL substrate | 08-07-2014 |
20140217392 | ORGANIC LIGHT EMITTING DIODE - The present invention relates to an organic light emitting diode and a method of manufacturing the same. An organic light emitting diode according to the present invention comprises an exciton blocking layer comprising a compound represented by Formula 1 to confine an exciton to a light emitting layer to prevent light emitting leakage, and thus there is an effect of implementing an organic electroluminescence diode having excellent light emitting efficiency. Accordingly, it is possible to implement an organic light emitting diode having a simple and economical manufacturing process, a low voltage, high efficiency, and a long life span as compared to the related art. | 08-07-2014 |
20140217393 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescence device including an anode and a cathode being opposed, wherein a first organic thin film layer and a second organic thin film layer are provided between the anode and the cathode sequentially from the anode side; the first organic thin film layer including an aromatic heterocyclic derivative A represented by the following formula (1-1) and a phosphorescent emitting material; and the second organic thin film layer including an aromatic heterocyclic derivative B represented by the following formula (2-1): | 08-07-2014 |
20140217394 | LIGHT-EMITTING ELEMENT AND LIGHT-EMITTING ELEMENT MANUFACTURING METHOD - A light-emitting element includes: a first electrode; a light-emitting functional layer that is provided on the first electrode, and includes a conductive layer as an uppermost layer thereof; a second electrode that is provided on the conductive layer, and has an undesired opening that corresponds to a region of the conductive layer; and a first passivation layer that is provided on the second electrode except on a periphery of the opening. The region of the conductive layer is oxidized. The light-emitting functional layer includes an organic light-emitting layer, and the conductive layer is provided above the organic light-emitting layer. | 08-07-2014 |
20140231753 | Multi-color light emitting diode and method for making same - A color light-emitting diode using a blue light component to produce red light and green light is disclosed. A blue-light emitting material is provided between a cathode layer and an anode layer for emitting the blue light component. A light re-emitting layer has a first material in a first diode section arranged to produce a red light component in response to the blue light component, and a second material in a second diode section arranged to produce a green light component in response to the blue light component. A transparent material in a third diode section allows part of the blue light component to transmit through. The anode layer is partitioned into three electrode portions separately located in the three diode sections, so that the red, green and blue light components in the diode sections can be separately controlled. | 08-21-2014 |
20140231754 | DITRIPHENYLENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - The present invention discloses a novel ditriphenylene derivative is represented by the following formula (I), the organic EL device employing the ditriphenylene derivative as host material or dopant material of emitting layer can lower driving voltage, prolong half-life time and increase the efficiency. | 08-21-2014 |
20140231755 | PHOSPHORESCENT COMPOUND - A metal iridium complexes, devices containing the same, and formulations including the same described. The complexes can have the formula Ir(L | 08-21-2014 |
20140231756 | PHOSPHORESCENT COMPOUND WITH FUSED RNG SUBSTITUTION - Compounds including a ligand L according to Formula I devices containing the same and formulations including the same are described. | 08-21-2014 |
20140231757 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display apparatus includes a first electrode, a hole injection layer disposed on the first electrode, a light emitting layer disposed on the hole injection layer, an electron injection layer disposed on the light emitting layer, a chlorine-doped layer disposed on the electrode injection layer and including chlorine and a same material as the electron injection layer, and a second electrode disposed on the chlorine-doped layer. | 08-21-2014 |
20140231758 | DISPLAY DEVICE USING PHOTONIC CRYSTAL - A display device including a light emitting transistor, and a photonic crystal on the light emitting transistor. | 08-21-2014 |
20140231759 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting device includes a first electrode; a second electrode; an organic layer between the first electrode and the second electrode; and a light efficiency-improvement layer disposed on the first electrode or the second electrode. The light efficiency-improvement layer includes a heterocyclic compound represented by Formula 1, | 08-21-2014 |
20140231760 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a substrate including first, second and third sub-pixel regions, a first electrode formed in each of the first sub-pixel region, the second sub-pixel region, and the third sub-pixel region of the substrate, a first light emitting layer formed on the first electrode of each of the first sub-pixel region, the second sub-pixel region, and the third sub-pixel region, spacer layers formed on the first light emitting layer of the first sub-pixel region and the second sub-pixel region and including a material of the first light emitting layer, a second light emitting layer formed on the spacer layer of the first sub-pixel region, a third light emitting layer formed on the spacer layer of the second sub-pixel region, and a second electrode formed on the first light emitting layer, the second light emitting layer, and the third light emitting layer. | 08-21-2014 |
20140231761 | DISPLAY SUBSTRATE AND METHOD OF MANUFACTURING THE SAME - A display substrate and a method of manufacturing the same. The display substrate includes a substrate including an active area and an inactive area, an organic light-emitting diode (OLED) unit disposed on the active area of the substrate, and a transmittance measurement pattern unit disposed on the inactive area of the substrate. The transmittance measurement pattern unit includes a deposition assistant layer pattern disposed on the substrate. | 08-21-2014 |
20140231762 | METHOD FOR FABRICATING MICRO ELECTRO DEVICE, METHOD FOR FABRICATING ORGANIC LIGHT EMITTING DISPLAY DEVICE, MICRO ELECTRO DEVICE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE FABRICATED THEREBY - A method for fabricating a micro electro device includes forming a conductive pattern on a substrate, forming an organic insulating film on a whole surface of the substrate with an organic insulating material to cover the conductive pattern, preparing a printing plate coated with an insulating film removing material, and forming a contact hole by removing a first portion of the organic insulating film through making the insulating film removing material come in contact with the first portion of the organic insulating film that corresponds to the conductive pattern, and forming a contact in the contact hole | 08-21-2014 |
20140231763 | FLEXIBLE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - A flexible display device includes a substrate including a bending area that is bent and a flat area that is not bent; a device/wiring layer including a thin film transistor, the device/wiring layer being on the substrate; first pixel units on the flat area on the device/wiring layer; and second pixel units on the bending area on the device/wiring layer, an inter-pixel interval of the first pixel units being different from an inter-pixel interval of the second pixel units. | 08-21-2014 |
20140231764 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light-emitting display device that includes: a substrate; a first wiring that extends in a first direction on the substrate and comprises first and second portions with an opening therebetween; a second wiring that overlaps with the opening and extends in a second direction that crosses the first direction; an insulating film that covers the first wiring and the second wiring and comprises a first contact hole that exposes the first portion of the first wiring and a second contact hole that exposes the second portion; and a bridge electrode that is formed on the insulating film, is electrically connected to the first and second portions through the first and second contact holes, and comprises a transparent conductive oxide and a metal. | 08-21-2014 |
20140231765 | REMOTE DOPING OF ORGANIC THIN FILM TRANSISTORS - Organic electronic devices comprising “remotely” doped materials comprising a combination of at least three layers. Such devices can include “remotely p-doped” structures comprising: a channel layer comprising at least one organic semiconductor channel material; a dopant layer, which comprises at least one p-dopant material and optionally at least one organic hole transport material; and a spacer layer disposed between and in electrical contact with both the channel layer and the dopant layer, comprising an organic semiconducting spacer material; or alternatively can include “remotely n-doped” structures comprising a combination of at least three layers: a channel layer comprising at least one organic semiconductor channel material; a dopant layer which comprises at least one organic electron transport material doped with an n-dopant material; and a spacer layer disposed between and in electrical contact with the channel layer and the dopant layer, comprising an organic semiconducting spacer material. Such devices include “remotely doped” field effect transistors comprising the doped structures described above. | 08-21-2014 |
20140231766 | ORGANIC LIGHT EMITTING DEVICE - Provided is an organic light emitting device including a nano composite layer. The organic light emitting device adopts a nano composite layer including an insulator and light emitting nano-particles within a device, thereby simultaneously insulating a control electrode and changing the color of light emitted from a light emitting layer, thereby improving external quantum efficiency. Further, the amount of electron holes and electrons injected into the light emitting layer may be adjusted through a voltage applied to the control electrode so as to secure a stable current when the device is operated. In addition, when compared to a conventional light emitting device, the surface area of positive and negative electrodes may be reduced so as to reduce reflectance with respect to external light. | 08-21-2014 |
20140231767 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display device and a manufacturing method thereof are provided. The organic light emitting diode display device includes a first flexible substrate, a second flexible substrate, a first barrier layer, a second barrier layer, an organic light emitting diode element, and a metal enclosing wall. The first barrier layer is disposed on the first flexible substrate, and the second barrier layer is disposed on the second flexible substrate. The organic light emitting diode element is disposed between the first barrier layer and the second barrier layer. The metal enclosing wall connects the first flexible substrate to the second flexible substrate and surrounds the organic light emitting diode element. | 08-21-2014 |
20140231768 | Non-Blocked Phosphorescent OLEDs - An organic light emitting diode (OLED) architecture in which efficient operation is achieved without requiring a blocking layer by locating the recombination zone close to the hole transport side of the emissive layer. Aryl-based hosts and Ir-based dopants with suitable concentrations result in an efficient phosphorescent OLED structure. Previously, blocking layer utilization in phosphorescent OLED architectures was considered essential to avoid exciton and hole leakage from the emissive layer, and thus keep the recombination zone inside the emissive layer to provide high device efficiency and a pure emission spectrum. | 08-21-2014 |
20140231769 | ORGANIC ELECTROLUMINESCENCE DEVICE AND ELECTRONIC DEVICE - An organic electroluminescence device includes: a cathode; an anode; and an organic layer having one or more layers and provided between the anode and the cathode, in which the organic layer includes an emitting layer, and the emitting layer includes a first host material, a second host material and a phosphorescent dopant material. The first host material is a compound represented by a formula (1) below. The second host material is a compound represented by a formula (4) below. | 08-21-2014 |
20140231770 | Organometallic Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A novel substance capable of emitting phosphorescence is provided. An organometallic complex represented by General Fomulae (G3) or (G5). In the formulae, M represents iridium, platinum, palladium, or rhodium, R | 08-21-2014 |
20140231771 | CROSS-LINKING POLYMER AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - A polymer including structural units represented by the following formulas (A) and (B). | 08-21-2014 |
20140231772 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, AND ORGANIC ELECTROLUMINESCENT ELEMENT USING THE SAME - A compound represented by the following formula (1): | 08-21-2014 |
20140231773 | CARBAZOLOCARBAZOL-BIS(DICARBOXIMIDES) AND THEIR USE AS SEMICONDUCTORS - The present invention relates to carbazolocarbazol-bis(dicarboximides), a method for their preparation and their use as semiconductors, in particular as semiconductors in organic electronics and organic photovoltaics. | 08-21-2014 |
20140231774 | MATERIAL FOR ORGANIC LIGHT-EMITTING DEVICE, AND ORGANIC LIGHT-EMITTING DEVICE USING SAME - The present invention provides an organic light emitting device including a compound and an organic material layer composed of one more layers including a first electrode, a second electrode and a light emitting layer disposed between the first electrode and the second electrode, in which one or more layers of the organic material layer include the compound of Formula 1 or a compound in which a heat curable or photo curable functional group is introduced into the above-described compound. | 08-21-2014 |
20140231775 | DENDRIMER COMPOUND AND ORGANIC LUMINESCENT DEVICE EMPLOYING THE SAME - A dendrimer compound characterized by comprising a core represented by the following formula (1-1), (1-2), (1-3), or (1-4) and at least one kind of dendritic structure selected among dendritic structures represented by the following formulae (3) and (4). | 08-21-2014 |
20140231776 | METHOD FOR PRODUCING CONCAVE-CONVEX SUBSTRATE USING SOL-GEL METHOD, SOL USED IN SAME, METHOD FOR PRODUCING ORGANIC EL ELEMENT USING SAME, AND ORGANIC EL ELEMENT OBTAINED THEREBY - A method for producing a substrate having a concavity and convexity pattern includes: a step for applying a sol containing a silica precursor on a substrate to form a coating film; a step for drying the coating film; a pressing step for pressing a mold having a concavity and convexity pattern against the dried coating film with a pressing roll; a step for peeling off the mold from the coating film; and a step for baking the coating film to which the concavity and convexity pattern has been transferred. The coating film is dried in the drying step so that the ratio of weight of the coating film to dried weight of the coating film is in a range of 1.4 to 8.8, the dried weight being obtained by baking the coating film at a temperature of 100 degrees Celsius. The coating film may be heated in the pressing step. | 08-21-2014 |
20140231777 | METHOD OF MANUFACTURING AN ORGANIC LIGHT-EMITTING ELEMENT, ORGANIC LIGHT-EMITTING ELEMENT, DISPLAY PANEL, AND DISPLAY DEVICE - A method of manufacturing an organic light-emitting element is provided. A first layer is formed above a substrate, and exhibits hole injection properties. A bank material layer is formed above the first layer using a bank material. Banks are formed by patterning the bank material layer, and forming a resin film on a surface of the first layer by attaching a portion of the bank material layer to the first layer. The banks define apertures corresponding to light-emitters. The resin material is the same as the bank material. A functional layer is formed by applying ink to the apertures that contacts the resin film. The ink contains an organic material. The functional layer includes an organic light-emitting layer. A second layer is formed above the functional layer and exhibits electron injection properties. The hole injection properties of the first layer are degraded by applying electrical power to an element structure. | 08-21-2014 |
20140231778 | ORGANIC EL DEVICE AND ELECTRONIC APPARATUS - An organic EL device includes a reflecting layer which has at least light reflectivity, a first electrode which is arranged on the reflecting layer through a first insulating layer, an organic functional layer which is arranged on the first electrode and includes at least a light emitting layer, a second electrode which is arranged on the organic functional layer and has at least light reflectivity, and a holding capacitance. In the organic EL device, an optical resonator which resonates light from the organic functional layer is formed by the reflecting layer and the second electrode, and the holding capacitance is formed using the reflecting layer, the first insulating layer, and the first electrode. | 08-21-2014 |
20140231779 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device, the compound being represented by the following Chemical Formula (“CF”) 1: | 08-21-2014 |
20140231780 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus for selectively realizing circular polarization according to external light conditions, including a substrate; an organic light-emitting device on the substrate; a sealing member on the organic light-emitting device; a phase retardation layer on a surface of the substrate, the organic light-emitting device, or the sealing member; and a linear polarization layer on another surface of the substrate, the organic light-emitting device, or the sealing member, wherein the linear polarization layer is located to be closer to a source of external light than the phase retardation layer, and wherein the linear polarization layer comprises a photochromic material. | 08-21-2014 |
20140231781 | PHOTOELECTRIC CONVERSION ELEMENT AND IMAGING DEVICE - A photoelectric conversion element is formed by laminating, in order, a substrate, a lower electrode, an organic layer which generates electric charge by light irradiation, an upper electrode which transmits light, a buffer layer and a protective film. The buffer layer is formed from hydrogenated silicon oxide containing hydrogen ions, and has a thickness of 1 to 100 nm. The protective film contains hydrogenated silicon nitride containing hydrogen ions or hydrogenated silicon oxynitride containing hydrogen ions and has a thickness of 30 to 500 nm. | 08-21-2014 |
20140231782 | IMAGING DEVICE AND METHOD FOR MANUFACTURING IMAGING DEVICE - An imaging device includes a substrate, lower electrodes formed on the substrate, an organic layer formed on the lower electrodes and generating electric charges in response to irradiation with light, an upper electrode formed on the organic layer and transmitting the light, a protective film formed on the upper electrode, and a patterned organic film formed on the protective film. The protective film is composed of at least one layer and has a total thickness of 30 to 500 nm. The protective film of a single layer type has an internal stress of −50 MPa to +60 MPa in the whole of the protective film. | 08-21-2014 |
20140231783 | MATERIAL FOR ORGANIC ELECTRONIC DEVICE, AND ORGANIC ELECTRONIC DEVICE USING SAME - The present invention provides a novel compound that is capable of largely improving a life time, efficiency, electrochemical stability, and thermal stability of an organic electronic device, and an organic electronic device that comprises an organic material layer comprising the compound. | 08-21-2014 |
20140231784 | POLYMERIC SEMICONDUCTORS, DEVICES, AND RELATED METHODS - A polymer comprises a polymeric chain represented by formula (I) or (II). In formula (I) a, b, d, and n are integers, a from 0 to 3, b from 1 to 5, c from 1 to 3, d from 1 to 5, and n from 2 to 5000; R | 08-21-2014 |
20140231785 | ORGANIC ELECTROLUMINESCENCE ILLUMINATING DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic electroluminescence illuminating device (L) has a structure in which an organic electroluminescence element ( | 08-21-2014 |
20140231786 | Blue Phosphorescent Organic Light Emitting Device Having a Minimal Lamination Structure - Disclosed is a blue phosphorescent organic light emitting device having a minimal lamination structure. The device includes an anode; an emitting layer formed on the anode and including a host and a dopant; an electron transport layer formed on the emitting layer; and a cathode formed on the electron transport layer. A difference between a work function of the anode and a high occupied molecular orbital (HOMO) energy level of the emitting layer is less than 1.0 eV, and a difference between a low occupied molecular orbital (LUMO) energy level of the emitting layer and an LUMO energy level of the electron transport layer is less than 1.0 eV. | 08-21-2014 |
20140231787 | WHITE ORGANIC EL ELEMENT AND ILLUMINATING APPARATUS AND DISPLAY APPARATUS USING THE SAME - The present invention provides a white organic EL element improved in durability characteristic. | 08-21-2014 |
20140231788 | DIGITIZED OLED LIGHT SOURCE - Embodiments described herein may provide for devices comprising a digitized OLED light source ( | 08-21-2014 |
20140231789 | DISPLAY PANEL, DISPLAY UNIT, AND ELECTRONIC APPARATUS - There are provided a display panel, a display unit, and an electronic apparatus that make it possible to reduce a leakage current arising between adjacent pixels. The display panel includes a plurality of pixels at a display region. Each of the pixels has an organic EL device, and a pixel circuit that drives the organic EL device. The organic EL device has an anode electrode, a cathode electrode, and an organic layer that is provided between the anode electrode and the cathode electrode. A side surface of the anode electrode is structured in such a manner that the cross-sectional area of the anode electrode on the side of the cathode electrode is larger than that of the anode electrode on the opposite side of the cathode electrode. | 08-21-2014 |
20140231790 | DISPLAY UNIT AND ELECTRONIC APPARATUS - A display unit is provided. The display unit includes a plurality of pixels having first to third sub-pixels each of which corresponds to respective colors of red, green, and blue, and a fourth sub-pixel exhibiting higher luminance than the first to third sub-pixels. In each of the pixels, the first to fourth sub-pixels have light-emitting devices. In the first to third sub-pixels, color filters are provided, and in the fourth sub-pixel, the transmittance of light emitted from the light-emitting device is configured to be reduced at a partial or whole region of the fourth sub-pixel. A difference in the transmittance among the first to third sub-pixels having the color filters and the fourth sub-pixel having no color filter is reduced to ensure an excellent luminance balance, which makes it easy to represent desired chromaticity. | 08-21-2014 |
20140231791 | COMPOSITION CAPABLE OF CHANGING ITS SOLUBILITY, HOLE TRANSPORT MATERIAL COMPOSITION, AND ORGANIC ELECTRONIC ELEMENT USING THE SAME - An embodiment of the present invention relates to a composition containing a polymer or oligomer (A) having a repeating unit with hole transport properties and also having a thienyl group which may have a substituent, and an initiator (B), wherein the solubility of the composition is capable of being changed by applying heat, light, or both heat and light. | 08-21-2014 |
20140231792 | ORGANIC EL ELEMENT AND METHOD FOR MANUFACTURING ORGANIC EL ELEMENT - To form stabilized organic light-emitting medium layers using the relief printing method and to provide an organic EL element excellent in terms of pattern-forming accuracy, film thickness uniformity and light-emitting characteristics, a substrate | 08-21-2014 |
20140231793 | LAMP WITH MULTIPLE FLEXIBLE OLEDs - Devices comprising multiple flexible substrates bearing OLEDs are provided. The flexible substrates are interconnected, and the properties of the substrates and the interconnections provide the shape of the device. | 08-21-2014 |
20140231794 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT PRODUCED USING SAME - A compound represented by the following formula (1): | 08-21-2014 |
20140231795 | MATERIAL FOR HOLE INJECTION TRANSPORT LAYERS, INK FOR FORMING HOLE INJECTION TRANSPORT LAYERS, DEVICE, AND PRODUCTION METHODS THEREOF - The present invention is to provide the following: a material for hole injection transport layers and a production method thereof, the material capable of forming a hole injection transport layer by the solution application method and increasing device lifetime; an ink for forming hole injection transport layers and a production method thereof, the ink capable of forming a hole injection transport layer by the solution application method and increasing device lifetime; and a long-life device and a production method thereof. | 08-21-2014 |
20140231796 | ORGANIC ELECTRONIC DEVICE FOR LIGHTING - There is provided an organic electronic device including an anode, a hole transport layer, an emissive layer, an electron transport layer, and a cathode. The emissive layer includes at least one first electroluminescent material and the electron transport layer includes at least one electron transport material and at least one second electroluminescent material. The device has white light emission. | 08-21-2014 |
20140239259 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - In an aspect, an organic light-emitting display apparatus is provided, including: an insulating layer having a inclined structure; a first electrode disposed on the insulating layer; a selective wavelength transparent layer disposed on the first electrode; a pixel defined layer disposed on the insulating layer and the first electrode and defining an emissive region and a non-emissive region; an organic emissive layer disposed on the first electrode; and a second electrode disposed on the organic emissive layer. | 08-28-2014 |
20140239260 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A heterocyclic compound is represented by Formula 1. The heterocyclic compound may be used in an organic layer of an organic light-emitting diode. An organic light-emitting diode includes a first electrode, a second electrode and an organic layer, and the organic layer includes the heterocyclic compound represented by Formula 1. The organic light-emitting diode may be used in a flat panel display device, in which the first electrode of the organic light-emitting diode may be electrically connected to a source or drain electrode of a thin film transistor. | 08-28-2014 |
20140239261 | ORGANIC LIGHT EMITTING DIODE DEVICE - An organic light emitting diode device includes an anode and a cathode facing each other, and an emission layer interposed between the anode and cathode, the emission layer including a compound represented by the following Chemical Formula 1 and a compound represented by the following Chemical Formula 2: | 08-28-2014 |
20140239262 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device and a method of manufacturing the organic light-emitting display device are provided. The organic light-emitting display device includes: a substrate including first and second areas; a pixel electrode formed in the first area of the substrate; an auxiliary electrode formed in the second area of the substrate; an intermediate layer including an organic emission layer and formed on the pixel electrode and the auxiliary electrode; a first common electrode formed on the intermediate layer; and a second common electrode formed on the first common electrode, where the second common electrode and the auxiliary electrode contact each other through a contact hole which penetrates the first common electrode and the intermediate layer formed on the auxiliary electrode. | 08-28-2014 |
20140239263 | ANTHRACENE-BASED COMPOUND AND ORGANIC LIGHT EMITTING DIODE COMPRISING THE SAME - An anthracene-based compound and an organic light emitting diode comprising the anthracene-based compound have been disclosed. | 08-28-2014 |
20140239264 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed is an OLED display device. The OLED display device includes a metal line and a thin film transistor that are formed on a substrate, a first insulating layer formed on the metal line and the thin film transistor, a storage electrode formed on the first insulating layer, and connected to the metal line, a second insulating layer formed on the storage electrode, and an anode electrode formed on the second insulating layer to be connected to the thin film transistor and overlapping the storage electrode with the second insulating layer therebetween. | 08-28-2014 |
20140239265 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light-emitting display apparatus having a very low defect rate in a manufacturing process, and a method of manufacturing the organic light-emitting display apparatus. The organic light-emitting display apparatus includes: a substrate; a planarization layer covering the substrate and having a top surface including a recessed portion; a pixel electrode in the recessed portion of the planarization layer; a step forming unit on the top surface of the planarization layer outside of the recessed portion; and a pixel-defining layer exposing at least a central portion of the pixel electrode, and covering the step forming unit so that a top surface of the pixel-defining layer includes a protruding portion corresponding to the step forming unit. | 08-28-2014 |
20140239266 | Organic Light-Emitting Display Apparatus and Method of Manufacturing the Same - An organic light-emitting display apparatus whose defect rate is significantly decreased in a manufacturing procedure includes a substrate having a first sub-pixel region, a second sub-pixel region, and a third sub-pixel region; and a planarization layer covering the first sub-pixel region, the second sub-pixel region, and the third sub-pixel region, such that a distance between the substrate and a top surface of the planarization layer at the center of the first sub-pixel region is greater than a distance between the substrate and the top surface of the planarization layer at the center of the second sub-pixel region or a distance between the substrate and the top surface of the planarization layer at the center of the third sub-pixel region. A method of manufacturing the organic light-emitting display apparatus is also disclosed. | 08-28-2014 |
20140239267 | Thin Film Semiconductor Device, Organic Light-Emitting Display Apparatus, and Method of Manufacturing the Thin Film Semiconductor Device - An apparatus and a method of manufacturing a thin film semiconductor device having a thin film transistor with improved electrical properties in organic light-emitting display apparatus are described. | 08-28-2014 |
20140239268 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF, AND DONOR SUBSTRATE - An organic light emitting diode (OLED) display device the present invention includes a substrate, a thin film transistor formed on the substrate, a pixel electrode formed on the thin film transistor and electrically connected to the thin film transistor, a pixel defining layer formed on the pixel electrode and defining a pixel area, an emission layer formed on the pixel electrode and contacting the pixel electrode in the pixel area, and an intermediate layer formed on the pixel defining layer and contacting a portion of the emission layer. | 08-28-2014 |
20140239269 | PYRENE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE COMPRISING THE SAME - A pyrene-based compound, and an organic light-emitting diode including the pyrene-based compound are provided. | 08-28-2014 |
20140239270 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME - A thin film transistor array substrate includes a plurality of pixels, each of the pixels including a capacitor comprising a first electrode, and a second electrode located above the first electrode, a data line extending in a first direction, configured to provide a data signal, located above the capacitor, and overlapping a part of the capacitor, and a driving voltage line configured to supply a driving voltage, located between the capacitor and the data line, and comprising a first line extending in the first direction, and a second line extending in a second direction substantially perpendicular to the first direction. | 08-28-2014 |
20140239271 | PHOTOELECTRONIC DEVICE AND IMAGE SENSOR - A photoelectronic device includes a first electrode, a second electrode facing the first electrode, an active layer between the first electrode and the second electrode, and an auxiliary layer between the first electrode and the active layer, the auxiliary layer including a first auxiliary layer including a metal oxide and a metal and a second auxiliary layer including a first organic material having a HOMO energy level of greater than or equal to about 6.0 eV. | 08-28-2014 |
20140239272 | Organic Light Emitting Display Device and Method of Manufacturing the Same - An organic light emitting display device and a method of manufacturing the same are provided. The organic light emitting display device includes a substrate including red, green, and blue sub-pixel regions, reflective electrodes on the substrate, a reflective protective film on the substrate and surrounding sides and front surfaces of each reflective electrode, the reflective electrodes being on the reflective protective film, first electrodes on the substrate, the reflective protective film being on the first electrodes, the first electrodes including different respective thicknesses in the respective red, green, and blue sub-pixel regions, a second electrode facing the first electrodes, and a white organic common layer between the first and second electrodes. | 08-28-2014 |
20140239273 | ANTHRACENE DERIVATIVE, ORGANIC-ELECTROLUMINESCENCE-DEVICE MATERIAL, ORGANIC ELECTROLUMINESCENCE DEVICE, AND ELECTRONIC EQUIPMENT - An anthracene derivative is represented by a formula (1) below, | 08-28-2014 |
20140239274 | OFET INCLUDING PVDF-TRFE-CFE DIELECTRIC - An organic electrical device can include a first dielectric substrate including a PVDF-TrFe-CFE terpolymer, a first semiconductor region coupled to a first surface of the first dielectric substrate, and a first gate region coupled to a second surface of the first dielectric substrate, the second surface opposite the first surface and opposite the first semiconductor region. The organic electrical device can include an organic field-effect transistor (OFET), comprising the first gate region, the first dielectric substrate, a first source region, and a first drain region respectively electrically coupled to the first semiconductor region. An electrostrictive actuator or mechanical sensor can be co-integrated on the first dielectric substrate, the actuator or sensor including first and second conductive regions located on opposite surfaces of the first dielectric substrate. The actuator or sensor can be electrically coupled to the OFET, and controlled at least in part by the OFET. | 08-28-2014 |
20140239275 | COMPOUND AND ORGANIC LIGHT EMITTING DEVICE COMPRISING THE SAME - An organic light emitting compound includes the compound of Formula 1 below: | 08-28-2014 |
20140239276 | Displays with Shared Flexible Substrates - An electronic device may be provided with a display such as an organic light-emitting diode display. The display may include an array of display pixels formed on a polymer substrate layer. The polymer substrate layer may include an contiguous layer of polyimide that forms a substrate layer in additional structures such as a polymer film and a flexible printed circuit. A first transition region may be interposed between the display and the polymer film, and a second transition region may be interposed between the polymer film and the flexible printed circuit. Metal traces may be formed on the polymer film and on the flexible printed circuit. A display driver integrated circuit may be mounted to the traces on the polymer film. The polymer film may form a U-shaped bend. The flexible printed circuit may be coupled to a printed circuit board in the device using hot bar solder connections. | 08-28-2014 |
20140239277 | DISPLAY DEVICE - A display device includes a display panel including a display area to display an image, and a non-display area adjacent to the display area and having an opening; a driver on a rear of the display panel; and a flexible printed circuit board (FPCB) connecting the non-display area to the driver through the opening, and is bent at an angle less than 90 degrees from the non-display area to the driver. | 08-28-2014 |
20140239278 | PHOTOELECTRONIC DEVICE AND IMAGE SENSOR - Disclosed are a photoelectronic device including a first electrode including a first metal; an active layer disposed between the first electrode and a second electrode; and a diffusion barrier layer disposed between the first electrode and the active layer; the diffusion barrier layer including a second metal, wherein the second metal has a thermal diffusivity that is lower than a thermal diffusivity of the first metal, and wherein the first electrode and the diffusion barrier layer are configured to transmit light, and an image sensor including the photoelectronic device. | 08-28-2014 |
20140239279 | ORGANIC ELECTROLUMINESCENT COMPOUNDS AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - The present disclosure relates to an organic electroluminescent compound employed as a hole transport material or a hole injection material and an organic electroluminescent device including the same. The organic electroluminescent compound is represented by [Chemical Formula 1] and an organic electroluminescent device employing the organic electroluminescent compound as a hole transport material exhibits very superior luminous efficiency and lifetime characteristics. | 08-28-2014 |
20140239280 | ORGANIC ELECTROLUMINESCENT ELEMENT, LIGHT-EMITTING MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND LIGHT-EMITTING DEVICE, DISPLAY DEVICE, AND ILLUMINATION DEVICE USING SAID ELEMENT - An organic electroluminescent element using a compound represented by the following general formula emits dark blue light and has a small change in the chromaticity during luminance modulation: | 08-28-2014 |
20140239281 | ORGANIC ELECTROLUMINESCENT ELEMENT, COMPOUND, AND LIGHT EMITTING DEVICE, DISPLAY DEVICE AND LIGHTING SYSTEM, USING SAID ELEMENT - An organic electroluminescent element including a substrate, a pair of electrodes including an anode and a cathode, disposed on the substrate, and at least one organic layer including a light emitting layer, disposed between the electrodes, in which at least one kind of compound represented by the following general formula is contained in any layer of the at least one organic layer, is an organic electroluminescent element, in which the generation of dark spots during driving is inhibited: | 08-28-2014 |
20140239282 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescence element comprising: an anode layer, a cathode layer, and an organic luminescence layer therebetween, the organic luminescence layer having a carbazole derivative with a glass-transition temperature of 110° C. or higher, and a phosphorescent dopant. This structure makes it possible to provide an organic electroluminescence element which can make use of the triplet exciton state of the carbazole derivative even at room temperature and which has a practical life and superior heat-resistance. | 08-28-2014 |
20140239283 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE UTILIZING THE SAME - Provided is a novel aromatic amine derivative with specified structure. Also provided is an organic electroluminescence device having one or more organic thin-film layers including at least a luminescent layer interposed between a cathode and an anode, in which at least one of the organic thin-film layers contains the above aromatic amine derivative alone or as a component of mixture. As a result, there is provided an organic electroluminescence device that has high emission luminance and high heat resistance, excelling in high-temperature storage ability and has long life, and provided an aromatic amine derivative for realizing the organic electroluminescence device. | 08-28-2014 |
20140239284 | POLYMER COMPOUND AND ELECTRONIC DEVICE - By using a polymer compound comprising a constituent unit represented by the formula (1) and a constituent unit represented by the formula (2) in an organic layer of an organic photoelectric conversion device, photoelectric conversion efficiency can be enhanced: | 08-28-2014 |
20140239285 | ORGANIC ELECTRONIC DEVICE FOR LIGHTING - There is provided an organic electronic device including an anode, a hole transport layer, an emissive layer, an electron transport layer, and a cathode. The emissive layer includes at least one first electroluminescent material and the electron transport layer includes at least one electron transport material and at least one second electroluminescent material. The second electroluminescent material has a concentration that is greater adjacent the emissive layer. The device has white light emission. | 08-28-2014 |
20140239286 | DRIVING CIRCUIT BOARD, METHOD OF MANUFACTURING THE SAME, DISPLAY UNIT, AND ELECTRONIC APPARATUS - There are provided a driving circuit board and a method of manufacturing the same as well as a display unit and an electronic apparatus in which a number of forming steps is small and usage efficiency of materials is improved. The display unit according to the present disclosure includes: a pair of source-drain electrodes; an organic semiconductor layer forming a channel region, and provided in contact with the source-drain electrodes; an insulating layer formed of one or more layers, the insulating layer having a through hole penetrating to the source-drain electrodes, and the insulating layer being provided on the organic semiconductor layer and the source-drain electrodes; a gate electrode provided at a position corresponding to the channel region; and a pixel electrode electrically connected to the source-drain electrodes through the through hole, the pixel electrode being made of a same material as the gate electrode with a same film thickness as the gate electrode, and the pixel electrode being provided on the insulating layer. | 08-28-2014 |
20140239287 | SIMPLIFIED ORGANIC EMITTING DIODE AND METHOD FOR PREPARING THE SAME - An organic light emitting device having a simplified structure, and a method of fabricating the same, are provided. | 08-28-2014 |
20140239288 | COMPOUNDS FOR ELECTROCHEMICAL AND/OR OPTOELECTRONIC DEVICES - The invention relates to substituted ullazine and analogs of ullazine as sensitizers for dye-sensitized solar cells (DSSCs) and other photoelectrochemical and/or optoelectronic devices. The sensitizers may comprise donor substituents and/or acceptor substituents, besides an anchoring group suitable for attaching the sensitizer on a semiconductor surface. DSSCs based on this type of sensitizers exhibit high power conversion efficiencies. | 08-28-2014 |
20140246653 | AMOLED Display and Manufacturing Method Thereof - An AMOLED display and a manufacturing method are proposed. The AMOLED display includes a substrate, a first TFT disposed on the substrate, and a second TFT disposed on the substrate. The first TFT includes a first gate disposed on the substrate, a first active layer disposed on the first gate, and a first source/drain disposed on the first active layer. The second TFT includes a second active layer which is in the same layer as the first active layer is, a second gate disposed on the second active layer, and a second source/drain disposed on the second active layer. The AMOLED display and the manufacturing method thereof proposed by the present invention effectively increase AMOLED resolution owing to a newly added metallic layer which separates the first gate from the second gate. Compared with the conventional technology, the present invention includes fewer manufacturing processes, which can greatly reduce production costs. | 09-04-2014 |
20140246654 | OLED DISPLAY - An OLED display is disclosed, which includes a substrate and a first and a second light emitting unit arranged on the substrate. A first, a second, a third and a fourth region are defined on the substrate, wherein the sub-pixels in the first and fourth regions and the sub-pixels in the second and third regions are symmetrical with each other. Alternatively, the sub-pixels in the first region and the sub-pixels in the third region are point symmetric to a center of the first light emitting unit, so as to improve displaying resolution of the OLED display | 09-04-2014 |
20140246655 | FLUORINE-CONTAINING PLASMA POLYMERIZED HMDSO FOR OLED THIN FILM ENCAPSULATION - Methods for forming an OLED device are described. An encapsulation structure having organic buffer layer sandwiched between barrier layers is deposited over an OLED structure. The buffer layer is formed with a fluorine-containing plasma. The second barrier layer is then deposited over the buffer layer. Additionally, to ensure good adhesion, a buffer adhesion layer is formed between the buffer layer and the first barrier layer. Finally, to ensure good transmittance, a stress reduction layer is deposited between the buffer layer and the second barrier layer. | 09-04-2014 |
20140246656 | Organometallic Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - As a novel substance having a novel skeleton, an organometallic complex having high emission efficiency and improved color purity is provided. The color purity is improved by reducing the half width of an emission spectrum. The organometallic complex is represented by General Formula (G1). In General Formula (G1), at least one of R | 09-04-2014 |
20140246657 | ANTHRACENE DERIVATIVES AND ORGANIC LIGHT EMITTING DEVICES COMPRISING THE SAME - An anthracene derivative represented by Formula 1 is disclosed. An organic light-emitting device including an anode, a cathode, and an organic layer between the anode and the cathode, where the organic layer includes at least one anthracene derivative represented by Formula 1, is also disclosed. A method of manufacturing the organic light-emitting device is also disclosed. | 09-04-2014 |
20140246658 | ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR MANUFACTURING THE ORGANIC ELECTROLUMINESCENT DEVICE - On or above a substrate, a first electrode layer and a connection wiring connected to the first electrode layer are provided. On or above the first electrode layer, an organic function layer made of an organic material and a second electrode layer are deposited so as to construct an organic EL element. A sealing layer is provided to cover the organic EL element and the connection wiring. The connection wiring includes a fuse part to cause a break resulting from an overcurrent. The fuse part has an upper surface in contact with a gap layer. | 09-04-2014 |
20140246659 | ORGANIC ELECTROLUMINESCENT ELEMENT, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND LIGHT EMITTING DEVICE, DISPLAY DEVICE AND LIGHTING DEVICE EACH USING ORGANIC ELECTROLUMINSCENT ELEMENT - An organic electroluminescent element including a substrate, a pair of electrodes including an anode and a cathode, disposed on the substrate, and at least one organic layer including a light emitting layer, disposed between the electrodes, in which a compound represented by the following formula (I) is contained in any layer of the at least one organic layer. The organic electroluminescent element has high luminous efficiency and a strong effect of improving the durability by driving aging: | 09-04-2014 |
20140246660 | ORGANIC ELECTROLUMINESCENT ELEMENT AND ORGANIC ELECTROLUMINESCENT DEVICE - The objection of invention is to provide an organic electroluminescent element having an excellent current-voltage property. The organic electroluminescent element of the invention comprises an anode, a light emitting layer and a cathode, in this order, wherein a total number of kinds of an electron transport material and a light emitting material contained in the light emitting layer is five or more. Preferably, a total number of kinds of an electron transport material contained in the light emitting layer is four or more, and at least one of an ionization potential and an electron affinity of three kinds or more of the electron transport materials contained in the light emitting layer is different from each other. | 09-04-2014 |
20140246661 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device including a plurality of organic layers between a first electrode and an emitting layer, wherein the organic layer includes an electron blocking layer. In one embodiment, a first organic layer, an electron blocking layer, a second organic layer and an emitting layer are formed on the first electrode. The electron blocking layer has a Lowest Unoccupied Molecular Orbital (LUMO) level which is lower than that of the first organic layer. Thus, the electron blocking layer traps excess electrons injected from the emitting layer, thereby improving lifetime characteristics of the OLED. | 09-04-2014 |
20140246662 | DISPLAY APPARATUS AND ELECTRONIC APPARATUS - Disclosed herein is a display apparatus, including: a plurality of subpixels disposed adjacent each other and forming one pixel which forms a unit for formation of a color image; the plurality of subpixels including a first subpixel which emits light of the shortest wavelength and a second subpixel disposed adjacent the first subpixel; the second subpixel having a light blocking member disposed between the second subpixel and the first subpixel and having a width greater than a channel length or a channel width of a transistor which forms the second subpixel. | 09-04-2014 |
20140246663 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescence device including: an anode; a cathode; two or more emitting units that are disposed between the anode and the cathode, each unit having an emitting layer; and a charge-generating layer that is disposed between the emitting units, wherein the charge-generating layer comprises an N layer nearer to the anode and a P layer nearer to the cathode, and the P layer comprises a compound represented by the following formula (I). | 09-04-2014 |
20140246664 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL AND MANUFACTURING METHOD THEREFOR - To provide a transparent organic EL display panel that does not impair transparency while light is not emitted, the transparent organic electroluminescence display panel is provided with first transparent electrodes formed on a transparent substrate, a transmittance-adjusting layer formed on the transparent substrate and away from the first transparent electrodes, a partition wall formed on the transparent substrate and the transmittance-adjusting layer so as to partition the first transparent electrodes, a light-emitting medium layer formed on the first transparent electrodes and including at least an organic light-emitting layer, and a second transparent electrode formed on the light-emitting medium layer. | 09-04-2014 |
20140246665 | Encapsulation for an Organic Electronic Device - An organic electronic device and a method of making an organic electronic device are provided. An embodiment of an electronic device includes a substrate, an active layer disposed on the substrate and a thin-layer encapsulation disposed on the active layer. The device further includes a first adhesive layer disposed on the thin-layer encapsulation, wherein the first adhesive layer comprises a getter material and a covering layer disposed on the first adhesive layer. | 09-04-2014 |
20140252317 | REDUCING SHEET RESISTANCE FOR COMMON ELECTRODE IN TOP EMISSION ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a thin film transistor (TFT) substrate, which has TFTs for an array of pixels. Each TFT has a gate electrode, a source electrode, and a drain electrode. An organic layer is disposed over the TFT substrate. The organic layer has through-hole above the drain electrode. The display also includes pixel electrodes disposed over the organic layer. Each pixel electrode is connected to the drain electrode in the through-hole of the organic layer for each pixel. An organic light emitting diode (OLED) layer is disposed over the pixel electrode for each pixel. The organic light emitting layer is divided into pixels or sub-pixels by a pixel defining layer over the pixel electrode. The display further includes a common electrode and a conductive layer disposed over the OLED layer such that the conductive layer does not block light emission from the organic light emitting layer. | 09-11-2014 |
20140252318 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound according to a formula I and devices incorporating the same are described. The compound according to the formula I can have the structure: | 09-11-2014 |
20140252319 | Light Emitting Diode and Manufacturing Method Thereof and Display Apparatus - The present invention discloses a diode and a manufacturing method thereof and a display apparatus. The diode comprises a composite anode, a transparent metal oxide layer, a basic stack layer, and a composite cathode. The composite anode comprises a transparent anode layer and a first transparent metal layer. The first transparent metal layer is formed on the transparent anode layer. The transparent metal oxide layer is formed on the first transparent metal layer. The basic stack layer is formed on the transparent metal oxide layer. The composite cathode comprises two second transparent metal layers. The two second transparent metal layers are formed on the basic stack layer. Both transmittance and efficiency of the diode are significantly improved. The reliability of the diode is improved to elongate the lifetime of the diode. | 09-11-2014 |
20140252320 | FULL-BAND AND HIGH-CRI ORGANIC LIGHT-EMITTING DIODE - The present invention relates to a full-band and high-CRI organic light-emitting diode, comprising: a first conductive layer, at least one first carrier transition layer, a plurality of light-emitting layers, at least one second carrier transition layer, and a second conductive layer. In the present invention, a plurality of dyes are doped in the light-emitting layers, so as to make the light-emitting layers emit a plurality of blackbody radiation complementary lights, wherein the chromaticity coordinates of the blackbody radiation complementary lights surround to a specific area on 1931 CIE (Commission International de'Eclairage) Chromaticity Diagram, moreover, the specific area fully encloses the Planck's locus on 1931 CIE Chromaticity Diagram, such that the blackbody radiation complementary lights mix to each other and then become a full-band and high-CRI light. | 09-11-2014 |
20140252321 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device includes a display substrate comprising a plurality of pixel regions at least partially defined by a plurality of non-pixel regions; a sealing substrate facing the display substrate; and a spacer on one of the non-pixel regions of the display substrate between the display substrate and the sealing substrate to maintain a space between the display substrate and the sealing substrate. The plurality of pixel regions include a first pixel; a second pixel spaced apart from the first pixel and having a center corresponding to a first corner of a virtual rectangle having a center corresponding to a center of the first pixel; and a third pixel spaced apart from the second pixel and having a center corresponding to a second corner adjacent to the first corner of the virtual rectangle. The spacer is adjacent to the second pixel. | 09-11-2014 |
20140252322 | DISPLAY DEVICE INTEGRATED WITH TOUCH SCREEN PANEL - A display device integrated with a touch screen panel in an embodiment of the present invention includes: a first substrate, a second substrate on the first substrate, a plurality of touch sensing cells in an active region between the first substrate and the second substrate, and a plurality of pixels on an active area of the second substrate. | 09-11-2014 |
20140252323 | COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - An embodiment is directed to compound represented by Formula 1 below: | 09-11-2014 |
20140252324 | COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - Provided is an organic light-emitting diode including a compound of Formula 1 below: | 09-11-2014 |
20140252325 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light-emitting display device includes a first substrate; a display unit on the first substrate; an encapsulation layer covering the display unit; a second substrate on the first substrate, wherein the display unit is interposed therebetween; a sealant between the encapsulation layer and the second substrate and at the outside of the display unit, wherein the sealant bonds the encapsulation layer and the second substrate to seal them; and a getter on the encapsulation layer in a region between the sealant and the display unit. | 09-11-2014 |
20140252326 | DISPLAY DEVICE INCLUDING THIN FILM TRANSISTOR - A display device according to example embodiments includes a first thin film transistor on a substrate, a second thin film transistor on the first thin film transistor, and a display unit electrically connected to at least one of the first thin film transistor and the second thin film transistor. | 09-11-2014 |
20140252327 | AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - Provided are an amine-based compound and an organic light-emitting diode including the same. The amine-based compound is represented by Formula 1 or Formula 2 below: | 09-11-2014 |
20140252328 | ORGANIC EL LIGHTING EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, AND ORGANIC EL LIGHT SOURCE DEVICE - An organic EL light emitting device and an organic EL light source device having the organic EL light emitting device are described. A method of manufacturing the organic EL light emitting device includes forming a scaling layer over a sealing layer base material by using at least one of chemical vapor deposition and physical vapor deposition, joining the sealing layer to an organic EL substrate having an organic EL device so as to cover the organic EL device, and removing the sealing layer base material to leave the sealing layer that covers the organic EL device. | 09-11-2014 |
20140252329 | DISPLAY PANEL AND METHOD FOR FABRICATING THE SAME - A display panel and a method for fabricating the same are provided. The display panel includes a substrate, a transparent film, and a light-gathering film. The substrate includes organic light emitting diode (OLED) elements. The transparent film is disposed on the substrate. The light-gathering film is disposed on the transparent film. In the fabrication method of the display panel, a substrate is first provided, in which the substrate includes OLED elements. Then, a transparent film is provided, in which the transparent film has a first surface and a second surface opposite to the first surface. Thereafter, a light-gathering material is coated to form a light-gathering film on the first surface of the transparent film. Then, a sensing layer is disposed on the second surface of the transparent film. Thereafter, the transparent film is disposed on the substrate to sandwich the sensing layer between the transparent film and the substrate. | 09-11-2014 |
20140252330 | FILM FOR IMPROVING COLOR DISPLAY AND METHOD FOR MANUFACTURING THE SAME, AND DISPLAY APPARATUS INCLUDING IMPROVED COLOR DISPLAY FILM - Provided are a color display film, a method for manufacturing the same, and a display apparatus including the color display film. The color display film includes a base material layer, a high-refractive light diffusion layer including a light diffuser, a high-refractive resin layer, and a low-refractive resin layer on which an optical pattern is formed. The method for manufacturing a color display film includes forming a high-refractive light diffusion layer by coating a resin comprising a diffuser on one surface of a base material layer and hardening the resin, forming a high-refractive resin layer, in which optical patterns are formed, on one surface of the high-refractive light diffusion layer, and forming a low-refractive resin layer having optical patterns formed on one surface thereof by coating a low-refractive transparent resin on a surface of the high-refractive resin layer in which the optical patterns are formed and hardening the resin. | 09-11-2014 |
20140252331 | FILM FOR IMPROVING COLOR DISPLAY AND METHOD OF MANUFACTURING THE SAME - Provided are a color display film and a method for manufacturing the same, and a display apparatus. The color display film includes a base material layer, a high-refractive resin layer on which an optical pattern is formed, and a low-refractive light diffusion layer including a light diffuser in a stacked configuration. The optical pattern is formed in one surface of the high-refractive resin layer facing the low-refractive light diffusion layer. The method of manufacturing a color display film includes forming a high-refractive resin layer by coating a high-refractive transparent resin on one surface of a base material layer and forming an imprinted optical pattern on the coated high-refractive transparent resin, forming a low-refractive light diffusion layer by dispersing a light diffuser into a low-refractive transparent resin, and bonding one surface of the low-refractive light diffusion layer on a surface on which the optical pattern is formed. | 09-11-2014 |
20140252332 | Optoelectronic Devices And Applications Thereof - In one aspect, optoelectronic devices are described herein. In some embodiments, an optoelectronic device described herein comprises a first electrode, a second electrode and a light emitting composite layer disposed between the first electrode and the second electrode. A dielectric layer, in some embodiments, is disposed between the light emitting composite layer and the first electrode and/or second electrode. | 09-11-2014 |
20140252333 | ORGANIC ELECTROLUMINESCENT ELEMENT AND NOVEL IRIDIUM COMPLEX - An iridium complex which has a phenylpyridine bidentate ligand containing a group represented by the following general formula (A): | 09-11-2014 |
20140252334 | ORGANIC EL PANEL COMPRISING A LIGHT-EMITTING PART AND A SEAL STRUCTURE SEALING THE LIGHT-EMITTING PART AND METHOD FOR MANUFACTURING THE SAME - An organic EL panel including at least a light-emitting part and a sealing structure. The light-emitting part further includes at least an organic EL element formed on a substrate. The sealing structure further includes a sealing adhesive layer that contacts a coating film. The organic EL panel emits light from the light-emitting part through the substrate. The organic EL element includes at least the organic layer formed on a first electrode. The organic EL element further includes a second electrode formed on the at least one organic layer. The organic EL panel further includes the coating film coating the light-emitting part. A surface of the sealing adhesive forms a convex part formed by an inorganic material. A surface of the coating film forms a concave part that contacts the convex part. | 09-11-2014 |
20140252335 | ORGANIC LIGHT EMITTING DIODE WITH TRANSPARENT ELECTRODE AND METHOD OF MAKING SAME - A transparent electrode is provided for an organic light emitting diode (OLED) device. The electrode may be made according to a method including: sputter-depositing a first layer of or including indium tin oxide (ITO) on a substrate; sputter-depositing a thin second metallic or substantially metallic layer on the glass substrate over the first layer to form an electrode structure, and heat treating the electrode structure at temperature(s) of at least about 400 degrees C. in order to thermally activate at least the first layer of or including ITO. The electrode structure may then be provided in an OLED device on the light-emitting side of the organic light emitting semiconductor layer. | 09-11-2014 |
20140252336 | DISPLAY DEVICE - A display device includes a light emitting functional layer disposed between a first and second substrates; a first pixel which emits light to the second substrate and has a first pixel electrode disposed between the light emitting functional layer and the first substrate, a second electrode disposed between the light emitting functional layer and the second substrate, and a first reflecting layer disposed between the first pixel electrode and the first substrate; a second pixel which emits light to the first substrate side and has a second pixel electrode disposed between the light emitting functional layer and the first substrate, a second electrode disposed between the light emitting functional layer and the second substrate, and a second reflecting layer disposed between the second electrode and the second substrate; and a driving element which drives the first and second pixel electrodes is disposed above first substrate. | 09-11-2014 |
20140252337 | Self-Light-Emitting Device and Method of Manufacturing the Same - Failure light emission of an EL element due to failure film formation of an organic EL material in an electrode hole | 09-11-2014 |
20140252338 | LIGHT-EMITTING ELEMENT - To provide a light-emitting element with high emission efficiency or long lifetime, in which the use amount of a phosphorescent compound is small. To provide a light-emitting element including a light-emitting layer between a pair of electrodes, wherein the light-emitting layer includes a phosphorescent compound, a first organic compound, and a second organic compound, and the combination of the first organic compound and the second organic compound forms an exciplex. The light-emitting element transfers energy by utilizing the overlap between the emission spectrum of the exciplex and the absorption spectrum of the phosphorescent compound and thus has high energy transfer efficiency, even when the concentration of the phosphorescent compound is low. | 09-11-2014 |
20140252339 | LIGHT EMITTING COMPOSITION AND DEVICE - An organic light-emitting device comprising an anode; a cathode; and a first light-emitting layer between the anode and the cathode, wherein the first light-emitting layer comprises a fluorescent light-emitting material of formula (I): (Formula (I)) wherein Ar | 09-11-2014 |
20140252340 | ORGANIC ELECTRONIC DEVICE FOR LIGHTING - There is provided an organic electronic device including an anode, a hole transport layer, an emissive layer, an electron transport layer, and a cathode. The emissive layer includes at least one first electroluminescent material and the electron transport layer includes one or more electroluminescent materials which are different than the first electroluminescent material. The device has white light emission. | 09-11-2014 |
20140252341 | PYRROLO PYRROLEDIONE-THENEQUINONE COMPOUND, AND PREPARATION PROCESS AND USE THEREOF - Disclosed are a pyrrolo-pyrroledione-thiophenequinone compound as shown by formula I, a preparation process thereof and the use thereof as an organic semiconductor material. The preparation process for the compound of formula I comprises reacting NaH, an α-bromine substituted pyrrolo-pyrroledione-thiophene oligomer as shown by formula II and malononitrile sodium salt, in the presence of catalytic Pd(PPh | 09-11-2014 |
20140252342 | ENCAPSULATION BARRIER STACK - Disclosed is an encapsulation barrier stack, capable of encapsulating a moisture and/or oxygen sensitive article and comprising a multilayer film, wherein the multilayer film comprises: one or more barrier layer(s) having low moisture and/or oxygen permeability, and one or more sealing layer(s) arranged to be in contact with a surface of the at least one barrier layer, thereby covering defects present in the barrier layer, wherein the one or more sealing layer(s) comprise(s) a plurality of encapsulated nano-particles, the nanoparticles being reactive in that they are capable of interacting with moisture and/or oxygen to retard the permeation of moisture and/or oxygen through the defects present in the barrier layer. The encapsulation of the particles can be obtained by polymerising a polymerisable compound (a monomeric or a polymeric compound with polymerisible groups or) cross-linking a cross-linkable compound on the surface of the reactive nanoparticles. | 09-11-2014 |
20140264290 | OLED DISPLAY ARCHITECTURE - A display includes one or more organic light emitting device panels. Each organic light emitting device panel has an array of single-color subpixel areas of different colors extending over an active area thereof arranged in a predetermined pattern by color. At least one of the subpixel areas in the predetermined pattern that would otherwise be designated as a subpixel area through which blue light is emitted based on a position thereof in the predetermined pattern being predetermined to be non-emissive. A volume of the organic light emitting device panel associated with the at least one predetermined non-emissive subpixel area is non-emissive and includes a via or a functional electronic component therein. | 09-18-2014 |
20140264291 | Light Emitting Device with Spherical Back Mirror - A method is provided for fabricating an organic light emitting device (OLED) with a spherical back mirror. The method forms a spherical curvature in the substrate and deposits a metal film overlying the spherical curvature, forming a spherical back mirror. A transparent isolation layer is formed overlying the spherical back mirror having a planar top surface. A transparent first electrode layer is formed overlying the isolation layer, and a transparent second electrode layer is formed overlying the first electrode layer. A stack is interposed between the first and second electrode layers. The stack is made up of an electron transport layer adjacent the cathode, a light-emitting (electron injection) layer adjacent to the electron transport layer, a hole transport layer adjacent to the light-emitting layer, and a hole injection layer adjacent to the hole transport layer. The order of the stack layering is dependent which electrode is the anode. | 09-18-2014 |
20140264292 | HOST COMPOUNDS FOR PHOSPHORESCENT OLEDS AND DEVICES THEREOF - A compound according to a formula I, devices incorporating the same, and formulations including the same are described. The compound according to the formula I can have the structure | 09-18-2014 |
20140264293 | COATED ARTICLE AND/OR DEVICE WITH OPTICAL OUT-COUPLING LAYER STACK (OCLS) INCLUDING VACUUM DEPOSITED INDEX MATCH LAYER OVER SCATTERING MATRIX, AND/OR ASSOCIATED METHODS - Certain example embodiments relate to light emitting diode (e.g., OLED and/or PLED) inclusive devices, and/or methods of making the same. Certain example embodiments incorporate an optical out-coupling layer stack (OCLS) structure that includes a vacuum deposited index matching layer (imL) provided over an organo-metallic scattering matrix layer. The imL may be a silicon-inclusive layer and may include, for example, vacuum deposited SiOxNy. The OCLS including scattering micro-particles, the imL, and the anode may be designed such that the device extraction efficiency is significantly improved, e.g., by efficiently coupling the light generated in the organic layers of the devices and extracted through the glass substrate. In certain example embodiments, the refractive index of the ITO, SiOxNy index matching layer, OCLS scattering layer and the glass substrate may be provided in decreasing order. | 09-18-2014 |
20140264294 | Three-dimensional Printing Surface Treatments - Systems and methods for applying a surface treatment to a product may implement operations including, but not limited to: depositing at least one first conductive element on at least one surface of the product; depositing at least one of one or more microcapsules or one or more organic light-emitting diodes (OLEDs) to at least partially electrically couple with the at least one first conductive element; and depositing at least one second conductive element to at least partially electrically couple with the at least one of one or more microcapsules or one or more OLEDs. | 09-18-2014 |
20140264295 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An OLED display having a first pixel, a second pixel, and a third pixel which neighbor each other, includes: a plurality of first electrodes provided respectively corresponding to the first pixel, the second pixel, and the third pixel and being separated from each other; a hole injection layer provided on the plurality of first electrodes; a main emission layer including a first emission layer disposed on the hole injection layer corresponding to the first pixel, a second emission layer disposed on the hole injection layer corresponding to the second pixel, and a third emission layer disposed on the hole injection layer corresponding to the third pixel; a p-type hole transport layer disposed between the second emission layer and the hole injection layer and p-type doped; and a second electrode disposed on the main emission layer. | 09-18-2014 |
20140264296 | BARRIER FILM PERFORMANCE WITH N2O DILUTION PROCESS FOR THIN FILM ENCAPSULATION - A method and apparatus for depositing an inorganic layer onto a substrate is described. The inorganic layer may be part of an encapsulating film utilized in various display applications. The encapsulating film includes one or more inorganic layers as barrier layers to improve water-barrier performance. An oxygen containing gas, such as nitrous oxide, is introduced during the deposition of the inorganic layer. As a result, the inorganic layer is lower in stress and may obtain a water vapor transmission rate (WVTR) of less than 100 mg/m | 09-18-2014 |
20140264297 | THIN FILM ENCAPSULATION-THIN ULTRA HIGH BARRIER LAYER FOR OLED APPLICATION - A method and apparatus for depositing a multilayer barrier structure is disclosed herein. In one embodiment, a thin barrier layer formed over an organic semiconductor includes a non-conformal organic layer, an inorganic layer formed over the non-conformal organic layer, a metallic layer formed over the inorganic layer and a second organic layer formed over the metallic layer. In another embodiment, a method of depositing a barrier layer includes forming an organic semiconductor device over the exposed surface of a substrate, depositing an inorganic layer using CVD, depositing a metallic layer comprising one or more metal oxide or metal nitride layers over the inorganic layer by ALD, each of the metal oxide or metal nitride layers comprising a metal, wherein the metal is selected from the group consisting of aluminum, hafnium, titanium, zirconium, silicon or combinations thereof and depositing an organic layer over the metallic layer. | 09-18-2014 |
20140264298 | IMAGE SENSOR AND METHOD OF FORMING THE SAME - An image sensor is provided. The image sensor includes an interlayered dielectric structure having a first recess region, in which an organic photoelectric layer is provided, and a second recess region, in which a color filter is provided. The second recess region may be provided under the first recess region. | 09-18-2014 |
20140264299 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - A method of manufacturing an organic light emitting diode (OLED) display includes forming a thin film transistor on a substrate; forming a first electrode electrically connected with the thin film transistor; forming a hole auxiliary layer on the first electrode; depositing an organic material on the hole auxiliary layer using a mask having an opening corresponding to the first electrode; forming an organic emission layer by partially eliminating the organic material through dry-etching, the dry-etching being performed to eliminate the organic material deposited outside of a boundary of the first electrode; forming an electron auxiliary layer on the organic emission layer; and forming a second electrode on the electron auxiliary layer. | 09-18-2014 |
20140264300 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE AND METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - The organic electroluminescence display device of an embodiment of the present invention includes a substrate, a plurality of pixels formed on the substrate, and a sealing film that covers the plurality of pixels. The sealing film includes a first barrier layer, a base layer covering the top surface of the first barrier layer, an inter layer locally formed on the top surface of the base layer, and a second barrier layer covering the top surface of the base layer and the top surface of the inter layer. The inter layer is formed so as to cover a step on the top surface of the base layer. | 09-18-2014 |
20140264301 | ORGANIC ELECTROLUMINESCENT ELEMENT, AND LIGHT EMITTING DEVICE, DISPLAY DEVICE AND LIGHTING DEVICE EACH USING ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescent element including a substrate, a pair of electrodes including an anode and a cathode, disposed on the substrate, and at least one organic layer including a light emitting layer, disposed between the electrodes, in which at least one kind of compound represented by the following general formula (I) is contained in any layer of the at least one organic layer. The organic electroluminescent element has good luminous efficiency, driving voltage, and driving durability, and has low dependence of such performance on a deposition rate: | 09-18-2014 |
20140264302 | ADHESIVE AND METHOD OF ENCAPSULATING ORGANIC ELECTRONIC DEVICE USING THE SAME - An adhesive, and an encapsulated product and method of encapsulating an organic electronic device (OED) using the same are provided. The adhesive film serves to encapsulate the OED and includes a curable resin and a moisture absorbent, and the adhesive includes a first region coming in contact with the OED upon encapsulation of the OED and a second region not coming in contact with the OED. Also, the moisture absorbent is present at contents of 0 to 20% and 80 to 100% in the first and second regions, respectively, based on the total weight of the moisture absorbent in the adhesive. | 09-18-2014 |
20140264303 | LUMINESCENT DISPLAY DEVICE - A luminescent display device includes a substrate and first and second thin-film transistors above the substrate. The first thin-film transistor includes a semiconductor layer, a gate insulating film, a gate electrode, a source electrode and a drain. The second thin-film transistor includes a semiconductor layer, a gate insulating film, a gate electrode, and a drain electrode. The device also includes an interlayer insulating film on the gate electrode of the first thin-film transistor and the gate electrode of the second thin-film transistor, a first capacitor electrode on the interlayer insulating film, and a luminescent element such that the first capacitor electrode and the gate electrode of the first thin-film transistor constitute a first capacitor, and the first capacitor electrode is not connected to the source electrode and the drain electrode of the first thin-film transistor. | 09-18-2014 |
20140264304 | Light Emitting Element, Light Emitting Device, and Electronic Device - It is an object of the present invention to provide a light emitting element that realizes a high contrast. It is another object of the present invention to provide a light emitting device that realizes a high contrast by using the light emitting element with an excellent contrast. The light emitting element has a layer containing a light emitting substance interposed between a first electrode and a second electrode, and the layer containing the light emitting substance includes a light emitting layer, a layer containing a first organic compound, and a layer containing a second organic compound. The first electrode has a light-transmitting property, and the layer containing the first organic compound and the layer containing the second organic compound are interposed between the second electrode and the light emitting layer. Furthermore, color of the first organic compound and color of the second organic compound are complementary. | 09-18-2014 |
20140264305 | EL DISPLAY DEVICE - A EL display device has EL display panel including the a display area where a pixel is arranged in matrix, and a wiring pattern formed in a circumferential portion of the display area and supplying voltage to a pixel. The EL display panel includes a flexible substrate having an electrode connected to a source signal line or a gate signal line arranged thereon. The flexible substrate includes an anode reinforcement wiring and a cathode reinforcement wiring which are electrically parallel to the wiring pattern. | 09-18-2014 |
20140264306 | DISPLAY MODULE - An organic display device includes a pixel driving circuit having a thin film transistor connected to a current supply line and a capacitor. A first insulation layer, with a first electrode thereon, covers a source electrode of the transistor. The first electrode is connected to the transistor through a contact hole in the insulation layer. A second insulation layer including an aperture is formed on the first insulation layer and electrode layers. An organic light emitting layer, with a second electrode thereon is formed in the aperture and connected to the first electrode. The second insulation layer includes an inner wall at the aperture, said inner wall having a surface of a convex plane on an edge of the recessed part of the first electrode. The convex plane is located between the organic light emitting layer and the edge of the first electrode, and the second electrode is formed over plurality of pixels. | 09-18-2014 |
20140264307 | PROCESS FOR FORMING AN ELECTROACTIVE LAYER - There is provided a process for forming a layer of electroactive material having a substantially flat profile. The process includes: providing a workpiece having at least one active area; depositing a liquid composition including the electroactive material onto the workpiece in the active area, to form a wet layer; treating the wet layer on the workpiece at a controlled temperature in the range of −25 to 80° C. and under a vacuum in the range of 10 | 09-18-2014 |
20140264308 | ORGANIC LIGHT-EMITTING ELEMENT AND LIGHT-EMITTING DEVICE WITH THE ORGANIC LIGHT-EMITTING ELEMENT - The present invention provides a white organic light-emitting element high in the emission efficiency. In particular, the invention provides a white organic light-emitting element that has an emission spectrum having peaks in the respective wavelength regions of red color, green color and blue color and is high in the emission efficiency. It is preferable to use an electron transport material between a first emission region and a second emission region and more preferable to use a hole block material. | 09-18-2014 |
20140264309 | DISPLAY PANEL AND SYSTEM FOR DISPLAYING IMAGES UTILIZING THE SAME - An embodiment of the invention provides a display panel, which includes a substrate having a pixel region and a peripheral region, a conducting layer overlying the substrate in the peripheral region, a first insulating layer overlying the conducting layer in the peripheral region, wherein a ratio between an area of the first insulating layer and an area of the conducting layer in the peripheral region is between about 0.27 and 0.99, a lower electrode layer overlying the first insulating layer, a second insulating layer overlying the lower electrode layer, and an upper electrode layer overlying the second insulating layer. | 09-18-2014 |
20140264310 | LIGHTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - The lighting device includes a first resin layer having a first refractive index and a second resin layer having a second refractive index lower than the first refractive index and higher than the refractive index of the air, which are over a light-emitting element layer, a plurality of granules provided at the interface between the first resin layer and the second resin layer and each having the second refractive index or a plurality of projections each having an apex provided inside the first resin layer and a flat surface in contact with the interface between the first resin layer and the second resin layer and having the second refractive index, an uneven structure provided at the interface with the air, and a resin substrate having the second refractive index. | 09-18-2014 |
20140264311 | LIGHT-EMITTING COMPONENT AND METHOD FOR PRODUCING A LIGHT-EMITTING COMPONENT - A light-emitting component may include: an electrically active region, including a first electrode, a second electrode, an organic functional layer structure between the first electrode and the second electrode, a cover arranged above the electrically active region, and a layer structure arranged between the cover and the electrically active region. The component may have at least one layer having a refractive index which is less than the refractive index of the cover. | 09-18-2014 |
20140264312 | NOVEL ORGANIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE - The present invention relates to a novel stable benzo[h]hexaphene compound and an organic light-emitting device including the compound. The present invention provides a benzo[h]hexaphene shown in Claim | 09-18-2014 |
20140264313 | Organic Electronic Component with Dopant, Use of a Dopant and Method for the Production of the Dopant - An organic electronic component includes an organic functional layer having a p-dopant. The p-dopant includes a copper complex having at least one ligand containing an aryloxy group and an iminium group. Additionally specified are the use of a copper complex as a p-dopant and a process for producing a p-dopant. | 09-18-2014 |
20140264314 | METHOD FOR MANUFACTURING ORGANIC SEMICONDUCTOR ELEMENT, SEMICONDUCTOR ELEMENT, AND ELECTRONIC APPARATUS - An organic single crystal thin film includes an organic single crystal formed on a substrate across a boundary between a first region of the substrate and a second region of the substrate that is adjacent to the first region. The first region has a different shape or size than the second region. | 09-18-2014 |
20140264315 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL AND ORGANIC ELECTROLUMINESCENCE DISPLAY APPARATUS - An organic electroluminescence (EL) display panel includes a cathode electrode formed above a bank and formed opposite to a plurality of anode electrodes, and a charge functional layer commonly formed for each of the organic light-emitting layers across a plurality of aperture areas formed in the bank. An end portion of the cathode electrode and an end portion of the charge functional layer are provided above the bank located adjacent to a boundary between a display region and a peripheral region of a display region. | 09-18-2014 |
20140264316 | Organic Light-Emitting Device - An organic light-emitting device includes a substrate, on which a transparent electrode and a further electrode are applied. An organic light-emitting layer is arranged between the electrodes. At least one optical scattering layer is arranged on a side of the transparent electrode facing away from the organic light-emitting layer. | 09-18-2014 |
20140264317 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND PLANAR LIGHT-EMITTING BODY - Provided is an organic EL element having both excellent light extraction efficiency and excellent weather resistance. The organic EL element ( | 09-18-2014 |
20140264318 | NOVEL ORGANIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - The present invention provides a novel stable benzo[a]naphtho[2,1-c]tetracene compound and an organic light-emitting device including the compound. | 09-18-2014 |
20140284554 | SUBSTITUTED BISARYLOXYBIPHENYL COMPOUNDS FOR USE IN LIGHT-EMITTING DEVICES - Compounds according to Formula 1 may be used as host materials, hole-transfer materials, hole-injecting materials, or for other purposes in electronic devices such as in organic light-emitting devices. | 09-25-2014 |
20140284555 | LIGHTING DEVICES - A device includes a light emitting assembly including at least one light panel including at least one phosphorescent organic light emitting device. A total light emitting area of the light emitting assembly is greater than 1000 cm | 09-25-2014 |
20140284556 | 6H-INDENO[2,1-B]QUINOLINE DERIVATIVE AND ORGANIC LIGHT EMITTING DIODE USING THE SAME - A 6H-indeno[2,1-b]quinoline derivative has a structure of formula (I). Each of Ar | 09-25-2014 |
20140284557 | LIGHTING APPARATUS AND LIGHTING UNIT - A lighting apparatus and a lighting unit. The lighting apparatus includes: a first substrate; an organic light-emitting diode (OLED) which is disposed on the first substrate to define an emission region and emits light; a second substrate which faces the first substrate with the OLED interposed therebetween; and a sealant which is disposed between the first substrate and the second substrate, bonds the first substrate and the second substrate together, and surrounds the OLED at a set or predetermined distance from the OLED, wherein the sealant includes first light-scattering particles which are dispersed in the sealant and diffuse light incident to the sealant. | 09-25-2014 |
20140284558 | THIN FILM TRANSISTOR AND ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME - A thin film transistor (TFT) includes a semiconductor on a substrate; an ohmic contact overlapping at least a portion of the semiconductor; a source electrode and a drain electrode on the ohmic contact; a gate insulating layer covering the semiconductor; and a gate electrode overlapping the semiconductor and between the source electrode and the drain electrode on the gate insulating layer, wherein the gate electrode is laterally separated from the drain electrode by a first distance and is laterally separated from the source electrode by a second distance. | 09-25-2014 |
20140284559 | METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS, DEPOSITION APPARATUS USING THE METHOD, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED BY USING THE METHOD - A method of manufacturing an organic light-emitting display apparatus, a deposition apparatus using the method, and an organic light-emitting display apparatus manufactured by the method, in which substrate effluence is blocked during transportation of a transport unit. The method includes: rotating a transfer unit, to which a substrate is placed or is to be placed, by first and second flip transport units, in a first rotational direction or in an opposite rotational direction to the first rotational direction, by a set angle; forming a layer by depositing a material emitted from a deposition assembly, on the substrate while placing the deposition assembly and the substrate to be separated from each other by a set distance and moving the substrate relatively with respect to the deposition assembly in a first direction via a first transfer unit; and transporting the transport unit in the opposite direction to the first direction after deposition. | 09-25-2014 |
20140284560 | ORGANIC LIGHT EMITTING DIODE, ORGANIC LIGHT EMITTING DISPLAY PANEL INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT EMITTING DISPLAY PANEL - Provided are an organic light emitting diode, an organic light emitting display panel including the same, and a method of manufacturing the organic light emitting display panel. The organic light emitting diode includes: an anode electrode on a substrate; a first common layer on the anode electrode to inject or transport holes and having a non-flat side; an organic light emitting layer on the first common layer; a planarization layer on the non-flat side of the first common layer, providing a flat side to the organic light emitting layer, transporting holes from the first common layer to the organic light emitting layer, and including perfluorocyclobutanes (PFCBs); and a cathode electrode on the organic light emitting layer. | 09-25-2014 |
20140284561 | METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED BY THE METHOD - A method of manufacturing an organic light emitting display apparatus, and an organic light emitting display apparatus manufactured by the method, the method being suitable for mass producing a large substrate, enabling high-definition patterning, and allowing controlling of a distance between a patterning slit sheet and a substrate which are moved relative to each other. | 09-25-2014 |
20140284562 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display that includes a panel assembly outputting an image, an electrode part formed at one side of the panel assembly, and a connection member connected to the electrode part, wherein the connection member includes a body part having a plane shape, a plurality of first electrode lines and a plurality of second electrode lines extending in a longitudinal direction, being wire bonded to the electrode part, spaced apart from one another in a lateral direction in an alternating manner and electrically connecting an external device to the panel assembly. | 09-25-2014 |
20140284563 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes a substrate, a light path guide layer formed on the substrate and having an inclined side wall, an organic light emitting diode (OLED) formed on the substrate and the light path guide layer, and a phase transition layer formed on the OLED and formed so as to correspond to the inclined side wall. Therefore, in the OLED display, the phase transition layer is formed in the light path guide layer so that it is possible to minimize external light reflectance increased by the light path guide layer. | 09-25-2014 |
20140284564 | DISPLAY APPARATUS AND METHOD MANUFACTURING THE SAME - A display apparatus includes a plurality of pixels, a signal transmission line, a pad and a buffer. The pixels display an image. The signal transmission line is electrically connected to at least one of the pixels to transmit a signal. The pad is electrically connected to the signal transmission line. The pad has greater width than the signal transmission line. The buffer is disposed between the signal transmission line and the pad. A first end of the buffer adjacent to the pad is wider than a second end of the buffer adjacent to the signal transmission line. | 09-25-2014 |
20140284565 | ORGANIC LIGHT EMITTING DISPLAY AND MANUFACTURING METHOD THEREOF - An OLED display and associated methods, including a substrate; a first electrode; a second electrode; and an organic emission layer between the first and second electrodes, the organic emission layer including first-third organic emission layers, wherein the third organic emission layer is commonly disposed on the first electrode in the first-third subpixels, the first organic emission layer is in the first subpixel, the second organic emission layer is on the third organic emission layer in the first to third subpixels, an intermediate layer is between the first organic emission layer and the third organic emission layer in the first subpixel and between the second organic emission layer and the third organic emission layer in the second subpixel, and a HTL is between the first organic emission layer and the intermediate layer in the first subpixel and between the second organic emission layer and the intermediate layer in the second subpixel. | 09-25-2014 |
20140284566 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first light-emitting region, a second light-emitting region, and a third light-emitting region. The organic light-emitting device includes a substrate; a first electrode layer on the substrate; a hole injection layer on the first electrode layer; a common emission layer on the hole injection layer; a first resonance assistance layer on the common emission layer in the first light-emitting region and a second resonance assistance layer on the common emission layer in the second light-emitting region. | 09-25-2014 |
20140284567 | Vertical Organic Transistor, Circuit Configuration and Arrangement with Vertical Organic Transistors and Method of Manufacturing - The application relates to a vertical organic transistor having a layer structure on a substrate. The layer structure includes an electrode, a counter-electrode and an electronically active layer arrangement which is disposed between the electrode and the counter-electrode. The application further relates to a method for fabricating a vertical organic transistor and a circuit arrangement. | 09-25-2014 |
20140284568 | Azide Functionalized Poly(3-Hexylthiophene) and methods of Forming Same - This disclosure relates to a polymer having the formula: | 09-25-2014 |
20140284569 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An OLED display includes pixels, each including a first light emission region having a first area and a first perimeter and a second light emission region disposed neighboring the first light emission region and having a second area and a second perimeter. The first area, the first perimeter, the second area, and the second perimeter respectively satisfy an equation of A | 09-25-2014 |
20140284570 | DISPLAY AND ELECTRONIC APPARATUS - A display device includes pixels, each including a set of sub-pixels. A first subset of the pixels may each include white, green, and blue sub-pixels, but not a red sub-pixel. A second subset of the pixels may each include white, green, and red sub-pixels, but not a blue sub-pixel. The pixels may alternate between the first subset and the second subset in at least one direction. | 09-25-2014 |
20140284571 | ELECTROOPTICAL DEVICE AND ELECTRONIC APPARATUS - Provided is an electrooptical device, an electronic apparatus, and the like that can efficiently discharge static electricity compared to related art. An electrooptical device ( | 09-25-2014 |
20140284572 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - Provided is an organic electroluminescence display device. The organic electroluminescence display device includes a bank that is provided so as to surround a central portion of a pixel electrode, an organic electroluminescence layer that is provided on the pixel electrode, a common electrode that is formed so as to extend from the organic electroluminescence layer to the bank, a color filter layer that overlaps the organic electroluminescence layer, a black matrix layer that overlaps the bank, a spacer that is provided on the black matrix layer, and a wiring that is provided on the black matrix layer so as to be placed on the spacer. The black matrix layer is disposed on the bank through the spacer. A convex portion is formed by the wiring being placed on the spacer, and the convex portion is electrically connected to the common electrode above the bank. | 09-25-2014 |
20140284573 | CHARGE INJECTION AND TRANSPORT LAYERS - Compositions for use in hole transporting layers (HTLs) or hole injection layers (HILs) are provided, as well as methods of making the compositions and devices fabricated from the compositions. OLED devices can be made. The compositions comprise at least one conductive conjugated polymer, at least one semiconducting matrix component that is different from the conductive conjugated polymer, and an optional dopant, and are substantially free of an insulating matrix component. | 09-25-2014 |
20140284574 | DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A display apparatus includes a pixel part disposed in a display area of a base substrate, including a switching element connected to a signal line, a pixel electrode connected to the switching element and a common electrode that overlaps the pixel electrode, a plurality of fan-out lines disposed in a peripheral area of the base substrate that are connected to the signal line of the display area, a plurality of pads disposed in the peripheral area of the base substrate that are respectively connected to end portions of the fan-out lines, an organic layer that covers the switching element of the display area and that extends from the display area to a portion of the fan-out lines, and an electrode pattern that overlaps the fan-out lines in a boundary portion of the organic layer. | 09-25-2014 |
20140284575 | DISPLAY DEVICE AND ELECTRONIC DEVICE - To inhibit surface reflection of a display device. A display device which includes a reflective electrode layer | 09-25-2014 |
20140284576 | DISPLAY DEVICE, MANUFACTURING METHOD THEREOF, AND ELECTRONIC DEVICE - A display device that includes a reflective electrode; a transparent electrode; a partition; an EL layer formed over the partition and the transparent electrode; a semi-transmissive electrode formed over the EL layer; and a coloring layer over the semi-transmissive electrode. A light-emitting region is formed to overlap with the transparent electrode, the EL layer, the semi-transmissive electrode, and the coloring layer. A non-light-emitting region is formed to overlap with the transparent electrode, the partition, the EL layer, and the coloring layer. The non-light-emitting region is formed to surround the light-emitting region. The sum of the optical length of the transparent electrode and the optical length of the EL layer is adjusted to fulfil a condition of a microcavity intensifying light of the color of the coloring layer. The optical length of the partition in the non-light-emitting region is adjusted to weaken external light incident through the coloring layer. | 09-25-2014 |
20140284577 | HIGHLY REFRACTIVE THIN GLASSES - Thin glasses having high refractive index (n | 09-25-2014 |
20140284578 | Organic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - An organic compound having a low HOMO level and a high hole-transport property is provided. The organic compound is represented by Formula (G1), where Ar | 09-25-2014 |
20140284579 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic EL display device has a pixel electrode and a common electrode, a first insulating layer that covers a thin-film transistor, a first wire provided on the first insulating layer to electrically connect the thin-film transistor and the pixel electrode, a second wire provided on the first insulating layer to be connected to a gate electrode of the thin-film transistor, and a conducting film formed on the first wire and the second wire from a material on which an oxide film is harder to be formed than those of the first wire and the second wire. At least one of the pixel electrode, the first wire, and the second wire and the conducting film are located to overlap above the first insulating layer in electrical insulation so that a capacitor that retains a control signal is formed between the first wire and the second wire. | 09-25-2014 |
20140284580 | ELECTRON TRANSPORTING COMPOUNDS AND ORGANIC ELECTROLUMINESCENT DEVICES USING THE SAME - Disclosed is a novel compound of Formula 1 and an organic electroluminescent device using the same. In Formula 1, X and Y independently represents a hydrogen, an aromatic or a hetero aromatic hydrocarbon having C5 to C10 carbons; X and Y may be the same or different; Ar | 09-25-2014 |
20140284581 | ORGANIC LIGHT EMITTING HOST MATERIALS - Disclosed herein are compounds represented by formula: | 09-25-2014 |
20140284582 | PHASE DIFFERENCE PLATE FOR CIRCULARLY POLARIZING PLATE, CIRCULARLY POLARIZING PLATE, AND ORGANIC ELECTROLUMINESCENCE DISPLAY APPARATUS - The phase difference plate for a circularly polarizing plate includes a first optically anisotropic layer; and a second optically anisotropic layer, in which the first and second optically anisotropic layers contain a liquid crystal compound that is helically aligned around a helical axis which is in a thickness direction of each of the layers, the liquid crystal compound has a same helix direction in the first optically anisotropic layer and in the second optically anisotropic layer, and a helix angle of the liquid crystal compound each in the first optically anisotropic layer and in the second optically anisotropic layer is in a predetermined range. The phase difference plate can sufficiently suppress the mixing of black with another color observed in the front direction when being stuck as a circularly polarizing plate on a display apparatus. | 09-25-2014 |
20140284583 | PHASE DIFFERENCE PLATE FOR CIRCULARLY POLARIZING PLATE, CIRCULARLY POLARIZING PLATE, AND ORGANIC ELECTROLUMINESCENCE DISPLAY APPARATUS - The phase difference plate for a circularly polarizing plate including a first optically anisotropic layer, and a second optically anisotropic layer, in which the first optically anisotropic layer contains a liquid crystal compound helically aligned around a helical axis in its thickness direction, a helix angle of the liquid crystal compound in the first optically anisotropic layer is in a predetermined range, and an in-plane slow axis in a surface of the first optically anisotropic layer at the second optically anisotropic layer side is in parallel with an in-plane slow axis of the second optically anisotropic layer. The phase difference plate can sufficiently suppress the mixing of black with another color observed in the front direction when being stuck as a circularly polarizing plate on a display apparatus. | 09-25-2014 |
20140284584 | ORGANIC LIGHT EMITTING BIPOLAR HOST MATERIALS - Disclosed herein are compounds represented by the formula: | 09-25-2014 |
20140284585 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Phosphorescent materials and devices with improved device manufacturing, fabrication, stability, efficiency, and/or color is disclosed. | 09-25-2014 |
20140284586 | Display Device and Method for Manufacturing Thereof - It is a problem to provide an electric apparatus less in consumption power and long in life by the manufacture using the display device. An insulating bank is provided in a form surrounding the pixel portions on first electrodes over a substrate. The entire surface is applied, by a wet scheme (method), with an organic conductive film which has a thickness form of T2>T1>T3 under the influence of the insulating bank. Accordingly, the portion T3 has an increased resistance in a lateral direction, making possible to prevent against crosstalk. Due to a conductive polymer as a buffer layer, a display device can be provided which is low in drive voltage. Furthermore, because the portion T2 is increased in thickness, the electric-field concentration is relaxed at and around the pixel portion. This makes it possible to prevent the organic light-emitting element from deteriorating at around the pixel. | 09-25-2014 |
20140284587 | Light-Emitting Device, Lighting Device, and Manufacturing Method of Light-Emitting Device - The manufacturing method of the light-emitting device is provided in which an auxiliary electrode in contact with an electrode formed using a transparent conductive film of a light-emitting element is formed using a mask, and direct contact between the auxiliary electrode and an EL layer is prevented by oxidizing the auxiliary electrode. Further, the light-emitting device manufactured according to the method and the lighting device including the light-emitting device are provided. | 09-25-2014 |
20140284588 | ORGANIC EL DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - An organic electroluminescence display panel includes a thin-film transistor layer above a substrate. A planarizing film is above the thin-film transistor layer with contact holes being formed in the planarizing film. A bank is above the planarizing film. The bank includes openings arranged in rows and columns that define regions for forming organic electroluminescence elements. Each opening is between a pair of adjacent concaves in one of the columns. The concaves are formed in an upper surface of the bank and sunken into the contact holes. The upper surface of the bank has repellency. A light-emitting layer is formed in each opening by ejecting drops of an ink from nozzles of an inkjet head into the openings while moving the inkjet head relative to the substrate. The nozzles further eject drops of the ink into the concaves when above the concaves for ejecting the drops of the ink through every nozzle. | 09-25-2014 |
20140284589 | ELECTRODE FOIL AND ORGANIC DEVICE - An electrode foil which has both the functions of a supporting base material and a reflective electrode and also has superior thermal conductivity; and an organic device using the same are provided. The electrode foil comprises a metal foil and a reflective layer provided directly on the metal foil. | 09-25-2014 |
20140284590 | COLOR FILTER FOR ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE, AND ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - When a large-screen organic EL display device is developed, the generation of a brightness unevenness is prevented between its screen central region and its screen outer circumferential region. Cost risk is decreased about the formation of a structure of preventing the generation of the brightness unevenness. Furthermore, an original protecting function for its organic EL elements is maintained. The color filter of the present invention comprises a transparent substrate, a colored layer that is a pixel region formed on the transparent substrate, and a non-pixel area formed around the colored layer, wherein a convex pillar is formed in at least one spot of the non-pixel area, and an auxiliary electrode layer on a top and a side of the convex pillar, and on the non-pixel area. | 09-25-2014 |
20140284591 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL AND ORGANIC ELECTROLUMINESCENCE DISPLAY APPARATUS - An organic electroluminescence (EL) display panel includes an anode electrode formed above a bank and formed opposite to a plurality of cathode electrodes, and a charge functional layer commonly formed for each of the organic light-emitting layers across a plurality of aperture areas formed in the bank. An end portion of the anode electrode and an end portion of the charge functional layer are provided above the bank located adjacent to a boundary between a display region and a peripheral region of a display region. | 09-25-2014 |
20140291617 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - In an aspect, an organic light emitting display device is provided. The organic light emitting display device may include a substrate; an organic light emitting unit arranged on the substrate; at least one inorganic layer, which encapsulates the organic light emitting unit and contains a low temperature viscosity transition (LVT) inorganic material; and at least one adhesive layer arranged between the organic light emitting unit and the inorganic layer. | 10-02-2014 |
20140291618 | METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE - A method of manufacturing an organic light-emitting display device, wherein a voltage drop of a counter electrode is effectively reduced, includes: (i) forming a layer on a substrate using a first deposition assembly, wherein the first deposition assembly includes a patterning slit sheet that includes patterning slits corresponding to sub-pixels emitting light in a first wavelength band from among n sub-pixels of each of pixels and does not include a patterning slit in regions corresponding to spaces between pixels in a second direction crossing a first direction and parallel to the substrate fixed to a transfer unit; and (ii) forming a layer on the substrate using a second deposition assembly, wherein the second deposition assembly includes a patterning slit sheet that includes patterning slits corresponding to the pixels and does not include a patterning slit in regions corresponding to spaces between the pixels in the second direction. | 10-02-2014 |
20140291619 | METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS MANUFACTURED BY THE SAME - A method for manufacturing an organic light emitting display apparatus includes forming a layer by depositing on a substrate a deposition material emitted from a deposition assembly while conveying the substrate with respect to the deposition assembly. In the forming of the layer, at least two layers of a first layer including a deposition material emitted from a first deposition source, a second layer including deposition materials emitted from the first deposition source and a second deposition source, and a third layer including a deposition material emitted from the second deposition source, are deposited on the substrate by using an angle restriction unit. | 10-02-2014 |
20140291620 | DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY APPARATUS, AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS - A deposition apparatus for depositing a deposition material on a substrate in order to improve characteristics of a deposition layer includes: a deposition source facing the substrate and ejecting the deposition material; a patterning slit sheet including patterning slits for depositing the deposition material in a desired pattern and disposed to face the substrate; a frame coupled to the patterning slit sheet; and a stage bonded to the frame to support the frame, wherein a separation area is formed between the frame and the stage. | 10-02-2014 |
20140291621 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus and a method of manufacturing the same are provided. The organic light-emitting display apparatus includes a substrate, an organic light-emitting device on the substrate, an encapsulation layer covering the organic light-emitting device, and a low adhesive layer covering the encapsulation layer. | 10-02-2014 |
20140291622 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device includes a substrate comprising a first side and a second side, a first electrode on the first side of the substrate, an emitting layer on the first electrode, a second electrode on the emitting layer, and a slit-shaped pattern at the second side of the substrate, and comprising a plurality of protrusions spaced apart from each other. | 10-02-2014 |
20140291623 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes: a substrate; a first electrode on the substrate; a pixel definition layer having opening regions exposing the first electrode; a spacer on the pixel definition layer; a blocking layer between the pixel definition layer and the spacer, the blocking layer having a higher density than the pixel definition layer and the spacer; an organic emission layer on the first electrode in a region of the first electrode corresponding to the opening regions; and a second electrode on the organic emission layer. | 10-02-2014 |
20140291624 | ORGANIC LIGHT EMITTING DEVICE DISPLAY AND MANUFACTURING METHOD THEREOF - Provided is an organic light emitting display including a pixel circuit unit prepared over a substrate and comprising a plurality of thin film transistors (TFTs), and an organic light emitting device or diode (OLED) electrically connected to the pixel circuit unit. The pixel circuit unit and the OLED are connected through a repair unit comprising a semiconductor material, in order to facilitate easy repair. | 10-02-2014 |
20140291625 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light-emitting display apparatus including a substrate; and a plurality of pixels on the substrate, wherein each of the pixels comprise: an organic light-emitting device comprising a first electrode, a second electrode, and an intermediate layer between the first electrode and the second electrode, wherein the intermediate layer comprises an organic emission layer; a driving transistor configured to drive the organic light-emitting device; and a switching transistor electrically coupled to the driving transistor, wherein the gate electrode of the driving transistor comprises a first conductive layer, and a second conductive layer between the first conductive layer and the active layer of the driving transistor and has a smaller size than the first conductive layer, and the gate electrode of the switching transistor comprises a same material as the first conductive layer. | 10-02-2014 |
20140291626 | DEPOSITION APPARATUS, METHOD FOR FORMING THIN FILM USING THE SAME, ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD FOR MANUFACTURING THE SAME - A deposition apparatus is configured to form a deposition layer on a substrate. The deposition apparatus includes a deposition source configured to face a first side of the substrate and to spray one or more depositing materials toward the substrate, a cooling stage configured to support a second side of the substrate that is opposite from the first side of the substrate, and a hardening unit configured to harden the one or more depositing materials sprayed from the deposition source and that have reached the substrate. A method of forming a thin film deposition layer on a substrate by using a deposition apparatus is also provided. The method includes spraying one or more depositing materials toward the substrate by using a deposition source of the deposition apparatus while the substrate is on a cooling stage of the deposition apparatus. | 10-02-2014 |
20140291627 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE, METHOD OF MANUFACTURING THE SAME, AND DONOR SUBSTRATE AND DONOR SUBSTRATE SET USED TO MANUFACTURE THE ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device, a method of manufacturing the same, and a donor substrate and a donor substrate set used to manufacture the organic light-emitting display device. According to an aspect of the present invention, there is provided an organic light-emitting display device comprising a substrate which comprises a green region and a red region, a plurality of first electrodes which are formed on the green region and the red region of the substrate, respectively, a plurality of light-emitting layers which are formed on the first electrodes and comprise a green light-emitting layer formed on the green region and a red light-emitting layer formed on the red region, and a second electrode which is formed on the light-emitting layers, wherein the green light-emitting layer comprises a first light-emitting layer which comprises a first host material and a first dopant material and a first buffer layer which is formed on the first light-emitting layer and comprises the first host material, and the red light-emitting layer comprises a second light-emitting layer which comprises a second host material and a second dopant material and a second buffer layer which is formed on the second light-emitting layer and comprises the first host material. | 10-02-2014 |
20140291628 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE COMPRISING THE SAME - A condensed-cyclic compound is represented by Formula 1, and an organic light-emitting diode includes the condensed-cyclic compound. The organic light-emitting diode includes a first electrode, a second electrode facing the first electrode, and an organic layer. The organic layer includes an emission layer and the condensed-cyclic compound. The condensed-cyclic compound can be included in the emission layer as a host, and the emission layer may further include a dopant. | 10-02-2014 |
20140291629 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a first substrate including a pixel area and a non-pixel area; a pixel array formed on the pixel area of the first substrate; a protective layer formed over the pixel array, and having a trench that exposes at least a portion of the non-pixel area; a second substrate disposed above the first substrate; a sealing material disposed between the second substrate and the protective layer at the outside of the trench; and a getter disposed between the second substrate and the first substrate exposed by the trench. Moisture and/or oxygen penetrated through the sealing material and the protective layer, which are disposed at a side of the organic light emitting display device, are absorbed into the getter, thereby improving the lifespan of the organic light emitting display device. | 10-02-2014 |
20140291630 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING SAME - Provided is an organic light-emitting diode (OLED) display including a flexible substrate; a driving circuit unit on the flexible substrate and having a thin film transistor (TFT); an OLED on the flexible substrate and coupled to the driving circuit unit; a sealing layer on the flexible substrate at the OLED and the driving circuit unit; and a first protective film on the flexible substrate, wherein the first protective film includes a photoresist material. | 10-02-2014 |
20140291631 | ORGANIC LIGHT EMITTING DIODE DEVICE - An organic light emitting diode device includes a first electrode and a second electrode facing each other, a charge-generating layer interposed between the first electrode and the second electrode, a first light emitting unit that emits blue and is interposed between the first electrode and the charge-generating layer, and a second light emitting unit that emits white by combining the blue and is interposed between the second electrode and the charge-generating layer. The first light emitting unit includes a blue emission layer, a first charge transport layer disposed on one side of the blue emission layer and including an alkali metal complex compound and a first charge transport material, and a second charge transport layer disposed on one side of the first charge transport layer and including the alkali metal complex compound and a second charge transport material that has different charge mobility from the first charge transport material. | 10-02-2014 |
20140291632 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing an organic light emitting diode display according to an exemplary embodiment of the present invention includes: forming a first electrode on a substrate; forming an insulation layer on the first electrode; etching the insulation layer to expose the first electrode so as to form a pixel defining layer having the same height as the first electrode; forming an organic layer including one or more emission layers on the first electrode of a sub-pixel region defined by the pixel defining layer by applying a laser-induced thermal imaging (LITI) method; and forming a second electrode on the organic layer. | 10-02-2014 |
20140291633 | FLEXIBLE SUBSTRATE AND FLEXIBLE DISPLAY DEVICE INCLUDING THE SAME - A flexible substrate includes a flexible base substrate and a first passivation layer formed on one surface of the base substrate and made of a material having a coefficient of thermal expansion lower than that of the base substrate. | 10-02-2014 |
20140291634 | INDOLE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE COMPRISING THE SAME - An indole-based compound represented by Formula 1 below, and an organic light-emitting diode including the indole-based compound are provided. | 10-02-2014 |
20140291635 | THIN-FILM TRANSISTOR, METHOD FOR MANUFACTURING THE SAME AND DISPLAY DEVICE INCLUDING THE SAME - A thin-film transistor, a method for manufacturing the same and a display device including the same are provided. The thin-film transistor may include a substrate, and an active layer formed on the substrate. The active layer may be made from an oxide semiconductor. A gate electrode may be formed above the active layer or below the active layer. A conductive layer may come in contact with the active layer, may be overlapped with at least a part of the gate electrode, and may be insulated from the gate electrode. A source electrode and a drain electrode may be electrically connected to the active layer. The conductive layer can reduce a channel length of the thin-film transistor and increase a capacitance between the source electrode and the gate electrode or between the drain electrode and the gate electrode. | 10-02-2014 |
20140291636 | Organic Light Emitting Diode Display Device and Method for Manufacturing the Same - An organic light emitting diode display device is disclosed which includes: scan, data and power lines crossing one another and arranged to define a pixel region; a switching thin film transistor disposed at an intersection of the scan and data lines; an organic light emitting diode disposed in the pixel region; a driving thin film transistor disposed between the power line and the organic light emitting diode; and a storage capacitor disposed adjacently to the organic light emitting diode and configured to charge a data signal which is applied from the data line. The storage capacitor includes a plurality of sub storage capacitors in which a plurality of storage electrodes are stacked alternately with one another. | 10-02-2014 |
20140291637 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS - An organic light emitting display apparatus includes a substrate, an encapsulation member facing the substrate, a plurality of pixels between the substrate and the encapsulation member, each pixel including a light emission area and a non-emission area, a first electrode overlapping at least the light emission area, an intermediate layer on the first electrode and including an organic emission layer, a second electrode on the intermediate layer, and a reflective member on a bottom surface of the encapsulation member, the bottom surface of the encapsulation member facing the substrate, and the reflective member including an opening corresponding to the light emission area, and a reflective surface around the opening and corresponding to the non-emission area. | 10-02-2014 |
20140291638 | HOST MATERIALS FOR OLED APPLICATION - The present disclosure provides novel compounds containing dibenzo[fg,op]tetracene and larger all-benzenoid moiety that can be used as hosts for phosphorescent emitters providing low-voltage, high-efficiency and high-stability devices. | 10-02-2014 |
20140291639 | SEMICONDUCTOR DEVICE, DISPLAY UNIT, AND ELECTRONIC APPARATUS - Provided is a semiconductor device that includes: a transistor; an oxide semiconductor film; a first conductive film electrically connected to the oxide semiconductor film; and a first insulating film provided between the first conductive film and the oxide semiconductor film. | 10-02-2014 |
20140291640 | LIGHT-EMITTING DEVICE - A light-emitting device in which electrical characteristics of a transistor in a pixel can be monitored without degrading display quality is provided. The light-emitting device includes a plurality of pixels each comprising a pixel circuit. A pixel circuit included in a first pixel is electrically connected to a light-emitting element included in a second pixel through a first switch. A pixel circuit included in the second pixel is electrically connected to the light-emitting element included in the second pixel through a second switch and to a light-emitting element included in a third pixel through a third switch. The pixel circuits are connected to a correction circuit through switches. | 10-02-2014 |
20140291641 | ORGANIC EL DISPLAY AND ELECTRONIC APPARATUS - An organic EL display includes: a first insulating layer on a lower side as well as a second insulating layer on an upper side, the first insulating layer and the second insulating layer being provided to a display region and a peripheral region; a first separation groove provided in the first insulating layer between the display region and the peripheral region; a first conductive layer provided on the first insulating layer in the peripheral region, with a side face and a bottom of the first separation groove in between; a covering section in which at least a part of an end face of the second insulating layer is covered by the organic layer or the second electrode; and a sealing section provided on an outer edge side of the covering section, and formed by laminating the first conductive layer and the second electrode. | 10-02-2014 |
20140291642 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - Provided is a light-emitting element having a light-emitting layer which contains at least a host material and a plurality of guest materials, where the host material has a lower T1 level than that of at least one of the plurality of guest materials. The emission of the one of the plurality of guest materials exhibits a multicomponent decay curve, and the lifetime thereof is less than or equal to 15 μsec, preferably less than or equal to 10 μsec, more preferably less than or equal to 5 μsec, where the lifetime is defined as a time for the emission to decrease in intensity to 1/100 of its initial intensity. | 10-02-2014 |
20140291643 | Organic Compound, Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device - A novel organic compound that forms an exciplex emitting light with high efficiency is provided. An organic compound with a triarylamine skeleton in which the three aryl groups of the triarylamine skeleton are a p-biphenyl group, a fluoren-2-yl group, and a phenyl group to which a dibenzofuranyl group or a dibenzothiophenyl group is bonded. By the use of the organic compound and an organic compound with an electron-transport property, an exciplex that emits light with extremely high efficiency can be formed. | 10-02-2014 |
20140291644 | Diode for a Printable Composition - An exemplary printable composition of a liquid or gel suspension of diodes comprises a plurality of diodes, a first solvent and/or a viscosity modifier. An exemplary diode comprises: a light emitting or absorbing region having a diameter between about 20 and 30 microns and a height between 2.5 to 7 microns; a plurality of first terminals spaced apart and coupled to the light emitting region peripherally on a first side, each first terminal of the plurality of first terminals having a height between about 0.5 to 2 microns; and one second terminal coupled centrally to a mesa region of the light emitting region on the first side, the second terminal having a height between 1 to 8 microns. | 10-02-2014 |
20140291645 | Light-Emitting Element, Compound, Organic Compound, Display Module, Lighting Module, Light-Emitting Device, Display Device, Lighting Device, and Electronic Device - A light-emitting element having high emission efficiency is provided. A light-emitting element having a low driving voltage is provided. A novel compound which can be used for a transport layer or as a host material or a light-emitting material of a light-emitting element is provided. A novel compound with a benzofuropyrimidine skeleton is provided. Also provided is a light-emitting element which includes the compound with the benzofuropyrimidine skeleton between a pair of electrodes. | 10-02-2014 |
20140291646 | PYRENE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A pyrene-based compound and an organic light-emitting diode including the same, the pyrene-based compound being represented by Formula 1, below: | 10-02-2014 |
20140291647 | Light-Emitting Element, Light-Emitting Device, Electronic Appliance, and Lighting Device - A light-emitting element which includes a plurality of light-emitting layers between a pair of electrodes and has low driving voltage and high emission efficiency is provided. A light-emitting element including first to third light-emitting layers between a cathode and an anode is provided. The first light-emitting layer includes a first phosphorescent material and a first electron-transport material; the second light-emitting layer includes a second phosphorescent material and a second electron-transport material; the third light-emitting layer includes a fluorescent material and a third electron-transport material; the first to third light-emitting elements are provided in contact with an electron-transport layer positioned on a cathode side; and a triplet excitation energy level of a material included in the electron-transport layer is lower than triplet excitation energy levels of the first electron-transport material and the second electron-transport material. | 10-02-2014 |
20140291648 | Light-Emitting Device - Provided is a light-emitting device with a high aperture ratio. The light-emitting device includes a first substrate; a first insulating film over the first substrate; a first partition over the first insulating film; a second insulating film which covers the first insulating film and the first partition and which has a concave surface; a first electrode which is over the second insulating film and which has an edge portion at a position overlapping with the first partition; a second partition which is over the first partition and which overlaps with the edge portion of the first electrode; a layer containing a light-emitting organic compound over the first electrode and the second partition; a second electrode over the layer containing a light-emitting organic compound; and a second substrate which is over the second electrode and which overlaps with the first substrate. | 10-02-2014 |
20140291649 | OLED Display Panel and Manufacturing Method Thereof - An OLED display panel is provided which can control the problem of shedding even in high definition panels. Metal wiring | 10-02-2014 |
20140291650 | ORGANIC DEVICE AND MANUFACTURING METHOD THEREOF - A method for manufacturing an organic device includes the steps of applying a photoresist onto at least an active first region arranged on a substrate of the organic device, and removing the photoresist from the substrate in a second region adjacent to the active first region. Additionally, the method includes the steps of applying a passivation layer onto the first and second regions and removing the photoresist and the passivation layer in the active first region. | 10-02-2014 |
20140291651 | LIGHT EMITTING POLYMERS AND DEVICES - A polymer comprising units α, β, γ and δ wherein: unit α is present at 30 mole % to 60 mole % and is an optionally substituted arylene; unit β is present at 1 mole % to 30 mole % and is a unit comprising an optionally substituted fluorene; unit γ is present at 1 mole % to 40 mole % and comprises aryl substituted nitrogen, or an optionally substituted triazine; unit δ is present at 0.5 mole % to 15 mole % and comprises an iridium complex; and optionally up to 20 mole % of other units if the total of α, β, γ and δ is less than 100 mole %. | 10-02-2014 |
20140291652 | ORGANIC ELECTROLUMINESCENT ELEMENT, COMPOUND FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND LIGHT-EMITTING DEVICE, DISPLAY DEVICE, AND ILLUMINATION DEVICE USING SAID ELEMENT - An organic electroluminescent element using a compound represented by the following general formula (I) emits dark blue light and has small changes in the chromaticity and in the driving voltage even after driving for a long period of time: | 10-02-2014 |
20140291653 | ORGANIC ELECTROLUMINESCENCE DEVICE AND ANTHRACENE DERIVATIVE - An organic electroluminescence device which comprises a cathode, an anode and an organic thin film layer comprising at least one layer comprising a light emitting layer and disposed between the cathode and the anode, wherein at least one layer in the organic thin film layer comprises an anthracene derivative having a specific structure singly or as a component of a mixture, and an anthracene derivative having a specific asymmetric structure and providing an organic electroluminescence device exhibiting a great efficiency of light emission and having a long life, are provided. | 10-02-2014 |
20140291654 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the heterocyclic compound: | 10-02-2014 |
20140291655 | RESIN COMPOSITION FOR SEALING ORGANIC ELECTROLUMINESCENT DEVICE; METHOD OF PRODUCING THE SAME; AND ADHESIVE FILM, GAS-BARRIER FILM, ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT PANEL USING THE RESIN COMPOSITION - A resin composition for sealing an organic electroluminescent device, containing:
| 10-02-2014 |
20140291656 | FLEXIBLE HERMETIC THIN FILM WITH LIGHT EXTRACTION LAYER - A protected organic light emitting diode includes an organic light emitting diode structure formed on a substrate, a hermetic barrier layer formed over at least part of the organic light emitting diode structure, and a light extraction layer. The barrier layer may include a glass material such as a tin fluorophosphate glass, a tungsten-doped tin fluorophosphate glass, a chalcogenide glass, a tellurite glass, a borate glass or a phosphate glass. The light extraction layer, which may be formed over the barrier layer, includes a high refractive index matrix material and at least one of scattering particles dispersed throughout the matrix material and a roughened surface. | 10-02-2014 |
20140291657 | ELEMENT SUBSTRATE AND LIGHT EMITTING DEVICE - A light emitting device and an element substrate which are capable of suppressing variations in the luminance intensity of a light emitting element among pixels due to characteristic variations of a driving transistor without suppressing off-current of a switching transistor low and increasing storage capacity of a capacitor. According to the invention, a depletion mode transistor is used as a driving transistor. The gate of the driving transistor is fixed in its potential or connected to the source or drain thereof to operate in a saturation region with a constant current flow. A current controlling transistor which operates in a linear region is connected in series to the driving transistor, and a video signal for transmitting a light emission or non-emission of a pixel is inputted to the gate of the current controlling transistor through a switching transistor. | 10-02-2014 |
20140291658 | OPTOELECTRONIC COMPONENT - An optoelectronic component having an outer surface facing the environment of the optoelectronic component and which is formed by a hydrophobic layer applied at least partly on a surface of the optoelectronic component. | 10-02-2014 |
20140291659 | DIOXAANTHANTHRENE COMPOUND, LAMINATED STRUCTURE AND FORMATION METHOD THEREOF, AND ELECTRONIC DEVICE AND MANUFACTURING METHOD THEREOF - Provided is a dioxaanthanthrene compound represented by, for example, the following structural formula (1). | 10-02-2014 |
20140291660 | Organic Electroluminescent Element, Material for Organic Electroluminescent Element and Light Emitting Device, Display Device, and Illumination Device, Each Employing Organic Electroluminescent Element - This application relates in part to an organic electroluminescent element including a substrate, a pair of electrodes including an anode and a cathode, disposed on the substrate, and an organic layer(s) including a light emitting layer, in which the organic layer(s) contains a compound represented by the following formula (1), in which R | 10-02-2014 |
20140291661 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL AND ORGANIC ELECTROLUMINESCENCE DISPLAY APPARATUS - An organic electroluminescence (EL) display panel includes an anode electrode formed above a bank and formed opposite to a plurality of cathode electrodes, and a charge functional layer commonly formed for each of the organic light-emitting layers across a plurality of aperture areas formed in the bank. A distance from the center of the display region to the end of the anode electrode is shorter than a distance from the center of the display region to the end of the charge functional layer. | 10-02-2014 |
20140291662 | METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT, AND OPTOELECTRONIC COMPONENT - A method for producing an optoelectronic component may include forming a first electrode on a substrate, forming an organic functional layer structure on the first electrode; forming a second electrode on the organic functional layer structure, forming at least one contact for making contact with the first and/or second electrode, forming an encapsulation layer above the layer structure and the contact, removing the encapsulation layer above the contact with the aid of an anisotropic etching method, and cooling the substrate during the anisotropic etching method. | 10-02-2014 |
20140299842 | THIN FILM TRANSISTOR ARRAY SUBSTRATE, ORGANIC LIGHT-EMITTING DISPLAY DEVICE INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT-EMITTING DISPLAY DEVICE - A thin film transistor (TFT) array substrate including a first element layer on the substrate including a first TFT, a first planarization layer configured to cover the first element layer, and a second element layer on the first planarization layer including a capacitor. | 10-09-2014 |
20140299843 | CAPACITOR DEVICE, ORGANIC LIGHT EMITTING DISPLAY APPARATUS INCLUDING THE CAPACITOR DEVICE, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT EMITTING DISPLAY APPARATUS - A capacitor device includes two top capacitor electrodes separated from each other and symmetrical to each other, two intermediate capacitor electrodes symmetrical to each other and respectively overlapping the top capacitor electrodes, a bridge coupling the intermediate capacitor electrodes without overlapping the top capacitor electrodes, and a driving voltage line coupled to the bridge and configured to apply a common voltage to the intermediate capacitor electrodes. | 10-09-2014 |
20140299844 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - An organic light emitting diode (OLED) display includes: a substrate including a plurality of organic light emitting elements; an adhesive member on at least a portion of an upper surface of the substrate; a flexible circuit board adhered to the upper surface of the adhesive member and having a portion bent to be mounted to a lower surface of the substrate; and a light blocking member at the upper surface of the substrate, wherein the light blocking member is laterally offset from the adhesive member. | 10-09-2014 |
20140299845 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - In an aspect, an organic light-emitting display apparatus and a method of manufacturing the same are provided. The organic light-emitting display apparatus may include a substrate; a display unit formed on the substrate; and a thin film encapsulating layer encapsulating the display unit. The thin film encapsulating layer may include a plurality of organic layers and inorganic layers that are laminated alternately. At least one of the plurality of the inorganic films may include a first layer formed of a first material, a second layer formed of a second material other than the first material, and an intermediate layer provided between the first and second layers. | 10-09-2014 |
20140299846 | THIN FILM TRANSISTOR AND ORGANIC LIGHT EMITTING DIODE DISPLAY - Disclosed is a thin film transistor including an active pattern including a first conductive region, a first channel region adjacent to the first conductive region, a second conductive region spaced apart from the first conductive region, a second channel region spaced apart from the first channel region, and a third conductive region spaced apart from the second conductive region, and a gate electrode positioned on the active pattern and including a first gate region crossing the first channel region, a second gate region crossing the second channel region, and a connection gate region connecting the first gate region. The connection gate region, the first gate region, and the second gate region together surround the second conductive region. | 10-09-2014 |
20140299847 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - In order to provide a robust encapsulating structure, an organic light emitting display device, includes an organic light emitting display device, comprising a substrate, an organic light emitter on the substrate comprising a laminated structure of a first electrode, an organic light emitting layer, and a second electrode, a first inorganic film configured to cover the organic light emitter and being formed from a first inorganic mixture comprising tin oxide, phosphorus oxide and tin fluoride, and a second inorganic film configured to cover the first inorganic film and comprising tin oxide and phosphorus oxide. | 10-09-2014 |
20140299848 | FLEXIBLE SUBSTRATE, FLEXIBLE DISPLAY DEVICE, AND METHOD FOR MANUFACTURING FLEXIBLE DISPLAY DEVICE - A flexible substrate includes: a flexible substrate main body including an organic material; a plurality of separation patterns distributed across one side of the flexible substrate main body; and a separation groove on the one side of the flexible substrate main body adjacent to at least one of the separation patterns, and the separation groove being recessed from a surface of the one side of the flexible substrate main body. | 10-09-2014 |
20140299849 | NAPHTHALENE DERIVATIVE, ORGANIC MATERIAL INCLUDING THE SAME, AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - The present invention provides a compound represented by the following formula 1. The compound of the present invention has high luminance, high luminous efficiency, excellent color purity and excellent high-temperature stability, and thus can provide a material for an organic electroluminescent device and an organic electroluminescent device having a long lifetime. | 10-09-2014 |
20140299850 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS PROVIDING MIRROR FUNCTION - An organic light-emitting display apparatus may include a substrate, a display portion formed on the substrate and including a light-emitting area and a non-light-emitting area surrounding the light-emitting area, an encapsulation member arranged to face the substrate with the display portion interposed therebetween, and a reflection member provided on the encapsulation member and including an opening portion aligned with the light-emitting area and a reflection portion surrounding the opening portion and extending to cover the non-light-emitting area, the opening portion comprising an opening. The size of the opening may be smaller than that of the light-emitting area and thus an edge of the light-emitting area may be covered by the reflection portion. The opening portion may have an inverted taper shape, the size of the opening gradually increasing toward the display portion. | 10-09-2014 |
20140299851 | Organic Electroluminescence Element - An organic electroluminescence element that uses a compound expressed by the following general formula has low inter-molecular interaction and high orientation during vapor deposition, and by using compounds that are resistant to aggregation, luminous efficiency is high, and there is little change in chromaticity accompanying drive deterioration (either V | 10-09-2014 |
20140299852 | ORGANIC ELECTRONIC LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - An organic electronic light emitting device comprises a substrate; a first gate electrode formed on an upper surface of the substrate; a first insulating layer formed on the upper surface of the substrate and covering the first gate electrode; an organic layer formed on an upper surface of the first insulating layer and comprising at least two organic layers with different conductive type; a second insulating layer formed on an upper surface of the organic layer; a second gate electrode formed on an upper surface of the second insulating layer; and a source electrode and a drain electrode formed between the first and second insulating layers, and the source and drain electrodes located on both sides of the organic layer respectively. | 10-09-2014 |
20140299853 | ORGANIC ELECTROLUMINESCENT DEVICE - According to one embodiment, the organic electroluminescent device includes an anode, a cathode provided apart from the anode, and a luminous layer. The luminous layer is disposed between the anode and the cathode and contains a host material and a luminous dopant. The host material includes a polymer having a skeleton represented by Formula 1 below in a repeating unit, and a number of repetitions being 20 to 10,000. | 10-09-2014 |
20140299854 | ORGANIC ELECTROLUMINESCENCE ELEMENT, DISPLAY APPARATUS, IMAGE PROCESSING APPARATUS, LIGHTING APPARATUS, AND IMAGE FORMING APPARATUS - Provided is an organic electroluminescence element, including: a light reflective electrode; a light transmissive electrode; an emission layer formed between the light reflective electrode and the light transmissive electrode; a low-refractive index layer having a refractive index at a maximum peak wavelength of an emission spectrum of light emitted from the emission layer lower than that of the emission layer, the low-refractive index layer being formed between the light reflective electrode and the emission layer; and a light extraction member for changing an exiting direction of the light emitted from the emission layer, the light extraction member being formed on the light transmissive electrode, in which an optical path L between a maximum emission surface of the emission layer and the light reflective electrode satisfies a specific expression. | 10-09-2014 |
20140299855 | CARBAZOLE-BASED POLYMER AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME - A polymer including a structural unit represented by the following formula (A). In the formula (A), P is independently a group represented by the following formula (P), a is an integer of 2 to 5, and b is an integer of 0 to 5. In the formula (P), A is independently a nitrogen atom or CR; X is a single bond, O, S, C(R) | 10-09-2014 |
20140299856 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescent element having a small reduction rate in the luminance immediately after the start of light emission is provided. The organic electroluminescent element includes a substrate, a pair of electrodes including an anode and a cathode, disposed on the substrate, a light emitting layer disposed between the electrodes, and at least one organic layer disposed between the light emitting layer and the anode, in which at least one kind of a specific compound including a fluorene structure is contained in at least one organic layer between the light emitting layer and the anode, and at least one kind of a specific compound including a carbazole structure or a fluorene structure is contained as a light emitting material in the light emitting layer. | 10-09-2014 |
20140299857 | COMPLEX COMPOUND, DRYING AGENT, SEALING STRUCTURE AND ORGANIC EL ELEMENT - A complex compound obtained by reacting a compound represented by the following formula (1) and a polyol having an ether bond in a molecule and having 4 to 12 carbon atoms or a branch polyol having 5 to 7 carbon atoms: | 10-09-2014 |
20140299858 | PLANARIZING AGENTS AND DEVICES - Use of certain materials in hole injection layer and/or hole transport layer can improve operational lifetimes in organic devices. Polymers having fused aromatic side groups such as polyvinylnaphthol polymers can be used in conjunction with conjugated polymers. Inks can be formulated and cast as films in organic electronic devices including OLEDs, SMOLEDs, and PLEDs. One embodiment provides a composition comprising: at least one conjugated polymer, and at least one second polymer different from the conjugated polymer comprising at least one optionally substituted fused aromatic hydrocarbon side group. The substituent can be hydroxyl. Aqueous-based inks can be formulated. | 10-09-2014 |
20140299859 | METHOD FOR HYBRID ENCAPSULATION OF AN ORGANIC LIGHT EMITTING DIODE - Methods and apparatus for encapsulating organic light emitting diode (OLED) structures disposed on a substrate using a hybrid layer of material are provided. The processing parameters used during deposition of the hybrid layer of material allow control of the characteristics of the deposited hybrid layer. The hybrid layer may be deposited such that the layer has characteristics of an inorganic material in some sublayers of the hybrid layer and characteristics of an organic material in other sublayers of the hybrid layer. Use of the hybrid material allows OLED encapsulation using a single hard mask for the complete encapsulating process with low cost and without alignment issues present in conventional processes. | 10-09-2014 |
20140299860 | METHOD OF MANUFACTURING THIN FILM TRANSISTOR,THIN FILM TRANSISTOR MANUFACTURED BY USING THE METHOD, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED BY USING THE METHOD - A method of manufacturing a thin film transistor (TFT) comprises forming a buffer layer, an amorphous silicon layer, and an insulating layer on a substrate; crystallizing the amorphous silicon layer as a polycrystalline silicon layer; forming a semiconductor layer and a gate insulating layer which have a predetermined shape by simultaneously patterning the polycrystalline silicon layer and the insulating layer; forming a gate electrode including a first portion and a second portion by forming and patterning a metal layer on the gate insulating layer. The first portion is formed on the gate insulating layer and overlaps a channel region of a semiconductor layer, and the second portion contacts the semiconductor layer. A source region and a drain region are formed on the semiconductor layer by doping a region of the semiconductor layer. The region excludes the channel region overlapping the gate electrode and constitutes a region which does not overlap the gate electrode. An interlayer insulating layer is formed on the gate electrode so as to cover the gate insulating layer; contact holes are formed on the interlayer insulating layer and the gate insulating layer so as to expose the source region and the drain region, and simultaneously an opening for exposing the second portion is formed. A source electrode and a drain electrode are formed by patterning a conductive layer on the interlayer insulating layer. The source electrode and the drain electrode are electrically connected to the source region and the drain region via the contact holes, and simultaneously the second portion exposed via the opening is removed. | 10-09-2014 |
20140299861 | Heterocyclic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A substance having a hole-transport property and a wide band gap is provided. A heterocyclic compound represented by a general formula (G1) is provided. In the formula, α | 10-09-2014 |
20140299862 | ORGANIC LIGHT-EMITTING DISPLAY SYSTEM AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display system and a method of manufacturing the same are disclosed. In one aspect, the organic light-emitting display system includes a substrate, a display unit that defines an active area on the substrate and includes a plurality of thin film transistor (TFTs), and an encapsulation layer that seals the display unit and has a stacked structure in which at least a first inorganic film, a first organic film, and a second inorganic film are sequentially stacked. The TFTs includes an active layer, a gate electrode, a source electrode, a drain electrode, and an interlayer insulating film that is disposed between the gate electrode and the source electrode and between the gate electrode and the drain electrode, wherein the second inorganic film directly contacts the interlayer insulating film outside the active area. Accordingly, in various embodiments, since an inorganic layer of a thin film encapsulation layer is prevented from being cracked, penetration of external moisture or oxygen into the active area of the display can be reduced or prevents. | 10-09-2014 |
20140299863 | TOUCH-RESPONSIVE DISPLAY ASSEMBLY - A touch-responsive display assembly includes a touch panel. The touch panel includes: an anode, a cathode disposed over the anode, and an organic layered structure disposed between the anode and the cathode and including an organic electroluminescent layer that is emissive When a voltage is applied across the anode and the cathode. At least one of the anode and the cathode is made of a flexible film of a conductive nanomaterial that contains interconnected nanounits. | 10-09-2014 |
20140299864 | POLYMERS CONTAINING THERMALLY DISSOCIABLE AND SOLUBLE GROUPS AND THE USE OF SUCH POLYMERS AS ORGANIC ELECTROLUMINESCENT MATERIALS - A polymer, a luminescent material, and the likes are provided, wherein a film can be formed by a wet film-forming method, the film formed has a high stability, and is capable of being laminated with other layers by a wet film-forming method or another method, which are less decrease in charge transportation efficiency or luminescent efficiency, and attain an excellent driving stability. The polymer has a thermally dissociable and soluble group. | 10-09-2014 |
20140299865 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND MATERIAL FOR ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescence device includes an anode, a cathode and at least an emitting layer interposed between the anode and the cathode. The emitting layer contains a first host material, a second host material and a phosphorescent dopant material. The first host material is a compound represented by the following formula (1). The second host material is a compound represented by the following formula (3). | 10-09-2014 |
20140299866 | OLED DEVICE AND METHOD OF PRODUCING AN OLED DEVICE - The invention describes an OLED device ( | 10-09-2014 |
20140299867 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL AND ORGANIC ELECTROLUMINESCENCE DISPLAY APPARATUS - An organic electroluminescence (EL) display panel includes a cathode electrode formed above a bank and formed opposite to a plurality of anode electrodes, and a charge functional layer commonly formed for each of the organic light-emitting layers across a plurality of aperture areas formed in the bank. A distance from the center of the display region to the end of the cathode electrode is shorter than a distance from the center of the display region to the end of the charge functional layer. | 10-09-2014 |
20140299868 | Compound, Device and Method of Making Same - An organic light-emitting device comprises an anode, a cathode and a light-emitting layer between the anode and the cathode. The light-emitting layer comprises a compound of formula (I): | 10-09-2014 |
20140299869 | Organic Electronic Device And Method Of Manufacture - A method of forming an organic electronic device comprising the steps of: forming a surface modification layer comprising a partially fluorinated fullerene on at least part of a surface of at least one electrode of the device by depositing a solution comprising the partially fluorinated fullerene and at least one solvent onto the electrode surface; and forming an organic semiconductor layer comprising at least one organic semiconductor on the surface modification layer. The partially fluorinated fullerene is a partially fluorinated Buckminster fullerene, optionally a partially fluorinated C | 10-09-2014 |
20140299870 | ORGANIC TRANSISTOR AND METHOD FOR MANUFACTURING SAME - A method for manufacturing an organic transistor includes laminating a base insulating layer on a substrate; forming source/drain electrodes on the base insulating layer; laminating an organic semiconductor layer to cover the electrodes and be in contact with the base insulating layer; laminating a gate insulating layer on the organic semiconductor layer; forming a gate electrode on the gate insulating layer; and performing, before the organic semiconductor layer is formed, surface treatment on the surface of the base insulating layer which is in contact with the organic semiconductor layer. The surface treatment is performed such that, when W1 represents the work of adhesion between two laminated layers using the same material of the organic semiconductor layer, the work of adhesion W2 between the base insulating layer and the organic semiconductor layer when the organic semiconductor layer is formed on the surface-treated base insulating layer satisfies the relationship W1≧W2. | 10-09-2014 |
20140299871 | ORGANIC FIELD EFFECT TRANSISTOR - The present invention provides an electronic component or device comprising a gate electrode, a source electrode and a drain electrode, wherein said component or device further comprising an organic semiconducting (OSC) material that is provided between the source and drain electrode, wherein the OSC material comprises (a) a polymer represented by formula: (I), and (b) a compound of formula (II). High quality OFETs can be fabricated by the choice of a semiconductor material, which is comprised of a polymer represented by formula I and (b) a compound of formula II. | 10-09-2014 |
20140306186 | HETEROARYL-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - In an aspect, an organic compound and an organic light-emitting diode (OLED) including the same are provided. | 10-16-2014 |
20140306187 | ADHESIVE HAVING ADHESIVE CAPSULE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE COMPRISING ADHESIVE LAYER FORMED BY THE ADHESIVE - An adhesive includes a polymer matrix, and a plurality of adhesive capsules in the polymer matrix, wherein each of the adhesive capsules includes a shell, configured to shatter under pressure, and an adhesive polymer in the shell. | 10-16-2014 |
20140306188 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF PREPARING THE SAME - An organic light-emitting display device is disclosed. The organic light-emitting display device may include a substrate, an organic light-emitting portion provided on the substrate, a first inorganic film that seals and covers the organic light-emitting portion, and a second inorganic film provided on the first inorganic film and including a low temperature viscosity transition (LVT) inorganic material. A coefficient of thermal expansion (CTE) of the first inorganic film may be smaller than a CTE of the second inorganic film. | 10-16-2014 |
20140306189 | DONOR SUBSTRATE, METHOD OF FABRICATING ORGANIC LIGHT-EMITTING DISPLAY DEVICE, AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE FABRICATED USING THE METHOD - A donor substrate includes a base layer having a first surface and a second surface, a complementary hardness layer on the first surface of the base layer, and a transfer layer on the complementary hardness layer. A hardness of the complementary hardness layer is greater than that of the base layer. | 10-16-2014 |
20140306190 | ORGANIC COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME - Disclosed are a novel organic compound and an organic light emitting diode device using the same. More particularly, a novel organic compound having electrical stability, high charge transport capability, and light emitting performance, high glass transition temperature and being capable of preventing crystallization, and an organic light emitting diode device including an organic layer including the same are disclosed. | 10-16-2014 |
20140306191 | THIN FILM SEMICONDUCTOR DEVICE AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE - A thin film semiconductor device including a thin film transistor (TFT) that maintains a constant electrical characteristic and an organic light-emitting display device. The thin film semiconductor device includes: a substrate; and a thin film transistor (TFT) disposed on the substrate and comprising a semiconductor layer comprising a source region and a drain region, wherein a part of the source region is spaced apart from the drain region and partially surrounds the drain region, and wherein a part of the drain region is spaced apart from the source region and partially surrounds the source region. | 10-16-2014 |
20140306192 | DISPLAY APPARATUS HAVING SEALING PORTION AND FABRICATION METHOD THEREOF - A display apparatus includes a sealing portion. A method for fabricating the sealing portion includes: irradiating a pulse laser beam onto a deposition target to form the sealing portion at an edge where a substrate and an encapsulation face each, wherein a display unit is formed on the substrate, and the encapsulation is configured to seal the substrate; bonding the substrate and the encapsulation to each other; hardening the sealing portion; and monitoring the sealing portion. Thus, structural strength of the sealing portion is improved. | 10-16-2014 |
20140306193 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device includes a substrate, a display unit on the substrate, and an encapsulation layer on the display unit, the encapsulation layer including a plurality of alternating inorganic and organic films, at least one of the organic films being a patterned organic film, and the patterned organic film having a plurality of high refractive index portions in an organic matrix. | 10-16-2014 |
20140306194 | FLEXIBLE SUBSTRATE, METHOD OF MANUFACTURING THE SAME, AND ORGANIC LIGHT EMITTING DIODE DISPLAY - A flexible substrate, a method of manufacturing the same, and an organic light emitting diode display, the flexible substrate including a first flexible layer; a polysilicon layer on the first flexible layer, the polysilicon layer having a plurality of protrusions on a surface thereof; and a second flexible layer on the polysilicon layer. | 10-16-2014 |
20140306195 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF - An organic light-emitting display apparatus and a manufacturing method thereof. The organic light-emitting display apparatus includes a substrate, a display unit arranged on the substrate, a dam unit arranged at a periphery of the display unit and on the substrate and an encapsulating layer to encapsulate the display unit, wherein the encapsulating layer includes an organic film covering the display unit, and an inorganic film covering the organic film and the dam unit, and wherein a hardness of the dam unit is lower than that of the inorganic film. According to this, lateral moisture-proof characteristics of the organic light-emitting display apparatus are improved. | 10-16-2014 |
20140306196 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display is disclosed In one aspect, the display includes a display panel, a grid layer positioned on the display panel, wherein the grid layer includes a plurality of protrusions formed of a first protrusion and a second protrusion formed on a surface of the first protrusion, and a window positioned on the grid layer. | 10-16-2014 |
20140306197 | COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME - Provided are a compound of Formula 1 and an organic light-emitting device including the compound of Formula 1: | 10-16-2014 |
20140306198 | ORGANIC LUMINESCENCE DISPLAY AND METHOD OF MANUFACTURING THE SAME - Provided are organic luminescence display and method for manufacturing the same. According to an aspect of the present invention, there is provided an organic luminescence display comprising a substrate and a plurality of pixels disposed on the substrate. The pixels comprise a plurality of first pixels, each comprising a first organic light-emitting layer, and a plurality of second pixels which are smaller than the first pixels and each of which comprises a second organic light-emitting layer. The surface roughness of the second organic light-emitting layer is greater than the surface roughness of the first organic light-emitting layer. | 10-16-2014 |
20140306199 | CONCAVE-HEMISPHERE-PATTERNED ORGANIC TOP-LIGHT EMITTING DEVICE - A first device is provided. The first device includes an organic light emitting device, which further comprises a first electrode, a second electrode, and an organic emissive layer disposed between the first and second electrode. Preferably, the second electrode is more transparent than the first electrode. The organic emissive layer has a first portion shaped to form an indentation in the direction of the first electrode, and a second portion shaped to form a protrusion in the direction of the second electrode. The first device may include a plurality of organic light emitting devices. The indentation may have a shape that is formed from a partial sphere, a partial cylinder, a pyramid, or a pyramid with a mesa, among others. The protrusions may be formed between adjoining indentations or between an indentation and a surface parallel to the substrate. | 10-16-2014 |
20140306200 | DISPLAY UNIT AND ELECTRONIC APPARATUS - A display unit includes a pixel including a plurality of sub-pixels. The sub-pixels each include a plurality of light emission regions that are arranged away from one another. Each of the sub-pixels includes a single first electrode, a single second electrode provided in a lamination direction of the first electrode, and a light-emitting layer inserted between the first electrode and the second electrode in each of the light emission regions. | 10-16-2014 |
20140306201 | LIGHT-EMITTING MODULE, LIGHT-EMITTING PANEL, AND LIGHT-EMITTING DEVICE - One embodiment of the present invention relates to a light-emitting device comprising an insulating surface; a lower electrode over the insulating surface; a protrusion over the insulating surface having a sidewall sloping toward the lower electrode; a light-transmitting partition overlapping with an end portion of the lower electrode and the sidewall of the protrusion; and a light-emitting element including the lower electrode, an upper electrode overlapping with the lower electrode, and a layer containing a light-emitting organic compound between the lower electrode and the upper electrode. In the light-emitting device, the sidewall of the protrusion can reflect light emitted from the light-emitting element. As a result, the light-emitting device that has reduced power consumption is provided. | 10-16-2014 |
20140306202 | Organic Field Effect Transistor and Method for Production - The present disclosure relates to an organic field effect transistor, comprising a first electrode and a second electrode, the electrodes providing a source electrode and a drain electrode, a gate electrode, an electronically active region at least in part made of an organic material and providing a charge a carrier channel, and a gate electrode separation, comprising a doped organic semiconducting layer directly provided on the gate electrode, wherein the doped organic semiconducting layer comprises an organic matrix material and an organic dopant. Furthermore, a method for producing an organic field effect transistor is provided. | 10-16-2014 |
20140306203 | METAL COMPLEX AND LIGHT-EMITTING DEVICE COMPRISING THE METAL COMPLEX - A metal complex is provided represented by Formula (1): | 10-16-2014 |
20140306204 | Double-Sided Organic Light-Emitting Diode, and Manufacturing Method and Display Device Thereof - A double-sided organic light-emitting diode and manufacturing method thereof, and a display device using double-sided organic light-emitting diode pixel configuration are described. The double-sided organic light-emitting diode includes a first electrode, a first organic semiconductor layer; disposed on the first electrode, a shared electrode disposed on the first organic semiconductor layer and electrically connected to the output terminal of a thin film transistor; a second organic semiconductor layer disposed on the shared electrode; and a second electrode disposed on the second organic semiconductor. The first electrode, the shared electrode and the second electrode are electrically insulated from each other, and two organic light-emitting diodes in the double-sided organic light emitting diode can be independently controlled. | 10-16-2014 |
20140306205 | Charge-Transporting Material, Organic Electroluminescent Element, and Light-Emitting Device, Display Device and Illumination Device Characterised By Using Said Element - An organic electroluminescent element having high durability can be provided by using a compound represented by the following general formula (1), wherein: Z | 10-16-2014 |
20140306206 | Organic Electroluminescent Element, and Light Emitting Device, Display Device and Lighting Device Each Using Organic Electroluminescent Element - An organic electroluminescent element containing a light emitting material represented by the following general formula (1) and a host material represented by the general formula (H-1) in a light emitting layer. The organic electroluminescent element has low driving voltage, high luminous efficiency, and excellent durability. L represents O, NR | 10-16-2014 |
20140306207 | ORGANIC ELECTROLUMINESCENCE ELEMENT, AND MATERIAL FOR ORGANIC ELECTROLUMINESCENCE ELEMENT - The organic electroluminescence device includes an anode, a cathode, and at least an emitting layer between the anode and the cathode. The emitting layer includes a first host material, a second host material, and a phosphorescent dopant material. The first host material is a compound represented by a formula (1) below and the second host material is a compound represented by a formula (2) below. | 10-16-2014 |
20140306208 | MASKING FOR LIGHT EMITTING DEVICE PATTERNS - The present invention relates to a light emitting device with at least two active areas and a more robust method of manufacturing such a device, wherein a first electrode layer ( | 10-16-2014 |
20140306209 | ORGANIC EL DEVICE AND METHOD FOR PRODUCING THE SAME - An organic EL device and a method for forming the same, capable of obtaining a high light emission yield and improving long-term stability. The organic EL device is an organic EL device ( | 10-16-2014 |
20140306210 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - The present invention relates to an organic light emitting device and a method for preparing an organic light emitting device, and the organic light emitting device comprises a substrate, a first electrode, an organic material layer, and a second electrode in this order, and a spacer pattern on the first electrode. | 10-16-2014 |
20140306211 | ORGANIC ELECTROLUMINESCENT ELEMENT AND PLANAR LIGHT-EMITTING UNIT - Provided is an organic EL element, wherein the thickness of the organic EL element can be made small and the width of a seal can be made narrower, and change in light-emitting quality over time can be inhibited. The organic EL element ( | 10-16-2014 |
20140306212 | ORGANIC SEMICONDUCTOR DEVICE AND PROCESS FOR ITS PRODUCTION - An organic semiconductor device selected from organic diodes, organic field effect transistors, and devices comprising an organic diode and/or organic field effect transistor and a method of producing such a device are provided. The organic semiconductor device comprises at least one semiconducting layer based on a diketopyrrolopyrrole (DPP) polymer. The semiconducting layer may effectively be protected against degradation by radiation and/or oxidation by adding at least one stabilizing agent selected from hydroxybenzophenones, hydroxyphenyl benzotriazoles, oxalic acid anilides, hydroxyphenyl triazines, hindered phenols and/or merocyanines to the DPP polymer layer. The stabilization is effective both during production and during usage of the device, while the device's electronic properties are retained. The stabilizing agent is preferably a UV absorbing agent or an antioxidant or anti-radical agent known from the field of organic polymer technology. | 10-16-2014 |
20140306213 | ORGANIC EL ELEMENT - An organic EL element including: a transparent supporting substrate; a diffraction grating having a concavity and convexity layer with first concavities and convexities formed on a surface thereof and disposed on the transparent supporting substrate; and a transparent electrode, an organic layer, and a metal electrode which are stacked in this order on the diffraction grating and formed into such shapes that a shape of the first concavities and convexities formed on the surface of the diffraction grating is maintained, the organic layer comprising at least a light emitting layer. The organic EL element satisfies specified conditions (A) to (C). | 10-16-2014 |
20140306214 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - The present invention relates to an organic light emitting device and a method for preparing the same, and the organic light emitting device according to the present invention comprises: a substrate; a first electrode provided on the substrate; an organic material layer provided on the first electrode; a second electrode pattern provided on the organic material layer and comprising two or more metal layers spaced apart from each other; and a fuse layer provided in an entire region of an upper surface of the second electrode pattern and gaps between the metal layers spaced apart from each other. | 10-16-2014 |
20140312311 | OPTOELECTRONIC MATERIALS FOR OLED AND OLED ELEMENTS USING THE SAME - An optoelectronic materials for OLED is represented by formula (I): | 10-23-2014 |
20140312312 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing an organic light-emitting display device includes providing a substrate which comprises thin-film transistors (TFTs), and forming a planarization layer over the substrate. The planarization layer comprises a first planarization portion and a plurality of second planarization portions. The method further includes forming a plurality of first electrodes over the planarization layer, forming an organic light-emitting layer over each of the first electrodes, and forming a second electrode over the organic light-emitting layer. The forming of the planarization layer includes forming the first planarization portion which defines a plurality of first openings and forming one of the second planarization portions in each of the first openings. | 10-23-2014 |
20140312313 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus and a method of manufacturing the organic light-emitting display apparatus. The organic light-emitting display apparatus includes a substrate; a display unit on the substrate; and an encapsulating layer encapsulating the display unit. The encapsulating layer is formed of a low-temperature viscosity transition inorganic material. The encapsulating layer includes nitrogen. | 10-23-2014 |
20140312314 | FLEXIBLE DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - A flexible display device and method of manufacturing the same is disclosed. In one aspect, the device includes: a substrate, a light-emitting display part formed on a first surface of the substrate, an encapsulation layer formed on the light-emitting display part, and an exfoliation layer formed on a second surface of the substrate. The exfoliation layer has a layer structure. | 10-23-2014 |
20140312315 | BACK PLANE OF FLAT PANEL DISPLAY AND METHOD OF MANUFACTURING THE SAME - According to an aspect of the present invention, there is provided a back plane for a flat-panel display device and a method of manufacturing the same. The back plane including: a substrate; a gate electrode on the substrate; a first insulation layer on the substrate and covering the gate electrode; a semiconductor layer on the first insulation layer and corresponding to the gate electrode; and a source electrode and a drain electrode on the semiconductor layer and electrically coupled to respective portions of the semiconductor layer. Here, the semiconductor layer includes indium, tin, zinc, and gallium, and an atomic concentration of the gallium is from about 5% to about 15%. | 10-23-2014 |
20140312316 | DEPOSITION APPARATUS, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS BY USING SAME, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED BY USING DEPOSITION APPARATUS - A deposition apparatus includes: a transfer unit including a first transfer unit and a second transfer unit, wherein the first transfer unit transfers, in a first direction, a moving unit to which a substrate is detachably fixed, and the second transfer unit transfers, in an opposite direction of the first direction, the moving unit from which the substrate is separated, and a deposition unit including a deposition assembly wherein the deposition assembly deposits a material on the substrate spaced apart from the deposition assembly while the first transfer unit transfers the substrate which is fixed to the moving unit, wherein the first transfer unit includes a first support unit that supports both ends of the moving unit in the first direction, and a second support unit that supports a side of the moving unit opposite to a side close to the deposition assembly. | 10-23-2014 |
20140312317 | ORGANIC SEMICONDUCTOR MATERIAL AND THIN-FILM TRANSISTOR - An organic semiconductor material is provided. The organic semiconductor material includes a compound represented by formula (1): | 10-23-2014 |
20140312318 | PATTERNING SLIT SHEET, DEPOSITION APPARATUS INCLUDING THE SAME, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS USING THE SAME, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED BY USING THE METHOD - A deposition apparatus includes (i) a sheet including a slit area, first and second dummy slit areas, and a binding area; and (ii) a frame. The slit area has a plurality of patterning slits that are extended along a first direction and arranged along a second direction crossing the first direction. The first and second dummy slit areas are outside the slit area along the second direction and along the opposite direction to the second direction respectively and have a plurality of dummy slits. The binding area surrounds the slit area and the first and second dummy slit areas. The frame is attached to the binding area of the sheet and shields at least some of the plurality of dummy slits of the first and second dummy slit areas. | 10-23-2014 |
20140312319 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes a flexible substrate and a plurality of OLEDs. The flexibility substrate includes at least one curved portion. The OLEDs are positioned in every pixel area that is set on the flexible substrate and includes a pixel electrode, an organic emission layer, and a common electrode. At least one OLED that is positioned at a curved portion in the OLEDs is formed in a lens shape and concentrates light toward the center of a pixel area. | 10-23-2014 |
20140312320 | METHOD OF MANUFACTURING THIN-FILM TRANSISTOR, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY DEVICE INCLUDING THE SAME, AND THIN-FILM TRANSISTOR AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE MANUFACTURED USING THE METHODS - A method of manufacturing a thin-film transistor includes: forming an oxide semiconductor pattern including a first region and a second region on a substrate; forming an insulation film on the substrate to cover the oxide semiconductor pattern; removing the insulation film on the second region through patterning; increasing carrier density of the first region of the oxide semiconductor pattern through an annealing process; forming a gate electrode on the insulation film so that the gate electrode is insulated from the oxide semiconductor pattern and overlaps the second region; and forming a source electrode and a drain electrode to be insulated from the gate electrode and contact the first region. | 10-23-2014 |
20140312321 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a first substrate having a plurality of organic light emitting structures thereon, a second substrate facing the first substrate to encapsulate the organic light emitting structures, an aperture under a lower surface of the first substrate, and an aperture controller under the lower surface of the first substrate. The organic light emitting structures define a pixel area. The second substrate has a light absorption area. The aperture corresponds to the light absorption area. The aperture controller is adjacent to the aperture. | 10-23-2014 |
20140312322 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a display substrate including an organic light emitting element and a driving circuit part, an encapsulation substrate sealing the display substrate, and a sealing portion between the display substrate and the encapsulation substrate, the sealing portion including a plurality of sealing frame portions around the display substrate, and a first sealing frame portion of the plurality of sealing frame portions being adjacent to a pad portion, wherein at least one of a width of an edge of the sealing portion and a width of the first sealing frame portion is wider than a width of a sealing frame portion other than the first sealing frame portion. | 10-23-2014 |
20140312323 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode display device, comprises: a thin film transistor on a substrate; a first insulating layer on the thin film transistor; a connecting electrode connected to the thin film transistor and a first auxiliary electrode on the first insulating layer; a second insulating layer on the connecting electrode and the first auxiliary electrode; an anode connected to the connecting electrode and a second auxiliary electrode spaced apart from the anode and connected to the first auxiliary electrode on the second insulating layer; a bank layer having a first contact hole exposing the anode and a second contact hole exposing the second auxiliary electrode on the anode and the second auxiliary electrode; an organic emitting layer on the anode in the first contact hole; and a cathode electrically connected to the second auxiliary electrode on the organic emitting layer. | 10-23-2014 |
20140312324 | ORGANIC EL ELEMENT AND METHOD OF MANUFACTURING THE SAME, ORGANIC EL PANEL, ORGANIC EL LIGHT-EMITTING DEVICE, AND ORGANIC EL DISPLAY DEVICE - An organic EL element has an anode and a cathode a light-emitting layer including an organic material provided between the anode and the cathode, a hole injection layer provided between the anode and the light-emitting layer, and a electron injection layer provided between the light-emitting layer and the cathode | 10-23-2014 |
20140312325 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a substrate including a plurality of subpixel areas, a plurality of pixel electrodes positioned corresponding to each of the plurality of subpixel areas on the substrate, a white emission layer formed on the plurality of pixel electrodes; a common electrode covering the white emission layer, a plurality of capping layers positioned corresponding to each of the plurality of subpixel areas on the common electrode, and a color filter layer including a plurality of filter layers corresponding to each of the plurality of subpixel areas. At least two capping layers among the plurality of capping layers have any one of a refractive index and a thickness different from each other. | 10-23-2014 |
20140312326 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes: a substrate; a first semiconductor layer and a second semiconductor layer separated from each other on a same surface of the substrate, a first insulating layer on the first semiconductor layer and the second semiconductor layer, a first gate electrode and a second gate electrode respectively overlapping the first semiconductor layer and the second semiconductor layer, a second insulating layer on the first gate electrode and the second gate electrode; a first storage electrode overlapping the first gate electrode on the second insulating layer, a third insulating layer on the first storage electrode, and a second storage electrode overlapping the first storage electrode on the third insulating layer. | 10-23-2014 |
20140312327 | Transparent Conductive Oxide Coatings For Organic Light Emitting Diodes And Solar Devices - A transparent conductive oxide (TCO) electrode for an organic light emitting diode (OLED) has a first layer of a crystalline material and a second layer of an amorphous material. The material of the second layer can include one or more dopant materials. | 10-23-2014 |
20140312328 | METHOD OF MANUFACTURING TRANSPARENT FLEXIBLE DISPLAY DEVICE AND TRANSPARENT FLEXIBLE DISPLAY DEVICE MANUFACTURED USING THE METHOD - A method of manufacturing a transparent flexible display device includes forming a protection layer on a first surface of a transparent substrate, forming a transparent polymer layer on the protection layer, forming an amorphous silicon pattern on the transparent polymer layer, irradiating a first laser on the amorphous silicon pattern to dehydrogenate the amorphous silicon pattern, irradiating a second laser on the dehydrogenated amorphous silicon pattern to form a polycrystalline silicon pattern, forming a metal pattern on the polycrystalline silicon pattern, forming a display element electrically connected to the metal pattern, and irradiating a third laser on a second surface of the transparent substrate to separate the transparent polymer layer from the protection layer. | 10-23-2014 |
20140312329 | DISPLAY UNIT, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - Provided is a display unit that includes: first electrodes; a metal member provided around the first electrodes; an insulating layer having a first opening on corresponding one of the first electrodes and a second opening on the metal member; an organic light emission layer provided on a surface including a bottom surface of the first opening, except for whole or part of a bottom surface of the second opening; a partition wall arranged in contact with at least the insulating layer, in which the partition wall is formed in a process different from a process of forming the insulating layer; and a second electrode provided in contact with a contact region and a portion above the bottom surface of the first opening in the organic light emission layer, in which the contact region is part of the bottom surface of the second opening. | 10-23-2014 |
20140312330 | INSPECTION SYSTEM FOR OLED DISPLAY PANELS - A system for inspecting at least a portion of a display panel having thin film transistors (TFTs) and light emitting devicxes (OLEDs), during or immediately following fabrication, so that adjustments can be made to the fabrication procedures to avoid defects and non-uniformities. The system provides bonding pads connected to signal lines on at least portions of the display panel, and probe pads along selected edges of the display panel. The probe pads are coupled to the bonding pads through a plurality of multiplexers so that the number of probe pads is smaller than the number of bonding pads. | 10-23-2014 |
20140312331 | BISCARBAZOLE DERIVATIVES AND ORGANIC ELECTROLUMINESCENCE - Provided are an organic electroluminescence device having high current efficiency and a long lifetime, and a biscarbazole derivative for realizing the device. The biscarbazole derivative has a specific substituent. The organic EL device has a plurality of organic thin-film layers including a light emitting layer between a cathode and an anode, and at least one layer of the organic thin-film layers contains the biscarbazole derivative. | 10-23-2014 |
20140312332 | Printable Composition of a Liquid or Gel Suspension of Diodes - An exemplary printable composition of a liquid or gel suspension of diodes comprises a plurality of diodes, a first solvent and/or a viscosity modifier. In other exemplary embodiments a second solvent is also included, and the composition has a viscosity substantially between about 100 cps and about 25,000 cps at about 25° C. In an exemplary embodiment, a composition comprises: a plurality of diodes or other two-terminal integrated circuits; one or more solvents comprising about 15% to 99.9% of any of N-propanol, isopropanol, dipropylene glycol, diethylene glycol, propylene glycol, 1-methoxy-2-propanol, N-octanol, ethanol, tetrahydrofurfuryl alcohol, cyclohexanol, and mixtures thereof; a viscosity modifier comprising about 0.10% to 2.5% methoxy propyl methylcellulose resin or hydroxy propyl methylcellulose resin or mixtures thereof; and about 0.01% to 2.5% of a plurality of substantially optically transparent and chemically inert particles having a range of sizes between about 10 to about 50 microns. | 10-23-2014 |
20140312333 | HIGH RESOLUTION PIXEL ARCHITECTURE - A pixel structure comprises a substantially transparent substrate, a drive transistor formed on the substrate, an organic light emitting device formed on the opposite side of the drive transistor from the substrate, a reflective layer disposed between the light emitting device and the drive transistor and having a reflective surface facing the light emitting device. The reflective layer forms an opening offset from the drive transistor for passing light emitted by the light emitting device to the substrate. At least a portion of the reflective layer is preferably concave in shape to direct reflected light from the light emitting device back onto the light-emitting device. | 10-23-2014 |
20140312334 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic EL display device of active matrix type wherein insulated-gate field effect transistors formed on a single-crystal semiconductor substrate are overlaid with an organic EL layer; characterized in that the single-crystal semiconductor substrate ( | 10-23-2014 |
20140312335 | MANUFACTURING METHOD OF ORGANIC SEMICONDUCTOR ELEMENT, ORGANIC SEMICONDUCTOR ELEMENT, GROWTH METHOD OF ORGANIC SINGLE CRYSTAL THIN FILM, ORGANIC SINGLE CRYSTAL THIN FILM, ELECTRONIC DEVICE, AND ORGANIC SINGLE CRYSTAL THIN FILM GROUP - Provided is a manufacturing method of an organic semiconductor element, the method including supplying an unsaturated organic solution obtained by dissolving an organic compound in a solvent to a growth control region and at least one nucleation control region of a base body having, on one principal plane, the growth control region and the nucleation control region which is provided on one side of the growth control region to be coupled with the growth control region, and allowing an organic semiconductor single crystal thin film composed of the organic compound to grow by evaporating the solvent of the organic solution. | 10-23-2014 |
20140312336 | ORGANIC DISPLAY PANEL, ORGANIC DISPLAY DEVICE, ORGANIC LIGHT EMITTING DEVICE, METHOD OF MANUFACTURE OF THESE, AND THIN FILM FORMATION METHOD - An organic display panel having a high luminance, and including an organic light emitting element that includes a bottom electrode, a hole-injection layer, an organic light emitting layer, and a top electrode layered in the stated order on a substrate. The bottom electrode is composed of a material that is aluminium, silver, or an alloy including at least one of aluminium and silver. The hole-injection layer contains an oxide of a transition metal. The organic light emitting element further includes a mixed oxidized thin film interposed between and in contact with the bottom electrode and the hole-injection layer, the mixed oxidized thin film being composed of an oxidized mixture of the same material as the material in the bottom electrode and the same transition metal as the transition metal in the hole-injection layer. | 10-23-2014 |
20140312337 | EL DISPLAY DEVICE AND METHOD FOR PRODUCING SAME - To provide a device whose life is less likely to be reduced by deterioration of a light-emitting layer material, an EL display device includes: a pixel electrode, for each pixel, above a substrate; auxiliary wiring in a different region, above the substrate, from the pixel electrode; a transition metal oxide layer on the pixel electrode and the auxiliary wiring; a light-emitting layer in a region, above the transition metal oxide layer, corresponding to the pixel; a common electrode continuously above the auxiliary wiring and the light-emitting layer, and electrically connected to the auxiliary wiring; and a material deterioration suppressing layer continuously on a portion of the transition metal oxide layer above the auxiliary wiring and the light-emitting layer, mainly containing barium, and having a thickness equal to or greater than a size of a single barium atom and smaller than 10 nm. | 10-23-2014 |
20140312338 | AROMATIC HETEROCYCLIC DERIVATIVE, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT - An aromatic heterocyclic derivative is represented by a formula (1) below. | 10-23-2014 |
20140312339 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE, ELECTRONIC APPARATUS INCLUDING THE SAME, AND METHOD FOR PRODUCING ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic EL display device includes an organic EL section including an organic layer held between a first electrode and a second electrode, one of the two electrodes serving as a reflective electrode, and the other serving as a translucent electrode; a blue pixel portion including a blue color filter configured to mainly transmit a light component in a blue wavelength region of light emitted from the organic EL section; a green pixel portion including a green color filter configured to mainly transmit a light component in a green wavelength region of the light emitted from the organic EL section; and a red pixel portion including a wavelength conversion layer configured to absorb at least one of the light component in the blue wavelength region and the light component in the green wavelength region of the light emitted from the organic EL section and emit light in a red wavelength region. | 10-23-2014 |
20140312340 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING SAME - An aromatic amine derivative is represented by the following formula (1), in which R | 10-23-2014 |
20140319468 | DISPLAY HAVING A BACKPLANE WITH INTERLACED LASER CRYSTALLIZED REGIONS - Systems including and methods for forming a backplane for an electronic display are presented. The backplane includes interlaced crystallized regions, and the interlaced crystallized regions include at least a left column of crystallized regions and a right column of crystallized regions. The left and right columns include rows of crystallized regions with gaps disposed between each of the rows. Furthermore, each crystallized region in the left column extends into a corresponding gap in the right column, and each crystallized region in the right column extends into a corresponding gap in the left column. | 10-30-2014 |
20140319469 | THIN FILM TRANSISTOR AND ORGANIC LIGHT EMITTING DIODE DISPLAY - A thin film transistor includes a gate electrode extending from a scan line of a display and having an edge, and a connection line connecting the edge of the gate electrode to the scan line. | 10-30-2014 |
20140319470 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes: a substrate; a driving wire disposed on the substrate; a color filter disposed on the driving wire, where the color filter includes a blue color filter, a red color filter, and a green color filter formed on the driving wire; and an organic light emitting diode disposed on the color filter, where a width of the blue color filter is greater than a width of the red color filter or the green color filter. | 10-30-2014 |
20140319471 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus in which electrical communication between an opposing electrode and an electrode power supply line can be more easily checked without adding an additional process in a manufacturing process, and a method of manufacturing the organic light-emitting display apparatus, is provided. The organic light-emitting display apparatus includes thin film transistors and pixel electrodes electrically connected to the thin film transistors in an active area of a substrate, an opposing electrode in the active area and a dead area of the substrate, an electrode power supply line in the dead area of the substrate and having a surface contacting the opposing electrode and configured to supply power to the opposing electrode, and a test line in the dead area of the substrate separated from the electrode power supply line and contacting the opposing electrode. | 10-30-2014 |
20140319472 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode including a first electrode, a second electrode, and an organic layer between the first electrode and the second electrode. The organic layer includes an emission layer with at least one light-emitting material, and a region between the first electrode and the emission layer with at least one hole transport material. The light-emitting material is represented by Formula 1 and the hole transport material is represented by one of Formulae 2 to 4: | 10-30-2014 |
20140319473 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE COMPRISING THE SAME - A compound represented by Formula 1 below and an organic light-emitting device including the compound are provided: | 10-30-2014 |
20140319474 | DISPLAY APPARATUS - Provided is a display apparatus that is bendable. The display apparatus includes: a substrate including a display region that includes a plurality of light-emitting devices and an edge region that surrounds the display region; and a thin-film encapsulation layer on the display region and the edge region of the substrate. The thin-film encapsulation layer includes a plurality of inorganic films and at least one organic film disposed between the plurality of the inorganic films. At least one of the plurality of the inorganic films of the thin-film encapsulation layer includes inorganic patterns. | 10-30-2014 |
20140319475 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display is disclosed. In one aspect, the display includes a pixel electrode formed on a substrate and a pixel defining layer on the pixel electrode, the pixel defining layer having an opening exposing a part of the pixel electrode, and a stepped side wall of the opening. The display also includes an organic emission layer on the pixel electrode in the opening of the pixel defining layer and a common electrode covering the organic emission layer and the pixel defining layer. The pixel defining layer has a stepped side wall of the opening. | 10-30-2014 |
20140319476 | ORGANIC LIGHT-EMITTING DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display and methods of manufacturing the same are disclosed. In one aspect, an organic light-emitting apparatus includes a substrate, a display unit on the substrate, a step compensation layer formed on the display unit and supplementing a step on a surface of the display unit, a first intermediate layer formed on the step compensation layer, and an encapsulation layer formed on the first intermediate layer and sealing the display unit. | 10-30-2014 |
20140319477 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display according to an exemplary embodiment of the present invention includes a substrate, a thin film transistor formed on the substrate, a pixel electrode formed on the thin film transistor and electrically connected to the thin film transistor, a pixel definition layer formed on the pixel electrode so as to define a pixel region, an emission layer formed on the pixel electrode and contacting the pixel electrode in the pixel region, and an interlayer formed on the pixel definition layer and contacting part of the emission layer. One side of the interlayer has an uneven shape so that a surface area of the interlayer is increased. | 10-30-2014 |
20140319478 | LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME - A light emitting display device and a method for fabricating the same. The light emitting display device includes a substrate, a first electrode arranged on the substrate, a first insulating film arranged on the substrate and including a first opening that exposes a portion of the first electrode, a second insulating film arranged on the first insulating film and including a second opening that exposes the first opening, a light emitting layer including a light emitting material arranged on the exposed portion of first electrode while also being in contact with the first insulating film and a second electrode arranged on the light emitting layer, wherein a difference in wetting between the first electrode and the first insulating film with respect to the light emitting material is lower than a difference in wetting between the first electrode and the second insulating film with respect to the light emitting material. | 10-30-2014 |
20140319479 | ORGANIC LIGHT-EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes: a first electrode around a center point of a virtual square; second electrodes around a first vertex and a second vertex diagonal to the first vertex of the virtual square, the second electrodes being separated from each other and with the center point of the virtual square interposed therebetween; third electrodes around a third vertex and a fourth vertex of the virtual square, the third electrodes being separated from each other and with the center point of the virtual square interposed therebetween; a pixel defining layer partially on the first electrode, the second electrodes, and the third electrodes, and partially exposing the first electrode, the second electrodes, and the third electrodes; and four spacers disposed as islands on the pixel defining layer and corresponding to four sides of the virtual square. | 10-30-2014 |
20140319480 | ORGANIC LIGHT EMITTING DIODE DISPLAY - A pixel includes a capacitor coupled to a transistor, a first insulating layer over a semiconductor layer of the transistor, a second insulating layer over the first insulating layer, and a blocking layer between the first insulating layer and the second insulating layer. The first plate of the capacitor is on the first insulating layer and a second plate of the capacitor on the second insulating layer. The blocking layer may be made of a natural oxide layer and the first insulating layer may be made of a material different from the blocking layer. | 10-30-2014 |
20140319481 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light-emitting display device for forming a strong sealing structure. The organic light-emitting display device includes: a lower electrode that is disposed on a substrate; a first barrier wall that protrudes beyond a top surface of the lower electrode; and a second barrier wall that is disposed on at least a top surface of the first barrier wall and has a cross-section having a reverse-tapered shape, wherein the second barrier wall includes a low temperature viscosity transition (LVT) inorganic material including tin oxide. | 10-30-2014 |
20140319482 | LIGHT-EMITTING COMPONENT AND METHOD FOR PRODUCING A LIGHT-EMITTING COMPONENT - A light-emitting component may include: a first electrode; an organic electroluminescent layer structure on or above the first electrode; a second translucent electrode on or above the organic electroluminescent layer structure; an optically translucent layer structure on or above the second electrode, wherein the optically translucent layer structure includes photoluminescence material; and a mirror layer structure on or above the optically translucent layer structure. | 10-30-2014 |
20140319483 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes a substrate including a central area and a peripheral area adjacent the central area and bent at the center thereof, a first central OLED disposed on the central area of the bent substrate and including a first central organic emission layer having a first central thickness, and a first surrounding OLED disposed on the peripheral area of the bent substrate and including a first surrounding organic emission layer having a first surrounding thickness. | 10-30-2014 |
20140319484 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MASK UNIT - An organic light emitting diode display includes a first electrode, a pixel defining layer positioned on the first electrode and including a first opening having a first polygonal shape opening the first electrode, and a first organic emission layer positioned on the pixel defining layer through the first electrode corresponding to the first opening and including a first chamfer adjacent to a corner of the first opening. | 10-30-2014 |
20140319485 | ORGANIC LIGHT-EMITTING DIODES - Provided is an organic light-emitting diode including a first electrode, a second electrode, and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer and at least one first material represented by Formula 1 and at least one second material represented by Formula 2. | 10-30-2014 |
20140319486 | ORGANIC LIGHT-EMITTING DISPLAY - An organic light-emitting display includes first sub-pixels of a first color and second sub-pixels of a second color. Pairs of the first sub-pixels are consecutively arranged in different rows, and pairs of the second sub-pixels are consecutively arranged in different rows. The pairs of first sub-pixels and the pairs of second sub-pixels arranged alternately in a first column, and third sub-pixels are in a second column adjacent to the first column. When one sub-pixel is defective, a control circuit provides current another sub-pixel of the same color. | 10-30-2014 |
20140319487 | DONOR FILM FOR LASER INDUCED THERMAL IMAGING, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS USING THE DONOR FILM, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED BY USING THE DONOR FILM - Provided is a donor film for laser induced thermal imagining, a method of manufacturing an organic light-emitting display apparatus using the donor film, and an organic light-emitting display apparatus manufactured by using the same. The donor film includes a base film, a light to heat conversion layer on the base film, and a transfer layer on the light to heat conversion layer. The transfer layer includes a first color intermediate layer including a first color host and an emission layer between the first color intermediate layer and the light to heat conversion layer. | 10-30-2014 |
20140319488 | THIN FILM FORMATION FOR DEVICE SENSITIVE TO ENVIRONMENT - Embodiments relate to forming a barrier layer on a device before performing radical-assisted atomic layer deposition (RA-ALD) using ozone to form oxygen radicals that function as a reactant precursor for depositing a blanket deposition layer over the device. Before exposing the substrate to ozone or oxygen radicals generated from ozone or oxygen radicals with hydroxyl radicals (generated from ozone mixed with hydrogen-containing gas such as hydrogen or ammonia), the barrier layer is formed on the substrate by exposing the device formed on a substrate to radicals of nitrogen compound gas to prevent ozone, its radicals or oxygen radicals in combination with hydroxyl radicals from penetrating and damaging the device during the process of depositing the blanket deposition layer. | 10-30-2014 |
20140319489 | ORGANIC LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - The present disclosure provides an organic light emitting device and a manufacturing method thereof. When the photovoltaic units are the integrated above the OLED unit, the photovoltaic units are transparent solar panels; when the photovoltaic units are integrated under the OLED unit, the photovoltaic units are gallium arsenide solar panels. By means of integrating a plurality of photovoltaic units are integrated into the organic light emitting devices, the present disclosure possesses functions of the transformation of electrical energy from luminous energy and the storage of the electrical energy in the photovoltaic units, i.e., the present disclosure is self powdered without any external power supply. Therefore, the present disclosure can achieve the environmental protection and the energy saving, as well as small volume, light weight and high integration level. | 10-30-2014 |
20140319490 | FUNCTIONAL POLARIZING FILM AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME - A functional polarizing film includes a polarizing layer, at least one moisture-binding layer on a first surface of the polarizing layer, and at least one moisture-blocking layer disposed on a first surface of the moisture-binding layer. | 10-30-2014 |
20140319491 | DISPLAY DEVICE - The invention provides an active matrix EL display device which can perform a clear multi-gray scale color display. In particular, the invention provides a large active matrix EL display device at low cost by a manufacturing method which can selectively form a pattern. Power supply lines in a pixel portion are arranged in matrix by the manufacturing method which can selectively form a pattern. Further, capacitance between wirings is reduced by providing a longer distance between adjacent wirings by the manufacturing method which can selectively form a pattern. | 10-30-2014 |
20140319492 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device - Provided is a light-emitting element with high emission efficiency. The light-emitting element including a first electrode, a second electrode, and a layer containing an organic compound between the first electrode and the second electrode. The layer containing the organic compound includes a light-emitting layer at least containing a first organic compound, a second organic compound, and a fluorescent substance. The first organic compound has an electron-transport property. The second organic compound has a hole-transport property. The second organic compound has a triarylamine skeleton. At least one of three aryl groups in the triarylamine skeleton is a group including a p-biphenyl skeleton. | 10-30-2014 |
20140319493 | SUBSTRATE FOR DISPLAY APPARATUS, AND DISPLAY APPARATUS USING THE SAME - A substrate for a display apparatus includes a barrier layer disposed on a base substrate. The barrier layer includes a silicon oxide layer, and the silicon oxide layer includes a first part and a second part along a thickness direction of the barrier layer. The amount of silicon in the first part is different from the amount of silicon in the second part. | 10-30-2014 |
20140319494 | NOVEL ORGANIC COMPOUND, ORGANIC LIGHT-EMITTING ELEMENT, AND IMAGE DISPLAY UNIT - An organic compound contains 8H-naphth[2,1-b]carbazole as the basic skeleton thereof. An organic light-emitting element includes an organic compound layer containing the organic compound. A display device and an image display unit each include the organic light-emitting element. The organic light-emitting element is also used in a lighting device and an image forming apparatus. | 10-30-2014 |
20140319495 | NOVEL ORGANIC COMPOUND, ORGANIC LIGHT-EMITTING DEVICE, AND IMAGE DISPLAY SYSTEM - An organic compound has a dibenzo[8,9:10,11]tetrapheno[5,6-b]carbazole skeleton as a basic skeleton. An organic light-emitting device includes an anode, a cathode, and an organic compound layer including the organic compound. A display apparatus includes a plurality of pixels, and each of the pixels includes the organic light-emitting device and a transistor. An image display system includes an input section and a display section including the display apparatus. A lighting apparatus includes the organic light-emitting device and an inverter circuit. An electrophotographic image-forming apparatus includes a light source including a plurality of the organic light-emitting devices and a photosensitive member. | 10-30-2014 |
20140319496 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode display device includes a first substrate; a conductive line formed on a first surface of the first substrate; an organic light emitting diode and an encapsulation layer on the conductive line; a second substrate on the encapsulation layer; a conductive pad connected to the conductive line and arranged in a through hole passing through the first substrate; and a driving circuit unit on a second surface opposite the first surface of the first substrate and connected to the conductive pad. | 10-30-2014 |
20140319497 | PHOTOCURABLE ADHESIVE FILM FOR ORGANIC ELECTRONIC DEVICE SEAL, ORGANIC ELECTRONIC DEVICE, AND METHOD FOR SEALING SAME - Provided are a photocurable pressure-sensitive adhesive composition including an acrylic polymer, an epoxy resin, and a cationic photopolymerization initiator, an organic electronic device having an encapsulant including a photocured product of the composition using a curable pressure-sensitive adhesive film which is a film-state product including the composition, and a method for manufacturing an organic electronic device using the curable pressure-sensitive adhesive film. Particularly, due to the method including laminating a photocurable pressure-sensitive adhesive film including a curable pressure-sensitive adhesive layer including an acrylic polymer, an epoxy resin, and a cationic photopolymerization initiator to an top substrate, and radiating light to an entire surface of the curable pressure-sensitive adhesive layer to perform photocuring, and laminating the photocured curable pressure-sensitive adhesive layer to a bottom substrate on which an organic light emitting element is formed to cover an entire surface of the organic light emitting element, mechanical strength and a simple process caused by photocuring to the organic light emitting element without direct light irradiation may be achieved, and a lifespan of the element may be increased. | 10-30-2014 |
20140319498 | THIN FILM TRANSISTOR SUBSTRATE AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME - A thin film transistor substrate provided with two gate electrodes comprises a thin film transistor including a first gate electrode formed on the substrate; an active layer formed on the first gate electrode; first and second electrodes formed on the active layer; and a second gate electrode formed on the first electrode, the second electrode, and the active layer, wherein the second gate electrode is provided with an opening formed in an area corresponding to at least a part of the second electrode. | 10-30-2014 |
20140319499 | Light Emitting Device, Method of Manufacturing the Same, and Manufacturing Apparatus Therefor - A light emitting device having high definition, a high aperture ratio, and high reliability is provided. The present invention achieves high definition and a high aperture ratio with a full color flat panel display using red, green, and blue color emission light by intentionally forming laminate portions, wherein portions of different organic compound layers of adjacent light emitting elements overlap with each other, without depending upon the method of forming the organic compound layers or the film formation precision. | 10-30-2014 |
20140319500 | LIGHT-EMITTING ELEMENT AND DISPLAY DEVICE USING SAME - A display device having a plurality of light-emitting elements that construct picture elements aligned on a TFT substrate in a formation of a matrix. The display device includes the plurality of light-emitting elements each having a flat surface portion and including a light-emitting layer, an anode, and a cathode; a plurality of driver elements each coupled to the light-emitting element; a plurality of capacitor elements each of which is coupled to the light emitting element and receives an image signal; a plurality of switching elements each of which is coupled to the capacitor element and the light emitting element and control input of the image signal to the capacitor; and an insulation layer having a contact hole formed over the driver element. The anode is formed on the insulation layer and coupled to the driver element via the contact hole. | 10-30-2014 |
20140319501 | ORGANIC EL PANEL AND METHOD OF MANUFACTURING THE SAME - The present invention is to ensure that when it has been judged that film-formation areas of a plurality of layers laminated on the same luminescent areas of organic EL devices involve a defect, it is possible to exactly find which layer of the multi-laminated layers is a defective layer. The film formation areas of layers to be laminated on luminescent area are formed in a manner such that overlap deviations e1-e3 are intentionally formed. | 10-30-2014 |
20140319502 | COATING COMPOSITION, POROUS MEMBRANE, LIGHT SCATTERING MEMBRANE, AND ORGANIC ELECTROLUMINESCENT ELEMENT - An object of the present invention is to provide a coating composition capable of being easily formed by coating or the like, and a porous membrane and a light scattering layer which are excellent in heat resistance, surface smoothness and flexibility and have a high refractive index, a high light scattering property, and a high light transmittance, and further an organic electroluminescent element having the light scattering layer. It has been found that voids are formed inside a cured product obtained by curing a composition containing a polysilane, a metal oxide, and a solvent. The cured product having the voids formed therein has a light scattering property and therefore is applicable as a light scattering membrane. | 10-30-2014 |
20140319503 | SEMICONDUCTING POLYMER - A semiconducting polymer formed from an insulator polymer and an ionic liquid is disclosed. In at least one embodiment, the semiconducting polymer may be formed from a homogenous blend of two or more insulator polymers and two or more ionic liquids. The homogenous mixture of non-conducting polymers and ionic liquid may be formed as a film of semiconducting polymer with a controllable thickness. The semiconducting polymer may be used in a multitude of different applications, including, but not limited to, storage devices. | 10-30-2014 |
20140319504 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Imidazo[1,2-f]phenanthridine compounds are provided. The compounds have a twisted aryl moiety further substituted by alkyl having four or more atoms. The compounds may be used in organic light emitting devices, particularly as emissive dopants, providing devices with improved efficiency, stability, and manufacturing. In particular, the compounds provided herein may be used in blue devices having high efficiency. | 10-30-2014 |
20140319505 | IRIDIUM COMPLEX COMPOUND, SOLUTION COMPOSITION CONTAINING THE COMPOUND, ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY, AND LIGHTING - The present invention is to provide an iridium complex compound, which is soluble in an organic solvent, which can be stored for long periods without reprecipitation thereof and which secures a low driving voltage and a high luminescent efficiency of an organic electroluminescent element produced using the compound, to provide an organic electroluminescent element containing the compound and to provide a display and a lighting using the organic electroluminescent element. The present invention relates to the iridium complex compound having a specific chemical structure. Further, the invention also relates to the organic electroluminescent element produced using the compound, which requires a low operating voltage and has a long operating lifetime. | 10-30-2014 |
20140319506 | ORGANIC ELECTROLUMINESCENT DEVICE HAVING TERNARY DOPED HOLE TRANSPORTATION LAYER AND PREPARATION METHOD THEREFOR - Disclosed are an organic electroluminescent device having ternary doped hole transportation layer and a preparation method therefor. The electroluminescent device comprises a conductive anode substrate ( | 10-30-2014 |
20140319507 | Organic Electroluminescent Element, Material for Organic Electroluminescent Element, Light Emitting Device, Display Device and Lighting Device Each Using Said Element, and Compound Used for Said Element - This application relates, in part, to an organic electroluminescent element including a substrate, a pair of electrodes including an anode and a cathode, disposed on the substrate, and at least one organic layer including a light emitting layer, disposed between the electrodes, in which at least one layer of the organic layer(s) contains a compound represented by the following formula (1). The organic electroluminescent element has low driving voltage and excellent durability. | 10-30-2014 |
20140319508 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - In order to provide an organic electroluminescent display device which does not exhibit redness in reflected external light, and exhibits little fluctuation in the hue of a black image as a result of changes in environmental temperature and differences in the light emitting state, the organic electroluminescent display device according to the present invention comprises, in order from the viewing side, a protective film, a polarizer, a λ/4 phase difference film, and an organic electroluminescent element, and is characterized in that the λ/4 phase difference film satisfies formulas (1) and (2) below. | 10-30-2014 |
20140319509 | ORGANIC PHOTOELECTRIC CONVERSION ELEMENT, AND SOLAR CELL AND OPTICAL SENSOR ARRAY EACH USING SAME - An organic photoelectric conversion element which sequentially comprises a transparent first electrode, a photoelectric conversion layer that contains a p-type organic semiconductor material and an n-type organic semiconductor material, and a second electrode in this order on a transparent substrate. | 10-30-2014 |
20140319510 | BENZOFLUORENE COMPOUND, MATERIAL FOR LUMINESCENT LAYER USING SAID COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE - The present invention is capable of providing a light emitting device with excellent device lifetime while maintaining low driving voltage and good color purity by using a benzofluorene compound which is substituted with a diaryl amino group having a naphthyl and a phenyl or a heteroaryl and which is represented by general formula (1) as a material for luminescent layers of an organic electroluminescent device, for example. (In the formula, Ar is a phenyl, a heteroaryl, etc., R | 10-30-2014 |
20140319511 | AROMATIC AMINE DERIVATIVE, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT - An aromatic amine derivative is represented by the following formula (1). In the formula (1), R | 10-30-2014 |
20140326956 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device and a manufacturing method of an organic light emitting display device. An organic light emitting display device includes a substrate; a first electrode on the substrate; an emitting layer on the first electrode; a second electrode on the emitting layer; and a first slit-shaped pattern on the second electrode and including a plurality of first protrusions spaced apart from each other. | 11-06-2014 |
20140326957 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - In an aspect, an organic light emitting display device includes a first electrode, a second electrode on the first electrode, an organic light emitting layer on the first electrode, a hole transfer layer between the first electrode and the organic light emitting layer, a hole injection layer between the first electrode and the hole transfer layer and a functional layer between the hole transfer layer and the hole injection layer, and including a blue light emitting material is provided. | 11-06-2014 |
20140326958 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS, METHOD OF MANUFACTURING THE SAME, AND MASK USED IN THE METHOD - A method of manufacturing an organic light emitting display apparatus is provided. A plurality of first electrodes is formed on a substrate. An intermediate layer including an emission layer is formed on the plurality of first electrodes. A deposition mold including a plurality of auxiliary patterning lines is formed by performing a deposition process twice using a mask. The mask includes a plurality of aperture sets, each of the plurality of aperture sets corresponding to part of each of the plurality of auxiliary patterning lines. A plurality of second electrodes is formed on the intermediate layer by depositing a conductive material into the deposition mold. | 11-06-2014 |
20140326959 | COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME - Provided are a compound of Formula 1 and an organic light-emitting device including the compound. | 11-06-2014 |
20140326960 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode (OLED) includes a first electrode; a second electrode facing the first electrode; and an organic layer between the first electrode and the second electrode. The organic layer includes a compound represented by one of Formula 1 or Formula 2, and a metallic complex. | 11-06-2014 |
20140326961 | BENZOFLUORENE-BASED COMPOUNDS AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - Provided are benzofluorene-based compounds according to the following Formula 1 and an organic light-emitting diode including the same: | 11-06-2014 |
20140326962 | Deposition Apparatus, Method for Manufacturing Organic Light Emitting Display Apparatus..... - A deposition apparatus is capable of checking, in real time, the thickness or uniformity of a thin layer which is formed. The deposition apparatus includes a moving unit to which a substrate is detachably fixed. A conveyer unit conveys the moving unit in a first direction or in an opposite direction to the first direction. A deposition unit includes at least one deposition assembly for depositing a deposition material on the substrate. A discharge data acquisition unit acquires data associated with the amount of the deposition material discharged per unit time from the at least one deposition assembly. A transmission unit transmits the data acquired by the discharge data acquisition unit. | 11-06-2014 |
20140326963 | METHOD OF MANUFACTURING CAPACITOR, METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE CAPACITOR, AND ORGANIC LIGHT EMITTING DISPLAY DEVICE MANUFACTURED BY USING THE METHOD - A method of manufacturing an organic light emitting display device includes: providing a capacitor on a substrate; providing a protection layer on the capacitor; providing an organic light emitting diode on the protection layer; and providing an encapsulation layer which encapsulates the organic light emitting diode. The providing the capacitor includes: providing a bottom electrode including an oxide semiconductor, on the substrate; providing an insulation layer on the substrate and overlapping the bottom electrode; annealing the bottom electrode to increase a carrier density of the bottom electrode; and providing an intermediate electrode on the insulation layer and overlapping the bottom electrode. | 11-06-2014 |
20140326964 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - An organometallic compound, an organic light-emitting device including the same, and a method of manufacturing organic light-emitting device, the organometallic compound being represented by Formula 1, below: | 11-06-2014 |
20140326965 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS, METHOD OF MANUFACTURING THE SAME, AND MASK THAT IS USED FOR THE MANUFACTURING - An organic light-emitting display apparatus includes a substrate including a display area and a peripheral area surrounding the display area, a plurality of pixels being disposed in the display area, a plurality of first electrodes in the display area, a plurality of stripe-shaped second electrodes in the display area, the second electrodes extending in a first direction and being spaced apart from each other in a second direction crossing the first direction, and each of the plurality of the second electrodes having an uneven thickness along a third direction inside the display area, an intermediate layer between corresponding first and second electrodes, the intermediate layer having a light-emitting layer, and a connection wiring in the peripheral area, the connection wiring electrically connecting the plurality of the second electrodes with each other. | 11-06-2014 |
20140326966 | ORGANIC ELECTROLUMINESCENT ELEMENT, COMPOUND, AND LIGHT EMITTING DEVICE, DISPLAY DEVICE AND LIGHTING DEVICE EACH USING ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescent element including a substrate, a pair of electrodes including an anode and a cathode, disposed on the substrate, and at least one organic layer including a light emitting layer, disposed between the electrodes. At least one kind of a compound represented by the following general formula (I) is contained in any layer of the at least one organic layer. The organic electroluminescent element has good luminous efficiency, driving voltage, and driving durability, and has low dependence of such performance on a deposition rate. | 11-06-2014 |
20140326967 | OLED TOUCH DISPLAY PANEL STRUCTURE - An OLED touch display panel structure includes an upper substrate, a lower substrate, a cathode layer, an anode layer, and a thin film transistor and sensing electrode layer. The thin film transistor and sensing electrode layer includes a scan line sub-layer and a data line sub-layer. The scan line sub-layer has a plurality of scan lines arranged in a first direction and a plurality of sensing conductor segments arranged in a second direction. The plurality of sensing conductor segments arranged in the second direction are separated by the plurality of scan lines. The data line sub-layer is disposed at one side of the scan line sub-layer facing the OLED layer and has a plurality of data lines arranged in the second direction and a plurality of sensing conductor segments arranged in the first direction. The plurality of sensing conductor segments arranged in the first direction are separated by the plurality of scan lines. | 11-06-2014 |
20140326968 | IN-CELL OLED TOUCH DISPLAY PANEL STRUCTURE - An in-cell OLED touch display panel structure includes an upper substrate, a lower substrate, a thin film transistor and sensing electrode layer, a cathode layer, and an anode layer. The upper substrate and the lower substrate are parallel to each other and the OLED layer is disposed between the upper and lower substrates. The thin film transistor and sensing electrode layer includes a plurality of gate lines, a plurality of source lines, and a plurality of sensing conductor lines for driving a corresponding pixel driving transistor according to a display pixel signal and a display driving signal. The plurality of sensing conductor lines are disposed corresponding to positions of the plurality of gate lines and the plurality of source lines. | 11-06-2014 |
20140326969 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD FOR DRIVING THE SAME - An organic light emitting diode (OLED) display device is provided. The OLED display device includes a first transistor configured to supply a data voltage to a first node, a second transistor connected between the first node and a second node, a third transistor configured to supply a reference voltage to the third node, a fourth transistor configured to supply an initialization voltage to the second node, a fifth transistor configured to supply the reference voltage to the second node, a driving transistor configured to include a drain receiving a high-level source voltage, a source connected to the second node, and a gate connected to the third node, a first capacitor connected between the first node and the third node, a second capacitor connected between the second node and the third node, and an OLED configured to include an anode connected to the second node. | 11-06-2014 |
20140326970 | Host Materials for Single-Layer Phosphorescent OLEDs - New carbazole-based compounds are provided that are useful as host materials for singlelayer and multilayer organic light-emitting diode (OLED) devices. Highly efficient single-layer OLEDs have been demonstrated using new N-heterocyclic carbazole-based host materials. Phosphorescent OLEDs with a structure of ITO/MoO | 11-06-2014 |
20140326971 | Light Extraction Substrate And Organic Light-Emitting Device Having The Same - A light extraction substrate which can realize a superior light extraction efficiency when applied to an organic light-emitting device, and an organic light-emitting device having the same. The light extraction substrate includes a base substrate and a matrix layer. One surface of the matrix layer adjoins to the base substrate, and the other surface of the matrix layer adjoins to an organic light-emitting diode. The light extraction substrate also includes a rod array disposed inside the matrix layer. The rod array includes at least one rod which is arranged in a direction normal to the one surface of the matrix layer. The rod array and a cathode of the organic light-emitting diode form an antenna structure which guides light generated from the organic light-emitting diode to be emitted in the normal direction. | 11-06-2014 |
20140326972 | PHOSPHORESCENT ORGANIC LIGHT EMITTING DIODES WITH HIGH EFFICIENCY AND BRIGHTNESS - An organic light emitting device including a) an anode; b) a cathode; and c) an emissive layer disposed between the anode and the cathode, the emissive layer comprising an organic host compound and a phosphorescent compound exhibiting a Stokes Shift overlap greater than 0.3 eV. The organic light emitting device may further include a hole transport layer disposed between the emissive layer and the anode; and an electron transport layer disposed between the emissive layer and the cathode. In some embodiments, the phosphorescent compound exhibits a phosphorescent lifetime of less than 10 μs. In some embodiments, the concentration of the phosphorescent compound ranges from 0.5 wt. % to 10 wt. %. | 11-06-2014 |
20140326973 | METAL WIRING STRUCTURE, ORGANIC LIGHT-EMITTING DISPLAY DEVICE USING THE SAME, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device comprises a substrate, an anode electrode formed on the substrate, an organic layer formed on the anode electrode, a cathode electrode formed on the organic layer, and an organic capping layer formed on the cathode electrode and containing a capping organic material and a rare-earth material which has higher oxidizing power than the material which forms the cathode electrode. | 11-06-2014 |
20140326974 | LIGHT EMITTING DEVICE AND ELECTRONIC APPARATUS - A light emitting device which is capable of suppressing deterioration by diffusion of impurities such as moisture, oxygen, alkaline metal and alkaline earth metal, and concretely, a flexible light emitting device which has light emitting element formed on a plastic substrate. On the plastic substrate, disposed are two layers and more of barrier films comprising a layer represented by AlNxOy which is capable of blocking intrusion of moisture and oxygen in a light emitting layer and blocking intrusion of impurities such as an alkaline metal and an alkaline earth metal in an active layer of TFT, and further, a stress relaxation film containing resin is disposed between two layers of barrier films. | 11-06-2014 |
20140326975 | ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC EL LIGHTING AND ORGANIC EL DISPLAY DEVICE - The organic electroluminescent element of the invention comprises a cathode, an anode, and a light emitting layer between the cathode and the anode, wherein the light emitting layer comprises, in the order from the side of the anode: a layer containing an charge transport material and a phosphorescent-light emitting material of a low-molecular compound, in which the layer is formed by a wet film forming method; and a layer containing an charge transport material and a fluorescent-light emitting material of a low-molecular compound, in which the layer is formed by a vacuum deposition method, wherein the organic electroluminescent element has a specific emission spectrum. | 11-06-2014 |
20140326976 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic compound layer includes a fluorescent light-emitting sub-layer, a phosphorescent light-emitting sub-layer, and an exciton generation sub-layer which is disposed therebetween and which generates excitons. The interface between the fluorescent light-emitting sub-layer and the exciton generation sub-layer serves as an energy barrier for carriers. Excitons are generated on the exciton generation sub-layer side of the interface therebetween. | 11-06-2014 |
20140326977 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Triphenylene containing benzo-fused thiophene compounds are provided. Additionally, triphenylene containing benzo-fused furan compounds are provided. The compounds may be useful in organic light emitting devices, particularly as hosts in the emissive layer of such devices, or as materials for enhancement layers in such devices, or both. | 11-06-2014 |
20140326978 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - The light-emitting element of the present invention includes a light-emitting layer and a layer for controlling movement of carriers between a pair of electrodes. The layer for controlling movement of carriers includes a first organic compound having a carrier transporting property and a second organic compound for reducing the carrier transporting property of the first organic compound, and the second organic compound is dispersed in the first organic compound. The layer for controlling movement of carriers is provided in such a manner, whereby change in carrier balance with time can be suppressed. Therefore, a light-emitting element having a long lifetime can be obtained. | 11-06-2014 |
20140326979 | SPIROBIFLUORENE COMPOUNDS FOR LIGHT EMITTING DEVICES - Novel spirobifluorene compounds for light emitting devices where an SBF or Open SBF unit is substituted by a nitrogen atom, which is part of a ring system comprising two aromatic or heteroaromatic rings. | 11-06-2014 |
20140326980 | COMPOSITION FOR ORGANIC SEMICONDUCTOR INSULATING FILMS, AND ORGANIC SEMICONDUCTOR INSULATING FILM - The purpose of the invention is to provide: a composition for an organic semiconductor insulating film, which is capable of forming an insulating film that exhibits excellent hydrophobicity and smoothness of the surface, while having excellent electrical stability; and an organic semiconductor insulating film obtained by using the composition for an organic semiconductor insulating film. The present composition contains a polysiloxane and an organic polymer compound. The polysiloxane is a polyhedral silsesquioxane having an oxetanyl group and/or an oxetanyl group containing silicon compound represented by the following formula (1). In the formula (1), each of R | 11-06-2014 |
20140326981 | HETEROLEPTIC COPPER COMPLEXES FOR OPTOELECTRONIC USES - A heteroleptic binuclear copper(I) complex of the Cu | 11-06-2014 |
20140326982 | CURABLE COMPOSITION, CURED PRODUCT, AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME - Provided are: a curable composition containing a compound having a polymerizable group, which allows the production of an organic electroluminescent element in which a plurality of organic layers are formed between both electrodes to be performed through a wet process; and an organic electroluminescent element improved in light emission performance, including a layer containing a cured product of the curable composition. Specifically, provided are: a curable composition containing an indolocarbazole compound having one polymerizable group such as a vinyl group or an epoxy group and having an indolocarbazole skeleton, and a compound having two polymerizable groups; and an organic electroluminescent element including a layer obtained by applying and curing the curable composition as a hole transport layer of the organic electroluminescent element. | 11-06-2014 |
20140326983 | ORGANIC LIGHT-EMITTING ELEMENT AND METHOD FOR MANUFACTURING ORGANIC LIGHT-EMITTING ELEMENT - An organic light-emitting element ( | 11-06-2014 |
20140326984 | COMPOUND FOR ORGANIC OPTOELECTRONIC ELEMENT, ORGANIC LIGHT-EMITTING ELEMENT COMPRISING SAME, AND DISPLAY DEVICE COMPRISING THE ORGANIC LIGHT-EMITTING ELEMENT - Disclosed are a compound for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode. The compound for an organic optoelectronic device represented by the following Chemical Formula 1 provides an organic light emitting diode having life-span characteristics due to excellent electrochemical and thermal stability, and high luminous efficiency at a low driving voltage. | 11-06-2014 |
20140326985 | AROMATIC AMINE DERIVATIVE, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT - An aromatic amine derivative is represented by a formula (1) below. In the formula (1), R | 11-06-2014 |
20140326986 | POLYMERIC ELECTROLUMINESCENT DEVICE AND METHOD FOR PREPARING SAME - Disclosed are a polymeric electroluminescent device and a method for preparing the same. The polymeric electroluminescent device includes an anode ( | 11-06-2014 |
20140326987 | COMPOUND FOR ORGANIC ELECTRONIC ELEMENT, ORGANIC ELECTRONIC ELEMENT USING THE SAME AND ELECTRONIC DEVICE THEREOF - A compound represented by Formula 1. An organic electric element includes a first electrode, a second electrode, and an organic material layer between the first electrode and the second electrode. The organic material layer includes the compound represented by Formula 1. When the organic electric element includes the compound in the organic material layer, luminous efficiency, stability, and life span can be improved. | 11-06-2014 |
20140326988 | SUBSTRATE FOR OPTICS AND LIGHT EMITTING DEVICE - To provide a substrate for optics for enabling the color shift caused by diffraction of light to be reduced, a substrate for optics ( | 11-06-2014 |
20140332758 | DONOR-ACCEPTOR COMPOUNDS WITH NITROGEN CONTAINING POLYAROMATICS AS THE ACCEPTOR - Donor-acceptor compounds with nitrogen containing polyaromatics as the acceptor with emission originated from the charge transfer (CT) state is disclosed. The donor-acceptor compound is provided that has the structure of Formula 1 shown below: | 11-13-2014 |
20140332759 | ELECTRODE, AN ELECTRONIC DEVICE, AND A METHOD FOR MANUFACTURING AN OPTOELECTRONIC DEVICE - According to various embodiments, an electrode may include at least one layer including a chemical compound including aluminum and titanium. | 11-13-2014 |
20140332760 | Organic Semiconductor Transistor with Epoxy-Based Organic Resin Planarization Layer - A method is provided for forming an epoxy-based planarization layer overlying an organic semiconductor (OSC) film. Generally, the method forms a fluoropolymer passivation layer overlying the OSC layer. A photopatternable adhesion layer is formed overlying the fluoropolymer passivation layer, and patterned. A photopatternable planarization layer, comprising an epoxy-based organic resin, is formed overlying the photopatternable adhesion layer and patterned to expose the fluoropolymer passivation layer. Then, the fluoropolymer passivation layer is plasma etched to expose the OSC layer. More explicitly, the method can be used to fabricate a bottom gate or top gate organic thin-film transistor (OTFT). Top gate and bottom gate OTFT devices are also provided. | 11-13-2014 |
20140332761 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND PHOTO MASK FOR MANUFACTURING SAME - An organic light-emitting display apparatus including a switching thin film transistor (TFT) on a substrate, wherein the switching TFT is electrically coupled to a scan line and a data line, a driving TFT electrically coupled to the switching TFT, the driving TFT including a driving semiconductor layer, and an organic light-emitting diode (OLED) electrically coupled to the driving TFT, wherein the driving semiconductor layer includes a first region bending from a first direction to a second direction that intersects with the first direction a second region bending from the second direction to the first direction, and a third region coupling the first region to the second region, the third region making an obtuse angle with each of the first region and the second region. | 11-13-2014 |
20140332762 | ORGANIC ELECTROLUMINESCENT DISPLAY - An organic electroluminescent display is disclosed. The organic electroluminescent display includes a substrate having a pixel region and a non-pixel region, a first electrode disposed on the pixel region, an organic light emitting layer disposed on the first electrode and capable of generating light, a second electrode disposed on the organic light emitting layer and including a conductive material capable of reflecting light, a pixel defining layer disposed on the non-pixel region, and a bump disposed on the pixel region and capable of reflecting light to the substrate. The pixel region may include multiple pixel regions defined in the substrate. The bump may include multiple bumps. At least two bumps may have thicknesses different from each other. | 11-13-2014 |
20140332763 | ORGANIC LUMINESCENCE DISPLAY AND METHOD OF MANUFACTURING THE SAME - According to an aspect of the present invention, an organic luminescence display includes a substrate, a first electrode on the substrate, a pixel defining layer on the first electrode and partially exposing the first electrode, an auxiliary layer on the pixel defining layer, an organic layer on the first electrode and an edge of the auxiliary layer, and a second electrode on the organic layer. | 11-13-2014 |
20140332764 | ORGANIC LIGHT EMITTING DISPLAY - An organic light emitting diode (“OLED”) display includes: a substrate including a plurality of pixel areas; a plurality of switching transistors and a plurality of driving transistors on the substrate; and an organic light emitting element respectively connected to a switching transistor and a driving transistor among the plurality of switching transistors and the plurality of driving transistors. The driving transistor includes a semiconductor which overlaps a plurality of adjacent pixel areas. | 11-13-2014 |
20140332765 | METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY PANEL - A method of manufacturing an organic light emitting display panel is disclosed. The method may include forming a thin film layer using an open mask. The open mask may include forming a half-etching portion disposed over at least a portion of a non-display area. The non-display area may include a portion in which a first line crosses a second line. In a final product, a distance between a substrate and a lower surface of the half-etching portion may be longer than the distance between the substrate and a lower surface of a non-opening portion. Because of the half-etching portion, lines disposed in the non-display area may be prevented from contacting the open mask. | 11-13-2014 |
20140332766 | MAGNETO RESISTIVE ELEMENT, DIGITIZER SENSING PANEL INCLUDING THE SAME, DISPLAY DEVICE INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE SAME - Provided are a magneto resistive element and a method of manufacturing the same, and in particular, a magneto resistive element and a method of manufacturing the same that may be applied to a digitizer sensing panel. The magneto resistive element includes a substrate, a first electrode disposed on the substrate, a first hole transport layer disposed on the first electrode, a first magneto resistive layer disposed on the first hole transport layer, wherein the first magneto resistive layer comprises an organic material, a first transport layer disposed on the first magneto resistive layer, a second magneto resistive layer disposed on the first transport layer, wherein the second magneto resistive layer comprises an organic material, a first electron transport layer to disposed on the second magneto resistive layer, and a second electrode disposed on the first electron transport layer. | 11-13-2014 |
20140332767 | THIN FILM TRANSISTOR AND ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME - A thin film transistor is disclosed. The thin film transistor may include a semiconductor formed on a substrate, a gate insulating layer formed on the semiconductor, a gate electrode formed on the gate insulating layer and including a plurality of branches overlapping the semiconductor, an interlayer insulating layer at least partially overlapping the gate electrode, and a repair pattern formed on the interlayer insulating layer. The repair pattern may be formed overlapping the branches. The repair pattern may also be formed in a closed loop. | 11-13-2014 |
20140332768 | ORGANIC LIGHT EMITTING DIODE DISPLAY - A display includes a switching transistor connected to a scan line and data line, a driving transistor connected to the switching transistor, a storage capacitor between a voltage line and the driving transistor, and an organic light emitting diode connected to the driving transistor. The data line and voltage line are at different layers, and the data line and a gate electrode of the driving transistor are at different layers. Also, a plate of the storage capacitor and the gate electrode of the driving transistor are of a same layer, and semiconductor layers of the switching and driving transistors are of a same layer. | 11-13-2014 |
20140332769 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display with electrostatic discharges protection is disclosed. One inventive aspect includes a substrate including a pixel area and a peripheral area, an organic light emitting diode (OLED) formed at the pixel area, a driving circuit formed at the peripheral area, a shield layer formed with the same layer as the first electrode, and a first shield voltage line connected to the shield layer. The first shield voltage line transmits a shield voltage to the shield layer. The shield layer includes a plurality of sub-shield layers and covers the driving circuit to prevent an external electrostatic discharge. | 11-13-2014 |
20140332770 | CHIP ON FILM INCLUDING DIFFERENT WIRING PATTERN, FLEXIBLE DISPLAY DEVICE INCLUDING THE SAME, AND METHOD OF MANUFACTURING FLEXIBLE DISPLAY DEVICE - A chip on film for a flexible display device is disclosed. In one aspect, the chip on film includes a base film, a semiconductor chip provided to the base film, and a wire part provided to the base film and electrically connected to the semiconductor chip. The wire part includes a first region and a second region connected to the first region, and a first interval between the wires disposed at an outermost of the first region is different from a second interval between the wires disposed at an outermost area of the second region. | 11-13-2014 |
20140332771 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display is provided. The OLED displayer includes a capacitor electrode disposed on a substrate. An insulation layer is disposed on the capacitor electrode. A first active layer is disposed on the insulation layer. The first active layer includes a first doped area, a second doped area, and a first channel area disposed between the first doped area and the second doped area. A first gate electrode is disposed on the first channel area of the first active layer. An organic light emitting diode is disposed on the substrate. The organic light emitting diode is electrically coupled to the second doped area of the first active layer. A driving power source line is disposed on the substrate and electrically coupled to the first doped area of the first active layer and to the capacitor. | 11-13-2014 |
20140332772 | STYRL-BASED COMPOUND AND ORGANIC LIGHT EMITTING DIODE COMPRISING THE SAME - A styryl-based compound represented by Formula 1 below is disclosed. An organic light-emitting diode including the styryl-based compound is also disclosed. | 11-13-2014 |
20140332773 | LIGHT-EXTRACTION ELEMENT AND LIGHT-EMITTING DEVICE - The invention provides a light-extraction element, comprising a light-diffusion layer which including a resin; and a plurality of raspberry-like particles uniformly dispersed in the resin, wherein the raspberry-like particles feature a surface with a plurality of round bumps, and the plurality of raspberry-like particles are composed of a material having a single reflective index. The invention also provides a light-emitting device, including a pair of electrodes composed of an anode and a cathode; an organic light-emitting unit disposed between the pair of electrodes, wherein the organic light-emitting unit includes a light-emitting layer; and a light-extraction element which is disposed on a light-emitting surface of the light-emitting device. | 11-13-2014 |
20140332774 | DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - For a display device and manufacturing method for the display device, the method comprises steps of: disposing a plurality of recesses on the cover body; coating glass frit in the recesses; sintering the glass frit for forming sintered blocks; disposing display auxiliary members on the cover body having the sintered blocks formed thereon; and irradiating the sintered blocks by laser to combine the cover and the display substrate with the sintered blocks. | 11-13-2014 |
20140332775 | PIXEL CIRCUIT AND DISPLAY APPARATUS USING THE SAME - A pixel circuit includes one organic light emitting diode, five first transistors and two capacitors. The first and third transistors have terminals coupled to a first voltage. The second transistor has two terminals coupled to another terminal of the first transistor and a second voltage through the organic light emitting diode, respectively. The first capacitor has a terminal coupled to one terminal of the second transistor. The third transistor has a terminal coupled to one terminal of the first capacitor. The second capacitor has two terminals coupled to a control terminal of the second transistor and another terminal of the first capacitor, respectively. The fourth transistor has two terminals coupled to the terminal of the second transistor and a control terminal of the second transistor, respectively. The fifth transistor has a terminal coupled to the another terminal of the second transistor. A display apparatus is also provided. | 11-13-2014 |
20140332776 | MANUFACTURING METHOD OF METAL WIRE AND THIN TRANSISTOR ARRAY PANEL, AND ORGANIC LIGHT EMITTING DIODE DISPLAY - A manufacturing method of a wire including: forming a lower layer on a substrate; forming a middle layer on the lower layer; forming an upper layer on the middle layer; forming, exposing, and developing a photoresist layer on the upper layer to form a photoresist pattern; and etching the upper layer, the middle layer, and the lower layer by using the photoresist pattern as a mask to form a wire such that the upper layer covers an end of the middle layer. | 11-13-2014 |
20140332777 | PHOSPHORESCENT HOST MATERIAL AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Provided are a phosphorescent host material and an organic light-emitting device including the same. An emission material layer according to the inventive concept includes the phosphorescent host material and a phosphorescent dopant material. The phosphorescent host material has higher triplet energy than the phosphorescent dopant material. Thus, the light-emitting efficiency of the organic light-emitting device may be improved. | 11-13-2014 |
20140332778 | DISPLAY DEVICE - A display device includes a thin film transistor substrate including transistors each controlling the amount of light emission of each pixel and a counter substrate arranged to be placed over the thin film transistor substrate. The thin film transistor substrate includes an insulating substrate as a base material, a circuit layer including the transistors formed on the insulating substrate, an organic layer interposed between two electrodes, and an organic insulating layer arranged between the circuit layer and the organic layer and formed of an organic insulating material formed thicker in each pixel region than in an inter-pixel region. | 11-13-2014 |
20140332779 | OLED DEVICE AND MANUFACTURING METHOD THEREOF AND DISPLAY PANEL APPLYING THE SAME - An organic light emitting device relates to a WOLED based Top emission organic light emitting device and the manufacturing method thereof and a display panel which applies the organic emitting device. In the organic light emitting device, a plurality of emitting units are formed on a substrate and isolated respectively; a plurality of independent optical adjustment layers are formed between the reflective electrode and the transparent positive electrode of each emitting unit respectively; a color filter layer is formed above the emitting units. This embodiment applies the structure of WOLED into the top emission device, the mask having a maximum aperture ratio in the evaporation process, consequently, it improved yield rate of display panel manufacturing, reduced the costing of the evaporation process and improved the resolution of the display panel. | 11-13-2014 |
20140332780 | OLED LIGHTING DEVICE WITH SHORT TOLERANT STRUCTURE - An OLED panel having a plurality of OLED circuit elements is provided. Each OLED circuit element may include a fuse or other component that can be ablated or otherwise opened to render the component essentially non-conductive. Each OLED circuit element may comprise a pixel that may include a first electrode, a second electrode, and an organic electroluminescent (EL) material disposed between the first and the second electrodes. Each of the OLED circuit elements may not be electrically connected in series with any other of the OLED circuit elements. | 11-13-2014 |
20140332781 | DISPLAY DEVICE - A display device comprising including a plurality of pixels arranged in the shape of a matrix above a substrate, and a plurality of thin film transistors arranged corresponding to each of the plurality of pixel having an organic EL layer, the device comprising; a planarized film covering the thin film transistor and a wire connected with the thin film transistor; a reflecting layer formed above the planarized film; a light path length expanded layer covering the reflecting layer; and a pixel transparent electrode formed above the light path length expanded layer. | 11-13-2014 |
20140332782 | ADHESIVE FILM - An adhesive film, a method for preparing an adhesive film, and an organic electronic device are provided. According to the adhesive film in exemplary embodiments of the present invention, fluidity of an adhesive can be controlled in the case of applying the adhesive between objects to be subsequently adhered to each other and then thermal-compressing by including an adhesive layer with cured side faces contacting with the outside. The adhesive film is used, for example for assembling a panel and the like, and thereby a defect rate at the time of assembling a panel and the like can be reduced and excellent work characteristics can be provided. In addition, before being applied to a panel or the like, a moisture absorbent included inside an adhesive layer of an adhesive film can be protected from external moisture or the like, thereby being easily stored, and also when it is applied to a product, reliability of life span, and the like can be secured. | 11-13-2014 |
20140332783 | Phenyl and Fluorenyl Substituted Phenyl-Pyrazole Complexes of lr - The invention provides emissive materials and organic light emitting devices using the emissive materials in an emissive layer disposed between and electrically connected to an anode and a cathode. The emissive materials include compounds with the following structure: | 11-13-2014 |
20140332784 | ORGANIC LIGHT EMITTING DEVICE, DISPLAY UNIT, AND DEVICE COMPRISING A DISPLAY UNIT - An organic light emitting device includes, in order an anode, an organic layer comprising a light-emitting layer, and a cathode. The anode is a laminated structure comprising in order: a first anode layer comprising a metal compound or a conductive oxide; a second anode layer that is a reflective layer; and a third anode layer comprising a metal compound or a conductive oxide. Light generated in the light-emitting layer is extracted through the cathode. | 11-13-2014 |
20140332785 | METHOD OF PREPARING ORGANIC LIGHT-EMITTING DEVICE, SUBSTRATE FOR TRANSITING INORGANIC LAYER, AND ORGANIC LIGHT-EMITTING DEVICE - A method of preparing an organic light-emitting device having excellent sealing characteristics against external environment and flexibility. | 11-13-2014 |
20140332786 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND CIRCULARLY POLARIZING PLATE - The objective of the present invention is to provide: a circularly polarizing plate which comprises a λ/4 retardation film and has excellent visibility, excellent durability (resistance to image unevenness) and excellent resistance to panel deterioration (flatness) after storage in a high-temperature high-humidity environment for a long period of time; and an organic electroluminescent display device which uses the circularly polarizing plate. This organic electroluminescent display device comprises, from the viewing side, a protective film, a polarizer, a λ/4 retardation film and an organic electroluminescent element in this order, and is characterized in that at least one surface of the λ/4 retardation film has a layer A which has a storage modulus of from 100 MPa to 500 MPa (inclusive). | 11-13-2014 |
20140332787 | COMPOUND FOR OPTOELECTRONIC DEVICE, ORGANIC LIGHT-EMITTING DIODE INCLUDING SAME, AND DISPLAY DEVICE INCLUDING ORGANIC LIGHT-EMITTING DIODE - Disclosed are a compound for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode. The compound for an organic optoelectronic device represented by a combination of the following Chemical Formula 1 and Chemical Formula 2 provides an organic light emitting diode having life-span characteristics due to excellent electrochemical and thermal stability, and high luminous efficiency at a low driving voltage. | 11-13-2014 |
20140332788 | POLYMERIC ELECTROLUMINESCENT DEVICE AND METHOD FOR PREPARING SAME - The present invention relates to a polymeric electroluminescent device and a method for preparing the same. The device comprises a conductive anode substrate, a hole injecting layer, a hole transportation layer, an electron barrier layer, a light-emitting layer, an electron transportation layer, an electron injecting layer and a cathode laminated in succession, and the material for the electron barrier layer is one selected from lithium fluoride, lithium carbonate, lithium oxide and lithium chloride. By preparing lithium compound as an inorganic electron barrier layer, the polymeric electroluminescent device is made of cheap materials which are easily obtainable, and most importantly has a low work function of approximately 2.0 eV, which can form a transition potential barrier of approximately 1.0 eV with the light-emitting layer and can limit the recombination of electrons and holes as far as possible, thereby increasing the recombination possibility of excitons and in turn improving the light-emitting efficiency of the polymeric electroluminescent device. | 11-13-2014 |
20140332789 | Organic Electronic Device - The present invention relates to an organic electronic device, comprising a first electrode, a second electrode, and a substantially organic layer comprising a compound according to formula (I) between the first and the second electrode: | 11-13-2014 |
20140332790 | Display - Display comprising at least one organic light emitting diode, wherein the at least one organic light emitting diode comprises an anode, a cathode, a light emitting layer between the anode and the cathode, and at least one layer comprising a compound according to formula (I) between the cathode and the light emitting layer: | 11-13-2014 |
20140332791 | ORGANIC ELECTRONIC MATERIAL, INK COMPOSITION, AND ORGANIC ELECTRONIC ELEMENT - Provided is an organic electronic material which is excellent in storage stability in the case as an ink composition, and able to prepare, at a high yield, an organic electronic element capable of reducing the driving voltage and of being driven stably for a long period of time, and an ink composition including the organic electronic material. The organic electronic material is characterized in that it contains at least an ionic compound represented by the following general formula (1), and a compound including a charge transporting unit, and the ink composition including the material. | 11-13-2014 |
20140332792 | ORGANIC ELECTROLUMINESCENT ELEMENT MATERIAL AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - Provided is an organic electroluminescent device (organic EL device) with improved luminous efficiency, sufficiently ensured driving stability, and a simple construction. The organic electroluminescent device includes an anode, an organic layer, and a cathode laminated on a substrate, in which at least one organic layer selected from the group consisting of a light-emitting layer, a hole-transporting layer, an electron-transporting layer, an electron-blocking layer, and a hole-blocking layer contains a carborane compound that has at least one carborane ring with a silyl group on the carbon thereof. | 11-13-2014 |
20140332793 | COMPOUND FOR ORGANIC ELECTRIC ELEMENT, ORGANIC ELECTRIC ELEMENT COMPRISING THE SAME AND ELECTRONIC DEVICE THEREOF - A compound represented by Formula 1. An organic electric element includes a first electrode, a second electrode, and an organic material layer between the first electrode and the second electrode. The organic material layer includes the compound. When the organic electric element includes the compound in an organic material layer, luminous efficiency, stability, and life span can be improved. | 11-13-2014 |
20140332794 | Organic Light Emitting Device and Method of Producing - The invention relates to an organic light emitting device, in a layered structure, comprising a substrate, a bottom electrode, a top electrode, wherein the bottom electrode is closer to the substrate than the top electrode, an electrically active region, the electrically active region comprising one or more organic layers and being provided between and in electrical contact with the bottom electrode and the top electrode, a light emitting region provided in the electrically active region, and a roughening layer, the roughening layer being provided as non-closed layer in the electrically active region and providing an electrode roughness to the top electrode by roughening the top electrode on at least one an inner side facing the electrically active region and an outer side of the top electrode facing away from the electrically active region. Furthermore, a further organic light emitting device, and a method of producing an organic light emitting device are provided. | 11-13-2014 |
20140332795 | TRANSPARENT ANODE FOR AN OLED - A transparent electrode for an organic light-emitting diode including, on a transparent support made of mineral glass, n individual stacks of thin layers, each individual stack successively including, starting from the glass support,
| 11-13-2014 |
20140332796 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD FOR MANUFACTURE THEREOF - An organic electroluminescence device ( | 11-13-2014 |
20140332797 | ELECTRO LUMINESCENT DISPLAY PANEL AND ELECTRONIC APPARATUS - An EL display panel having a pixel structure corresponding to an active-matrix drive system, the EL display panel including a current supply line configured to be connected to a plurality of pixel circuits in common, line width of an intersection part of the current supply line with a signal line being smaller than line width of the other part of the current supply line. | 11-13-2014 |
20140332798 | Materials and Methods for OLED Microcavities and Buffer Layers - The present teachings provide methods for forming organic layers for an organic light-emitting device (OLED) using an inkjet printing or thermal printing process. The method can further use one or more additional processes, such as vacuum thermal evaporation (VTE), to create an OLED stack. OLED stack structures are also provided wherein at least one of the charge injection or charge transport layers is formed by an inkjet printing or thermal printing method at a high deposition rate. The structure of the organic layer can be amorphous, crystalline, porous, dense, smooth, rough, or a combination thereof, depending on deposition parameters and post-treatment conditions. An OLED microcavity is also provided and can be formed by one of more of the methods. | 11-13-2014 |
20140339508 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device includes a plurality of organic light-emitting diodes which shares a cathode, a plurality of switching elements which is connected to the cathode, a plurality of capacitors, each comprising a first electrode which is connected to each of the switching elements, respectively, and a second electrode and a power bus line which is connected to the second electrode, wherein each of the plurality of switching elements controls a connection between the cathode and the first electrode. | 11-20-2014 |
20140339509 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - Provided is an organic light-emitting display apparatus that includes an organic light-emitting device that includes a plurality of sub-pixels that emit different light colors; an encapsulating film formed on the organic light-emitting device; a lens layer that is formed on the encapsulating film and includes convex surfaces that are disposed on regions corresponding to the sub-pixels and protrude in a light emission direction and a direction opposite to the light emission direction; and an anti-reflection film that is formed on the lens layer to prevent reflection of external light and includes color filters on regions corresponding to each of the sub-pixels. | 11-20-2014 |
20140339510 | DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A display apparatus and a method of manufacturing the same. The display apparatus includes a substrate, an encapsulation substrate disposed to face the substrate, a display unit formed between the substrate and the encapsulation substrate and displaying an image, and a sealing unit disposed between the substrate and the encapsulation substrate to bond the substrate and the encapsulation substrate to each other and containing a filler containing Cr, Cu, and Mn and a glass frit mixed with the filler. | 11-20-2014 |
20140339511 | INORGANIC OXIDE THIN FILM AND METHOD FOR PREPARING THE SAME - A quantum-dots containing multi-component inorganic oxide thin film is provided to include an amorphous inorganic oxide bulk region and a plurality of crystalline inorganic oxide regions, wherein the crystalline inorganic oxide regions are discontinuously formed to be surrounded by the amorphous inorganic oxide of the bulk region. | 11-20-2014 |
20140339512 | DEPOSITION APPARATUS, METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY APPARATUS, AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS - A deposition apparatus includes a first transporting unit configured to transport moving units, to which substrates may be detachably affixed, in a first direction; and a second transporting unit configured to transport empty moving units, from which the respective substrates have been detached, in a return direction opposite to the first direction, wherein the moving units are transported cyclically in reusing fashion. | 11-20-2014 |
20140339513 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - In an aspect, an organic light emitting display device including a first substrate, a first electrode, an organic light emitting display structure, a second electrode and a second substrate is provided. | 11-20-2014 |
20140339514 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device includes a substrate. A buffer layer is formed on the substrate. A thin film transistor is disposed on the buffer layer. The thin film transistor includes an active layer, a gate electrode, a source electrode, a drain electrode, a first insulating layer, and a second insulating layer. An uneven pattern is formed by patterning the buffer layer. A first pixel electrode is disposed in an opening formed in the second insulating layer. The first pixel electrode includes a transparent conductive oxide. A second pixel electrode is disposed on the first pixel electrode. The second pixel electrode includes a semi-transmissive layer. An organic lighting-emitting layer is formed on the second pixel electrode. An opposite electrode is formed on the organic lighting-emitting layer. | 11-20-2014 |
20140339515 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate. A capacitor is formed on the substrate. The capacitor includes a first lower electrode, a second lower electrode, an upper electrode, a first insulating layer disposed between the first lower electrode and the second lower electrode, and a second insulting layer disposed between the second lower electrode and the upper electrode. A thin film transistor (TFT) includes a gate electrode disposed on a same layer as the first lower electrode, an active layer, and source and drain electrodes disposed on a same layer as the upper electrode. A wiring includes the same material layer as the second lower electrode. | 11-20-2014 |
20140339516 | Structure and Method for Packaging Organic Optoelectronic Device - A structure and a method for packaging an organic optoelectronic device are provided. In this method, a first substrate is provided, and a first barrier layer is disposed on the first substrate. An organic optoelectronic device is formed on the first barrier layer, and a first recess is also formed on the first barrier layer, in which the first recess forms a closed loop to surround the organic optoelectronic device. A sealant fills the first recess, and a second barrier layer is disposed on the organic optoelectronic device, in which the sealant attaches the second barrier layer to the first barrier layer to surround the organic optoelectronic device. | 11-20-2014 |
20140339517 | ORGANIC LIGHT-EMITTING DIODE DISPLAY, AN ELECTRONIC DEVICE INCLUDING THE SAME, AND METHOD OF MANUFACTURING SAID ORGANIC LIGHT-EMITTING DIODE DISPLAY - Provided is an organic light-emitting diode (OLED) display including: a first plastic layer; a first barrier layer formed on the first plastic layer; a first intermediate layer formed on the first barrier layer; a second plastic layer formed on the intermediate layer; an OLED layer formed on the second plastic layer; and a thin-film encapsulation layer encapsulating the OLED layer. | 11-20-2014 |
20140339518 | PHOSPHORESCENT ORGANIC LIGHT EMITTING DEVICES COMBINED WITH HOLE TRANSPORT MATERIAL HAVING HIGH OPERATING STABILITY - An improved OLED includes an emitter layer disposed between a cathode and an anode where the emitter layer includes a host material and a phosphorescent emitter material. A first hole transport layer is disposed between the emitter layer and the anode and a second hole transport layer is disposed between the first hole transport layer and the anode. The first hole transport layer includes a first hole transport material that is a carbazole type compound and the second hole transport layer includes a second hole transport material that is different from the first hole transport material. The phosphorescent emitter material includes a phosphorescent organometallic compound that is a heteroleptic compound represented by the formula L | 11-20-2014 |
20140339519 | ORGANIC ELECTROLUMINESCENT ELEMENT, COMPOUND FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND LIGHT-EMITTING DEVICE, DISPLAY DEVICE, AND ILLUMINATION DEVICE USING SAID ELEMENT - An organic electroluminescent element using a compound represented by the following general formula (I) emits dark blue light and has a high effect of inhibition of voltage during high-luminance driving: | 11-20-2014 |
20140339520 | DISPLAY PANEL AND DISPLAY APPARATUS HAVING THE SAME - The embodiments of the present invention provide a display panel and a display apparatus having the display panel. The display panel includes: an array substrate, a printed circuit board, a chip on film. One end of the chip on film is attached to a connection region of the array substrate, and the other end of the chip on film is attached to the printed circuit board, and the surface of the chip on film disposed with a chip faces the array substrate, and the connection region is disposed at a side of the array substrate away from a light-emitting surface. | 11-20-2014 |
20140339521 | LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE LIGHT EMITTING DEVICE, AND DISPLAY UNIT - Provided is a light emitting device having a stacked structure. The stacked structure includes, in recited order: a first electrode layer; an organic layer including a light emitting layer; a second electrode layer; a conductive sealing layer; and a third electrode layer. | 11-20-2014 |
20140339522 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - To increase emission efficiency of a fluorescent light-emitting element by efficiently utilizing a triplet exciton generated in a light-emitting layer. The light-emitting layer of the light-emitting element includes at least a host material and a guest material. The triplet exciton generated from the host material in the light-emitting layer is changed to a singlet exciton by triplet-triplet annihilation (TTA). The guest material (fluorescent dopant) is made to emit light by energy transfer from the singlet exciton. Thus, the emission efficiency of the light-emitting element is improved. | 11-20-2014 |
20140339523 | ELECTRO-OPTIC DEVICE, METHOD OF MANUFACTURING ELECTRO-OPTIC DEVICE, AND ELECTRONIC APPARATUS - An electro-optic device includes: a first substrate that includes a first surface; an optical element that is disposed in a first region on the first surface; a casing that is disposed to overlap with a part of the optical element along an outer periphery of the first region on the first surface and includes first and second end portions; a first resin layer that is disposed on an inside of the second end portion of the casing on the first surface and is installed to overlap with at least a part of the optical element; a second resin layer that is disposed on the first resin layer; and a second substrate that faces the first surface and is disposed on the second resin layer. | 11-20-2014 |
20140339524 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, DISPLAY DEVICE, LIGHTING DEVICE, AND ELECTRONIC DEVICE - Provided is a light-emitting element which has an anode, a light-emitting layer over the anode, an electron-transport layer over and in contact with the light-emitting layer, an electron-injection layer over and in contact with the electron-transport layer, and a cathode over and in contact with the electron-injection layer. The light-emitting layer has an electron-transport property, and the electron-transport layer includes an anthracene derivative. The light-emitting layer further includes a phosphorescent substance. This device structure allows the formation of a highly efficient blue-emissive light-emitting element even though the phosphorescent substance has higher triplet energy than the anthracene derivative which directly contacts with the light-emitting layer. | 11-20-2014 |
20140339525 | OLED WITH COMPACT CONTACT DESIGN AND SELF-ALIGNED INSULATORS - OLEDs and techniques for fabricating OLEDs are provided, in which the OLED has a shortest lateral current path through an active region that is longer than the shortest lateral electric field line within the active region. Such configurations prevent “hot spots” in the OLED panel, leading to a more uniform emission by the panel. | 11-20-2014 |
20140339526 | ORGANOMETALLIC COMPLEX, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC APPLIANCE, AND LIGHTING DEVICE - A light-emitting element including a phosphorescent organometallic complex is provided. The organometallic complex emits phosphorescence in the yellow green to orange wavelength range and has high emission efficiency and high reliability. Thus, the organometallic complex that exhibits phosphorescence is provided. The organometallic complex, in which nitrogen at the 3-position of a pyrimidine ring is coordinated to a metal, a carbazole skeleton is bonded to the 4-position of the pyrimidine ring, and the carbazole skeleton is bonded to the metal, is used as an emission center. The metal is preferably a Group 9 element or a Group 10 element, more preferably iridium. | 11-20-2014 |
20140339527 | ORGANIC LIGHT-EMITTING DIODE DISPLAY, AN ELECTRONIC DEVICE INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT-EMITTING DIODE DISPLAY - Provided is an organic light-emitting diode (OLED) display including: first and second plastic layers; a first barrier layer and a first intermediate layer each positioned between the first and second plastic layers; and an OLED layer formed on the second plastic layer. The first barrier layer comprises silicon nitride. | 11-20-2014 |
20140339528 | LIGHT-EMITTING DEVICE AND DISPLAY DEVICE - Although an organic resin substrate is highly effective at reducing the weight and improving the shock resistance of a display device, it is required to improve the moisture resistance of the organic resin substrate for the sake of maintaining the reliability of an EL element. Hard carbon films are formed to cover a surface of the organic resin substrate and outer surfaces of a sealing member. Typically, DLC (Diamond like Carbon) films are used as the carbon films. The DLC films have a construction where carbon atoms are bonded into an SP | 11-20-2014 |
20140339529 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescent element includes a hole injection layer (HI), a first hole transport layer (HT1), a second hole transport layer (HT2), and a light-emitting layer containing a host compound (H) and a phosphorescence emitting dopant compound (D), which are laminated in this order, between and an anode and a cathode. The phosphorescence emitting dopant compound has a partial structure represented by Formula (1): | 11-20-2014 |
20140339530 | LIGHT APPARATUS FOR GENERATING LIGHT - The invention relates to a light apparatus ( | 11-20-2014 |
20140339531 | PIXEL CIRCUIT AND DISPLAY DEVICE, AND A METHOD OF MANUFACTURING PIXEL CIRCUIT - The display device including a pixel circuit has a first line, a transistor, a light emitting element, and a second line. The transistor is located between the second line and an electrode of the light emitting element. Either the first line or the second line is wired in a region that overlaps a light emitting region of the light emitting element in a lamination direction of layers. The second line intersects the first line outside of the light emitting region and overlaps a non-light emitting region of the light emitting element. | 11-20-2014 |
20140339532 | POLYARYLAMINE KETONES - Polymers comprising a backbone comprising at least one arylamine repeat moiety and at least one linking moiety, wherein the linking moiety does not comprise an aryl moiety. Ink formulations and organic electronic devices such as OLEDs or OPVs can be formed from the polymers and doped polymers. The polymers can be used in a hole injection layer, hole transport layer, a hole extraction layer, or as a host material in an emissive layer. Improved stability can be achieved in organic electronic devices such as OLEDs and OPVs. | 11-20-2014 |
20140339533 | Organometallic Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - An object is to provide a novel organometallic complex that has a broader emission spectrum in the wavelength range of green to blue. Other objects are to provide a light-emitting element using the organometallic complex, and a light-emitting device, an electronic device, and a lighting device each using the light-emitting element. Provided is an organometallic complex represented by a general formula (G1). Represented by the general formula (G1) is a novel organometallic complex that exhibits a broad emission spectrum in the wavelength range of green to blue. Further provided are a light-emitting element using the organometallic complex, and a light-emitting device, an electronic device, and a lighting device each using the light-emitting element. | 11-20-2014 |
20140339534 | DISPLAY DEVICE, METHOD FOR DRIVING THE SAME, AND ELECTRONIC APPARATUS - A display device including a pixel array unit having a matrix of pixels each configured such that an anode electrode of an organic electroluminescent element is connected to a source electrode of a drive transistor, a gate electrode of the drive transistor is connected to a source or drain electrode of a writing transistor, and a storage capacitor is connected between the gate and source electrodes of the drive transistor, scanning lines and power supply lines for individual pixel rows, and signal lines for individual pixel columns. A video signal reference potential is supplied to the signal lines for a period during which a scanning signal is supplied to the scanning lines during driving of pixels in a preceding row. During threshold correction for the drive transistor in a current pixel, the video signal reference potential and a potential of the cathode electrode of the organic electroluminescent element are equal. | 11-20-2014 |
20140339535 | DISPLAY UNIT AND LIGHT EMITTING DEVICE - A display unit is provided including a first insulating film, a plurality of anode electrodes disposed on the first insulating film, a second insulating film disposed on the anode electrodes, a plurality of organic layers disposed on the anode electrodes, and a cathode electrode disposed on the organic layer. The cathode electrode is connected to an extraction electrode via a first wiring provided in a peripheral area of the display unit. Also, the organic layers are provided over two or more lines of the anode electrodes. | 11-20-2014 |
20140346444 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE ORGANIC LIGHT-EMITTING DISPLAY DEVICE - A display device includes a power line configured to transmit an electric current. The display device further includes a plurality of pixel electrode units associated with a single pixel of the display device and configured to share the electric current. The display device further includes a common electrode overlapping the plurality of pixel electrode units. The display device further includes a plurality of light-emitting units associated with the single pixel of the display device and disposed between the common electrode and the plurality of pixel electrode units, wherein each light-emitting unit of the plurality of light-emitting units overlaps a pixel electrode unit of the plurality of pixel electrode units. | 11-27-2014 |
20140346445 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device and a method of manufacturing the same are disclosed. The organic light-emitting display device (OLED) may include a first substrate with an element region and an encapsulation region surrounding the element region, a second substrate facing the first substrate, an organic light-emitting element interposed between the first substrate and the second substrate and formed in the element region, and an encapsulant interposed between the first substrate and the second substrate and formed in the encapsulation region. The encapsulant may include both a first encapsulant and a second encapsulant. The second encapsulant formed within the first encapsulant and is adjacent to at least one of the first substrate and the second substrate. | 11-27-2014 |
20140346446 | IRIDIUM COMPLEX AND ORGANIC LIGHT EMITTING DIODE USING THE SAME - An iridium complex having at least two 2-(thiophen-2-yl)quinolone ligands is provided. The iridium complex of the present invention may be configured as host material or dopant in organic light emitting diode devices. The optoelectronic element of the present invention is provided with advantages such as high efficiency, high brightness, high color saturation and good thermal and chemical stability so as to improve the performance of organic light emitting diode devices. | 11-27-2014 |
20140346447 | LIGHT EMITTING DISPLAY AND METHOD FOR MANUFACTURING THE SAME - A light emitting device includes a pixel defining layer including a plurality of pixel defining layer elements, on a substrate; a first electrode in a space defined by the substrate and the pixel defining layer; a light emitting layer in a space defined by the first electrode and the pixel defining layer; and a second electrode on the light emitting layer and the pixel defining layer. The second electrode includes a plurality of layers, and an insulating layer between the plurality of layers, overlapping the light emitting layer, and exposing a layer among the plurality of layers in an area corresponding to the pixel defining layer. | 11-27-2014 |
20140346448 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus and a method of manufacturing the same. The organic light-emitting display apparatus includes an organic light-emitting device in which a pixel electrode, an intermediate layer that includes an emissive layer, and a cathode electrode are sequentially stacked. The cathode contact unit includes a cathode bus line that is formed on the same layer as the pixel electrode and contacts the cathode electrode, a first auxiliary electrode that is formed on the cathode bus line along an edge area of the cathode bus line, and a second auxiliary electrode that contacts the first auxiliary electrode. | 11-27-2014 |
20140346449 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode display includes a substrate, a planarization layer disposed on the substrate, a first electrode disposed on the planarization layer, an emission layer disposed on the first electrode, and a second electrode disposed on the emission layer, wherein an uneven pattern is formed on a top surface of the planarization layer, the uneven pattern comprises a strip line having a plurality of thicknesses and widths, and a thickness of the strip line becomes smaller as a distance from a center portion of the first electrode becomes larger. | 11-27-2014 |
20140346450 | METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DIODE DISPLAY - A method of manufacturing an organic light-emitting diode display includes disposing a first electrode on a substrate on which a plurality of transistors is disposed, disposing a pixel definition layer on the substrate to cover a part of the first electrode, disposing a solvent layer on the first electrode, disposing an organic layer on the pixel definition layer and the solvent layer, removing the solvent layer and disposing a second electrode on the organic layer. | 11-27-2014 |
20140346451 | ADHESIVE FILM AND ORGANIC LIGHT EMITTING DISPLAY USING THE SAME - There is provided an adhesive film and an organic light emitting display using the same. The adhesive film includes a first protective layer, an adhesive layer and a second protective layer. The adhesive layer is formed on the first protective layer and has a pattern formed in one surface thereof, the pattern including one or more openings shaped and sized to accommodate particulate matter. The second protective layer is formed on the adhesive layer. | 11-27-2014 |
20140346452 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate; an active layer disposed on the substrate; a gate electrode disposed so as to be insulated from the active layer and to correspond to a part of the active layer; a source electrode including a first source electrode layer connected to the active layer, and a second source electrode layer connected to the first source electrode layer and is larger than the first source electrode layer; a drain electrode including a first drain electrode layer connected to the active layer, and a second drain electrode layer connected to the first drain electrode layer and is larger than the first drain electrode layer; a pixel electrode electrically connected to at least one of the source electrode or the drain electrode; and a color filter disposed between the substrate and the pixel electrode. | 11-27-2014 |
20140346453 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device includes a first electrode on a substrate, an auxiliary electrode on the substrate, the auxiliary electrode being spaced apart from the first electrode, a protrusion on the auxiliary electrode, a pixel defining layer overlapping end portions of the first electrode and of the auxiliary electrode, the pixel defining layer separating the first electrode from the auxiliary electrode, an organic layer on the first electrode, and a second electrode on the organic layer, the protrusion electrically connecting the second electrode to the auxiliary electrode. | 11-27-2014 |
20140346454 | ORGANIC LIGHT EMITTING DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting display includes a substrate, a metal pattern on the substrate, the metal pattern directly contacting the substrate, and a thin film transistor including an active layer spaced apart from the metal pattern on the substrate, a gate electrode on the active layer, and a source electrode and a drain electrode on the gate electrode. | 11-27-2014 |
20140346455 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes a substrate, an organic light-emitting portion, a sealing member, and first and second connecting members. The organic light-emitting portion includes a first electrode positioned on the substrate, an organic light-emitting layer formed on the first electrode, and a second electrode formed on the organic light-emitting layer. The sealing member includes a first conductive layer positioned on the organic light-emitting portion and electrically connected to the second electrode, a second conductive layer electrically connected to the first electrode, and an insulating layer interposed between the first and second conductive layers. The first connecting member is connected to the first conductive layer to supply a first power source, and the second connecting member is connected to the second conductive layer to supply a second power source. | 11-27-2014 |
20140346456 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting diode includes a substrate, a first electrode, a second electrode facing the first electrode, and an emission layer between the first electrode and the second electrode. The emission layer includes an anthracene-based compound represented by Formula 1, and an amine-based compound represented by Formula 20: | 11-27-2014 |
20140346457 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light-emitting display apparatus having superior light efficiency and ease of manufacture, as well as a method of manufacturing the same. The organic light-emitting display apparatus includes: a substrate; a pixel electrode disposed on a pixel region of the substrate; a first insulating layer that is interposed between the substrate and the pixel electrode and that has a first discontinuous region extending along at least a portion of an edge of the pixel electrode; an intermediate layer that is disposed on the pixel electrode and that includes an emission layer; and an opposite electrode that covers the intermediate layer and at least a portion of the first discontinuous region, so that a shortest distance to the substrate in at least a portion of the first discontinuous region is shorter than a shortest distance between the pixel electrode and the substrate. | 11-27-2014 |
20140346458 | THIN-FILM TRANSISTOR ARRAY SUBSTRATE, ORGANIC LIGHT-EMITTING DISPLAY DEVICE INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE THIN-FILM TRANSISTOR ARRAY SUBSTRATE - A thin-film transistor (“TFT”) array substrate includes: a TFT including an active layer, a gate electrode, a source electrode, a drain electrode, a first insulating layer disposed between the active layer and the gate electrode, and a second insulating layer disposed between the gate electrode, and the source and drain electrode; a pixel electrode including a transparent conductive oxide and disposed in an opening defined in the second insulating layer; a capacitor including a first electrode disposed on a layer on which the active layer is disposed, and a second electrode disposed on a layer on which the gate electrode is disposed; a pad electrode disposed on the second insulating layer and including a material substantially the same as a material in the source electrode and the drain electrode; a first protective layer disposed on the pad electrode; and a second protective layer disposed on the first protective layer. | 11-27-2014 |
20140346459 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display apparatus includes pixel electrodes formed on a substrate for respective pixels. Auxiliary electrodes are formed on at least parts of the periphery of the pixel electrodes. A first organic function layer is formed on the substrate and covers the pixel electrodes and the auxiliary electrodes. An emissive layer is formed on the first organic function layer for the respective pixels. A second organic function layer is formed on the substrate and covers the emissive layer. A cathode electrode is formed on the entire substrate and faces the pixel electrodes and covers the second organic function layer. Secondary cathode electrodes are formed, on at least parts of the auxiliary electrodes, in contact with the cathode electrode through a contact hole. | 11-27-2014 |
20140346460 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode display device is disclosed. The device includes, for example, a thin film transistor with an active layer on a substrate, a gate electrode, a source electrode, and a drain electrode, a pixel electrode formed on the same layer as the gate electrode, an electrode pattern partially exposing the pixel electrode and formed on the pixel electrode, a pixel electrode contact formed between the electrode pattern and the drain electrode and electrically connected to the drain electrode, a pixel defining film exposing the pixel electrode and formed to cover the drain electrode and the source electrode, an intermediate layer formed on the exposed pixel electrode and comprising an emissive layer, and an opposite electrode formed opposite the pixel electrode to at least partially cover the intermediate layer. A method of manufacturing the device is also disclosed. | 11-27-2014 |
20140346461 | THIN-FILM TRANSISTOR SUBSTRATE, METHOD OF MANUFACTURING SAME, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THIN-FILM TRANSISTOR SUBSTRATE - A thin film transistor (TFT) substrate, an organic light-emitting display apparatus including the TFT substrate, and a method of manufacturing the TFT substrate that enable simple manufacturing processes and a decrease in the interference between a capacitor and other interconnections are disclosed. The TFT substrate may include a substrate, a TFT arranged on the substrate, the TFT including an active layer, a gate electrode, a source electrode, and a drain electrode, a pixel electrode electrically connected to one of the source electrode and the drain electrode, and a capacitor including a lower capacitor electrode and an upper capacitor electrode, the lower capacitor electrode formed from the same material as the active layer and arranged on the same layer as the active layer, and the upper capacitor electrode formed from the same material as the pixel electrode. | 11-27-2014 |
20140346462 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode, comprising a substrate; a first electrode on the substrate; a second electrode disposed opposite to the first electrode; and an emission layer between the first electrode and the second electrode, the emission layer including an anthracene-based compound represented by Formula 1, below, and a condensed cyclic compound represented by Formula 20, below: | 11-27-2014 |
20140346463 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode including a substrate; a first electrode on the substrate; a second electrode disposed opposite to the first electrode; and an emission layer between the first electrode and the second electrode, the emission layer including an anthracene-based compound represented by Formula 1, below, and a condensed cyclic compound represented by Formula 20, below: | 11-27-2014 |
20140346464 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode including a substrate; a first electrode on the substrate; a second electrode facing the first electrode; and an emission layer between the first electrode and the second electrode, the emission layer including an anthracene-based compound represented by Formula 1, below, and a condensed ring compound represented by Formula 20, below: | 11-27-2014 |
20140346465 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus including a thin film transistor including a gate electrode, an active layer insulated from the gate electrode, a source electrode and a drain electrode insulated from the gate electrode and contacting the active layer, and an insulating layer disposed between the source and drain electrodes and the active layer. The organic light-emitting display apparatus further includes an organic light-emitting diode including a first electrode, a second electrode and an organic layer disposed between the first electrode and the second electrode. The organic light-emitting diode is electrically connected to the thin film transistor. The drain electrode overlaps with a ortion of the organic light-emitting diode. | 11-27-2014 |
20140346466 | ORGANIC PHOTOELECTRONIC DEVICE AND IMAGE SENSOR - An organic photoelectronic device includes a first electrode and a second electrode facing each other, and an active layer between the first electrode and the second electrode, the active layer including a compound represented by Chemical Formula 1 or Chemical Formula 2, and a compound represented by Chemical Formula 3. | 11-27-2014 |
20140346467 | DEPOSITION SUBSTRATE TRANSFERRING UNIT, ORGANIC LAYER DEPOSITION APPARATUS INCLUDING THE SAME, AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY DEVICE BY USING THE SAME - A deposition substrate transferring unit that can deposit a deposition material at an exact location on a substrate, includes an electrostatic chuck that has a first surface to which a substrate is attached; and a carrier having a surface that combines with a second surface of the electrostatic chuck to move the electrostatic chuck in a first direction. The carrier includes accommodation parts disposed in empty space within the carrier, and supplementary ribs respectively formed on surfaces of the accommodation parts. | 11-27-2014 |
20140346468 | ORGANIC LIGHT EMITTING DISPLAY DEVICE HAVING A CHANNEL IN PIXEL DEFINING LAYER - A display device with channels formed in the pixel defining layer is presented. The display device includes a substrate, a pixel defining layer disposed on the substrate to define pixel areas, and channels extending between different pixel areas to allow deposited material to move/flow from one area to another and achieve a substantially even distribution. The pixel area includes a first electrode, an emission layer on the first electrodes, and a second electrode on the emission layer. A method for making such display device is also presented. | 11-27-2014 |
20140346469 | OPTICAL FILMS FOR REDUCING COLOR SHIFT AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUSES EMPLOYING THE SAME - Optical films for reducing color shift, and organic light-emitting display apparatuses, employing the same include a first lens pattern layer including a plurality of first grooves, and a second lens pattern layer on the first lens pattern layer having the plurality of first grooves. The second lens pattern layer has a plurality of second grooves crossing the plurality of first grooves. The plurality of first and second grooves are each shaped in the form of a stripe. | 11-27-2014 |
20140346470 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light emitting display device. The device includes an organic electroluminescence element in which a pixel electrode, an intermediate layer including a light emitting layer, and a cathode electrode are successively stacked, a cathode contact including an upper electrode contacting the cathode electrode and a lower electrode disposed on the same layer as the pixel electrode to contact the upper electrode, and a line disposed on the same layer as the lower electrode. At least three cathode contacts are disposed in a direction crossing the line, and the line is disposed between the cathode contacts. | 11-27-2014 |
20140346471 | STRUCTURE AND METHOD FOR PACKAGING ORGANIC PHOTOELECTRIC DEVICE - A method for packaging an organic photoelectric device is disclosed. In the method, an inorganic substrate is provided, an organic layer is coated or pasted on the inorganic substrate to form a hybrid substrate. An organic photoelectric device is formed on the hybrid substrate, and the organic layer and the organic photoelectric device are patterned to define a package region. A permeation barrier layer is disposed on the package region to cover the organic photoelectric device. | 11-27-2014 |
20140346472 | METHOD FOR MANUFACTURING AN ORGANIC LIGHT EMITTING DISPLAY PANEL AND RELATED ORGANIC LIGHT EMITTING DISPLAY PANEL - A method for manufacturing an organic light emitting display panel is disclosed. The organic light emitting display panel includes a substrate. The method includes forming a plurality of bank arrays, each of which has a plurality of banks, utilizing a plurality of ink-jet heads, each of which has a plurality of nozzles arranged alternately, to move relative to the substrate along a moving direction perpendicular to a border of the substrate, and utilizing at least one of the plurality of nozzles to drop organic light emitting ink for forming at least one organic light emitting pixel in at least one bank. An oblique angle is formed between an arrangement direction of the plurality of banks and the border of the substrate. Each ink-jet head forms the oblique angle cooperatively with the border of the substrate. | 11-27-2014 |
20140346473 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS HAVING A FLEXIBLE SUBSTRATE - An organic light-emitting display apparatus includes a flexible substrate. The organic light-emitting display apparatus includes a first plastic layer. A first barrier layer is formed on the first plastic layer. A second plastic layer is formed on the first barrier layer. An organic light-emitting device layer is formed on the second plastic layer. A thin film encapsulating layer encapsulates the organic light-emitting device layer. The first barrier layer is patterned to correspond to an area where the organic light-emitting device layer is formed. | 11-27-2014 |
20140346474 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - Disclosed is an organic light emitting diode display including an organic light emitting display panel configured to display an image, and a lower passivation film attached to a bottom of the organic light emitting diode display panel. The lower passivation film includes a support film that is in contact with the organic light emitting diode display panel, and a stress adjustment layer formed beneath the support film and configured to reduce a bending stress to be induced in the organic light emitting display panel when the organic light emitting display panel and the lower passivation film are bent. | 11-27-2014 |
20140346475 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF REPAIRING THE SAME - Provided is an organic light-emitting display apparatus and a method of repairing the same. The organic light-emitting display apparatus includes: an emission device comprising a plurality of sub-emission devices; an emission pixel circuit configured to supply a driving current to the emission device; a dummy pixel circuit configured to supply the driving current to the emission device; and a repair line coupling the emission device to the dummy pixel circuit, wherein the emission device is configured to receive the driving current from the emission pixel circuit or the dummy pixel circuit. | 11-27-2014 |
20140346476 | OLED DISPLAY PANEL AND THE PACKAGING METHOD THEREOF, DISPLAY DEVICE - An OLED display panel comprises an OLED substrate, an encapsulation cover plate arranged opposite to the OLED substrate, and an adhesive film provided between the OLED substrate and the encapsulation cover plate, and further comprises a moistureproof material capable of absorbing moisture; the moistureproof material is provided in the adhesive film. The embodiments of the present invention eliminate the volume increase of the OLED display panel. A method for packaging the OLED display panel and a display device comprising the OLED display panel are also provided. | 11-27-2014 |
20140346477 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a first substrate, an organic light emitting diode array, a thin film encapsulation layer, a second substrate, a sealant member and a buffer layer. First substrate has a light emitting region and a non-light emitting region. OLED array is configured in light emitting region covered by encapsulation layer. Second substrate has a color filter array and is arranged opposite first substrate. Sealant member is disposed between first and second substrates. Buffer layer has a first light shielding layer disposed thereon, is arranged between first and second substrates, and is configured in light emitting region. Light shielding layer is arranged between buffer layer and encapsulation layer. The sum of the buffer layer's thickness and a gap distance from buffer layer to encapsulation layer has a range from 5 to 20 μm. Buffer layer has hardness smaller than that of the color filter array. | 11-27-2014 |
20140346478 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a first transistor on a substrate, a first electrode connected to the first transistor, and a pixel definition layer on the first electrode. The pixel definition layer has an opening exposing the first electrode. A spacer is formed at the opening. An organic emission layer is on the exposed first electrode, and a second electrode on the organic emission layer. | 11-27-2014 |
20140346479 | Organic Devices, Organic Electroluminescent Devices and Organic Solar Cells - An organic device, including an organic compound having charge-transporting ability (i.e., transporting holes and/or electrons) and/or including organic light emissive molecules capable of emitting at least one of fluorescent light or phosphorescent light, has a charge transfer complex-contained layer including a charge transfer complex formed upon contact of an organic hole-transporting compound and molybdenum trioxide via a manner of lamination or mixing thereof, so that the organic hole-transporting compound is in a state of radical cation (i.e., positively charged species) in the charge transfer complex-contained layer. | 11-27-2014 |
20140346480 | LIGHT EMITTING ELEMENT, DISPLAY APPARATUS, AND LIGHTING APPARATUS - A light emitting element includes a first electrode, a second electrode, and an organic layer in which a first luminescent layer and a second luminescent layer are provided from a first electrode side, the organic layer being provided between the first electrode and the second electrode, light from the organic layer being reflected on an interface of the luminescent layer and the first electrode, passing through the second electrode, and being emitted to outside, a first optical transparent layer, a second optical transparent layer, and a third optical transparent layer being provided, from a second luminescent layer side, on a side of the second luminescent layer, the side being opposite to the first luminescent layer. | 11-27-2014 |
20140346481 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - There is provided a light emitting device in which low power consumption can be realized even in the case of a large screen. The surface of a source signal line or a power supply line in a pixel portion is plated to reduce a resistance of a wiring. The source signal line in the pixel portion is manufactured by a step different from a source signal line in a driver circuit portion. The power supply line in the pixel portion is manufactured by a step different from a power supply line led on a substrate. A terminal is similarly plated to made the resistance reduction. It is desirable that a wiring before plating is made of the same material as a gate electrode and the surface of the wiring is plated to form the source signal line or the power supply line. | 11-27-2014 |
20140346482 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME - An aromatic amine derivative is represented by the following formula (1). In the formula (1), R | 11-27-2014 |
20140346483 | COMPOUND FOR AN ORGANIC OPTOELECTRONIC ELEMENT, ORGANIC LIGHT-EMITTING ELEMENT COMPRISING SAME, AND DISPLAY DEVICE COMPRISING THE ORGANIC LIGHT-EMITTING ELEMENT - Disclosed are a compound for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode. The compound for an organic optoelectronic device represented by a combination of the following Chemical Formula 1; and Chemical Formula 2 or 3 provides an organic light emitting diode having life-span characteristics due to excellent electrochemical and thermal stability, and high luminous efficiency at a low driving voltage. | 11-27-2014 |
20140346484 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL AND METHOD OF MANUFACTURING SAME - A organic EL display panel includes an inter-layer insulation film, a pixel electrode, auxiliary wiring, a partition layer, an organic light-emitting layer, and a common electrode. The inter-layer insulation film has at least one paired concave portion and non-concave portion disposed in a region over the auxiliary wiring, a top face of the concave portion being concave with respect to a top face of the non-concave portion, and the auxiliary wiring includes a part over the concave portion and a part over the non-concave portion, a top face of the part over the concave portion being concave with respect to a top face of the part over the non-concave portion. | 11-27-2014 |
20140346485 | DISPLAY AND ELECTRONIC APPARATUS - Provided is a display ( | 11-27-2014 |
20140346486 | LIGHT EMITTING COMPOSITION AND DEVICE - A light-emitting composition comprises a polymer and a phosphorescent light-emitting material. The polymer comprises conjugating repeat units of formula (I) and up to 20 mol % of conjugation-blocking repeat units of repeat units of formula (II): | 11-27-2014 |
20140346487 | DISPLAY DEVICE HAVING SHARED COLUMN LINES - A display device having at least a plurality of pixel circuits, connected to signal lines to which data signals in accordance with luminance information are supplied, arranged in a matrix, wherein pixel circuits of odd number columns and even number columns adjacent sandwiching an axis in a column direction parallel to an arrangement direction of the signal lines have a mirror type circuit arrangement symmetric about the axis of the column direction, and there are lines different from the signal lines between signal lines of adjacent pixel circuits. | 11-27-2014 |
20140346488 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - The present invention has an object of providing a light-emitting device including an OLED formed on a plastic substrate, which prevents degradation due to penetration of moisture or oxygen. On a plastic substrate, a plurality of films for preventing oxygen or moisture from penetrating into an organic light-emitting layer in the OLED (“barrier films”) and a film having a smaller stress than the barrier films (“stress relaxing film”), the film being interposed between the barrier films, are provided. Owing to a laminate structure, if a crack occurs in one of the barrier films, the other barrier film(s) can prevent moisture or oxygen from penetrating into the organic light emitting layer. The stress relaxing film, which has a smaller stress than the barrier films, is interposed between the barrier films, making it possible to reduce stress of the entire sealing film. Therefore, a crack due to stress hardly occurs. | 11-27-2014 |
20140346489 | LUMINESCENT DEVICE AND PROCESS OF MANUFACTURING THE SAME - In the case where a material containing an alkaline-earth metal in a cathode, is used, there is a fear of the diffusion of an impurity ion (such as alkaline-earth metal ion) from the EL element to the TFT being generated and causing the variation of characteristics of the TFT. Therefore, as the insulating film provided between TFT and EL element, a film containing a material for not only blocking the diffusion of an impurity ion such as an alkaline-earth metal ion but also aggressively absorbing an impurity ion such as an alkaline-earth metal ion is used. | 11-27-2014 |
20140346490 | DISPLAY UNIT - A display unit with which lowering of long-term reliability of a transistor is decreased is provided. The display unit includes a display section having a plurality of organic EL devices with light emitting color different from each other and a plurality of pixel circuits that are singly provided for every said organic EL device for every pixel. The pixel circuit has a first transistor for writing a video signal, a second transistor for driving the organic EL device based on the video signal written by the first transistor, and a retentive capacity, and out of the first transistor and the second transistor, a third transistor provided correspondingly to a second organic EL device adjacent to a first organic EL device is arranged farther from the first organic EL device than a first retentive capacity provided correspondingly to the second organic EL device out of the retentive capacity. | 11-27-2014 |
20140346491 | Light-Emitting Module, Light-Emitting Device, Method of Manufacturing the Light-Emitting Module, and Method of Manufacturing the Light-Emitting Device - A highly reliable light-emitting module including an organic EL element or a light-emitting device using a highly reliable light-emitting module including an organic EL element is provided. Alternatively, a method of manufacturing a highly reliable light-emitting module including an organic EL element, or a method of manufacturing a light-emitting device using a highly reliable light-emitting module including an organic EL element is provided. The light-emitting module has a structure in which a light-emitting element formed over a first substrate and a viscous material layer are sealed in a space between the first substrate and a second substrate which face each other, with a sealing material surrounding the light-emitting element. The viscous material layer is provided between the light-emitting element and the second substrate and includes a non-solid material and a drying agent which reacts with or adsorbs an impurity. | 11-27-2014 |
20140346492 | Light Emitting Device and Manufacturing Method of the Same - The present invention is directed to a light emitting device structured so as to increase the amount of light which is taken out in a certain direction after emitted from a light emitting element, and a method of manufacturing this light emitting device. An upper end portion of an insulating material | 11-27-2014 |
20140346493 | IN-CELL OLED TOUCH DISPLAY PANEL STRUCTURE - An in-cell OLED touch display panel structure includes an upper substrate, a lower substrate, an OLED layer configured between the upper and lower substrates, and a black matrix layer. The black matrix layer is disposed at one surface of the upper substrate that faces the OLED layer, and the black matrix layer is composed of a plurality of opaque conductor lines. The plurality of opaque conductor lines is divided into a first group of opaque conductor lines, a second group of opaque conductor lines, and a third group of opaque conductor lines. The second group of opaque conductor lines is formed with N mesh-like polygonal regions. The opaque conductor lines in any one of the polygonal regions are electrically connected together, while any two polygonal regions are not connected, so as to form a single-layered touch sensing pattern on the black matrix layer. | 11-27-2014 |
20140346494 | OPTICAL UNIT AND ORGANIC LIGHT EMITTING DIODE DISPLAY HAVING THE SAME - An optical unit on a light emitting unit includes a first polarizing plate on the light emitting unit, a second polarizing plate on the first polarizing plate, the second polarizing plate having a higher polarization degree than the first polarizing plate, and a plurality of phase shift plates between the first polarizing plate and the second polarizing plate. | 11-27-2014 |
20140353594 | OLED Panel, Manufacturing Method, and Related Testing Method - The present invention discloses an OLED panel, a manufacturing method and related testing method. The OLED panel includes a substrate ( | 12-04-2014 |
20140353595 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD FOR MANUFACTURING THE SAME - An organic light-emitting display apparatus includes an insulating layer including a inclined structure; a first electrode on the insulating layer; a pixel defining layer on the insulating layer and the first electrode, and defining an emissive region and a non-emissive region; a bump on the pixel defining layer; an organic emission layer on the first electrode; and a second electrode on the organic emission layer. | 12-04-2014 |
20140353596 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode includes a first electrode and a second electrode facing the first electrode; an emission layer between the first electrode and the second electrode; a hole transport layer between the first electrode and the emission layer and includes a first hole transport layer, a second hole transport layer, and a buffer layer between the first hole transport layer and the second hole transport layer; and an electron transport layer between the emission layer and the second electrode, wherein the buffer layer and the electron transport layer each include a mixture of an electron-transporting organometallic compound and an electron-transporting organic compound. | 12-04-2014 |
20140353597 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - In an aspect, an organic light emitting display device including a substrate, a first electrode on the substrate, a pixel defining layer defining pixel areas disposed on the substrate, a plurality of pixels disposed at the pixel area, wherein one of the pixels comprises a first electrode, an auxiliary electrode layer only disposed on an upper surface of the first electrode, an emission layer on the auxiliary electrode layer, and a second electrode on the emission layer is provided. | 12-04-2014 |
20140353598 | SUBSTRATE FOR USE IN MANUFACTURING DISPLAY DEVICE AND METHOD FOR FORMING ELEMENT ON SUBSTRATE - A substrate is for use in manufacturing a display device. The substrate includes a first area that corresponds to pixel positions. The substrate further includes a second area adjacent to the first area. The substrate further includes a first mark disposed in the second area, wherein a first virtual line corresponds to the first mark. The substrate further includes a second mark disposed in the second area and spaced from the first mark, wherein a second virtual line corresponds to the second mark and intersects the first virtual line at a virtual reference point. The substrate further includes an indicator disposed in the second area, spaced from the first mark and the second mark, and corresponding to an opening of a mask, wherein a positional relation between the virtual reference point and a point of the indicator represents a positional relation between the substrate and the mask. | 12-04-2014 |
20140353599 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate; a thin film transistor (TFT) on the substrate; a pixel-defining layer (PDL) disposed on the TFT and comprising a first area having a first thickness and a second area having a second thickness greater than the first thickness, and a via hole in the first area; a pixel electrode disposed on at least a portion of the first area, and electrically connected to the TFT via the via hole; an intermediate layer on the pixel electrode, the intermediate layer comprising an emission layer (EML); and an opposite electrode on the intermediate layer. According to a method of manufacturing the organic light emitting display apparatus, the PDL is formed on the substrate and then the pixel electrode is formed on the first area | 12-04-2014 |
20140353600 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display including a first electrode disposed in a display area of a display panel, and electrically connected to a transistor connected to a gate wiring and a data wiring; a pixel definition film provided on the display panel, and having an opening through which the first electrode is exposed; organic emission layers disposed on the first electrode; column spacers disposed on non-display areas of the display panel, and disposed on the pixel definition layer; a second electrode disposed on the organic emission layers and the column spacers; and signal blocking metal wirings disposed on both side edges of the organic emission layers, and disposed between the first electrode and the second electrode. | 12-04-2014 |
20140353601 | FILM FOR DISPLAY APPARATUS, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE FILM - A film for a display apparatus includes a first thin film including at least one of an organic material and an inorganic material, a metal thin film in contact with a first portion of a surface of the first thin film, and a second thin film in contact with a second portion of the surface of the first thin film, which is different from the first portion. | 12-04-2014 |
20140353602 | DISPLAY PANEL AND A METHOD OF MANUFACTURING THE SAME - A display panel may include an organic light emitting diode, a first film disposed on the organic light emitting diode and a second film comprising a fluoro polymer and disposed on the first film. | 12-04-2014 |
20140353603 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes an active layer of a transistor disposed on a substrate, a gate electrode disposed on the active layer and on a first insulation layer, a second insulation layer which is disposed on the gate electrode and exposes a source area and a drain area of the active layer, a drain electrode which is disposed on the second insulation layer and is connected to an exposed area of the drain area, a third insulation layer on the drain electrode, and a cathode electrode on the third insulation layer, where the cathode electrode penetrates the first insulation layer, the second insulation layer and the third insulation layer, and the cathode electrode is connected to an exposed area of the source area. | 12-04-2014 |
20140353604 | ORGANIC LIGHT EMITTING DEVICE HAVING A BULK LAYER COMPRISING A FIRST AND SECOND MATERIAL - Disclosed is an organic light emitting diode device including an anode, a cathode, an emission layer between the anode and the cathode, and a buffer layer positioned between the emission layer and the anode. The buffer layer includes an oxide, fluoride, quinolate, or acetoacetate compound of an alkaline metal or an alkaline-earth metal, as well as a material having a work function of about 2.6 to about 4.5 eV. The buffer layer also has a thickness of about 30 Å to about 400 Å. | 12-04-2014 |
20140353605 | THIN FILM TRANSISTOR AND ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME - A thin film transistor includes a substrate, a semiconductor layer on the substrate, a first insulating layer covering the substrate and the semiconductor layer, a first gate electrode on the first insulating layer and overlapping the semiconductor layer, a second insulating layer covering the first gate electrode and the first insulating layer, a second gate electrode on the second insulating layer and overlapping the semiconductor layer and the first gate electrode, a third insulating layer covering the second gate electrode, a first contact hole defined in the first insulating layer, the second insulating layer and the third insulating layer, and through which a portion of the semiconductor layer is exposed, and a source electrode and a drain electrode connected to the semiconductor layer through the first contact hole. | 12-04-2014 |
20140353606 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - The present invention relates to an organic light-emitting display device and a method of fabricating the same. The device may include a base substrate, a thin-film transistor disposed on the base substrate, an organic light-emitting device including a first electrode connected to the thin-film transistor, an organic pattern disposed on the first electrode, and a second electrode disposed on the organic pattern. The device further includes an auxiliary electrode including a connection part and a non-connection part, the connection part being connected to the second electrode. The width of the connection part may be less than that of the non-connection part, when measured in the direction perpendicular to a current flow. | 12-04-2014 |
20140353607 | ORGANIC LIGHT EMITTING DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display includes a base substrate, a first transistor, an insulation layer having a first contact hole and a second contact hole, a first electrode, an organic layer, a second electrode and a pixel definition layer having a third contact hole. The second electrode may be connected to the first transistor through the second contact hole, and the second electrode may be connected to other devices. The second electrode may be connected to a switching device. | 12-04-2014 |
20140353608 | PIXEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE USING THE SAME - There is provided a pixel, including an organic light emitting diode (OLED), a first transistor whose gate electrode is coupled to a first node, whose first electrode is coupled to a first power supply via a third node, and whose second electrode is coupled to an anode electrode of the OLED, a second transistor coupled between a data line and a second node and turned on when a scan signal is supplied to a scan line, a first capacitor coupled between the second node and a first voltage source, a third transistor coupled between the second node and the first node and turned on when a second control signal is supplied, and a fourth transistor coupled between the first node and the first power supply and turned on when a first control signal is supplied. | 12-04-2014 |
20140353609 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light-emitting display apparatus including a thin-film transistor (TFT) that includes an active layer, a gate electrode, and source/drain electrodes; an organic light-emitting device that includes a pixel electrode which is connected to the TFT, an intermediate layer which includes a light-emitting layer, and an opposite electrode; and an opposite electrode contact unit in which the opposite electrode is electrically connected to a power wiring, wherein, with regard to the power wiring, a surface that contacts the opposite electrode is formed to have an embossed structure. | 12-04-2014 |
20140353610 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device includes a substrate which includes a plurality of areas, a plurality of first electrodes disposed on the areas of the substrate, respectively, a second electrode disposed on the first electrodes, and a plurality of emitting layers disposed between the first electrodes and the second electrode. At least two of the emitting layers are disposed on all of the areas. | 12-04-2014 |
20140353611 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display apparatus and a method of manufacturing the same are provided. The apparatus includes a substrate, a first electrode formed on the substrate, an intermediate layer formed on the first electrode. The intermediate layer includes an organic emission layer. A second electrode is formed on the intermediate layer, and a capping layer is formed on the second electrode in a first region. The capping layer includes a first edge portion and at least two layers. A third electrode is formed on the second electrode in a second region. The second region is not overlapped with the first region, and the third electrode includes a second edge portion having a side portion facing a side portion of the first edge portion of the capping layer. Electric properties and image quality may be improved. | 12-04-2014 |
20140353612 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes a substrate having a plurality of pixel areas, which serves as a light-emitting area, and a non-pixel area, which serves as a non-light-emitting area. The OLED display also includes a plurality of first electrodes formed over the substrate in areas respectively corresponding to the pixel areas and a plurality of organic light-emitting layers formed over the first electrodes. The OLED display further includes a pixel defining layer formed in the non-pixel area of the substrate to cover a boundary surface of the organic light-emitting layers and to define the pixel areas, and a second electrode formed over the organic light-emitting layers and the pixel defining layer. | 12-04-2014 |
20140353613 | FLEXIBLE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A flexible display device includes a flexible substrate including a display region and a peripheral region substantially surrounding the display region, the display region including a first display region and a second display region, a first display structure at the first display region of the flexible substrate, the first display structure including nanoparticles, and a second display structure at the second display region of the flexible substrate, the second display structure including silicon. | 12-04-2014 |
20140353614 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light emitting display device. The organic light emitting display device includes: a substrate including a display region and a plurality of peripheral regions adjacent the display region; a display structure disposed in the display region; and a strain gauge disposed in two peripheral regions facing each other among the plurality of peripheral regions. | 12-04-2014 |
20140353615 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - Provided are an organic light emitting display device and a method for manufacturing the same. The organic light emitting display device includes a substrate, first electrode positioned on the substrate, a pixel defining film positioned on the substrate and at least partially exposing the first electrode, an organic layer positioned on the first electrode and having a central portion and an edge portion, and a cover film at least partially overlapping the edge portion of the organic layer. | 12-04-2014 |
20140353616 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light-emitting display apparatus. The organic light-emitting display apparatus including: pixels arranged in a display region of a substrate and at intersections between scanning lines and data lines; a first initialization main line arranged along a first side of the display region of the substrate; a second initialization main line arranged along a second side of the display region of the substrate; an initialization power line electrically connected to the pixels and to the first initialization main line and the second initialization main line; and a first electrical connection portion comprising a doped semiconductor layer of which a first portion is connected to the first initialization main line and a second portion is connected to the initialization power line. | 12-04-2014 |
20140353617 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A condensed compound is represented by Formula 1 below: | 12-04-2014 |
20140353618 | OPTICAL FILMS FOR REDUCING COLOR SHIFT AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUSES EMPLOYING THE SAME - Optical films, and organic-light-emitting display apparatuses, include a high refractive index pattern layer including a first surface and a second surface facing each other. The first surface includes a pattern having grooves. The grooves each have a curved surface and a depth greater than a width. The high refractive index pattern layer is formed of a material having a refractive index greater than 1. Further included is a low refractive index pattern layer formed of a material having a refractive index smaller than that of the material constituting the high refractive index pattern layer. The low refractive index pattern layer includes a filling material for filling grooves. A tilt angle, θ, of each groove satisfies the following condition, 15°≦θ≦75°. | 12-04-2014 |
20140353619 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a thin film transistor including an active layer, gate, source and drain electrodes, a first insulating layer disposed between the active layer and the gate electrode, and a second insulating layer disposed between the gate electrode and the source and drain electrodes; a pad electrode including a first pad layer disposed on the same layer as the source and drain electrodes and a second pad layer disposed on the first pad layer; a third insulating layer covering the source electrode and the drain electrode and an end portion of the pad electrode; a pixel electrode including a semi-transmissive metal layer and disposed in an opening formed in the third insulating layer; and a fourth insulating layer having an opening formed in a location corresponding to an opening formed in the third insulating layer and covering the end portion of the pixel electrode. | 12-04-2014 |
20140353620 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - The organic light emitting display device includes a substrate including a thin film transistor (TFT) formed thereon, the TFT including a first insulating layer disposed between an active layer and a gate electrode, and a second insulating layer disposed between the gate electrode and source and drain electrodes; a pad electrode including a first pad layer disposed on a same layer as that where the source and drain electrodes are formed, and a second pad layer on the first pad layer; a bonding assistant layer on the substrate; a third insulating layer on the bonding assistant layer and including a first opening; a pixel electrode disposed in the first opening and electrically coupled to one of the source and drain electrodes; and a fourth insulating layer on the pixel electrode to cover a peripheral end portion of the pixel electrode and defining a pixel through a second opening. | 12-04-2014 |
20140353621 | METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED BY USING THE METHOD - A method of manufacturing an organic light-emitting display apparatus, which can minimize damage to an emission layer, and an organic light-emitting display apparatus manufactured using the method are provided. The method includes: preparing a backplane including a pixel electrode and a pixel-defining layer protruding further than an upper surface of the pixel electrode and exposing at least a part of the pixel electrode; placing a donor film for laser-induced thermal imaging (LITI) on the backplane; irradiating a predetermined portion of the donor film with a first light from a laser beam to transfer a part of a transfer layer at the predetermined portion of the donor film to the backplane; irradiating at least one of the donor film and the backplane with a second light having a weaker output than that of the first light from the laser beam; and detaching the donor film from the backplane. | 12-04-2014 |
20140353622 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A display apparatus includes: a thin film transistor including a first insulating layer between the active layer and the gate electrode, and a second insulating layer between the gate electrode and the source and drain electrodes; a pad electrode including a first pad layer and a second pad layer on the first pad layer; a third insulating layer covering the source electrode and the drain electrode and an end portion of the pad electrode; a pixel electrode including a semi-transmissive electrically conductive layer in an opening in the third insulating layer; a transparent protection layer between the pixel electrode and the first insulating layer; a fourth insulating layer having an opening corresponding to the opening formed in the third insulating layer, the fourth insulating layer covering the end portion of the pad electrode; an emission layer on the pixel electrode; and an opposing electrode on the emission layer. | 12-04-2014 |
20140353623 | ORGANIC LIGHT EMITTING DISPLAY MODULE AND DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting display module includes a display panel, a bracket, and a sheet structure body. The display panel includes an organic light emitting diode. The bracket is coupled to the display panel to support the display panel. The sheet structure body is interposed between the display panel and the bracket. The sheet structure body includes a first sheet for heat dissipation and a second sheet for impact absorption. | 12-04-2014 |
20140353624 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode including a first electrode; a second electrode facing the first electrode; an emission layer between the first electrode and the second electrode, the emission layer including a host and a dopant; a first hole transport layer between the first electrode and the emission layer; and a second hole transport layer between the first hole transport layer and the emission layer, wherein the first hole transport layer includes a first carbazole-based compound and a p-dopant, the second hole transport layer includes a second carbazole-based compound and does not comprise the p-dopant, the host of the emission layer includes a third carbazole-based compound, the dopant of the emission layer includes a phosphorescent metal complex, and the first carbazole-based compound, the second carbazole-based compound, and the third carbazole-based compound are all different from each other. | 12-04-2014 |
20140353625 | ORGANIC LIGHT EMITTING DIODES DISPLAYS AND MANUFACTURING METHOD THEREOF - A cracks propagation preventing, polarization film attaches to outer edges of a lower inorganic layer of an organic light emitting diodes display where the display is formed on a flexible substrate having the lower inorganic layer blanket formed thereon. The organic light emitting diodes display further includes a display unit positioned on the inorganic layer and including a plurality of organic light emitting diodes configured to display an image, and a thin film encapsulating layer covering the display unit and joining with edges of the inorganic layer extending beyond the display unit. | 12-04-2014 |
20140353626 | OPTICAL FILMS FOR REDUCING COLOR SHIFT AND ORGANIC LIGHT-EMITTING DISPLAY DEVICES EMPLOYING THE SAME - Optical films, and organic light-emitting display devices employing the same, include a high refractive index pattern layer including a lens pattern region and a non-pattern region alternately formed, wherein the lens pattern region includes a plurality of grooves each having a depth larger than a width thereof, and the non-pattern region has no pattern; and a low refractive index pattern layer formed of a material having a refractive index smaller than a refractive index of the high refractive index pattern layer, wherein the low refractive index pattern includes a plurality of filling portions filling the plurality of grooves. | 12-04-2014 |
20140353627 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A display device includes a first substrate, an anode electrode, a pixel defining layer, an organic light emitting layer, a multi-layered complex, a passivation insulating layer and a second substrate. The anode electrode is disposed on the first substrate. The pixel defining layer is disposed on the first substrate and defines a display region and a peripheral region thereon. The organic light emitting layer is disposed on and covers the anode electrode and the pixel defining layer, and is configured to generate light. The multi-layered complex is disposed on and covers the organic light emitting layer, and is configured to apply a current to the organic light emitting layer. The multi-layered complex includes a plurality of conducting layers laminated to each other. The passivation insulating layer is disposed on and covers the multi-layered complex. The second substrate is disposed on the passivation insulating layer and corresponds to the first substrate. | 12-04-2014 |
20140353628 | Heteroaromatic Semiconducting polymers - The present teachings relate to new semiconducting polymers. The polymers disclosed herein can exhibit high carrier mobility and/or efficient light absorption/emission characteristics, and can possess certain processing advantages such as solution-processability and/or good stability at ambient conditions. | 12-04-2014 |
20140353629 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display including: a substrate; a semiconductor layer disposed on the substrate and including a switching semiconductor layer and a driving semiconductor layer connected to the switching semiconductor layer; a first gate insulating layer disposed on the semiconductor layer; a switching gate electrode and a driving gate electrode disposed on the first gate insulating layer and respectively overlapping with the switching semiconductor layer and the driving semiconductor layer; a second gate insulating layer disposed on the switching gate electrode and the driving gate electrode; a driving voltage line configured to transmit a driving voltage and disposed on the second gate insulating layer; an interlayer insulating layer disposed on the driving voltage line and the second gate insulating layer; and a data line configured to transmit a data signal and disposed on the interlayer insulating layer. | 12-04-2014 |
20140353630 | ORGANIC WHITE LIGHT EMITTING DISPLAY APPARATUS - Disclosed is an organic white light emitting display apparatus. The organic white light emitting device includes a first substrate including a first sub-pixel area, a second sub-pixel area, a third sub-pixel area, and an organic light emitting device (OLED) that includes a first electrode, a second electrode, and an organic white light emitting layer interposed between the first and second electrodes, and emits whit light for respective sub-pixel areas, a second substrate including first, second, and third color filters of different colors formed on positions corresponding to the respective sub-pixel areas, the second substrate being arranged to face the first substrate, and a partition wall that is extended to an area between neighboring color filters among the color filters and partitions the sub-pixel areas, the partition wall being formed on the first substrate. | 12-04-2014 |
20140353631 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a thin film transistor including a first insulating layer between an active layer and a gate electrode, and a second insulating layer between the gate electrode and source/drain electrodes, a pad electrode including a first pad layer on a same layer as the source/drain electrodes and a second pad layer, a third insulating layer including an organic insulating material covering the source/drain electrodes and an end portion of the pad electrode, a pixel electrode including a semi-transmissive metal layer, in an opening in the third insulating layer, a cathode contact unit including a first, second, and third contact layers, a fourth insulating layer covering the end portion of the pad electrode, an organic emission layer on the pixel electrode, and an opposing electrode on the organic emission layer. | 12-04-2014 |
20140353632 | DISPLAY DEVICE - A display device includes a pixel unit including a plurality of pixels coupled to a plurality of control lines and to a plurality of power lines to commonly receive same control signals and power source, a plurality of inlet pads positioned outside the pixel unit, the plurality of inlet pads being configured to apply the power source to the plurality of power lines, a pad bar electrically coupling the plurality of inlet pads, and a plurality of coupling patterns contacting end portions of the plurality of power lines and corresponding end portions of the pad bar, the plurality of coupling patterns electrically connecting the plurality of power lines and the pad bar, and one or more of the end portions of the pad bar and the ends portions of the plurality of power lines have different contact areas with the plurality of coupling patterns. | 12-04-2014 |
20140353633 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display includes a substrate including a thin film transistor, a plurality of pixels on a pixel area of the substrate, a plurality of auxiliary electrodes between the pixels, an opposite electrode on the pixels and on the auxiliary electrodes, the opposite electrode being electrically connected to the auxiliary electrodes, and including a same material as the auxiliary electrodes, and a power supply electrode on the substrate, the power supply electrode being in a periphery of the pixel area and being configured to supply power to the pixels. | 12-04-2014 |
20140353634 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes a thin film transistor including an active layer, a gate electrode, source and drain electrodes, a first insulating layer between the active layer and the gate electrode, and a second insulating layer between the gate electrode and the source and drain electrodes, a third insulating layer covering the source and drain electrodes, the third insulating layer being an organic insulating layer, a pixel electrode including a semi-transparent metal layer and having an end located in a trench formed around the first insulating layer, a fourth insulating layer including an opening exposing a top surface of the pixel electrode, the fourth insulating layer being an organic insulating layer, an organic light-emitting layer on the pixel electrode, and a counter electrode on the organic light-emitting layer. | 12-04-2014 |
20140353635 | ORGANIC LIGHT-EMITTING DEVICE AND IMAGE DISPLAY SYSTEM EMPLOYING THE SAME - The disclosure provides an organic light-emitting device. The organic light-emitting device includes a substrate, and an organic light-emitting pixel array disposed on the substrate. The organic light-emitting pixel array includes a plurality of pixels. Each pixel includes a first sub-pixel and a second sub-pixel. Each sub-pixel includes a first electrode, an organic light-emitting element, a second electrode, and an optical path adjustment layer. The optical path adjustment layer is disposed between the first electrode and the second electrode. Particularly, the thickness of the optical path adjustment layer of the first sub-pixel is substantially equal to the thickness of the optical path adjustment layer of the second sub-pixel. | 12-04-2014 |
20140353636 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - A display device includes a first pixel and a second pixel. The second pixel is controlled to emit light in a predetermined range in a first time period and to not emit light in the predetermined range in a second time period during which the first pixel emits light. The first pixel includes a first organic emission layer having a first thickness and the second pixel includes a second organic emission layer having a second thickness different from the first thickness. A resonance pattern is formed in the second pixel to emit light in a melatonin production inhibition wavelength range that corresponds to the predetermined range. The first pixel may emit blue light, green light, red light, or another color of light including white light. | 12-04-2014 |
20140353637 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a method of manufacturing a display device, includes preparing a first substrate formed such that a first resin layer is formed on a first support substrate, and thereafter a display element portion and a mounting portion are formed above the first resin layer and a protection layer, which extends from an end portion of the first resin layer along the mounting portion onto the first support substrate, is disposed, preparing a second substrate formed such that a second resin layer is formed on a second support substrate, attaching the first substrate and the second substrate, and mounting a flexible printed circuit board, which is in a state in which the flexible printed circuit board is opposed to the protection layer, on the mounting portion. | 12-04-2014 |
20140353638 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a method of manufacturing a display device, includes preparing a first substrate formed such that a first resin layer is formed on a first support substrate, preparing a second substrate formed such that a second resin layer is formed on a second support substrate, attaching the first substrate and the second substrate, peeling the second support substrate from the second resin layer by radiating a first laser beam toward the second substrate, mounting a signal supply source on a first mounting portion in a state in which the second resin layer, which is opposed to the first mounting portion, is warped in a direction away from the first mounting portion, and adhering the first resin layer and the second resin layer. | 12-04-2014 |
20140353639 | DISPLAY DEVICE AND METHOD FOR PRODUCING THE SAME - A display device which can be produced at reduced material cost and has a smaller peripheral frame area, and a method for producing the same, are provided. A display device includes a first substrate including a display area, which includes an organic EL light emitting layer; a second substrate located so as to face the first substrate; a dam member located along, and outside with respect to, a part of an outer edge of the display area, the dam member joining the first substrate and the second substrate to each other; and a filler filling a space between the first substrate and the second substrate while being in contact with the dam member. | 12-04-2014 |
20140353640 | ORGANIC ELECTROLUMINESCENCE DEVICE - A heterocyclic compound wherein three five-membered rings are fused to one benzene ring and a saturated or unsaturated ring is fused to each five-membered ring. An organic electroluminescence device includes one or more organic thin film layers between an anode and a cathode. The one or more organic thin film layers include a light emitting layer. At least one layer of the one or more organic thin film layers includes the heterocyclic compound. | 12-04-2014 |
20140353641 | ORGANIC EL DISPLAY DEVICE - A circuit unit is provided in which it is possible to connect circuit board to a terminal using a simple operation without removing a passivation film from above the terminal. A metal electrode which conducts with a circuit element is formed above a substrate. Multiple column shaped insulation films are each formed at a uniform pitch in two intersecting directions above the surface of the metal electrode. A metal electrode is formed above the metal electrode including the insulation film. A passivation film is formed on a surface of the metal electrode. Conductive beads are stuck between pairs of projection structured bodies formed on a surface of the passivation film, the passivation film on a side surface of the projection structure is scraped whereby the conductive beads conduct simultaneously with the metal electrode and an electrode formed on the circuit board. | 12-04-2014 |
20140353642 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic electroluminescence display device includes a first light-emitting unit and a second light-emitting unit that are stacked between a cathode and an anode. The first light-emitting unit includes a first electron injection layer and a first light-emitting layer that are stacked. The first electron injection layer is arranged closer to the cathode side than the first light-emitting layer is. The second light-emitting unit includes a second electron injection layer and a second light-emitting layer that are stacked. The second electron injection layer is arranged closer to the cathode side than the second light-emitting layer is. Each of the first electron injection layer and the second electron injection layer includes a compound containing lithium. The number of particles of lithium is from 0.1 mmol/cm | 12-04-2014 |
20140353643 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device may include: a cell array comprising gate lines and data lines intersecting each other on a substrate so as to define a plurality of pixel areas, a plurality of thin film transistors formed at intersections between the gate lines and the data lines to correspond to the plurality of pixel areas, and a protective film evenly formed over the substrate to cover the thin film transistors; a plurality of first electrodes formed such that portions of an metal oxide layer corresponding to emission areas of the respective pixel areas, is made conductive, the metal oxide layer evenly disposed on the protective film; a bank constituting the remaining portion of the metal oxide layer in which the first electrodes are not formed and formed so as to have insulating properties; an emission layer formed over the metal oxide layer; and a second electrode formed on the emission layer so as to face the first electrodes. | 12-04-2014 |
20140353644 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes: a thin film transistor including an active layer, a gate electrode, a source electrode, a drain electrode, a first insulating layer, and a second insulating layer; a pad electrode comprising a first pad layer and a second pad layer on the first pad layer; a third insulating layer covering the source electrode and the drain electrode and an end portion of the pad electrode; a pixel electrode comprising a semi-transmissive electrically conductive layer at an opening in the third insulating layer; a protection layer between the pixel electrode and the first insulating layer; a fourth insulating layer having an opening at a location corresponding to the opening formed in the third insulating layer and covering the end portion of the pad electrode; an emission layer on the pixel electrode; and an opposing electrode on the emission layer. | 12-04-2014 |
20140353645 | OPTICAL FILM FOR REDUCING COLOR SHIFT AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE EMPLOYING THE SAME - An optical film includes: a high refractive index pattern layer including a material having a refractive index greater than 1 and having a first surface and a second surface which face each other, where a plurality of grooves is defined in the first surface, and each of the plurality of grooves is defined by a curved surface portion of the first surface of the high refractive index pattern and has a depth greater than a width thereof; and a low refractive index pattern layer including a plurality of protruding patterns disposed in the plurality of grooves, having a refractive index less than the refractive index of the high refractive index pattern layer, and including a plurality of layers having different refractive indices from each other. | 12-04-2014 |
20140353646 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME - An aromatic amine derivative is represented by a formula (1-1) below. In the formula (1-1), R | 12-04-2014 |
20140353647 | Organic Thin Film Transistors And Method of Making Them - An organic thin film transistor comprises source and drain electrodes defining a channel between them; a surface-modification layer on at least part of the surface of each of the source and drain electrodes; an organic semiconductor layer extending across the channel and in contact with the surface-modification layers; a gate electrode; and a gate dielectric between the organic semiconductor layer and the gate dielectric. The surface-modification layers consist essentially of a partially fluorinated fullerene. | 12-04-2014 |
20140353648 | P-TYPE OXIDE, COMPOSITION FOR PRODUCING P-TYPE OXIDE, METHOD FOR PRODUCING P-TYPE OXIDE, SEMICONDUCTOR ELEMENT, DISPLAY ELEMENT, IMAGE DISPLAY DEVICE, AND SYSTEM - To provide is a p-type oxide, including an oxide, wherein the oxide includes: Cu; and an element M, which is selected from p-block elements, and which can be in an equilibrium state, as being present as an ion, wherein the equilibrium state is a state in which there are both a state where all of electrons of p-orbital of an outermost shell are lost, and a state where all of electrons of an outermost shell are lost, and wherein the p-type oxide is amorphous. | 12-04-2014 |
20140353649 | Organic Electronic Device - The present invention relates to an organic electronic device, comprising a first electrode, a second electrode, and a substantially organic layer comprising a compound according to formula (I) between the first and the second electrode: | 12-04-2014 |
20140353650 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - A compound represented by the following formula (1). | 12-04-2014 |
20140353651 | SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SOLID-STATE IMAGING UNIT, AND ELECTRONIC APPARATUS - A semiconductor device includes, in order on a substrate, an organic semiconductor layer, an inorganic film, and a protective film. The inorganic film and the protective film each have a peripheral edge portion that is formed in an outer region compared to a peripheral edge portion of the organic semiconductor layer. | 12-04-2014 |
20140353652 | POLYMER COMPRISING AN UNSYMMETRIC DIARYLAMINOFLUOREN UNIT - A polymer comprising one or more optionally substituted repeat units of formula (I): (I) wherein each Ar | 12-04-2014 |
20140353653 | PROCESS FOR PRODUCING LIQUID COMPOSITION FOR ORGANIC SEMICONDUCTOR ELEMENT - A problem to be solved of the present invention is to provide a liquid composition for an organic semiconductor device having good coating property, wherein the lifetime of the function of an organic semiconductor device is prolonged when an organic layer is formed from the liquid composition for an organic semiconductor device, and a process for producing the liquid composition. A mean for solving the problem is a process for producing a liquid composition for an organic semiconductor device comprising a step of dissolving an organic compound which is solid at 1 atm and 25° C. in an organic solvent which is liquid at 1 atm and 25° C. and has a halogen compound concentration of not more than 100 ppm by weight. | 12-04-2014 |
20140353654 | Light-Emitting Element, Light-Emitting Device, Lighting Device, and Electronic Devices - A light-emitting element which at least includes a monomolecular layer including a luminescent center material with a fluorescent light-emitting property, and a monomolecular layer including a host material with a carrier (electron or hole)-transport property and a band gap larger than a band gap (note that a band gap refers to the energy difference between a HOMO level and a LUMO level) of the luminescent center material, between a pair of electrodes, in which the monomolecular layer including the host material and the monomolecular layer including the luminescent center material share the same interface, is provided. | 12-04-2014 |
20140353655 | ORGANIC LIGHT-EMITTING DIODE LIGHTING APPARATUS - Disclosed herein is an organic light-emitting diode lighting apparatus. The organic light-emitting diode lighting apparatus may include a transparent substrate main body with a plurality of groove lines formed therein, auxiliary electrodes formed in at least of the plurality of groove lines, a first electrode formed on the substrate main body, positive temperature coefficients configured to connect the auxiliary electrodes and the first electrode, an organic emission layer formed on the first electrode, and/or a second electrode formed on the organic emission layer. | 12-04-2014 |
20140353656 | ORGANIC LED ELEMENT, TRANSLUCENT SUBSTRATE, AND METHOD FOR MANUFACTURING ORGANIC LED ELEMENT - The present invention provides an organic LED element having the significantly larger light emission area than conventional ones. The invention relates to an organic LED element, comprising: a transparent substrate; a light scattering layer; a transparent first electrode; an organic light-emitting layer; and a second electrode formed in this order, wherein the light scattering layer has a base material comprising a glass, and a plurality of scattering materials dispersed in the base material; the light scattering layer has side surfaces, and each of the side surfaces has a surface tilted at an angle larger than right angle from an upper surface on the first electrode side toward a bottom surface on the transparent substrate side; and the first electrode is placed so as to continuously cover the side surfaces. | 12-04-2014 |
20140353657 | ORGANIC EL DEVICE AND METHOD FOR MANUFACTURING ORGANIC EL DEVICE - The present invention aims at developing an organic EL device having a structure for power supply to a new organic EL element. The device is formed of unit organic EL elements planarly distributed on a substrate having a planar expanse and includes organic EL element columns and organic EL element rows. The organic EL element columns and the organic EL element rows each have a conductive path in which electric current passes in its longitudinal direction. The organic EL element columns and the organic EL element rows have different longitudinal directions so that their conductive paths cross with each other at a part where the organic EL element columns and the organic EL element rows cross with each other. | 12-04-2014 |
20140361251 | PRE-STRESSED FLEXIBLE OLED - Devices are provided that include a flexible OLED panel and a connection between points of the flexible OLED panel that causes the flexible OLED panel to be disposed in a non-planar configuration. Alternatively or in addition, the connection may be a flexible component connected to the flexible OLED panel, which is configured to maintain the flexible OLED panel in the non-planar shape. | 12-11-2014 |
20140361252 | ALUMINUM CHELATES AS MATERIALS FOR OLEDS - Aluminum chelate complex compounds with two substituted 8-hydroxyquinoline ligand and one dibenzothiophene, dibenzofuran or dibenzoselenophene ligands or aza-analogs of these molecules, attached directly or through an aromatic spacer to the oxygen atom is provided to improve lifetime, operating voltage and efficiency of an OLED. Additional substitution of dibenzothiophene or dibenzofuran ring may also provide charge delocalization, HOMO modification and higher Tg. | 12-11-2014 |
20140361253 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device and a method of manufacturing the same. The organic light-emitting display device includes: an insulating layer having a top surface, a bottom surface, and an inclined side wall that; a bump disposed on the top surface of the insulating layer; a first electrode disposed on the insulating layer; a pixel-defining layer disposed on the insulating layer and the first electrode, and which defines an emission region and a non-emission region; an organic emission layer that is disposed on the first electrode; and a second electrode that is disposed on the organic emission layer. | 12-11-2014 |
20140361254 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME - A heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the heterocyclic compound are provided: | 12-11-2014 |
20140361255 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound is represented by Formula 1 below. An organic light-emitting device includes a first electrode, a second electrode and an organic layer between the first electrode and the second electrode. The organic layer includes the heterocyclic compound. | 12-11-2014 |
20140361256 | ORGANIC LIGHT EMITTING DEVICE INCLUDING AN AUXILIARY ELECTRODE - An organic light emitting device includes a substrate, a first electrode disposed on the substrate, a first organic layer pattern disposed on the first electrode, an auxiliary electrode pattern alternately disposed with the first organic layer pattern, and including an upper insulation layer, a lower insulation layer, and an auxiliary electrode disposed therebetween, a light emitting layer disposed on the first organic layer pattern and the auxiliary electrode pattern, a second organic layer disposed on the light emitting layer and a second electrode disposed on the second organic layer. | 12-11-2014 |
20140361257 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes: a first electrode; a hole auxiliary layer formed on the first electrode; a red organic emitting layer, a green organic emitting layer, and a blue organic emitting layer formed on the hole auxiliary layer; a red auxiliary layer and a green auxiliary layer located between the hole auxiliary layer and the red organic emitting layer and between the hole auxiliary layer and the green organic emitting layer, respectively; an electron auxiliary layer formed on the red organic emitting layer, the green organic emitting layer, and the blue organic emitting layer; and a second electrode formed on the electron auxiliary layer. At least one of the red auxiliary layer and the green auxiliary layer includes a charge speed control layer, and a T1 level of the charge speed control layer is relatively higher than that of the organic emitting layer. | 12-11-2014 |
20140361258 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE COMPRISING THE SAME - A heterocyclic compound is represented by Formula 1 below and an organic light-emitting diode includes the heterocyclic compound. | 12-11-2014 |
20140361259 | ARYLAMINE-BASED COMPOUND AND ORGANIC LIGHT EMITTING DIODE COMPRISING THE SAME - An arylamine-based compound is represented by Formula 1 below. The arylamine-based compound is included in an organic light emitting diode. | 12-11-2014 |
20140361260 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A organic light-emitting display apparatus includes a substrate, a thin film transistor disposed on the substrate and including an active layer, a gate electrode, a source electrode and a drain electrode, a pixel electrode electrically connected to the source electrode and the drain electrode, a counter electrode corresponding to the pixel electrode, a light-emitting layer disposed in a plurality of light-emitting regions between the pixel electrode and the counter electrode, a common layer disposed in the light-emitting regions and in a plurality of non-light-emitting regions around the light-emitting regions between the pixel electrode and the counter electrode, and a plurality of partition walls including an insulating material disposed in the common layer. | 12-11-2014 |
20140361261 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate, a thin film transistor (TFT) disposed on the substrate and including an active layer, a gate electrode, a source electrode, and a drain electrode The organic light-emitting display apparatus further includes a pixel electrode including a first pixel electrode layer, a second pixel electrode layer disposed on the first pixel electrode layer and a third pixel electrode layer disposed on the second pixel electrode layer. The second pixel electrode layer is a metal layer and the third pixel electrode layer is a reflective layer. The organic light-emitting display apparatus further includes an emission layer (EML) disposed on the pixel electrode, and an opposite layer disposed on the EML. | 12-11-2014 |
20140361262 | FLEXIBLE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - A flexible display device includes a substrate, a plurality of first pixels, and a plurality of second pixels. The substrate includes a foldable bending region and a non-foldable non-bending region. Each first pixel is disposed on the bending region. Each first pixel is spaced apart from an adjacent first pixel by a first distance. Each second pixel is disposed on the non-bending region. Each second pixel is spaced apart from an adjacent second pixel by a second distance. The first distance is greater than the second distance. | 12-11-2014 |
20140361263 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device is provided. The organic light-emitting display device includes: a substrate; a display unit on the substrate and includes a plurality of driving thin film transistors (TFTs) and a plurality of organic light-emitting diodes (OLEDs); and a sealing layer to cover the display unit which includes a first sealing layer that is formed of at least one inorganic layers to cover the plurality of OLEDs and a second sealing layer that is formed of at least one inorganic layers and at least one organic layers to enclose the plurality of OLEDs. | 12-11-2014 |
20140361264 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a substrate, a plurality of organic light emitting elements disposed on the substrate, the plurality of organic light emitting elements including a first organic light emitting element, a second organic light emitting element and a third organic light emitting element, an encapsulating member encapsulating the plurality of organic light emitting elements, a graded functional layers disposed on the encapsulating member, the graded functional layers including convex lenses disposed on pixel regions, and a black matrix disposed on the graded functional layer. | 12-11-2014 |
20140361265 | ORGANIC LIGHT EMITTING STRUCTURE - The present application discloses an OLED with an improved structure, comprising a reflective anode layer, a transparent cathode layer, an organic light-emitting layer sandwiched between the anode layer and the cathode layer, and a side reflective layer surrounding the organic light-emitting layer and forming a light exiting area together with the anode layer, wherein the light emitted from the light-emitting layer is reflected by both of the anode layer and the side reflective layer, and then leaves from the light exiting area. According to the present disclosure, the lateral light is reflected by the side reflective layer arranged around the organic light-emitting layer, such that the luminescent efficiency of the OLED with said improved structure can be significantly increased. | 12-11-2014 |
20140361266 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound of Formula 1 is provided. An organic light-emitting device includes the same. | 12-11-2014 |
20140361267 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Provided is a heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the same. | 12-11-2014 |
20140361268 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 below and an organic light-emitting device including the heterocyclic compound are provided: | 12-11-2014 |
20140361269 | ORGANIC LIGHT EMITTING DIODE DEVICE - Disclosed is an organic light emitting diode device including an anode and a cathode facing each other, an emission layer interposed between the anode and the cathode, and a first hole auxiliary layer interposed between the anode and the emission layer. The first hole auxiliary layer has a higher triplet energy (T1) than the emission layer. | 12-11-2014 |
20140361270 | MICROLENS ARRAY ARCHITECTURES FOR ENHANCED LIGHT OUTCOUPLING FROM AN OLED ARRAY - Novel microlens array architectures for enhanced light outcoupling from light emission are provided. Organic light emitting devices (OLEDs) that include an outcoupling layer including these novel microlens array architectures and method for fabricating such OLEDs are provided. These devices may be used to provide OLEDs with optimized light extraction. | 12-11-2014 |
20140361271 | Stacked White OLED Having Separate Red, Green and Blue Sub-Elements - The present invention relates to efficient organic light emitting devices (OLEDs). More specifically, the present invention relates to white-emitting OLEDs, or WOLEDs. The devices of the present invention employ three emissive sub-elements, typically emitting red, green and blue, to sufficiently cover the visible spectrum. The sub-elements are separated by charge generating layers. | 12-11-2014 |
20140361272 | LIGHT EMITTING ELEMENT, ORGANIC LIGHT EMITTING DISPLAY DEVICE HAVING THE SAME AND METHOD OF MANUFACTURING THE SAME - A light emitting element includes: a first electrode, a hole injection layer disposed on the first electrode; a hole transport layer disposed on the hole injection layer; a light emitting layer disposed on the hole transport layer, where the light emitting layer includes a light emission host and a light emission dopant; an electron transport layer disposed on the light emitting layer; an electron injection layer disposed on the electron transport layer; and a second electrode disposed on the electron injection layer. | 12-11-2014 |
20140361273 | ELECTRO-OPTIC APPARATUS, METHOD OF MANUFACTURING ELECTRO-OPTIC APPARATUS, AND ELECTRONIC APPARATUS - There is provided an organic EL apparatus as an electro-optic apparatus including: a substrate; a light-emitting element that is provided at a first area of the substrate; a guard line that is provided to surround the first area; and a sealing film or a sealing structure that covers the first area and reaches the guard line. | 12-11-2014 |
20140361274 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device comprising an anode; a cathode; a first light-emitting layer between the anode and the cathode; and a second light-emitting layer between the first light-emitting layer and the cathode, wherein:
| 12-11-2014 |
20140361275 | COMPOSITION - A suitable composition for manufacturing components and devices is disclosed. | 12-11-2014 |
20140361276 | THIN FILM TRANSISTOR AND ACTIVE MATRIX ORGANIC LIGHT EMITTING DIODE ASSEMBLY AND METHOD FOR MANUFACTURING THE SAME - An active matrix organic light emitting diode assembly includes a substrate and a plurality of pixels on the substrate, each of the pixels at least includes an Organic Light Emitting Diode (OLED), a first Thin Film Transistor (TFT) and a second TFT, wherein: the second TFT is configured to drive the OLED; the first TFT is configured to drive the second TFT, the first TFT includes a buffer layer on the substrate, a semiconductor layer on the buffer layer, a gate insulating layer covering the semiconductor layer and a gate electrode on the gate insulating layer, and the semiconductor layer includes a source region and a drain region of first conductivity type and a bottom doped region of second conductivity type. The leakage current in AMOLED assembly may be suppressed, thereby avoiding instability and even failure of assembly operation caused by overlarge leakage current. | 12-11-2014 |
20140361277 | HIGH-ACCURACY OLED TOUCH DISPLAY PANEL STRUCTURE - A high-accuracy OLED touch display panel structure includes an upper substrate, a lower substrate, an OLED layer configured between the upper and lower substrates, a sensing electrode layer, a thin film transistor and wiring layer, a cathode layer, and an anode layer. The sensing electrode layer has a plurality of sensing conductor lines for sensing an approaching external object. The thin film transistor and wiring layer includes a plurality of gate lines, a plurality of source lines, and a plurality of wirings. The plurality of sensing conductor lines are disposed corresponding to positions of the plurality of gate lines and the plurality of source lines. | 12-11-2014 |
20140361278 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a substrate in which a first pixel area and a second pixel area different from each other are defined, a first electrode, a pixel defining layer, a common layer, a first surface processing layer, a second surface processing layer, a first liquid solution layer, a second liquid solution layer, and a second electrode. The first surface processing layer has a first width and is correspondingly included in the first pixel area. The second surface processing layer has a second width different from the first width and is correspondingly included in the second pixel area. The first liquid solution layer has the first width, and the second liquid solution layer has the second width. The first and second liquid solution layers have the same volume and different thicknesses. | 12-11-2014 |
20140361279 | ORGANIC LIGHT-EMITTING DIODE - In at least one embodiment, the organic light-emitting diode ( | 12-11-2014 |
20140361280 | ORGANIC EL DEVICE AND MANUFACTURING METHOD THEREOF - An organic EL device with which occurrence of leakage current between electrodes can be prevented includes: a substrate; a first electrode layer separating groove that separates a first electrode layer into small pieces; a function layer separating groove that separates a function layer into small light emitting regions; and a unit light emitting element separating groove extending from a second electrode layer to the function layer and separating the second electrode layer into small pieces. One of the small pieces of the first electrode layer, one of the small light emitting regions, and one of the small pieces of the second electrode layer structure a unit organic EL element, electrically connected in series. The average width of the unit light emitting element separating groove at the second electrode layer is wider than the average width of the unit light emitting element separating groove at the light emitting portion separating layer. | 12-11-2014 |
20140361281 | ELECTROLUMINESCENT DEVICES AND APPLICATIONS THEREOF - In one aspect, optoelectronic devices are described herein. In some embodiments, an optoelectronic device described herein comprises a radiation transmissive first electrode, a second electrode, a light emitting organic layer disposed between the first electrode and the second electrode, a dielectric layer disposed between the light emitting organic layer and the first electrode and/or second electrode, and a phosphor layer disposed in an optical path of the light emitting organic layer, wherein the light emitting organic layer comprises a singlet emitter phase and a triplet emitter phase. | 12-11-2014 |
20140361282 | ORGANIC LIGHT-EMITTING DEVICE - Disclosed is an organic light-emitting device (OLED), wherein a lower electrode, an organic emitting unit, an upper electrode, and a light enhance layer are subsequently formed between a bottom substrate and a top substrate. The light enhance layer has higher refractive index, between 2 and 3, than that of the top substrates, thereby efficiently improving the luminance intensity of the OLED. | 12-11-2014 |
20140361283 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode (OLED) display and a method for manufacturing the same are provided. The OLED display includes a substrate, an active layer and a capacitor lower electrode positioned on the substrate, a gate insulating layer positioned on the active layer and the capacitor lower electrode, a gate electrode positioned on the gate insulating layer at a location corresponding to the active layer, a capacitor upper electrode positioned on the gate insulating layer at a location corresponding to the capacitor lower electrode, a first electrode positioned to be separated from the gate electrode and the capacitor upper electrode, an interlayer insulating layer positioned on the gate electrode, the capacitor upper electrode, and the first electrode, a source electrode and a drain electrode positioned on the interlayer insulating layer, and a bank layer positioned on the source and drain electrodes. | 12-11-2014 |
20140361284 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode (OLED) display and a method for manufacturing the same are provided. The OLED display includes a substrate, an active layer and a capacitor lower electrode positioned on the substrate, a gate insulating layer positioned on the active layer and the capacitor lower electrode, a gate electrode positioned on the gate insulating layer at a location corresponding to the active layer, a capacitor upper electrode positioned on the gate insulating layer at a location corresponding to the capacitor lower electrode, a first electrode positioned to be separated from the gate electrode and the capacitor upper electrode, an interlayer insulating layer positioned on the gate electrode, the capacitor upper electrode, and the first electrode, a source electrode and a drain electrode positioned on the interlayer insulating layer, and a bank layer positioned on the source and drain electrodes. | 12-11-2014 |
20140361285 | MONOAMINE COMPOUND, CHARGE-TRANSPORTING MATERIAL, AND ORGANIC ELECTROLUMINESCENT DEVICE - The present invention provides an organic electroluminescent device exhibiting a long life, a high luminance, and a high efficiency. | 12-11-2014 |
20140361286 | Optoelectronic device and method for producing an optoelectronic device - An optoelectronic device, comprising: a first organic functional layer structure; a second organic functional layer structure; and a charge generating layer structure between the first organic functional layer structure and the second organic functional layer structure, wherein the charge generating layer structure comprises: a first electron-conducting charge generating layer; wherein the first electron-conducting charge generating layer comprises or is formed from an intrinsically electron-conducting substance; a second electron-conducting charge generating layer; and an interlayer between first electron-conducting charge generating layer; and second electron-conducting charge generating layer; and wherein the interlayer comprises at least one phthalocyanine derivative. | 12-11-2014 |
20140367643 | ORGANIC LIGHT EMITTING DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting display includes a substrate, a first electrode, a first insulating layer, a second insulating layer, an organic layer and a second electrode. The first electrode is on the substrate. The first insulating layer is on the substrate including the first electrode, and an opening is defined in the first insulating layer to expose the first electrode. The second insulating layer is on the first insulating layer, where a width of the first insulating layer is larger than that of the first insulating layer. The organic layer is on the first electrode in the opening. The second electrode is on the organic layer and the second insulating layer. | 12-18-2014 |
20140367644 | DISPLAY APPARATUS HAVING IMPROVED BENDING PROPERTIES AND METHOD OF MANUFACTURING SAME - Disclosed is a display apparatus. The display apparatus includes: a display module including a flexible substrate, a display panel, and an encapsulation film; a lower module disposed below the display module; an upper module disposed on the display module; and an elasticity-adjusting layer disposed on or below the display module to adjust a position of a neutral plane in bending of the display apparatus, wherein an elastic modulus of the elasticity-adjusting layer is less than that of at least one of the display module, the lower module, or the upper module, so as to position the neutral plane within or proximate to the display module. | 12-18-2014 |
20140367645 | ORGANIC LIGHT EMITTING DIODE DEVICE - An organic light emitting diode device including an electron transport layer containing a compound represented by Chemical Formula 1, and an emission layer containing a compound represented by Chemical Formula 2. | 12-18-2014 |
20140367646 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS HAVING A MIRROR FUNCTION - An organic light-emitting display apparatus includes a first substrate. Pixels are formed on the first substrate. The pixels have a light-emitting region and a non-light-emitting region. A second substrate faces the first substrate. A reflective member is disposed on the second substrate. The reflective member includes openings corresponding to the light-emitting regions of the pixels and reflective portions corresponding to the non-light-emitting regions of the pixels. Each pixel includes a first electrode in the light-emitting region, a second electrode facing the first electrode, an organic layer between the first electrode and second electrode, and a pixel-defining layer covering edges of the first electrode. The pixel-defining layer is formed in the non-light-emitting region, and has a sidewall slope that provides an external light reflection path that is similar to that of the light-emitting region. | 12-18-2014 |
20140367647 | IRIDIUM COMPLEX AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Provided are an iridium complex represented by Formula 1 below and an organic light-emitting device including the same. | 12-18-2014 |
20140367648 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (“OLED”) display includes a display panel; and a window on the display panel. The OLED display further includes an optical unit on the display panel, and including a metal material film and a dielectric material film. | 12-18-2014 |
20140367649 | ORGANIC LIGHT-EMITTING DEVICES - An organic light-emitting device includes: a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode. The organic layer includes an emission layer, and the emission layer includes at least one light-emitting material represented by one of Formulas 1 and 2. The organic layer further includes at least one hole-transporting material represented by one of Formulas 2(1) and 2(2). | 12-18-2014 |
20140367650 | ORGANOMETALLIC COMPOUNDS AND ORGANIC LIGHT-EMITTING DEVICES COMPRISING THE SAME - An organometallic compound represented by Formula 1 below is disclosed. An organic light-emitting device including at least one organometallic compound represented by Formula 1 is also disclosed. | 12-18-2014 |
20140367651 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS - An organic light emitting display apparatus includes a lower substrate and an upper substrate. The lower substrate includes a light emitting device having an organic emission layer between first and second electrodes, and an auxiliary electrode under and electrically connected to the second electrode. The upper substrate includes an embossing member contacting the second electrode. The embossing member is coupled to the upper substrate to face the lower substrate and applies a pressure to establish an electrical connection between the auxiliary electrode and the second electrode. | 12-18-2014 |
20140367652 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes: a substrate including a plurality of pixels, a thin film transistor disposed in the pixels; an organic light emitting element connected to the thin film transistor and disposed in the pixels, an encapsulation member located on the organic light emitting element, and an external light blocking member disposed above or under the encapsulation member and including a first portion and a second portion. The first portion has a thickness that is thinner than a thickness of the second portion, and the first portion is disposed in the pixels. | 12-18-2014 |
20140367653 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device and a flat panel device, the organic light-emitting device including a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode, wherein the organic layer includes an emission layer, the emission layer including at least one N-doping layer. | 12-18-2014 |
20140367654 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes an emission layer and an electron transport layer. The emission layer includes at least one compound represented one of Formula 1, Formula 2 and/or Formula 3. The electron transport layer includes at least one compound represented by Formula 4 and/or Formula 5. An organic light-emitting device including the emission layer and the electron transport layer has high emission efficiency and an improved lifetime. | 12-18-2014 |
20140367655 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An OLED display includes an organic layer formed using a printing method. A method for manufacturing the OLED display includes: forming a pixel circuit on a substrate; forming a planarization layer on the substrate to cover the pixel circuit, where the planarization layer includes heat generation particles; forming a pixel electrode and a pixel defining layer on the planarization layer; forming an organic layer by discharging ink on the pixel electrode and drying the ink by generating heat from the heat generation particles through microwave irradiation; and forming a common electrode on the organic layer. | 12-18-2014 |
20140367656 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode includes a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode. The organic layer includes a heterocyclic compound represented by Formula 1: | 12-18-2014 |
20140367657 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound, an organic light-emitting device, and a flat panel display apparatus, the compound being represented by Formula 1, below: | 12-18-2014 |
20140367658 | ARRAY SUBSTRATE AND ORGANIC LIGHT-EMITTING DISPLAY INCLUDING THE SAME - An array substrate includes a substrate, a barrier layer disposed on the substrate, a buffer layer disposed on the barrier layer, a first insulating layer disposed on the buffer layer, a second insulating layer disposed on the first insulating layer, a plurality of wiring patterns disposed between the first insulating layer and the second insulating layer and/or on the second insulating layer. In addition, the wiring patterns are separated from each other, and extend toward a side of the substrate. The array substrate further includes a recess pattern disposed adjacent the wiring patterns and recessed from a top surface of the second insulating layer to expose at least part of a top surface of the substrate, and an organic insulating layer disposed on the second insulating layer and exposing at least part of a portion of the top surface of the substrate which is exposed by the recess pattern. | 12-18-2014 |
20140367659 | DISPLAY DEVICES - A display device includes a substantially planar semiconductor package. The semiconductor package drives unit display elements of the display device. The semiconductor package is not folded and has a flat structure. Thus, the occurrence of defects and/or errors in the display device may be reduced as compared to display devices including folded non-planar semiconductor packages. As a result, reliability of the display device may be improved. | 12-18-2014 |
20140367660 | LIGHT-EMITTING DEVICE, DISPLAY APPARATUS, AND ILLUMINATION APPARATUS - A light-emitting device includes: a first electrode; a second electrode; and an organic layer that is provided between the first electrode and the second electrode and is formed by stacking a first light-emitting layer and a second light-emitting layer in order from the first electrode side, in which light emitted from the organic layer is reflected by an interface between the first light-emitting layer and the first electrode, passes through the second electrode, and is emitted to the outside of the light-emitting device, a first light-transmitting layer, a second light-transmitting layer, and a third light-transmitting layer are provided on a side of the second light-emitting layer opposite to the first light-emitting layer in order from the second light-emitting layer side, and predetermined conditions are satisfied. | 12-18-2014 |
20140367661 | ELECTRO-OPTIC DEVICE, METHOD OF MANUFACTURING ELECTRO-OPTIC DEVICE, AND ELECTRONIC APPARATUS - An electro-optic device includes a substrate that has a first surface and an end face crossing the first surface; a light emitting element that is disposed on the first surface; a planarization layer that covers the light emitting element; and a first inorganic sealing layer that is disposed on the planarization layer. An outer edge of the first inorganic sealing layer is disposed between an outer edge of the planarization layer and a first region where the light emitting element is disposed. | 12-18-2014 |
20140367662 | Organometallic Iridium Complex, Light-Emitting Element, Light-Emitting Device, and Lighting Device - An organometallic iridium complex that has high emission efficiency and a long lifetime and emits deep red light (emission wavelength: around 700 nm) is provided. The organometallic iridium complex has a ligand that is represented by General Formula (G0) and has at least a dimethyl phenyl group and a quinoxaline skeleton. | 12-18-2014 |
20140367663 | EMISSIVE IRIDIUM COMPLEXES FOR LIGHT-EMITTING DEVICES - Disclosed herein are compounds comprising iridium ligand complexes and compositions and light-emitting devices related thereto are also disclosed. | 12-18-2014 |
20140367664 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING DISPLAY DEVICE - Provided is a display device, including: a substrate; signal lines including a gate line, a data line, and a driving voltage line that collectively define an outer boundary of a pixel area; a transistor connected to the signal line; a first electrode extending across the pixel area and formed on the signal line and the transistor, and connected to the transistor, the first electrode having a first portion overlying only the signal line and the transistor, and a second portion comprising all of the first electrode not included in the first portion; a pixel defining layer formed on only the first portion of the first electrode; an organic emission layer formed on substantially the entire second portion but not on the first portion; and a second electrode formed on the pixel defining layer and the organic emission layer. | 12-18-2014 |
20140367665 | STRUCTURING OF OLEDS - The invention relates to a method for manufacturing structured organic electroluminescent light-emitting devices (OLEDs) comprising light-emitting and non-emitting areas and to OLEDs manufactured according to this method comprising the steps of: Providing (P) a substrate ( | 12-18-2014 |
20140367666 | ORGANIC LIGHT-EMITTING DIODE, CONNECTOR AND LUMINAIRE - An organic light-emitting diode has a carrier substrate. The light-emitting diode also contains an active layer that generates and emits electromagnetic radiation at a carrier front face. The active layer is mounted on the carrier substrate. At least one contacting device is located on a carrier rear face and is arranged simultaneously for electrical contacting and for mechanical attachment of the light-emitting diode. The contacting device includes a contact region. The contact region and/or the contacting device, seen in a side view parallel to the carrier rear face, are elevated in a U-shape or L-shape above the carrier rear face and/or extend in a lateral direction away from the active layer. | 12-18-2014 |
20140367667 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ELEMENT USING SAME - A compound represented by the following formula (1): | 12-18-2014 |
20140367668 | PHOTOELECTRIC CONVERSION DEVICE, METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE, SOLID-STATE IMAGING UNIT, AND ELECTRONIC APPARATUS - A method of manufacturing a photoelectric conversion device includes: forming a first electrode on a first surface side of a substrate that has two opposing surfaces; forming an electrode section on a second surface side of the substrate, the electrode section being used for external connection; and after forming the first electrode and the electrode section, forming an organic photoelectric conversion layer and a second electrode on the first electrode. | 12-18-2014 |
20140367669 | DISPLAY PANEL AND METHOD FOR MANUFACTURING SAME - A display panel where, within at least one aperture, an inter-layer insulation layer includes a planar region having a planar surface and a protruding region having a protruding surface relative to the planar region located wherever two or more of a gate electrode, a drain electrode, a source electrode, a first power supply signal wiring, and a second power supply signal wiring intersect, and an insulation film covers at least part of the protruding region and does not cover at least part of the planar region. | 12-18-2014 |
20140367670 | SURFACE SEALING AGENT FOR ORGANIC EL ELEMENT, ORGANIC EL DEVICE USING SAME, AND MANUFACTURING METHOD FOR SAME - The present invention addresses the issue of providing a surface sealing agent which can be cured at low temperatures and which exhibits excellent storage stability. In order to resolve this issue, provided is a surface sealing agent for an organic EL element, the surface sealing agent including an epoxy resin (A) having at least two epoxy groups in a molecule, and a curing accelerator (B) which is a salt of a specific quaternary ammonium ion, wherein 0.1-10 parts by weight of the curing accelerator (B) is contained relative to 100 parts by weight of the surface sealing agent. | 12-18-2014 |
20140367671 | ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR PRODUCING SAME - The present invention attempts to improve coating processes used to produce organic EL devices, such as that an under layer is dissolved by a coating solution and efficiency and stability are poor. The present invention provides an organic EL device equipped with at least a first electrode formed on a substrate, a light emission medium layer containing at least an organic light emission layer, and a second electrode so formed as to face the first electrode so that the light emission medium layer can be sandwiched between the first electrode and the second electrode, wherein at least the organic light emission layer and a hole-transport layer adjacent to the organic light emission layer are contained in the light emission medium layer, and at least a low-molecular-weight hole-transport material and a matrix polymer having insulation properties and weight average molecular weight of about 200,000 to about 50,000,000 inclusive are contained in the hole-transport layer. | 12-18-2014 |
20140367672 | ORGANIC LIGHT EMITTING DEVICE - The present application relates to an organic light emitting device (OLED) and lighting devices. The exemplary OLED according to the present application, for example, may minimize evanescent coupling caused by surface plasmons, and the like, and may have excellent emission efficiency. | 12-18-2014 |
20140374703 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes a pixel part on a substrate, the pixel part being configured to display an image, a peripheral part at a peripheral area of the pixel part, the peripheral part including a chip on film connection part, and a chip on film connected to the chip on film connection part, the chip on film connection part including a chip on film bonding part, the chip on film being attached to the chip on film bonding part, and an array test part separated from the chip on film bonding part, the array test part being contacted with a probe pin. | 12-25-2014 |
20140374704 | FLEXIBLE DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - A flexible display panel and a method of manufacturing the same. The flexible display panel includes: a flexible panel including a display region and a non-display region, wherein the display region includes an organic light emitting device; a planarization layer disposed on the flexible panel; and a metal-dielectric layer disposed on the planarization layer and including a metal layer and a dielectric layer. | 12-25-2014 |
20140374705 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Organic light-emitting display device and method of manufacturing the same are provided. Here, the organic light-emitting display device includes a substrate which has a first area and a second area, a first electrode which is on each of the first area and the second area of the substrate, a plurality of emitting layers on the first electrode and including a first emitting layer on the first area and a second emitting layer on the second area, a second electrode on the emitting layers, a capping layer on the second electrode, and a refractive pattern on the capping layer, wherein the refractive pattern is on at least one of the first area and the second area. | 12-25-2014 |
20140374706 | COMPOUND, ORGANIC OPTOELECTRIC DEVICE INCLUDING THE SAME AND DISPLAY DEVICE INCLUDING THE OPTOELECTRIC DEVICE - Disclosed are a compound for an organic optoelectric device, an organic optoelectric device including the same and a display device including the organic optoelectric device, wherein the compound for an organic optoelectric device is represented by the following Chemical Formula 1, | 12-25-2014 |
20140374707 | ORGANIC LIGHT EMITTING DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display includes a substrate; a first pixel electrode disposed on the substrate; a second pixel electrode disposed on the substrate; a hole auxiliary layer disposed on the first pixel electrode and the second pixel electrode; a first organic emission layer disposed on the hole auxiliary layer in correspondence with the first pixel electrode and the second pixel electrode; a blue organic emission layer disposed on the hole auxiliary layer in correspondence with the first pixel electrode and the second pixel electrode, the blue organic emission layer being further disposed on the first organic emission layer; a non-doping blue organic emission layer disposed on the blue organic emission layer; an electron auxiliary layer disposed on the non-doping blue organic emission layer; and a common electrode disposed on the electron auxiliary layer. | 12-25-2014 |
20140374708 | ELECTROLUMINESCENT ORGANIC DOUBLE GATE TRANSISTOR - An organic electroluminescent transistor is described. The organic electroluminescent transistor has a first and a second dielectric layer, a first and a second control electrode and an assembly having a source electrode, a drain electrode and an ambipolar channel. The ambipolar channel has a first layer of semiconductor material, a second layer of semiconductor material and a layer of emissive material arranged between the first layer of semiconductor material and the second layer of semiconductor material. The source electrode and the drain electrode are both in contact with only one of the two layers of semiconductor material. | 12-25-2014 |
20140374709 | LIGHT EMITTERS WITH SERIES CONNECTION - An organic solid state lighting system comprising multiple OLED modules connected in series is provided. | 12-25-2014 |
20140374710 | PLASTIC WINDOW AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME - A plastic window and an organic light-emitting display apparatus, the plastic window including a first layer; a second layer stacked on the first layer; and coating layers on a bottom surface of the first layer and on a top surface of the second layer, respectively, the top surface of the second layer facing a direction opposite to that of the bottom surface of the first layer, wherein the first layer and the second layer each include polyethylene terephthalate, and the first layer has the same thickness as the second layer. | 12-25-2014 |
20140374711 | ORGANIC LIGHT-EMITTING DEVICE - Provided is an organic light-emitting device including a first electrode; a second electrode disposed opposite to the first electrode; an emission layer disposed between the first electrode and the second electrode, the emission layer including at least one specific light-emitting material; and a hole-transporting region disposed between the first electrode and the emission layer, the hole-transporting region including at least one specific hole-transporting material. | 12-25-2014 |
20140374712 | ORGANIC LIGHT EMITTING DIODE DEVICE - An organic light emitting device includes an organic light emitting element and a sensor. The organic light emitting element includes an organic layer between an anode and cathode. The sensor detects a quality that provides an indication of the degradation of the organic layer of the light emitting element. The sensor may be a chemical sensor or another type of sensor. The sensor may be fixed permanently within or outside the light emitting element, and electronic measures may be taken to reduce performance loss as a result of the detected degradation of the organic layer. | 12-25-2014 |
20140374713 | ORGANIC LIGHT-EMITTING DEVICE - Provided is an organic light-emitting device including a first electrode, a second electrode disposed opposite to the first electrode, an emission layer disposed between the first electrode and the second electrode, and an electron-transporting layer disposed between the emission layer and the second electrode. The electron-transporting layer includes a first electron-transporting material and a second electron-transporting material. The lowest unoccupied molecular orbital (LUMO) energy level of the first electron-transporting material (EL | 12-25-2014 |
20140374714 | THIN FILM TRANSISTOR AND ACTIVE MATRIX ORGANIC LIGHT EMITTING DIODE ASSEMBLY AND METHOD FOR MANUFACTURING THE SAME - A thin film transistor includes a semiconductor layer including a source region, a drain region, a channel region, first lightly doped drain regions adjacent to the channel region and second lightly doped drain regions adjacent to the first lightly doped drain regions; wherein the second lightly doped drain regions have a doping concentration lower than that of the first lightly doped drain regions. According to the present application, the leakage current in a switching transistor may be further reduced, thereby avoiding instability and even failure in the operation of the assembly caused by overlarge leakage current. | 12-25-2014 |
20140374715 | METHOD FOR FABRICATING ORGANIC ELECTRONIC DEVICE HAVING SEPARATE PATTERNS USING ORGANIC FIBER, AND ORGANIC ELECTRONIC DEVICE HAVING THE ORGANIC FIBER - An organic electronic device is provided. The organic electronic device includes a substrate and an organic fiber disposed on the substrate. Material patterns are disposed on exposed surfaces of the substrate at both sides of the organic fiber and separated by the organic fiber. By arranging the organic fiber and then coating the organic fiber with a material layer to form material patterns separated by the organic fiber, very simple, fast, and sufficient separation of patterns may be implemented with no complicated process such as a lithography process, used in the art. | 12-25-2014 |
20140374716 | DISPLAY DEVICE - Provided is a display device including first and second substrates having an emission region and a transparent region and disposed to face each other, a light emission layer formed on the emission region of the first substrate, a barrier formed to cover the light emission layer and a variable light shield layer formed in the barrier within the transparent region of the first substrate. | 12-25-2014 |
20140374717 | AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - An amine-based compound is represented by Formula 1: | 12-25-2014 |
20140374718 | THIN FILM TRANSISTOR AND ACTIVE MATRIX ORGANIC LIGHT EMITTING DIODE ASSEMBLY AND METHOD FOR MANUFACTURING THE SAME - The present application provides a thin film transistor, an active matrix organic light emitting diode assembly and a method for manufacturing the same. The thin film transistor includes: a substrate; a buffer layer on the substrate; a semiconductor layer on the buffer layer, including a source region, a drain region and a channel region; a first gate insulating layer covering the semiconductor layer; a second gate insulating layer foot on the first gate insulating layer, a width of the second gate insulating layer foot being smaller than a width of the first gate insulating layer; and a gate electrode on the second gate insulating layer foot; wherein a part of the first gate insulating layer that is on the semiconductor layer has a flat upper surface. The present application may obtain better implantation profiles of source region and drain region, thereby obtaining better uniformity in TFT performance. | 12-25-2014 |
20140374719 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - An OLED display device with a passivation film formed between a sealing member and a pad portion through a structural alteration of the sealing member and first and second protective layers prevents deterioration of image quality and a driving faults caused by short circuits and electro-static discharge. | 12-25-2014 |
20140374720 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME - An aromatic amine derivative represented by formula (1): | 12-25-2014 |
20140374721 | NOVEL BENZOTRIAZOLE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT DEVICES USING THE DERIVATIVES - Benzotriazole derivatives represented by the following general formula (1), | 12-25-2014 |
20140374722 | COMPOUND, ORGANIC ELECTRIC ELEMENT USING THE SAME, AND AN ELECTRONIC DEVICE THEREOF - A compound represented by Formula 1. An organic electric element includes a first electrode, a second electrode, and an organic material layer including the compound of Formula 1. The organic material layer include a light emitting layer, a hole transport layer including a compound represented by Formula 2, and an emission-auxiliary layer including the compound represented by Formula 1. When the organic electric element includes the compound in the organic material layer, luminous efficiency, color purity, and life span can be improved. | 12-25-2014 |
20140374723 | NOVEL ORGANIC COMPOUND, ORGANIC LIGHT EMITTING DEVICE, AND IMAGE DISPLAY DEVICE - To provide a novel organic compound suitable for an organic light emitting device. | 12-25-2014 |
20140374724 | ORGANIC LIGHT-EMITTING COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME - The present invention relates to a novel indole-based compound having superior hole injection and transport capabilities, light-emitting capabilities, and the like, and an organic electroluminescent device which comprises the indole-based compound in one or more organic layers thereof so as to thereby achieve improved characteristics, such as light-emitting efficiency, driving voltage, and lifespan characteristics. | 12-25-2014 |
20140374725 | ORGANIC ELECTROLUMINESCENT ELEMENT AND METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescent element including: a lower electrode; an organic functional layer on the lower electrode; and an upper electrode on the organic functional layer, wherein profile of an upper surface of the upper electrode has a skewness of between −0.5 and 0.7 inclusive. | 12-25-2014 |
20140374726 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD FOR PROCESSING AN ORGANIC LIGHT-EMITTING DEVICE - Various embodiments relates to an organic light-emitting device, including at least one functional layer for generating electroluminescent radiation, an encapsulation structure formed on or over the at least one functional layer, and a heat conduction layer formed on or over the encapsulation structure. The heat conduction layer includes a matrix material and heat conducting particles embedded in the matrix material. | 12-25-2014 |
20140374727 | METAL COMPLEX AND LIGHT-EMITTING DEVICE CONTAINING THE METAL COMPLEX - A highly stable metal complex useful for the manufacture of a light-emitting device has an excellent lifetime property, particularly in a blue region, specifically a metal complex represented by Formula (1): | 12-25-2014 |
20140374728 | PHOSPHORESCENT ORGANIC LIGHT EMITTING DEVICES HAVING A HOLE TRANSPORTING COHOST MATERIAL IN THE EMISSIVE REGION - An improved OLED includes an emissive layer disposed between a cathode and an anode where the emissive layer includes a multi-component host material and a phosphorescent emitter material. The host material includes at least a first host compound and a second host compound, where the first host compound is hole-transporting host compound having the general formula wherein R | 12-25-2014 |
20140374729 | METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT, AND OPTOELECTRONIC COMPONENT - Various embodiments relate to a method for producing an optoelectronic component includes applying a planarization medium to a surface of a substrate, wherein the planarization medium comprises a material which absorbs electromagnetic radiation having wavelengths of a maximum of 600 nm, applying a first electrode on or above the material, forming an organic functional layer structure on or above the first electrode, and forming a second electrode on or above the organic functional layer structure. | 12-25-2014 |
20140374730 | ORGANIC ELECTROLUMINESCENT LIGHT EMITTING DISPLAY DEVICE - In an organic electroluminescent light emitting display device comprising a plurality of pixels each of which includes an organic electroluminescent element emitting light by a current supplied thereto, a plurality of active elements including a first active element which acquires a data signal and a second active element which regulates the current supplied to the organic electroluminescent element in accordance with the data signal, and a capacitive element storing the data signal, the present invention utilizes a part of the capacitive element arranged in one of the pixels for a light shielding member which shields the plurality of active elements arranged the one of the pixels from light emitted by the organic electroluminescent element arranged therein or another pixel adjacent thereto so as to suppress image quality deterioration and smear appearing in an image display area of the organic electroluminescent light emitting display device. | 12-25-2014 |
20140374731 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - The present application relates to a substrate for an organic electronic device (OED), an organic electronic device, a method of manufacturing the substrate or OED and lighting device. The substrate for an OED of the present application may be improved in durability by preventing penetration of external materials such as moisture or oxygen, and thus an OED having excellent light extraction efficiency may be formed. Also, since the substrate may be stably attached to an encapsulating structure sealing the OED, the device may have excellent durability with respect to abrasion of an electrode layer or pressure applied from an external environment. In addition, a surface hardness of an external terminal of the OED may be maintained at a suitable level. | 12-25-2014 |
20140374732 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display apparatus and a method of manufacturing the same are disclosed. The organic light emitting display apparatus includes, for example, a bus electrode, an insulating layer covering the bus electrode and having a bus electrode hole exposing at least a part of the bus electrode, a pixel electrode formed on the insulating layer and electrically coupled with the bus electrode, a pixel defining layer exposing a part of the pixel electrode and a part of the bus electrode, a first intermediate layer on the pixel defining layer and the pixel electrode, the first intermediate layer having a first opening to expose the part of the bus electrode, an emission layer disposed on the first intermediate layer, and an opposite electrode to correspond to the pixel electrode and the bus electrode and contacting the bus electrode through the first opening and the bus electrode hole. | 12-25-2014 |
20140374733 | PHOTOELECTRIC CONVERSION ELEMENT, METHOD FOR USING THE SAME, IMAGING DEVICE, PHOTOSENSOR, AND COMPOUND - An object of the present invention is to provide a photoelectric conversion element having a photoelectric conversion film which exhibits heat resistance, a high photoelectric conversion efficiency, a low level of dark currents, rapid response, and sensitivity characteristics to red and can be produced by a vapor deposition processing that is continuously performed under a high-temperature condition. The photoelectric conversion element of the present invention is a photoelectric conversion element in which a conductive film, a photoelectric conversion film containing a photoelectric conversion material, and a transparent conductive film are laminated on one another in this order, wherein the photoelectric conversion material includes a compound represented by Formula (1). | 12-25-2014 |
20140374734 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - Provided are a substrate for an organic electronic device (OED), an organic electronic device, a method of manufacturing the substrate or OED, and lighting. The substrate for an OED may be increased in durability by preventing penetration of external materials such as moisture or oxygen, and thus form an OED having excellent light extraction efficiency. In addition, since the substrate may be stably attached to an encapsulating structure sealing the OED, the device may have excellent durability with respect to abrasion of an electrode layer or pressure applied from an external environment. In addition, a surface hardness of an external terminal of the OED may be maintained at a suitable level. | 12-25-2014 |
20140374735 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - Provided are a substrate for an organic electronic device (OED), an organic electronic system, a method of manufacturing the substrate or the system, and lighting. The substrate for an OED may be increased in durability by preventing penetration of an external material such as moisture or oxygen, and thus an organic electronic system having excellent light extraction efficiency may be formed. In addition, since the substrate may be stably attached to an encapsulating structure sealing the organic electronic system, the device may have excellent durability with respect to abrasion of an electrode layer or pressure applied from an external environment. In addition, a surface hardness of an external terminal of the organic electronic system may be maintained at a suitable level. | 12-25-2014 |
20140374736 | GAS BARRIER SUBSTRATE AND ORGANIC ELECTRO-LUMINESCENT DEVICE - A gas barrier substrate including a first gas barrier layer, a substrate, and a second gas barrier layer is provided. The first gas barrier layer has a central bonding surface bonded with the substrate and a peripheral boding surface surrounding the central bonding surface. The second gas barrier layer entirely covers the substrate and the first gas barrier layer. The second gas barrier layer is bonded with the substrate and the peripheral boding surface of the first gas barrier layer, wherein a minimum distance from an edge of the substrate to an edge of the first gas barrier layer is greater than a thickness of the first gas barrier layer. | 12-25-2014 |
20140374737 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - Light-emitting elements in which an increase of driving voltage can be suppressed are provided. Light-emitting devices whose power consumption is reduced by including such light-emitting elements are also provided. In a light-emitting element having an EL layer between an anode and a cathode, a first layer in which carriers can be produced is formed between the cathode and the EL layer and in contact with the cathode, a second layer which transfers electrons produced in the first layer is formed in contact with the first layer, and a third layer which injects the electrons received from the second layer into the EL layer is formed in contact with the second layer. | 12-25-2014 |
20140374738 | NOVEL ORGANIC COMPOUND, LIGHT-EMITTING DEVICE, AND IMAGE DISPLAY APPARATUS - Provided is an acenaphtho[1,2-k]benzo[e]acephenanthrene derivative represented by general formula (1): | 12-25-2014 |
20150008394 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes a substrate, a thin film transistor formed on the substrate, a first electrode formed on the thin film transistor and electrically connected to the thin film transistor, a hole injection layer (HIL) formed on the first electrode, a hole transport layer (HTL) formed on the hole injection layer (HIL), an emission layer formed on the HTL, an electron transport layer (ETL) formed on the emission layer, a first buffer layer located on the ETL, and a second electrode formed on the first buffer layer. | 01-08-2015 |
20150008395 | ORGANIC LIGHT EMITTING DIODES DISPLAY - An organic light emitting diodes display includes: a switching thin film transistor and a driving thin film transistor connected to the switching thin film transistor, wherein the driving thin film transistor includes a driving semiconductor layer section, a first gate insulating layer covering the driving semiconductor layer section, a floating gate electrode disposed on the first gate insulating layer, a second gate insulating layer covering the floating gate electrode, and a driving gate electrode disposed on the second gate insulating layer and at a position corresponding to the floating gate electrode, wherein the second gate insulating layer has a permittivity in the range of about 10 to about 100. | 01-08-2015 |
20150008396 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF FORMING THE SAME - An organic light emitting diode display includes: a flexible substrate configured to be bent at least once; a first display part on the flexible substrate and including a plurality of first light emitting elements; and a second display part on the flexible substrate and including a plurality of second light emitting elements. Each of a first light emitting element and a second light emitting element among the plurality of first and second light emitting elements includes a first electrode, an emission layer and a second electrode, the first electrode of the first light emitting element includes a transparent layer or a semi-transparent layer, the first electrode of the second light emitting element includes a reflective layer, and the second electrode of the first light emitting element and the second light emitting element, includes the transparent layer or the semi-transparent layer. | 01-08-2015 |
20150008397 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes: a substrate; and a plurality of pixels positioned on the substrate. Each pixel includes a thin film transistor positioned on the substrate, an interlayer insulating layer and a light path control layer positioned on the thin film transistor, a first electrode positioned on the light path control layer and connected to the thin film transistor, an organic emission layer positioned on the first electrode, and a second electrode positioned on the organic emission layer, and the light path control layer includes a first control layer, a second control layer having a larger refractive index than the first control layer, and a middle layer having the same refractive index as the first control layer. | 01-08-2015 |
20150008398 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device includes a plurality of anodes and an auxiliary electrode disposed on the substrate. The auxiliary electrode is separated from the plurality of the anodes. The organic light-emitting display device further includes an organic layer disposed on the plurality of the anodes, an opening penetrating the organic layer to expose the auxiliary electrode, and a cathode disposed on the organic layer and the exposed auxiliary electrode. The cathode is electrically connected to the auxiliary electrode. The opening has a first width at a proximal end and a second width at a distal end. The distal end is closer to the auxiliary electrode than the proximal end. The first width is smaller than the second width, | 01-08-2015 |
20150008399 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display and method of manufacturing the same are disclosed. In one aspect, the OLED display includes a substrate which includes non-emission regions and emission regions, a first electrode which is formed on each of the emission regions of the substrate, an organic light-emitting layer which is formed on the first electrode, a second electrode which is formed on the organic light-emitting layer and the substrate and a passivation layer which is formed on the second electrode. The passivation layer includes a first passivation layer which substantially overlaps the organic light-emitting layer and a second passivation layer which does not overlap the organic light-emitting layer, wherein the refractive index of the first passivation layer is higher than the refractive index of the second passivation layer. | 01-08-2015 |
20150008400 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES - An inter-layer bridging connection is provided in an organic light emitting display and a method of manufacturing the same is provided. The organic light emitting display device is subdivided into a major interior, first region I, an auxiliary power coupling region II and a peripheral power line region III where the second region (II) extends at least partially around the first region, and the third region (III) extends at least partially around the second region. Additionally, the display device includes a substrate, a first electrode, a second electrode, an interposed light emitting structure, a power line, a conductive pattern and an auxiliary electrode. The first electrode and the light emitting structure are both disposed in the first region. The power line is disposed in the third region. The second electrode is at least partially transparent and is disposed in the first region and extends into the second region (II). The conductive pattern electrically connects the second electrode with the power line. The auxiliary electrode has reduced resistivity per unit area and directly contacts the second electrode. The auxiliary electrode is disposed in the second region. | 01-08-2015 |
20150008401 | ELECTRONIC DEVICE AND METHOD OF FABRICATING THE SAME - Provided are an electronic device and a fabrication method thereof. The electronic device according to the concept of the present invention includes auxiliary interconnections disposed on a substrate, a light extraction layer that is provided on the substrate and fills between the auxiliary interconnection, and a first electrode provided on the auxiliary interconnections and the light extraction layer, wherein the light extraction layer may have a first surface facing the substrate and a second surface opposite to the first surface, the first surface may have protrusions, and the auxiliary interconnections may include a material having a lower resistance than the first electrode. Since electrical properties of the electronic device are improved, uniform light emission characteristics may be realized. | 01-08-2015 |
20150008402 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound comprising a ligand L | 01-08-2015 |
20150008403 | LIGHT EMITTING APPARATUS - Provided is a light emitting apparatus including a substrate including a plurality of light emitting devices, wherein the substrate further includes a plurality of first members configured to diffuse light emitted from at least one of the light emitting devices, and a second member that is positioned between the first members, wherein the second member includes a light absorbing layer. | 01-08-2015 |
20150008404 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes a substrate, a thin film transistor disposed on the substrate, a first electrode disposed on the thin film transistor and electrically connected to the thin film transistor, a first auxiliary layer disposed on the first electrode, an emission layer disposed on the first auxiliary layer, an electron transport layer disposed on the emission layer, a first buffer layer disposed on the electron transport layer, and a second electrode disposed on the first buffer layer. | 01-08-2015 |
20150008405 | PIXEL AND ORGANIC LIGHT EMITTING DISPLAY USING THE SAME - A pixel and an organic light emitting display, the pixel including an organic light emitting diode, a first driver, and a second driver. The first driver controls whether current is supplied to the organic light emitting diode, according to a first data signal from a first data line. The second driver controls whether current is supplied to the organic light emitting diode, according to a second data signal from a second data line. | 01-08-2015 |
20150008406 | LIGHT-EMITTING ELEMENT DISPLAY DEVICE - A light-emitting element display device includes: a display area which has an organic insulating layer that is made of an organic insulating material; a peripheral circuit area which is disposed around the display area and which has the organic insulating layer; and a blocking area that is formed between the display area and the peripheral circuit area. The blocking area includes: a first blocking area configured by only one or a plurality of inorganic material layers between an insulating base substrate and an electrode layer which covers the display area and is formed continuously from the display area, and which configures one of two electrodes for allowing the light emitting area to emit the light; and a second blocking area including a plurality of layers configuring the first blocking area, and a light emitting organic layer. | 01-08-2015 |
20150008407 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic electroluminescence display device according to the invention includes a TFT substrate, a retroreflective body formed on the TFT substrate so as to correspond to each of pixels, a plurality of organic electroluminescence elements formed on the retroreflective bodies so as to correspond to the pixels, and a pixel separation film adapted to section the pixels, and the pixel separation film is formed in an area corresponding to an area between a boundary between the pixels adjacent to each other and an outer periphery of the retroreflective body. | 01-08-2015 |
20150008408 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS HAVING THE SAME - An organic light emitting diode includes a hole injection layer, a hole transport layer, an optical compensation layer, an emission layer, an electron transport layer and an electron injection layer. The optical compensation layer is disposed on the hole transport layer and includes a phosphorescent host material. Thus, an electron barrier on an interface between the optical compensation layer and an emission layer may be reduced. Thus, the luminance efficiency in a low gray scale area may be decreased, and the stain and roll-off phenomenon in the low gray scale area may be improved. | 01-08-2015 |
20150008409 | ORGANIC LIGHT-EMITTING DEVICE, DISPLAY APPARATUS, IMAGE INFORMATION-PROCESSING APPARATUS, AND IMAGE-FORMING APPARATUS - Provided is an organic light-emitting device having high efficiency and capable of being driven at a low voltage. An organic light-emitting device includes an anode, a cathode, and an organic compound layer including at least an emission layer between the anode and the cathode. The organic light-emitting device includes, between the anode and the emission layer, a first layer including a first organic semiconductor material and a transition metal oxide, and a second layer in contact with the first layer at an interface on a side closer to the anode and including a second organic semiconductor material. The refractive index of the first organic semiconductor material is less than 1.6. The ionization potential of the first organic semiconductor material is equal to or larger than the ionization potential of the second organic semiconductor material. | 01-08-2015 |
20150008410 | ORGANIC LIGHT EMITTING DISPLAY AND FABRICATING METHOD THEREOF - A method of making a display device includes forming first electrodes of organic light emitting diodes in respective pixel areas on a substrate, forming a first common layer on the first electrodes in the pixel areas, forming emission layers in the pixel areas on the first common layer, forming a second electrode of the organic light emitting diodes on the emission layer, and applying physical pressure to divide the first common layer. | 01-08-2015 |
20150008411 | ORGANIC EL DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Increase in resolution of an organic EL display panel is made achievable with a relatively simple process. An emissive layer of an OLED is formed by stacking an R emissive layer, a G emissive layer, and a B emissive layer corresponding to an R color, a G color, and a B color. The glass-transition temperatures T | 01-08-2015 |
20150008412 | BACK-EMITTING OLED DEVICE AND METHOD FOR HOMOGENIZING THE LUMINANCE OF A BACK-EMITTING OLED DEVICE - An OLED device includes a transparent anode, of sheet resistance R1, and a cathode, of sheet resistance R2, the ratio r=R2/R1 ranging from 0.01 to 2.5, a first anode electrical contact, a first cathode electrical contact, arranged above the active zone, and a reflector covering the active zone above an OLED system, and for each point B of the anode contact, the point B being in an edge of the first anodic region, on defining a distance D between B and the point C closest to the point B, and on defining a distance L between the point B and a point X of an opposite edge of the first anodic region from the first edge, and passing through Ci the following criteria are defined:
| 01-08-2015 |
20150008413 | BACK-EMITTING OLED DEVICE - An OLED device includes an anode, which is transparent, anode of a sheet resistance R1, a cathode of sheet resistance R2, the ratio r=R2/R1 ranging from 0.1 to 5, a first anode contact and a second anode contact, spaced from and facing the first anode contact, and a first cathode electrical contact, which is: arranged above the active zone, offset from the first anode contact and from the second anode contact, at every point of the contact surface. | 01-08-2015 |
20150008414 | EL DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF - EL display device with long lifetime for electrons being injected into a light-emission layer at a high rate, and method of manufacturing the EL display device are provided. The EL display device includes: base; pixel electrode on the base; auxiliary wiring on the base, the auxiliary wiring not overlapping with the pixel electrode; light-emission layer above the pixel electrode; charge transport layer above the auxiliary wiring and the pixel electrode, the charge transport layer continuously extending over the auxiliary wiring and the pixel electrode; and common electrode on the charge transport layer, the common electrode continuously extending over the auxiliary wiring and connected with the auxiliary wiring. The common electrode includes at least one metal selected from a group consisting of alkali metals and alkaline-earth metals. | 01-08-2015 |
20150008415 | OLED DEVICE AND MANUFACTURE THEREOF - A method of manufacturing an OLED device, a semi-finished product, and a OLED are described herein. In one embodiments, the method comprises providing an electrically conductive carrier substrate with a first carrier surface and a second carrier surface, assembling at least the first carrier surface a patterned layer of insulating material over an integral area, the layer of insulating material being patterned by a plurality of holes such that an electric access to the first carrier surface is possible, assembling a patterned conductive coating on the insulating material such that the conductive coating enters the holes and covers the insulating material, whereby the conductive coating is patterned such that a number of discrete first electrode areas are formed in the conductive coating, applying an organic light-emitting layer above at least one first electrode area, applying a second electrode layer above the organic light emitting layer. | 01-08-2015 |
20150008416 | SUBSTRATE WITH TRANSPARENT CONDUCTIVE LAYER AND ORGANIC ELECTROLUMINESCENCE DEVICE - A substrate with a transparent conductive layer | 01-08-2015 |
20150008417 | DISPLAY DEVICE - An EC region (second region) of an organic EL display device ( | 01-08-2015 |
20150008418 | ELECTRONIC DEVICE INSULATING LAYER, AND METHOD FOR PRODUCING ELECTRONIC DEVICE INSULATING LAYER - An object of the present invention is to provide an electronic device insulating layer which may improve characteristics of an electronic device. The means for solving the object is an electronic device insulating layer comprising a first insulating layer formed from a first insulating layer material and a second insulating layer formed on the first insulating layer from a second insulating layer material, the first insulating layer material being an insulating layer material comprising a photosensitive resin material (A), a tungsten (V) alkoxide (B) and a basic compound (C), the second insulating layer material being an insulating layer material comprising a polymer compound (D) which contains a repeating unit containing a cyclic ether structure and a repeating unit having an organic group capable of producing a phenolic hydroxyl group by the action of an acid. | 01-08-2015 |
20150008419 | MICROCAVITY OLED DEVICE WITH NARROW BAND PHOSPHORESCENT EMITTERS - A microcavity organic light emitting diode (OLED) device is disclosed having a narrow-band phosphorescent emitter. | 01-08-2015 |
20150008420 | THIN FILM TRANSISTOR WITH A CURRENT-INDUCED CHANNEL - A thin film transistor (TFT) includes a hole transport layer having a first side and a second side and an electron transport layer having a first side and a second side. The first side of the electron transport layer is directly interfaced to the second side of the hole transport layer. The electron transport layer includes a material having greater ionization potential and greater electron affinity than the hole transport layer, thereby forming a hole barrier and an electron barrier at the junction between the electron transport layer and the hole transport layer. A channel in the TFT is created by current injected into the electron transport layer from a gate electrode rather than by an electrostatic field generated by voltage applied to the gate electrode. The accumulated charge density in the channel of the TFT can be significantly larger than what can be generated through field effect principle, therefore a much lower gate voltage is needed than in a conventional TFT. | 01-08-2015 |
20150008421 | Lighting Device - To reduce the thickness of a lighting device which uses an electroluminescent material and to simplify the structure of a lighting device which uses an electroluminescent material, in the lighting device of the present invention: a terminal electrically connecting a light-emitting element included in the lighting device to the outside is formed over the same surface of a substrate as the light-emitting element; and the terminal is formed at the center of the substrate while the light-emitting element is stacked. In addition, the lighting device has a structure in which the light-emitting element is not easily deteriorated. | 01-08-2015 |
20150008422 | ORGANIC LIGHT EMITTING DEVICE - Provided are an organic light emitting device (OLED) and lighting. The illustrative OLED may minimize light absorption of a reflective electrode layer and evanescent coupling by surface plasmon, and exhibit excellent emitting efficiency. | 01-08-2015 |
20150008423 | BISCARBAZOLE DERIVATIVE, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A biscarbazole derivative of the invention is represented by a formula (1) below. | 01-08-2015 |
20150008424 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - Provided are a substrate for an organic electronic device, an organic electronic device and lighting. As a substrate for an OED such as an OLED, a substrate capable of providing an organic electronic system having excellent performance and reliability may be provided. | 01-08-2015 |
20150008425 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - Provided are a substrate for an organic electronic device (OED), an OED, and lighting. The substrate capable of forming an OED may have excellent performances including light extraction efficiency and prevent penetration of moisture or a gas from an external environment, and thus an OED having excellent performance and durability may be provided. | 01-08-2015 |
20150014634 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode display includes a substrate, a first electrode which is disposed on the substrate, a trench defined in a top surface of the first electrode, and a hole injection layer which is disposed in the trench. | 01-15-2015 |
20150014635 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND FABRICATION METHOD THEREOF - An organic light-emitting display apparatus and a fabrication method thereof are disclosed. The organic light-emitting display apparatus may include, for example, a flexible substrate, a plurality of barrier layers formed on the flexible substrate, a thin film transistor (TFT) formed on the barrier layers, the TFT including a semiconductor active layer, and at least one thermal emission layer formed between the barrier layers, an organic light-emitting device (OLED) electrically connected to the TFT, formed on the barrier layers, and an encapsulation portion encapsulating the OLED. | 01-15-2015 |
20150014636 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus is provided. The display apparatus includes a pixel-defining layer disposed on a substrate, wherein the pixel-defining layer defines an emission region and a non-emission region, an organic light-emitting device disposed in the emission region, and a protruding portion disposed on a portion of the pixel-defining layer in the non-emission region. The display apparatus also includes a thin film encapsulating layer disposed on the substrate for sealing the organic light-emitting device and the protruding portion, the thin film encapsulating layer comprising at least one organic film and at least one inorganic film, wherein at least one organic film corresponds to a functional organic film, and a height of a first upper surface of the functional organic film disposed away from the protruding portion is lower than a height of a second upper surface of the functional organic film disposed near a top of the protruding portion. | 01-15-2015 |
20150014637 | THIN FILM TRANSISTOR, AND THIN FILM TRANSISTOR ARRAY PANEL AND ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME - A thin film transistor includes a semiconductor which is disposed on a substrate and includes a source region, a drain region and a channel region, a gate insulating layer disposed on the semiconductor, a gate electrode disposed on the gate insulating layer, an interlayer insulating layer disposed on the gate electrode, contact holes defined in the interlayer insulating layer, the contact holes respectively exposing the source region and the drain region of the semiconductor, and a source electrode and a drain electrode which are disposed on the interlayer insulating layer and respectively contact the source region and the drain region through the contact holes, where at least one of the contact holes exposing the source region and the drain region obliquely traverses the semiconductor. | 01-15-2015 |
20150014638 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes a scan line, a data line, a driving voltage line, a switching transistor, a driving transistor and an OLED. The scan line is formed on a substrate to transmit a scan signal. The data line and the driving voltage line, intersecting the scan line, transmit a data signal and a driving voltage, respectively. The switching transistor, electrically coupled to the scan line and the data line, includes a switching semiconductor layer, a switching gate electrode, and a gate insulating layer having a first thickness. The driving transistor, electrically coupled to the switching drain electrode, includes a driving semiconductor layer, a driving gate electrode and a gate insulating layer having a second thickness. The OLED is electrically coupled to the driving drain electrode. The data line and the driving voltage line are formed with different layers from each other. | 01-15-2015 |
20150014639 | ORGANIC LIGHT EMITTING DIODE DISPLAY HAVING REDUCED POWER CONSUMPTION - An OLED display according to an exemplary embodiment includes: a substrate; a plurality of thin film transistors formed on the substrate; unit pixels formed on the thin film transistors and including first to third organic emission layers which are at least parts of respective sub-pixels; a first common electrode formed on the first organic emission layer; a second common electrode formed on the second organic emission layer; and a third common electrode formed on the third organic emission layer, wherein the first and second common electrodes are electrically insulated from each other. | 01-15-2015 |
20150014640 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An OLED display includes a substrate, an organic light emitting element formed on the substrate, and an encapsulation body formed on the organic light emitting element, and the encapsulation body includes a first structure having a receiving portion and a second structure having thermal conductivity and received in the receiving portion. | 01-15-2015 |
20150014641 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display according to the present invention includes a substrate; a driving gate electrode formed on the substrate; and a first gate insulating layer covering the substrate and the driving gate electrode. A semiconductor layer formed on the first gate insulating layer and including a switching semiconductor layer and a driving semiconductor layer separated from each other. A second gate insulating layer disposed covering the semiconductor layer. A switching gate electrode formed on the second gate insulating layer and overlapping the switching semiconductor layer. An interlayer insulating layer is disposed covering the switching gate electrode and the second gate insulating layer, wherein a thickness of the first gate insulating layer is thicker a thickness of the second gate insulating layer. | 01-15-2015 |
20150014642 | DONOR SUBSTRATE AND METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DIODE DISPLAY - A donor substrate for a laser transfer includes a base layer, a primer layer disposed on the base layer, a light-to-heat conversion layer disposed on the primer layer, and an intermediate layer disposed on the light-to-heat conversion layer, where the light-to-heat conversion layer includes graphene. | 01-15-2015 |
20150014643 | DONOR SUBSTRATE FOR TRANSFER AND MANUFACTURING METHOD OF ORGANIC LIGHT EMITTING DIODE DISPLAY - A donor substrate includes: a support layer; a first light absorption layer disposed on the support layer; a buffer layer disposed on the first absorption layer; a second light absorption layer disposed on the buffer layer; and a transfer layer disposed on the second absorption layer, wherein the buffer layer includes a transparent oxide film. | 01-15-2015 |
20150014644 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A display device includes a bottom member, a display panel, a top member and a groove region. The display panel is disposed on the bottom member, and includes an organic layer. The top member is disposed on the display panel. The groove region is formed by removing at least one of the top member or the bottom member at a bending region of the display device. | 01-15-2015 |
20150014645 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode facing the first electrode; an emission layer between the first electrode and the second electrode; a first hole transport layer between the first electrode and the emission layer; and a second hole transport layer between the first hole transport layer and the emission layer, wherein the first hole transport layer includes a first compound represented by Formula 1 and the second hole transport layer includes a second compound represented by Formula 2: | 01-15-2015 |
20150014646 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND RELATED MANUFACTURING METHOD - An organic light emitting display apparatus includes a substrate and includes an organic light emitting device that overlaps the substrate and includes an organic layer. The organic light emitting display apparatus further includes a planarization layer that overlaps the organic light emitting device and includes an organic material, wherein the organic light emitting device is disposed between the substrate and the planarization layer. The organic light emitting display apparatus further includes an encapsulation layer that overlaps the planarization layer and includes an inorganic material, wherein the planarization layer is disposed between the organic light emitting device and a portion of the encapsulation layer. The organic light emitting display apparatus further includes an intermediate layer that is disposed between the planarization layer and the portion of the encapsulation layer. | 01-15-2015 |
20150014647 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS - An organic light emitting display apparatus having improved impact resistance includes a bottom substrate including a display area and a peripheral area surrounding the display area; a plurality of organic light emitting devices arranged in the display area of the bottom substrate; a top substrate corresponding to the bottom substrate; a sealing member, which is arranged in the peripheral area of the bottom substrate and attaches the bottom substrate to the top substrate; and an anti-impact member, which is arranged in the peripheral area of the bottom substrate, is apart from the sealing member, and protrudes from the bottom substrate toward the top substrate. | 01-15-2015 |
20150014648 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate; a device/wiring layer formed on the substrate, including a plurality of thin film transistors (TFTs); an emitting layer formed on the device/wiring layer, including a lower electrode of a capacitor and a plurality of organic light-emitting diodes (OLEDs); an encapsulating layer formed to cover the emitting layer; and an upper electrode of the capacitor formed on the encapsulating layer. | 01-15-2015 |
20150014649 | Organic Light Emitting Diode Materials - The present invention relates to novel organic compounds containing a triphenylene and a carbazole. The compounds are useful for organic light-emitting diodes. The compounds are also useful for charge-transport and charge-blocking layers, and as hosts in the light-emissive layer for organic light emitting devices (OLEDs). | 01-15-2015 |
20150014650 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes a transmission region and a reflection region. When external light is incident on the OLED display, a reflection rate of the external light of the reflection region is proportional to luminance of the transmission region. The transmission region includes a pixel electrode, an organic emission layer, and a common electrode. The transmission region is configured to transmit light emitted from the organic emission layer to a first direction. The reflection region includes a control electrode, liquid crystal capsules, and the common electrode. The reflection region is configured to reflect the external light to the first direction. | 01-15-2015 |
20150014651 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS HAVING THE SAME - An organic light emitting diode includes a hole injection layer, a hole transport layer, an emission layer, an electron transport layer and an electron injection layer. The hole transport layer is disposed on the hole injection layer. The emission layer is disposed on the hole transport layer. The electron transport layer is disposed on the emission layer and including at least one selected from an anthracene derivative and a pyrene derivative. The electron injection layer is disposed on the electron transport layer. The organic light emitting diode includes a material that electron mobility is lower than a traditional material of the electron transport layer. Thus, a stain and a roll-off phenomenon in the low gray scale area may be improved. | 01-15-2015 |
20150014652 | METHOD OF FABRICATING A SPUTTERING TARGET, SPUTTERING TARGET FABRICATED BY USING THE METHOD, AND AN ORGANIC LIGHT-EMITTING DISPLAY APPARATUS FABRICATED USING THE SPUTTERING TARGET - A method of fabricating a sputtering target, a sputtering target fabricated by the method, and an organic light-emitting display apparatus fabricated by using the sputtering target. The sputtering target may be used for forming a thin film encapsulation layer. The sputtering target includes tin oxide as a main component, and a copper fluoride compound as a dopant. | 01-15-2015 |
20150014653 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light emitting display apparatus. The apparatus may include a substrate including a display region where an image is realized and a non-display region surrounding the display region. The apparatus includes an organic light emitting unit including a first electrode, an intermediate layer, and a second electrode, which are disposed in the display region and are sequentially stacked on the substrate. The apparatus also includes a first inorganic film including a first low temperature viscosity transition (LVT) inorganic material having a first viscosity transition temperature, and covering the organic light emitting unit; and a second inorganic film including a second LVT inorganic material having a second viscosity transition temperature lower than the first viscosity transition temperature, and formed in the non-display region. | 01-15-2015 |
20150014654 | SYSTEM AND METHOD FOR PRODUCING ELECTROLUMINESCENT DEVICES - The present disclosure provides an electroluminescent device comprising a light-emitting layer containing a blue or a blue-green fluorescent light emitting material that is comprised of a dialkyl-gallium moiety coordinated to a bidentate nitrogen bonding chelating ligand to form a gallium containing 6-membered heteroatom ring. The invention also provides a display or area lighting device including the OLED device, a process for emitting light, and a dialkyl-gallium chelate complex. The device provides unexpected and useful light emissions in the blue and green regions of the visible spectrum. | 01-15-2015 |
20150014655 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device includes first through third pixels, wherein each of the first through third pixels comprises a first electrode, a second electrode which faces the first electrode, an organic light-emitting layer which is disposed between the first electrode and the second electrode. The first pixel includes a first color filter material, the second pixel includes a second color filter material, and the third pixel includes a third color filter material. The third pixel comprises a first transmitting region in which the third color filter material is not disposed and which is configured to allow a substantial amount of light emitted from the organic light-emitting layer of the third pixel to transmit therethrough. | 01-15-2015 |
20150014656 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device is represented by the following Chemical Formula 1. | 01-15-2015 |
20150014657 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device includes a first electrode and a second electrode facing to each other; and an organic layer between first electrode and the second electrode. The organic layer includes an assistance layer on the first electrode and an emission layer on the assistance layer. The assistance layer includes a compound represented by Chemical Formula 1: | 01-15-2015 |
20150014658 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - Disclosed are an organic light emitting diode display and a method for manufacturing the same. The organic light emitting diode display includes: a driving switching element; a pixel electrode connected with the driving switching element; an auxiliary electrode separated from the pixel electrode and positioned in a same layer as the pixel electrode; an organic common layer positioned on the pixel electrode and the auxiliary electrode and including a contact hole positioned on the auxiliary electrode; and a common electrode positioned on the organic common layer and connected with the auxiliary electrode through the contact hole; and the auxiliary electrode includes a light absorbing layer. | 01-15-2015 |
20150014659 | IRIDIUM COMPLEX AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organic light-emitting device including an iridium complex represented by Formula 1: | 01-15-2015 |
20150014660 | ORGANIC LIGHT EMITTING DISPLAY PANEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE HAVING THE SAME - An organic light emitting display panel includes a substrate, an organic light emitting diode disposed on a first side of the substrate, and a first light scattering layer disposed on a second side of the substrate opposite to the first side of the substrate, where the first light scattering layer includes a transparent thin layer including an indium, and a plurality of first micro-lenses is disposed on a plasma-treated side of the first light scattering layer. | 01-15-2015 |
20150014661 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING AN ORGANIC LIGHT EMITTING DISPLAY DEVICE - A organic light emitting display device including a first substrate on which red, green, and blue pixels are formed, the red, green, and blue pixels display including a plurality of first electrodes disposed corresponding to the red, green, and blue pixels, respectively, a plurality of organic light emitting structures emitting white light disposed above the first electrodes, respectively, a plurality of second electrodes respectively disposed on the organic light emitting structures, and a plurality of secondary transparent electrodes disposed between the first electrodes and the organic light emitting structures. The secondary transparent electrodes have different thicknesses from each other by a printing process such that red light, green light, and blue light are respectively emitted by the red, green, and blue pixels when the white light causes resonance to occur between the first electrodes and the second electrodes. | 01-15-2015 |
20150014662 | METHOD FOR PIXEL ARRANGEMENT AND DISPLAY PANNEL USING THE SAME - One embodiment of the present invention discloses a method for pixel arrangement and a display using the same. The display areas are divided into a plurality of rectangular regions which have the same area according to a plurality of vertical grids which own the same distance between the adjacent. According to pre-positioning horizontal axis lines and pre-positioning vertical axis lines, each of the rectangular regions is divided into four parts including an upper left part, an upper right part, a lower left part and a lower right part. Each of the four parts are divided into two sub-pixel areas by a line which is inside the part. The line connects the angle point of the region which the part belongs to and the cross point of the horizontal axis line and the vertical axis line of the region which the part belongs to. | 01-15-2015 |
20150014663 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND THE METHOD FOR MANUFACTURING THE SAME - Provided is an organic light-emitting display apparatus including a hybrid protective film. The organic light-emitting display apparatus includes a substrate, a display unit disposed on the substrate and including an organic light-emitting device (OLED), and an encapsulation unit encapsulating the display unit and including the hybrid protective film. The hybrid protective film includes an inorganic part layer where carbon is removed, an organic part layer where carbon is contained in a predetermined amount, and a gradient part layer disposed between the inorganic part layer and the organic part layer and increasing an amount of carbon as being more contiguous to the organic part layer. | 01-15-2015 |
20150014664 | Semiconductor Device and Method of Manufacturing Same - A FET is formed on a semiconductor substrate, a curved surface having a radius of curvature is formed on an upper end of an insulation, a portion of a first electrode is exposed corresponding to the curved surface to form an inclined surface, and a region defining a luminescent region is subjected to etching to expose the first electrode. Luminescence emitted from an organic chemical compound layer is reflected by the inclined surface of the first electrode to increase a total quantity of luminescence taken out in a certain direction. | 01-15-2015 |
20150014665 | Light Emitting Device - An objective is to increase the reliability of a light emitting device structured by combining TFTs and organic light emitting elements. A TFT ( | 01-15-2015 |
20150014666 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - An aromatic amine derivative is represented by a formula (1) below. In the formula (1), R | 01-15-2015 |
20150014667 | OLED DEVICE AND MANUFACTURING METHOD THEREOF, DISPLAY APPARATUS - An OLED device, comprising: a first electrode ( | 01-15-2015 |
20150014668 | RADIATION-EMITTING ORGANIC COMPONENT - The invention relates to a radiation-emitting, organic component comprising a radiation-permeable carrier body ( | 01-15-2015 |
20150014669 | METAL COMPLEX AND LIGHT-EMITTING DEVICE CONTAINING THE METAL COMPLEX - A metal complex exhibits blue light emission of high color purity and has a color purity of small temperature dependence, particularly in the blue region. Specifically, the metal complex is represented by Formula (1a): | 01-15-2015 |
20150014670 | ELECTROLUMINESCENCE FROM A PLURALITY OF ELECTROLUMINESCENT ZONES IN A LATERALLY SEPARATED ARRANGEMENT - A white light emitting device having an anode and a cathode and therebetween an organic electroluminescent layer which emits white light on the provision of a current between the anode and the cathode, said organic electroluminescent layer comprising a plurality of electroluminescent zones in laterally separated arrangement, the first of said electroluminescent zones comprising a first polymer and the second of said electroluminescent zones comprising a second polymer, wherein the first polymer comprises a fluorescent blue light emitting species and the second polymer comprises a fluorescent green light emitting species, said first and/or second zones further comprising a phosphorescent red light emitting species, such that together with the blue emitting species results in the emission of white light, said first and second polymers being physically incompatible so that separation of the zones pertains. | 01-15-2015 |
20150014671 | ANTHRACENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING THE SAME - This organic electroluminescent element is manufactured by using an anthracene derivative having a pyridyl aryl group substituted with an alkyl represented by formula (1) as an electron transport material, and satisfies characteristics such as the following in an adequate and well-balanced manner: improves the external quantum efficiency of the light-emitting element, which is generally required by the electron transport material; reduces the drive voltage of the light-emitting element; and increases the life of the element. (In formula (1), Ar is a divalent or trivalent benzene or naphthalene; R is hydrogen or an alkyl with a carbon number of 1 to 6, but all of the Rs never simultaneously form hydrogen; and R | 01-15-2015 |
20150014672 | COMPOSITE SUBSTRATE, MANUFACTURING METHOD OF THE SAME AND ORGANIC ELECTROLUMINESCENCE DEVICE - A composite substrate includes a moisture-proof substrate, and a resin substrate pasted on a surface of the moisture-proof substrate. The resin substrate is formed to be smaller than the moisture-proof substrate in planar view. An end side of the resin substrate is an inclined face that is inclined inward. In an organic electroluminescence device, an organic light-emitting multilayer provided on a surface of the resin substrate is sealed with a sealing member. A moisture-proof film coats at least part of the surface of the resin substrate in which no lead-out electrode is formed. | 01-15-2015 |
20150014673 | NOVEL CHALCOGEN-CONTAINING ORGANIC COMPOUND AND USE THEREOF - [Problem] To provide an organic compound that is easy to synthesize, and has excellent chemical stability, semiconductor characteristics (high carrier mobility) and high solubility in a solvent. | 01-15-2015 |
20150014674 | ORGANIC LIGHT-EMITTING DIODE - In at least one embodiment of the organic light-emitting diode ( | 01-15-2015 |
20150014675 | BLUE LUMINESCENT COMPOUNDS - There is provided a compound having Formula I | 01-15-2015 |
20150021555 | DONOR-ACCEPTOR COMPOUNDS WITH NITROGEN CONTAINING HETEROPOLYAROMATICS AS THE ELECTRON ACCEPTOR - Luminescent materials including donor-acceptor compounds with a high triplet energy heteropolyaromatic system, namely, dibenzofuran, dibenzothiophene and dibenzoselenophene with one or multiple nitrogens in the ring as the electron acceptor for use as emitters in organic light emitting diodes is disclosed. | 01-22-2015 |
20150021556 | BICARBAZOLE CONTAINING COMPOUNDS - The present disclosure generally relates to novel compounds containing carbazole and triazine with different number of phenyl units attached to its core. In particular, the disclosure relates to compositions and/or devices comprising these compounds as hosts for PHOLEDs. | 01-22-2015 |
20150021557 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Compounds according to Formula I, devices containing the same and formulations containing the same are described. | 01-22-2015 |
20150021558 | ORGANIC LIGHT-EMITTING DIODE, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode includes a first electrode, an intermediate layer on the first electrode, and a second electrode on the intermediate layer. The intermediate layer includes an emission layer including an organic material, and a functional layer between the second electrode and the emission layer and including at least one of a metal compound and a semiconductor compound including at least one of an oxygen atom and a sulfur atom. An oxygen concentration in the functional layer increases toward the second electrode, and a sulfur concentration in the functional layer increases toward the emission layer. | 01-22-2015 |
20150021559 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a display substrate, a display panel on the display substrate and including a pixel region including an organic light-emitting device (OLED), and a non-pixel region, and an encapsulation substrate for encapsulating the display panel, wherein the encapsulation substrate defines at least one groove therein in which a color filer is located. | 01-22-2015 |
20150021560 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting display apparatus includes a plurality of first electrodes disposed in each of a plurality of pixels on a substrate, a plurality of lower auxiliary electrodes insulated from the first electrodes and in which the lower auxiliary electrodes are disposed in a first direction, an organic layer disposed on the first electrodes, and a second electrode facing the first electrodes and covering the organic layer. The second electrode is disposed on substantially an entire surface of the substrate. The organic light emitting display apparatus further includes a plurality of upper auxiliary electrodes disposed on the second electrode in a second direction. | 01-22-2015 |
20150021561 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS INCLUDING THE SAME - A thin film transistor array substrate includes: a driving thin film transistor including an active layer having a bent shape, where the active layer includes: a first active pattern extending substantially in a first direction; and a second active pattern extending substantially in a second direction perpendicular to the first direction and connected to the first active pattern, and a gate electrode disposed on the active layer, where gate electrode overlaps the first active pattern and exposes the second active pattern; and a capacitor including a first electrode defined by the gate electrode of the driving thin film transistor, and a second electrode disposed on the first electrode, where the second electrode overlaps substantially an entire surface of the first electrode. | 01-22-2015 |
20150021562 | DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - Disclosed is a display panel including: a flexible substrate; a buffer layer disposed on the flexible substrate; a pixel disposed on the buffer layer and comprising a thin film transistor and an image device connected to the thin film transistor; a barrier layer disposed on the flexible substrate to protect the pixel from a substance from the flexible substrate; and a diffusion prevention layer disposed between the barrier layer and the buffer layer and configured to prevent hydrogen generated from the barrier layer from being diffused into the thin film transistor. | 01-22-2015 |
20150021563 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - Disclosed are a compound for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode, and the compound is represented by the following Chemical Formula 1. | 01-22-2015 |
20150021564 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (“OLED”) display includes: a substrate divided into a pixel area, and a peripheral area enclosing the pixel area; an OLED in the pixel area and including a first electrode, an organic emission layer and a second electrode; a common voltage line in the peripheral area and transmitting a common voltage to the second electrode; and a reaction blocking part overlapping the common voltage line. | 01-22-2015 |
20150021565 | ORGANIC LIGHT-EMTTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING SAME - An organic light-emitting display apparatus including: a substrate; a display unit having a plurality of organic light-emitting devices on the substrate; an encapsulating layer sealing up the display unit; and a protective layer between the display unit and the encapsulating layer, wherein each of the plurality of organic light-emitting devices includes: a pixel electrode; an intermediate layer on the pixel electrode, the intermediate layer including an organic emission layer; and an opposite electrode on the intermediate layer, and the protective layer includes: a capping layer covering the opposite electrode; and a blocking layer on the capping layer. | 01-22-2015 |
20150021566 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode display includes a substrate, a first electrode and an assistance electrode disposed on the substrate and separated from each other, an organic emission layer disposed on the first electrode, a contact hole which exposes the assistance electrode and is defined in the organic emission layer, and a second electrode disposed on the organic emission layer and electrically connected to the assistance electrode through the contact hole. | 01-22-2015 |
20150021567 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a first substrate, an organic light emitting element, a sealant, and a second substrate. The first substrate includes a first groove disposed in a display area of the organic light emitting diode display and a second groove disposed outside the display area. The organic light emitting element is at least partially disposed in the first groove. The sealant is at least partially disposed in the second groove. The second substrate is disposed on the first substrate. The organic light emitting element is sealed between the first substrate and the second substrate via at least the sealant. | 01-22-2015 |
20150021568 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus including a substrate, a display unit arranged on the substrate, an encapsulation substrate arranged on the display unit, a first filler provided between the substrate and the encapsulation substrate, a second filler provided between the substrate and the encapsulation substrate and separate from the first filler, and a sealant provided between the first filler and the second filler and bonding the substrate and the encapsulation substrate. | 01-22-2015 |
20150021569 | DISPLAY APPARATUS - A display apparatus and a filter for improving color purity (color saturation filter) are disclosed. In one aspect, the display apparatus includes a substrate, a display device formed on the substrate and having a plurality of pixel areas that emit different colors of light, and a color saturation filter on the display device. The color saturation filter is formed with a substantially uniform thickness over the plurality of pixel areas and has a transmissivity such that a full width at half maximum at the central wavelength of blue light emitted from one of the pixel areas is about 100 nm or less. | 01-22-2015 |
20150021570 | Flexible Display Device and Method of Fabricating the Same - A flexible display device includes a display panel including a plastic substrate where an organic light emitting diode and a thin film transistor are formed; a circuit portion applying a power signal and a data signal, which are supplied from an external portion, to the display panel; and a support member coupled to the plastic substrate, wherein the display panel and the circuit portion are bent around the support member. | 01-22-2015 |
20150021571 | DISPLAY UNIT, METHOD OF MANUFACTURING DISPLAY UNIT, AND ELECTRONIC APPARATUS - A display unit includes: a first substrate including a plurality of light-emission devices in a display region, the plurality of light-emission devices each including an organic layer between a first electrode and a second electrode; a second substrate disposed to face the first substrate with the light-emission devices interposed therebetween; a first peripheral electrode provided on the second substrate and positioned outside the display region; a first wiring provided on the second substrate and configured to be electrically connected to the first peripheral electrode; and a first connection section configured to electrically connect the first wiring and each of the light-emission devices. | 01-22-2015 |
20150021572 | THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A thin film transistor includes: a gate electrode and a pair of source and drain electrodes; and a semiconductor layer having a channel formed therein, and having a pair of connection sections connected to the pair of source and drain electrodes, respectively, wherein one or both of opposed surfaces of the pair of connection sections is a non-flat surface. | 01-22-2015 |
20150021573 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a first substrate, a pixel array, metal patterns, a second substrate, and a frit. The pixel array is disposed on a first substrate. The metal patterns are arranged on the first substrate to surround the pixel array. The second substrate is disposed on the first substrate. The frit is disposed between the first substrate and the second substrate, the frit covering the metal patterns. | 01-22-2015 |
20150021574 | MATERIAL FOR AN ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A material for an organic electroluminescence device and an organic electroluminescence device using the same, the material being represented by the following Formula 1: | 01-22-2015 |
20150021575 | INDOLOCARBAZOLE DERIVATIVE HAVING BIPYRIDINE UNIT AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - An indolocarbazole derivative having a bipyridine derivative is represented by formula [Idc] | 01-22-2015 |
20150021576 | MATERIAL FOR AN ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE INCLUDING THE SAME - A material for an electroluminescence device and an electroluminescence device including the same, the material being represented by following Formula 1: | 01-22-2015 |
20150021577 | Organic Compound, Light-Emitting Element, Display Module, Lighting Module, Light-Emitting Device, Display Device, Lighting Device, and Electronic Device - A novel organic compound that can be used as a carrier-transport material, a host material, or a light-emitting material in a light-emitting element is provided. Specifically, an organic compound that can give a light-emitting element having favorable characteristics even when the organic compound is used in a light-emitting element emitting phosphorescence is provided. The organic compound has a bipyridine skeleton formed by two pyridine skeletons to each of which a dibenzothiophenyl group or a dibenzofuranyl group is bonded via an arylene group. | 01-22-2015 |
20150021578 | SOLID-STATE IMAGING DEVICE AND ELECTRONIC APPARATUS - A solid-state imaging device includes: a plurality of laminated photoelectric conversion sections; a reading section configured of a semiconductor region formed inside a semiconductor substrate and reading electric charge that has been subjected to photoelectric conversion in the photoelectric conversion sections; a charge accumulation section accumulating the electric charge read by the reading section; and a light shielding structure shielding, from light, a portion other than the reading section and the photoelectric conversion sections. | 01-22-2015 |
20150021579 | LIGHT-EMITTING ELEMENT - A light-emitting element having extremely high efficiency of approximately 25% is provided. The light-emitting element includes a light-emitting layer which contains a phosphorescent guest, an n-type host, and a p-type host, where the light-emitting layer is interposed between an n-type layer including the n-type host and a p-type layer including the p-type host, and where the n-type host and the p-type host are able to form an exciplex in the light-emitting layer. The light-emitting element exhibits an extremely high emission efficiency (power efficiency of 74.3 lm/W, external quantum efficiency of 24.5%, energy efficiency of 19.3%) at a low driving voltage (2.6 V) at which luminance of 1200 cd/m | 01-22-2015 |
20150021580 | ORGANIC LIGHT EMITTING DISPLAY - An organic light emitting display is disclosed. In one embodiment, the display includes 1) a substrate, 2) a plurality of pixels formed on the substrate, wherein each of the pixels comprises at least one circuit region including i) a first light emission area, ii) a second light emission area iii) at least one transmission area transmitting external light, and iv) a pixel circuit unit and 3) a first pixel electrode formed in the first light emission area and electrically connected to the pixel circuit unit, wherein the first pixel electrode comprises a first transparent conductive layer and a reflective layer. The display may further include 1) a second pixel electrode formed in the second light emission area and electrically connected to the first pixel electrode, wherein the second pixel electrode comprises a second transparent conductive layer, 2) a first opposite electrode substantially directly below or above the first pixel electrode, 3) a second opposite electrode substantially directly below or above the second pixel electrode and 4) an organic emission layer formed between the first pixel electrode and the first opposite electrode and between the second pixel electrode and the second opposite electrode. | 01-22-2015 |
20150021581 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display and a manufacturing method thereof, and more particularly, an organic light emitting diode display having improved light extraction efficiency by forming both a first electrode and a second electrode as reflective electrodes to guide generated light to the side of a pixel, and a manufacturing method thereof. | 01-22-2015 |
20150021582 | NON-COMMON CAPPING LAYER ON AN ORGANIC DEVICE - A first method comprises providing a plurality of organic light emitting devices (OLEDs) on a first substrate. Each of the OLEDs includes a transmissive top electrode. The plurality of OLEDs includes a first portion of OLEDs and a second portion of OLEDs that is different from the first portion. The first method further includes depositing a first capping layer over at least the first portion of the plurality of OLEDs such that the first capping layer is optically coupled to at least the first portion of the plurality of OLEDs. A second capping layer is deposited over at least the second portion of the plurality of OLEDs such that the second capping layer is optically coupled to the second portion of the plurality of OLEDs but not the first portion of the plurality of OLEDs. | 01-22-2015 |
20150021583 | LIGHT-EMITTING DEVICE, DISPLAY DEVICE, AND METHOD FOR MANUFACTURING THE SAME - It is known that a light-emitting element utilizing organic EL deteriorates due to moisture. Therefore, a sealing technique to prevent moisture permeation is important. A light-emitting device including a light-emitting element utilizing organic EL is manufactured over a support substrate having flexibility and a high heat dissipation property (e.g., stainless steel or duralumin), and the light-emitting device is sealed with a stack body having moisture impermeability and a high light-transmitting property or with glass having moisture impermeability and a high light-transmitting property and having a thickness greater than or equal to 20 μm and less than or equal to 100 μm. | 01-22-2015 |
20150021584 | Integrated Touch Screen - In one embodiment, an apparatus includes a display stack for a touch-sensitive screen. The display stack comprises a plurality of layers in which a top layer comprises a substantially transparent cover layer. The display stack is configured to display a color image. The apparatus also includes a touch sensor provided within the display stack. The touch sensor comprises a plurality of first conductive electrodes contacting a layer of a subset of the plurality of layers of the display stack. The subset of the plurality of layers is below the substantially transparent cover layer. The touch sensor also includes a plurality of second conductive electrodes contacting a layer of the subset of the plurality of layers. | 01-22-2015 |
20150021585 | COMPOSITION FOR ORGANIC LIGHT-EMITTING DIODE, ORGANIC LIGHT-EMITTING LAYER INCLUDING SAME, AND ORGANIC LIGHT-EMITTING DIODE - Provided is a composition for an organic light emitting diode comprising a compound for an organic optoelectric device represented by Chemical Formula S-1; and a compound for an organic optoelectric device represented by Chemical Formula X-1, and an organic emission layer and organic light emitting diode. | 01-22-2015 |
20150021586 | DEUTERATED COMPOUNDS FOR ELECTRONIC APPLICATIONS - This invention relates to deuterated aryl-anthracene compounds that are useful in electronic applications. It also relates to electronic devices in which the active layer includes such a deuterated compound. | 01-22-2015 |
20150021587 | COMPOSITION FOR ORGANIC ELECTROLUMINESCENT ELEMENTS ANDORGANIC ELECTROLUMINESCENT ELEMENT - The objection of invention is to provide a composition for organic electroluminescent element having a smaller amount of foreign substance. The invention is a composition for organic electroluminescent element, which is for forming at least one layer selected from the group consisting of a light emitting layer, a hole injection layer and a hole transportation layer, wherein the composition comprises an aromatic amine polymer having a weight average molecular weight of 3,000 to 1,000,000 and a solvent, and a Zn concentration in the composition is less than 0.5 ppm. | 01-22-2015 |
20150021588 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A high-quality light emitting device is provided which has a long-lasting light emitting element free from the problems of conventional ones because of a structure that allows less degradation, and a method of manufacturing the light emitting device is provided. After a bank is formed, an exposed anode surface is wiped using a PVA (polyvinyl alcohol)-based porous substance or the like to level the surface and remove dusts from the surface. An insulating film is formed between an interlayer insulating film on a TFT and the anode. Alternatively, plasma treatment is performed on the surface of the interlayer insulating film on the TFT for surface modification. | 01-22-2015 |
20150021589 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - Embodiments may disclose an organic light-emitting display device including a first substrate including a pixel area emitting light in a first direction, and a transmittance area that is adjacent to the pixel area and transmits external light; a second substrate facing the first substrate and encapsulating a pixel on the first substrate; an optical pattern array on the first substrate or the second substrate to correspond to the transmittance area, the optical pattern array being configured to transmit or block external light depending on the transmittance area according to a coded pattern; and a sensor array corresponding to the optical pattern array, the sensor array being arranged in a second direction that is opposite to the first direction in which the light is emitted, the second array receiving the external light passing through the optical pattern array. | 01-22-2015 |
20150021590 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Organometallic compounds comprising an imidazole carbene ligand having a N-containing ring fused to the imidazole ring are provided. In particular, the N-containing ring fused to the imidazole ring may contain one nitrogen atom or more than one nitrogen atom. These compounds may demonstrate high photoluminescent (PL) efficiency, Gaussian emission spectra, and/or short excited state lifetimes. These materials may be especially useful as blue phosphorescent emitters. | 01-22-2015 |
20150028289 | ACTIVE MATRIX USING HYBRID INTEGRATED CIRCUIT AND BIPOLAR TRANSISTOR - A hybrid integrated circuit device includes a semiconductor-on-insulator substrate having a base substrate, a semiconductor layer and a dielectric layer disposed therebetween, the base substrate being reduced in thickness. First devices are formed in the semiconductor layer, the first devices being connected to first metallizations on a first side of the dielectric layer. Second devices are formed in the base substrate, the second devices being connected to second metallizations formed on a second side of the dielectric layer opposite the first side. A through via connection is configured to connect the first metallizations to the second metallizations through the dielectric layer. Pixel circuits and methods are also disclosed. | 01-29-2015 |
20150028290 | HETEROLEPTIC OSMIUM COMPLEX AND METHOD OF MAKING THE SAME - A method of making an osmium(II) complex having Formula I, L | 01-29-2015 |
20150028291 | METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A method of manufacturing an organic light-emitting display apparatus includes disposing a low melting glass (LMG) thin film to cover a display unit disposed on a substrate, and radiating an energy beam onto the LMG thin film. Accordingly, an encapsulation layer having excellent sealing characteristics may be rapidly formed, and thus manufacturing process efficiency and product reliability may be improved. | 01-29-2015 |
20150028292 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light-emitting display device includes a thin film transistor, a planarization layer on the thin film transistor and having an integral pixel sectioning portion defining a boundary of a pixel area, a pixel electrode connected to the thin film transistor in the pixel area inside the pixel sectioning portion, a light-emitting layer on the pixel electrode, and an opposite electrode on the light emitting layer. | 01-29-2015 |
20150028293 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS - An organic light emitting display apparatus capable of reducing a dead space for arranging wirings in a panel. The organic light emitting display apparatus includes: a panel including a screen portion on which images are displayed and a non-screen portion surrounding the screen portion; a first wiring portion and a second wiring portion formed on different locations of the non-screen portion to be connected to pixel wirings on the screen portion; and a film substrate including a plurality of connection portions connected to the first wiring portion and the second wiring portion. Thus, the dead space that is formed on the non-screen portion of the panel for forming the wirings may be effectively reduced. | 01-29-2015 |
20150028294 | ORGANIC LIGHT-EMITTING DISPLAY AND METHOD OF MANUFACTURING THE SAME - Organic light-emitting displays and methods of manufacturing the same are disclosed. An organic light-emitting display includes a substrate; a display panel provided on the substrate, the display panel having an emission area in which an organic light-emitting device is provided and a non-emission area that separates the emission area; and a color-changing material layer provided on the display panel, wherein the color-changing material layer includes a light-transmission part corresponding to the emission area and a light-blocking part corresponding to the non-emission area. | 01-29-2015 |
20150028295 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS USING FACING TARGET SPUTTERING APPARATUS AND METHOD OF MANUFACTURING THE ORGANIC LIGHT EMITTING DISPLAY APPARATUS - A method of manufacturing an organic light-emitting display apparatus includes preparing a deposition target in which an organic light-emitting portion is formed on a substrate, forming a pre-encapsulation layer for encapsulating the organic light-emitting portion by using a facing target sputtering apparatus, and forming an encapsulation layer by performing a plasma surface process on the pre-encapsulation layer by using the facing target sputtering apparatus. The facing target sputtering apparatus includes a chamber in which a mounting portion for accommodating the deposition target is provided, a gas supply portion facing the mounting portion and supplying gas to the chamber, a first target portion and a second target portion disposed in the chamber and facing each other, and an induced magnetic field coil surrounding the exterior of the chamber. | 01-29-2015 |
20150028296 | WIRE GRID POLARIZER AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME - An organic light-emitting display includes a display unit configured to generate an image and a wire grid polarizer on the display unit; the wire grid polarizer includes a base substrate and a plurality of wire grids formed on the base substrate, wherein the plurality of wire grids are spaced from one another and disposed in parallel, and a first spacing between each pair of the plurality of wire grids in a first region of the base substrate and a second spacing between each pair of the plurality of wire grids in a second region of the base substrate is different. | 01-29-2015 |
20150028297 | FACING TARGETS SPUTTERING APPARATUS, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED USING THE FACING TARGETS SPUTTERING APPARATUS, AND METHOD FOR MANUFACTURING THE ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A sputtering apparatus, an organic light-emitting display apparatus manufactured using the sputtering apparatus, and a method for manufacturing the organic light-emitting display apparatus are provided. The sputtering apparatus includes: a chamber including a mounting portion configured to hold a deposition target material; a gas supply unit that faces the mounting portion and supplies gas to the chamber; a first target portion and a second target portion that are disposed to face each other within the chamber; and a magnetic field induction coil that surrounds an outside of the chamber. | 01-29-2015 |
20150028298 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF - An organic light-emitting display apparatus includes: a substrate; pixels defined on the substrate, where each pixel includes a first region including a light-emitting region and a second region including a transmission region; a third region defined on the substrate disposed between the pixels; first electrodes disposed in the pixels on the substrate, respectively, where each first electrode is disposed in the first region of a corresponding pixel; an organic emission layer disposed to cover the first electrodes; a first auxiliary layer disposed on the organic emission layer in the second region and which exposes the first region; a second electrode disposed on the organic emission layer in the first region; a second auxiliary layer disposed in the first and second regions and which exposes the third region; and a third electrode disposed in the third region and in contact with the second electrode. | 01-29-2015 |
20150028299 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode display including: a pixel electrode; a hole auxiliary layer on the pixel electrode; a passivation layer on the hole auxiliary layer; an organic light emitting layer on the passivation layer; an electron auxiliary layer on the organic light emitting layer; and a common electrode on the electron auxiliary layer is disclosed. A method for manufacturing an organic light emitting diode display is also disclosed. | 01-29-2015 |
20150028300 | THIN FILM TRANSISTOR, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE THIN FILM TRANSISTOR - A thin film transistor includes a gate electrode provided on a substrate, a semiconductor layer insulated from the gate electrode and including indium, tin, zinc and gallium oxide, and source/drain electrodes formed on the semiconductor layer. | 01-29-2015 |
20150028301 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD FOR MANUFACTURING THE SAME - An organic light-emitting display apparatus includes: a substrate, and an organic light-emitting device disposed on the substrate. The organic light-emitting device includes a first electrode, a second electrode, and an intermediate layer including at least an organic emission layer. In addition, the organic light-emitting display apparatus further includes a thin film encapsulating layer disposed on the organic light-emitting device. The thin film encapsulating layer includes at least one inorganic film including a low temperature viscosity transition (LVT) inorganic material. The LVT inorganic material includes tin oxide and at least one of boron oxide (B | 01-29-2015 |
20150028302 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD FOR MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A method for manufacturing an organic light-emitting display apparatus including: forming an organic light-emitting device on a substrate, the organic light-emitting device including a first electrode, a second electrode, and an intermediate layer including at least an organic emission layer; and forming a thin film encapsulating layer on the organic light-emitting device, wherein the thin film encapsulating layer includes at least one inorganic film including a low temperature viscosity transition (LVT) inorganic material and an oxide, and the oxide includes zirconium-tungsten oxide or lithium-aluminum-silicon oxide. | 01-29-2015 |
20150028303 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF - An improved organic light-emitting display apparatus prevents damage of wiring due to a mask during the manufacturing process, and a manufacturing method thereof. An organic light-emitting display apparatus includes a display unit formed on a substrate, a pad unit formed at one outer side of the display unit on the substrate, a wiring unit formed as a multilayer structure on the substrate to couple the display unit to the pad unit, a thin film encapsulating layer covering the display unit, and a protrusion unit that does not overlap the uppermost layer of wiring of the multilayered wiring unit. | 01-29-2015 |
20150028304 | ELECTRO-OPTICAL DEVICE AND ELECTRONIC APPARATUS - The invention provides an electro-optical device that has luminescent elements of a long lifetime by preventing oxygen or moisture from entering to luminescent layers or electrodes even in case of an electrode-optical device provided with a number of luminescent layers and an electronic apparatus provided with the electro-optical device. The invention can include an electro-optical device having first electrodes on a base body, a plurality of element areas including element layers including at least one functional layers disposed above the first electrodes, a second electrode formed above the element layers, a surrounding sections disposed on the base body so as to cover outer sides of the element layers included the element areas in the nearest proximity of the periphery of the base body, and a gas-barrier layer covering over the second electrode. Outer sides of the surrounding sections can be covered with the second electrode, and the gas-barrier layer can be in contact with the base body. | 01-29-2015 |
20150028305 | LIGHT EMITTING DEVICE INCLUDING BLUE EMITTING QUANTUM DOTS AND METHOD - A method for preparing a light emitting device comprising: disposing an electron-injection layer comprising a metal oxide on a cathode, disposing a first layer adjacent the electron-injection layer, the first layer comprising a small molecule material with a bandgap of at least about 3 eV capable of blocking holes, forming an emissive layer comprising quantum dots capable of emitting blue light upon excitation at a surface of the first layer opposite the electron-injection layer; disposing a second layer comprising a material capable of transporting holes and blocking electrons with a bandgap of at least about 3 eV adjacent a surface of the emissive layer opposite the first layer, and disposing an anode over the second layer. A light-emitting device is also disclosed. | 01-29-2015 |
20150028306 | ENCAPSULATION STRUCTURE FOR AN ORGANIC LIGHT EMITTING DISPLAY DEVICE, AN ORGANIC LIGHT EMITTING DISPLAY DEVICE, AND METHODS OF MANUFACTURING AN ORGANIC LIGHT EMITTING DISPLAY DEVICE - An encapsulation structure for an organic light emitting display device, an organic light emitting display device, and a method of manufacturing an organic light emitting display device are provided. The encapsulation structure includes a first porous layer, a first planarization layer, and a first barrier layer. The first porous layer above a substrate may have a curved top surface. The first planarization layer on the first porous layer may have a flat top surface. The first barrier layer on the first planarization layer may include a low melting point glass. | 01-29-2015 |
20150028307 | ORGANIC LIGHT EMITTING DISPLAY - An organic light emitting display including a back plane including an active area on which an image is displayed, and a bezel area outside the active area; a pixel array on the active area and configured to display the image; an encapsulation plate encapsulating the pixel array; a transparent adhesive film free of a moisture absorption filler, formed on the active area and disposed between the encapsulation plate and the back plane; and a darn including a sealant with a moisture absorption filler formed in the bezel area and adjoining the adhesive layer so as to limit moisture from penetrating into the pixel array. | 01-29-2015 |
20150028308 | ORGANIC LIGHT EMITTING DEVICE, ORGANIC LIGHT EMITTING DISPLAY APPARATUS HAVING THE ORGANIC LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting device including: a first electrode, a hole injection layer on the first electrode, a hole transport layer on the hole injection layer, an organic light emitting layer on the hole transport layer, a first electron transport layer on the organic light emitting layer, a second electron transport layer on the organic light emitting layer, an electron injection layer on the second electron transport layer and a second electrode on the electron injection layer, where the first electron transport layer includes a first material for improving a thermal stability, a second material for improving a luminous efficiency and a third material for reducing a driving voltage, and the second electron transport layer is laminated with the first electron transport layer, and the second electrode faces the first electrode. | 01-29-2015 |
20150028309 | LIGHT-EMITTING ELEMENT DISPLAY DEVICE - A light-emitting element display device includes: a thin film transistor substrate including transistors respectively controlling the amounts of light emission of a plurality of sub-pixels arranged in a display region; and a color filter substrate arranged to overlap with the thin film transistor substrate. The thin film transistor substrate includes a light-emitting organic layer covering the entire display region and emitting light in respective light-emitting regions in the plurality of sub-pixels, an insulating bank formed of an insulating material around each of the light-emitting regions, including an inclined surface being closer to the color filter substrate according to increasing in thickness with increasing distance from the light-emitting region, and a fluorescent layer formed on the inclined surface and excited by light of the light-emitting region to thereby emit light. | 01-29-2015 |
20150028310 | ORGANIC LIGHT-EMITTING DIODE (OLED) SUBSTRATE AND DISPLAY DEVICE - An organic light-emitting diode (OLED) substrate, which includes a plurality of light-emitting sub-pixels and a pixel partition wall, wherein at least one layer among hole injection layers (HIL), hole transport layers (HTL) and organic light-emitting layers of at least two light-emitting sub-pixels has a different thickness; and upper surfaces of the HIL, the HTL and the organic light-emitting layer of any light-emitting sub-pixel are each parallel and level to an upper surface of one respective lyophilic film layer of the pixel partition wall. The OLED substrate can be used for improving the surface smoothness of each organic layer of the light-emitting sub-pixel. The embodiment of the present invention further provides a display device. | 01-29-2015 |
20150028311 | DOPED ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR PREPARING SAME - Disclosed is a doped organic electroluminescent device, comprising the following structures laminated in succession: a conductive anode substrate, a hole injecting layer, a hole transportation layer, an electron barrier layer, a light-emitting layer, an electron transportation layer, an electron injecting layer and a cathode; and the material for the electron barrier layer is a hole transportation material doped with a cerium salt. The material for an electron barrier layer in such a doped organic electroluminescent device is a hole transportation material doped with a cerium salt which has a low work function of approximately −2.0 eV and can effectively block electrons. By doping the cerium salt having a low work function into the hole transportation material as the electron barrier layer, the LUMO energy level of the hole transportation material is greatly increased, thereby elevating the potential barrier between the electron barrier layer and the light-emitting layer, so that it is difficult for the electrons to transit to the side of the hole transportation layer and a good electron barrier effect is achieved. The present invention also provides a method for preparing the doped organic electroluminescent device. | 01-29-2015 |
20150028312 | OPTOELECTRONIC COMPONENT, METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT, DEVICE FOR SEPARATING A ROOM, AND PIECE OF FURNITURE - Various embodiments relate to an optoelectronic component, including a first electrode layer, a first organic functional layer structure on or over the first electrode layer, a nontransparent second electrode layer on or over the first organic functional layer structure, a second organic functional layer structure on or over the second electrode layer, and a third electrode layer on or over the second organic functional layer structure. The material for the second electrode layer is selected in such a way that a matt impression of at least one side of the optoelectronic component is imparted. | 01-29-2015 |
20150028313 | POLYMER - A polymer comprising an optionally substituted repeat unit of formula (I): wherein R | 01-29-2015 |
20150028314 | ORGANIC ELECTROLUMINESCENT LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - Provided is an organic electroluminescent light emitting device that can prevent moisture and/or oxygen permeation to thereby suppress degradation and that has increased light extraction efficiency. The organic electroluminescent light emitting device includes an organic electroluminescent element including an optically transparent first electrode, a light emitting function layer composed of two or more layers including a light emitting layer, and a second electrode, which are stacked on a surface of an optically transparent substrate in that order. A functional scattering section is provided in contact with at least part of an end face of the optically transparent substrate. The functional scattering section is foamed of a resin composition including a scattering body having moisture absorption property and/or oxygen absorption property. With the functional scattering section, moisture and/or oxygen can be prevented from entering inside the device and the light extraction efficiency can be enhanced. | 01-29-2015 |
20150028315 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD FOR PRODUCING SAME - An organic light-emitting device includes at least an underlayer, a partition wall, and an organic film. The underlayer is disposed above a substrate. The partition wall covers a first part and surrounds a second part of the surface of the underlayer. The organic film includes organic material, is disposed in a recess formed by the partition wall surrounding the second part, and is in contact with the surface of the underlayer and a surface of the partition wall. The surface of the underlayer has a protruding portion that protrudes in an upward direction. The protruding portion is composed of a top surface and an inclined surface surrounding the top surface. The first part includes least the top surface and a portion of the inclined surface, and an inner edge of the partition wall is in contact with the inclined surface or a level portion of the surface of the underlayer. | 01-29-2015 |
20150028316 | LIGHT EMITTING PANEL AND METHOD FOR MANUFACTURING SAME - In a light emitting panel, organic EL panels are laminated in a step-like shape in a front-back direction to form organic EL panel groups, and the organic EL panel groups are further laminated in a step-like shape in a left-right direction. Each of the organic EL panels comprises a power feed part at one side of the transparent support substrate in the left-right direction. The power feed part of each of the organic EL panels is aligned with other power feed parts in the front-back direction at one side of the left-right direction, and is disposed at a position that allows the power feed part to overlap with a laminate of an organic EL panel of an adjacent organic EL panel group. | 01-29-2015 |
20150028317 | ORGANIC ELECTROLUMINESCENT ELEMENT - The organic electroluminescent element has a transparent substrate, a transparent first electrode, an organic layer, a second electrode, and a light-outcoupling layer. The light-outcoupling layer is formed between the transparent substrate and the first electrode. The first electrode, the organic layer and the second electrode constitute an electroluminescent laminate. A covering substrate facing the transparent substrate is adhered to the surface of the transparent substrate via an adhesive sealing portion surrounding the periphery of the electroluminescent laminate. A connection electrode extending outward from inside a surrounded region where the electroluminescent laminate is covered with the covering substrate is formed at least on the surface of the light-outcoupling layer. The average thickness of the light-outcoupling layer in an adhesion region where the adhesive sealing portion is formed is smaller than the thickness in the central region where the electroluminescent laminate is formed. | 01-29-2015 |
20150028318 | Organic Optoelectronic Device and Method for Producing an Organic Optoelectronic Device - An organic optoelectronic device has a first substrate, on which a functional layer stack having at least one first electrode, thereabove an organic functional layer and thereabove a second electrode is arranged. A encapsulating arrangement includes a second substrate, on which a connecting material and at least one spacer facing the functional layer stack are applied. The connecting material is arranged between the first and second substrate and mechanically connects the first and second substrate together. The functional layer stack is enclosed by the connecting material in a frame-like manner. At least one of the first and second electrode includes at least one opening, above which the at least one spacer is arranged and which has a larger lateral dimension that the spacer. | 01-29-2015 |
20150028319 | METHOD FOR PRODUCING ORGANIC EL DEVICE AND ORGANIC EL DEVICE - A method for producing an organic EL device and the organic EL device, capable of enhancing reliability of the organic EL device by suppressing peeling caused by stress concentration to each layer end through reduction in the stress concentration even in the case of using a roll-to-roll process. The method includes: supplying a substrate from a delivery roll to a wind-up roll; forming a first electrode layer over the substrate; forming an organic EL layer over the first electrode layer; and forming a second electrode layer over the organic EL layer. The first electrode layer s formed using a shadow mask. At least a part of a side surface of the first electrode layer is a tapered surface of inwardly sloping from a lower side toward an upper side. An angle formed between the tapered surface and the surface of the substrate on the side over which the first electrode layer is formed is 1° or less. | 01-29-2015 |
20150028320 | TRANSPARENT ELECTRODE, ELECTRONIC DEVICE, AND TRANSPARENT ELECTRODE MANUFACTURING METHOD - Provided is a transparent electrode having both sufficient conductivity and light transmittance, and also provided is an electronic device which improves performance by using said transparent electrode. Further provided is method of manufacturing said transparent electrode. This transparent electrode is provided with a nitrogen-containing layer and an electrode layer. The nitrogen-containing layer is formed at a deposition speed of 0.3 nm/s or greater, and is configured using a compound containing nitrogen atoms. Further, the electrode layer is provided adjacent to the nitrogen-containing layer, has a 12 nm or lower film thickness and a measurable sheet resistance, and is configured using silver or an alloy having silver as the main component. | 01-29-2015 |
20150028321 | GREEN LUMINESCENT MATERIALS - There is provided a compound having Formula I | 01-29-2015 |
20150028322 | DOPED CONJUGATED POLYMERS, DEVICES, AND METHODS OF MAKING DEVICES - Use of certain materials in hole injection or hole transport layers can improve the operational lifetimes in organic electronic devices. Compositions comprising a doped conjugated polymer, doped with a redox dopant, including iodonium salt, can increase lifetimes. Inks can be formulated and cast as films in organic electronic devices including OLEDs, PHOLEDs, and OPVs. One embodiment provides a composition with a conjugated polymer doped with a redox dopant. Non-aqueous based inks can be formulated. Iodonium salts can be used. | 01-29-2015 |
20150028323 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Novel phosphorescent tetradentate platinum (II) compounds comprising a twisted aryl group are provided. Also provided are novel phosphorescent tetradentate platinum (II) compounds comprising an imidazo[1,2-f]phenanthridine moiety. The compounds may be used in organic light emitting devices to provide improved device efficiency, line shape and lifetime. | 01-29-2015 |
20150028324 | DISPLAY DEVICE - A display device includes, on a substrate, light emitting elements each formed by sequentially stacking a first electrode layer, an organic layer including a light emission layer, and a second electrode layer and arranged in first and second directions which cross each other, a drive circuit including drive elements that drive light emitting elements, and a wiring extending in the first direction, and an insulating layer disposed in a gap region sandwiched by the light emitting elements neighboring in the second direction and having a recess or a projection. The wiring is disposed in an overlap region overlapping with the recess or the projection in the insulating layer in a thickness direction, in the gap region, and the second electrode layers in the light emitting elements neighboring in the second direction are separated from each other by the recess or the projection in the insulating layer. | 01-29-2015 |
20150028325 | METHOD FOR PRODUCING MOLD FOR TRANSFERRING FINE PATTERN, METHOD FOR PRODUCING SUBSTRATE HAVING CONCAVE-CONVEX STRUCTURE USING SAME, AND METHOD FOR PRODUCING ORGANIC EL ELEMENT HAVING SAID SUBSTRATE HAVING CONCAVE-CONVEX STRUCTURE - A mold for transferring a fine pattern, suitable for producing a substrate having a concave-convex structure such as a diffraction grating, can be provided by a simple process and with a high throughput. A method for producing a mold for transferring a fine pattern includes: a step of coating a surface of a base member with a solution containing a block copolymer and polyalkylene oxide; a solvent phase-separation step of phase-separating the block copolymer under a presence of vapor of an organic solvent so as to obtain a block copolymer film of the block copolymer, the block copolymer film having a concave-convex structure on a surface thereof and a horizontal cylinder structure in an interior thereof; a step of stacking a metal layer by electroforming; and a step of releasing the base member having the concave-convex structure formed thereon from the metal layer. | 01-29-2015 |
20150034910 | ORGANIC X-RAY DETECTOR - An x-ray imaging system includes an organic x-ray detector having a layered structure composed of a scintillator layer disposed on a first electrode layer and an absorber layer sandwiched between the first electrode layer and a second electrode layer. The second electrode layer is disposed on a TFT array and the TFT array is disposed on a substrate. The absorber layer includes a donor material and an acceptor material, and the donor material contains a low bandgap polymer. | 02-05-2015 |
20150034911 | Infrared OLED Display Device and the Manufacturing Method Thereof - The present invention provides an infrared OLED display device and the manufacturing method thereof. Wherein, the infrared OLED display device comprises multiple infrared OLED units, which are used to display infrared image; a solar cell, which is used to absorb sunlight and then convert it to electrical energy; a transformer, which is used to transform the voltage of the electrical energy generated from the solar cell to the voltage of power for the infrared OLED units; and a rechargeable battery, which is used to store the electrical energy after the transformer converts the voltage and to provide the power for the infrared OLED units; wherein, the solar cell is connected with the transformer, the transformer is connected with the rechargeable battery, and the rechargeable battery is connected with the multiple infrared OLED units. The solar cell and the infrared OLED unit are integrated into one device, so that the infrared OLED display device not only display infrared image, but also charge itself using the solar cell, which saves energy. | 02-05-2015 |
20150034912 | THIN FILM TRANSISTOR SUBSTRATE, DISPLAY DEVICE HAVING THE SAME AND METHOD OF MANUFACTURING THE SAME - A thin film transistor substrate includes a semiconductor pattern on a base substrate, a first insulation member disposed on the semiconductor pattern, a second insulation pattern disposed on the first insulation member, and a gate electrode disposed on the first insulation member and the second insulation pattern. The second insulation pattern overlaps a first end portion of the semiconductor pattern, and exposes a second end portion of the semiconductor pattern opposite to the first end portion. The gate electrode overlaps both the first insulation member and the second insulation pattern. | 02-05-2015 |
20150034913 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY - An organic light emitting-diode (OLED) display is disclosed. In one aspect, the OLED includes a first substrate, a first electrode provided on the first substrate, a pixel defining layer provided on the first electrode and including a first opening exposing at least a portion of the first electrode, and an organic emission layer provided on the first electrode corresponding to the first opening. The OLED display also includes a second electrode provided on the pixel defining layer and the organic emission layer, a second substrate provided on the second electrode, and a first auxiliary electrode provided below the second substrate facing the second electrode and welded to a portion of the second electrode corresponding to the pixel defining layer. | 02-05-2015 |
20150034914 | ORGANIC COMPOUND AND ORGANIC OPTOELECTRIC DEVICE AND DISPLAY DEVICE - An organic compound represented by a combination of a moiety represented by the following Chemical Formula 1, a moiety represented by the following Chemical Formula 2, and a moiety represented by the following Chemical Formula 3, | 02-05-2015 |
20150034915 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode, a second electrode, and an organic layer interposed between the first electrode and the second electrode, wherein the organic layer includes an emission layer, the emission layer includes a compound represented by Formula 2 below, and a second layer including a heterocyclic compound represented by Formula 1 below either between the emission layer and the first electrode or between the emission layer and the second electrode. | 02-05-2015 |
20150034916 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate, a display unit, an encapsulation layer, and a protection layer. The display unit is formed on the substrate. The encapsulation layer covers the display unit. The protection layer is formed on the encapsulation layer. The encapsulation layer is formed of a low temperature viscosity transition (LVT) inorganic material. The protection layer is formed of an elastic, adhesive material to protect the encapsulation layer from an external force. | 02-05-2015 |
20150034917 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound of Formula 1 below and an organic light-emitting device including the same are provided. | 02-05-2015 |
20150034918 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a first substrate including a display unit having a light-emitting region and a non-light-emitting region, a second substrate parallel to the first substrate, and a reflective member on a surface of the second substrate that faces the first substrate, the reflective member corresponding to the non-light-emitting region of the display unit and being configured to sense touch, and the reflective member including a plurality of first pattern parts electrically connected along a first direction and a plurality of second pattern parts electrically connected along a second direction. | 02-05-2015 |
20150034919 | ORGANIC LIGHT-EMITTING DEVICE - Provided is an organic light-emitting device with a blue emission layer. The blue emission layer is an emission layer that emits blue light by a fluorescent emission mechanism. The blue emission layer includes a compound represented by Formula 4 below:
| 02-05-2015 |
20150034920 | DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF - A display apparatus may include a substrate. The display apparatus may further include a display unit that includes an emission layer and is disposed on the substrate. The display apparatus may further include a protective layer that overlaps the display unit. The display apparatus may further include an organic layer that is disposed between the display unit and the protective layer. A top surface area of the organic layer may be equal to or less than a top surface area of the protective layer. | 02-05-2015 |
20150034921 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING A SHIELD LAYER AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus including a shield layer and a method of manufacturing the same are provided. The organic light-emitting display apparatus includes a substrate having a display area and a peripheral area surrounding the display area. A plurality of first thin film transistors (TFTs) are disposed in the display area of the substrate and a plurality of second TFTs disposed in the peripheral area of the substrate. A shield layer is positioned above the second TFTs and extended to an edge portion of the substrate. The shield layer includes a plurality of through holes in a portion that does not overlap with the second TFTs. | 02-05-2015 |
20150034922 | Light Emitting Device and Electronic Appliance - The present invention is to provide a light emitting device capable of obtaining a certain luminance without influence by the temperature change, and a driving method thereof. A current mirror circuit formed by using a transistor is provided for each pixel. The first transistor and the second transistor of the current mirror circuit are connected such that the drain currents thereof are maintained at proportional values regardless of the load resistance value. Thereby, a light emitting device capable of controlling the OLED driving current and the luminance of the OLED by controlling the drain current of the first transistor at a value corresponding to a video signal in a driving circuit, and supplying the drain current of the second transistor to the OLED, is provided. | 02-05-2015 |
20150034923 | WHITE ORGANIC LIGHT EMITTING DIODE DEVICE - Provided is a white organic light emitting diode device, including first and second electrodes facing each other above a substrate, first and second charge generation layers formed between the first electrode and the second electrode, a first stack disposed between the first electrode and the first charge generation layer and including a first light emitting layer, a second stack disposed between the first charge generation layer and the second charge generation layer and including a second light emitting layer, and a third stack disposed between the second charge generation layer and the second electrode and including a third light emitting layer, wherein two of the first to third light emitting layers emit a blue light and the rest light emitting layer emits a yellow-green light. | 02-05-2015 |
20150034924 | NOVEL TRIPHENYLENE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT DEVICES USING SAID DERIVATIVES - Triphenylene derivatives represented by the following general formula ( | 02-05-2015 |
20150034925 | ORGANIC LIGHT EMITTING DIODE, MANUFACTURING METHOD FOR ORGANIC LIGHT EMITTING DIODE, IMAGE DISPLAY DEVICE, AND ILLUMINATION DEVICE - The present invention provides an organic light emitting diode substrate configured so that the light extraction efficiency of a single color organic light emitting diode element made from one type of light emitting material is high, so that there is no risk of an extraction wavelength deviating from a target light emission wavelength due to slight variations in a fine uneven structure, and so as to enable extraction of a narrow bandwidth of light, from visible light, that has any central wavelength within the near infrared band and that has a degree of broadness. Also provided are an organic light emitting diode, a manufacturing method for the organic light emitting diode substrate, a manufacturing method for the organic light emitting diode, an image display device, and an illumination device. The organic light emitting diode, which is a top emission-type, is configured so that at least the following are laminated on the substrate: a reflective layer comprising a metal material; an anode conductive layer comprising a transparent conductive material; an organic EL layer having a light emitting layer which contains an organic light emitting material; and a cathode conductive layer in which a semi-transmissive metal layer and a transparent conductive layer comprising a transparent conductive material are laminated. On the surface of the semi-transmissive metal layer that is in contact with the transparent conducive layer side, a two-dimensional lattice structure is formed in which a plurality of protrusions are arranged periodically and two-dimensionally. If in the surface the real part of propagation constant of a surface Plasmon expressed by complex numbers is k, a distance between centers (P), which is the distance between centers (P) of adjacent protrusions from among the protrusions formed on the surface, is set to be a value within the range of formula (1). When forming a triangular lattice structure as the two-dimensional lattice structure, P0 in formula (1) satisfies formula (2), and when forming a rectangular lattice structure as the two-dimensional lattice structure, P0 satisfies formula (3). | 02-05-2015 |
20150034926 | ORGANIC ELECTROLUMINESCENCE ELEMENT - The organic electroluminescence element includes a functional layer which is interposed between the first electrode and the second electrode and includes a light-emitting layer. The second electrode includes at least an electrically conductive polymer layer which is in contact with the functional layer and has a light transmissive property. The organic electroluminescence element includes: a substrate; a sealing substrate with a light transmissive property; a transparent protection layer covering an element part including a stack of the first electrode, the functional layer and the second electrode; and a resin layer which is interposed between the transparent protection layer and the sealing substrate and has a light transmissive property. | 02-05-2015 |
20150034927 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME - A compound represented by the following formula (1-1): | 02-05-2015 |
20150034928 | OPTICAL-DEVICE SURFACE-SEALING COMPOSITION, OPTICAL-DEVICE SURFACE-SEALING SHEET, DISPLAY, AND DISPLAY MANUFACTURING METHOD - The purpose of the present invention is to provide the following: an optical-device surface-sealing composition that makes it possible to fabricate an optical-device-using display with a low amount of warpage even if there is a large difference between the coefficients of linear expansion of substrates used in said display; a display with a low amount of warpage; and a manufacturing method therefor. The storage modulus of elasticity (G′(80)) of this optical-device surface-sealing composition, measured at 80° C. after said composition is heated from 40° C. to 80° C. at 5° C./min and then held at 80° C. for 30 minutes, is between 1.0×10 | 02-05-2015 |
20150034929 | ORGANIC ELECTROLUMINESCENCE ELEMENT - The organic electroluminescence element in accordance with the present invention includes: a substrate; a light-outcoupling layer situated on a surface of the substrate; a light-emitting layer situated on a face on an opposite side of the light-outcoupling layer from the substrate; a sealing base situated facing the face of the light-outcoupling layer; and a sealing bond formed to enclose the light-emitting layer and bond the sealing base to the face of the light-outcoupling layer. The light-outcoupling layer includes: a first portion where the light-emitting layer is situated; a second portion where the sealing bond is situated; and a groove spatially separating the first portion from the second portion. | 02-05-2015 |
20150034930 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting component is specified, comprising a translucent substrate ( | 02-05-2015 |
20150034931 | ORGANIC EL MODULE AND POWER SUPPLY STRUCTURE MADE UP OF ORGANIC EL MODULES - The present invention aims at providing a further downsizable organic EL module. Void parts are formed on first and second power supply members. The power supply members are different in shape, so that at least a part of the first power supply member is located in a vertically projected region of the second void part and at least a part of the second power supply member is located in a vertically projected region of the first void part when the power supply members overlap with an insulation layer sandwiched between. An electric wire penetrates the second power supply member to be connected to the first power supply member. The first power supply member is connected to one electrode layer directly or via a conducting member. The second power supply member, to which another electric wire is connected, is connected to another electrode layer directly or via a conducting member. | 02-05-2015 |
20150034932 | FLEXIBLE ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD OF FABRICATING THE SAME - A flexible organic electroluminescent device is disclosed which includes: a flexible substrate; a buffer layer entirely formed on the flexible substrate; a thin film transistor formed on the buffer layer and configured to include an active layer; a planarization film formed to cover the thin film transistor; an organic light emitting diode formed on the planarization film and configured to include a first electrode, an organic emission layer and a second electrode; and at least one silicon nitride layer formed above the active layer of the thin film transistor but under the planarization film and patterned into a plurality of island patterns. | 02-05-2015 |
20150034933 | ORGANIC LIGHT EMITTING DIODE DISPLAY HAVING THIN FILM TRANSISTOR SUBSTRATE USING OXIDE SEMICONDUCTOR AND METHOD FOR MANUFACTURING THE SAME - Provided is a thin film transistor having an oxide semiconductor material for an organic light emitting diode display and a method for manufacturing the same. The organic light emitting diode display comprises: a gate electrode formed on a substrate; a gate insulating layer formed on the gate electrode; a semiconductor layer formed on the gate insulating layer to overlap with the gate electrode, and including a channel area and source and drain areas which extend from the channel area to both outsides, respectively and are conductorized; an etch stopper formed on the channel area and exposing the source area and the drain area; a source electrode contacting portions of the exposed source electrode; and a drain electrode contacting portions of the exposed drain electrode. | 02-05-2015 |
20150034934 | LOCAL SEAL FOR ENCAPSULATION OF ELECTRO-OPTICAL ELEMENT ON A FLEXIBLE SUBSTRATE - An electroluminescent display or lighting product incorporates a panel comprising a collection of distinct light-emitting elements formed on a substrate. A plurality of distinct local seals are formed over respective individual light-emitting elements or groups of light-emitting elements. Each local seal is formed by depositing a low melting temperature glass powder suspension or paste using inkjet technology, and fusing the glass powder using a scanning laser beam having a tailored beam profile. The local seal may be used in conjunction with a continuous thin film encapsulation structure. Optical functions can be provided by each local seal, including refraction, filtering, color shifting, and scattering. | 02-05-2015 |
20150034935 | FLEXIBLE DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - A flexible display device includes: a display substrate which is divided into a first region corresponding to a within-cell region of an integrated devices sheet from which the flexible display device is cut and into a second region corresponding to an outside-the-cell region of the integrated devices sheet, where within the first region there is provided a display unit including a light emitting element layer; a patterned inorganic film layer formed to be substantially continuously present within the first region of the display substrate and to be not present or not substantially continuously present within the second region of the display substrate; and a thin film encapsulation layer formed on the inorganic film layer to encapsulate the substantially continuously present portion of the inorganic film layer that is within the first region and the display unit, wherein an outer boundary of the thin film encapsulation layer is located more inwardly and toward an outer boundary of the display unit than is an outer boundary of the substantially continuously present portion of the inorganic film layer. | 02-05-2015 |
20150034936 | LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - To provide a bright and highly reliable light-emitting device. An anode ( | 02-05-2015 |
20150034937 | Light-Emitting Element and Display Device - There has been a problem that difference in refractive index between an opposite substrate or a moisture barrier layer provided thereover, and air is maintained large, and light extraction efficiency is low. Further, there has been a problem that peeling or cracking due to the moisture barrier layer is easily generated, which leads to deteriorate the reliability and lifetime of a light-emitting element. A light-emitting element comprises a pixel electrode, an electroluminescent layer, a transparent electrode, a passivation film, a stress relieving layer, and a low refractive index layer, all of which are stacked sequentially. The stress relieving layer serves to prevent peeling of the passivation film. The low refractive index layer serves to reduce reflectivity of light generated in the electroluminescent layer in emitting to air. Therefore, a light-emitting element with high reliability and long lifetime and a display device using the light-emitting element can be provided. | 02-05-2015 |
20150034938 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode are disclosed and the compound for an organic optoelectronic device represented by the following Chemical Formula 1 or 2 provides an organic light emitting diode having life-span characteristics due to excellent electrochemical and thermal stability, and high luminous efficiency at a low driving voltage. | 02-05-2015 |
20150034939 | Display Device and Method for Manufacturing the Same - A structure of an EL display device which has an increased display area is provided. Further, a structure of an EL display device which has a high definition display is provided. An auxiliary electrode is formed over a first partition and side surfaces of the auxiliary electrode are covered with a second partition. A top surface of the auxiliary electrode is in contact with the conductive film which is one electrode of a light-emitting element and has a light-transmitting property, which enables a large-area display. Further, even the distance between the adjacent light-emitting elements is shortened, the auxiliary electrode can be provided between the adjacent light-emitting elements, which enables a high definition display. | 02-05-2015 |
20150034940 | ADHESIVE FILM AND PRODUCT FOR ENCAPSULATING ORGANIC ELECTRONIC DEVICE USING SAME - Provided are an adhesive film and an organic electronic device (OED) encapsulation product using the same. As moisture resistance is maintained by preventing traveling of moisture in a matrix resin, moisture or oxygen input to the organic electronic device from an external environment may be effectively prevented, and temporal stability, life span and durability may be enhanced even when a panel of the organic electronic device is formed as a thin film, thereby ensuring long-term reliability. | 02-05-2015 |
20150041765 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A display device and method of manufacturing the same, the display device having a substrate, a plurality of scattering patterns which are located on the substrate and comprise crystallized metal oxide, a first electrode which is located on the scattering patterns, an organic light-emitting layer which is located on the first electrode, and a second electrode which is located on the organic light-emitting layer. | 02-12-2015 |
20150041766 | ORGANIC LIGHT EMITTING DIODE DISPLAY - The present invention relates to an organic light emitting diode display, and in order to improve luminance, the organic light emitting diode display includes a flexible substrate bent at least one time, a first display unit positioned on the flexible substrate and including a plurality of first light emitting diodes, and a second display unit positioned on the flexible substrate and including a plurality of second light emitting diodes, in which a width of each second light emitting diode is larger than the width of each first light emitting diode. | 02-12-2015 |
20150041767 | OLED Packaging Structure and Packaging Method - The present invention provides an OLED packaging structure and a packaging method thereof. The OLED packaging structure comprises a packaging board and an OLED substrate. At least one seal frame matching OLED in size is formed through painting glass frit seal on the packaging board at a position corresponding to the OLED. An initial painting point is at every seal frame with a protruding prominence. A recess is set up on the OLED substrate corresponding the initial painting point to accommodate the prominence. the OLED packaging structure and the packaging method thereof is to set up a recess on an OLED substrate to accommodate a prominence on the initial painting point due to accumulation of glass frit seal on a packaging board, so that when adhering the OLED substrate to the packaging board the seal frame is adhesive to the OLED substrate tightly without significant gap. Therefore subsequent laser melding is more successful, to prevent from forming gaps between a packaging board, an OLED substrate and a seal frame, and lifetime of OLED is lengthened. | 02-12-2015 |
20150041768 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes a first emission portion and an optical member. The first emission portion emits light of a first color, and includes a first intermediate layer, a first electrode that is disposed on one surface of the first intermediate layer and transmits light emitted from the first intermediate layer, and a second electrode that is disposed on another surface of the first intermediate layer facing the one surface of the first intermediate layer and transmits the light emitted from the first intermediate layer. The optical member is disposed on one surface of the first emission portion and selectively reflects light of particular colors including at least the first color light. | 02-12-2015 |
20150041769 | DISPLAY DEVICE - A display device is disclosed. In one aspect, the display device includes a flexible substrate capable of being bent in a first direction and an insulating layer including a first opening pattern positioned on the flexible substrate and extending in a second direction crossing the first direction. | 02-12-2015 |
20150041770 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITING DEVICE INCLUDING THE SAME - A heterocyclic compound and an organic light-emitting device including the heterocyclic compound, the heterocyclic compound being represented by Formula 1 below: | 02-12-2015 |
20150041771 | ORGANIC LIGHT-EMITTING DIODE AND METHOD OF FABRICATING THE SAME - Provided is a method of manufacturing an organic light-emitting diode including forming an anode on a substrate, forming an organic light-emitting layer on the anode, forming a cathode on the organic light-emitting layer, and forming a light scattering film on the cathode. The light scattering film is a polycrystalline dielectric material composed of anisotropic crystals, and a surface roughness Ra of a top surface of the light scattering film is greater than or equal to about 50 nm by an anisotropic crystal growth of particles of the dielectric material. | 02-12-2015 |
20150041772 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An OLED apparatus including a lower substrate including a display and peripheral area, the peripheral area surrounding the display area; a TFT on the lower substrate; a pixel electrode electrically connected to the TFT; a pixel defining layer covering an edge of the pixel electrode and exposing a central portion of the pixel electrode; an intermediate layer on the pixel electrode and including an emission layer; an opposite electrode overlying the pixel electrode; an upper substrate overlying the lower substrate; a sealing member on the peripheral area and attaching the lower substrate to the upper substrate; and a shock absorption member including a first layer on the peripheral area, the first layer being separated from the sealing member and stacked in a layer stack structure on the lower substrate; and a second layer on the first layer and including a same material as the pixel defining layer. | 02-12-2015 |
20150041773 | ANTHRACENE-BASED COMPOUNDS AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An anthracene-based compound is represented by Formula 1 as below: | 02-12-2015 |
20150041774 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode includes: a substrate; an encapsulation substrate facing the substrate and including a transmission region through which light is transmitted and an absorption region through which the light is not transmitted; a sealant between the substrate and the encapsulation substrate; and a pixel unit between the substrate and the encapsulation substrate, and including a plurality of pixels respectively including an organic light emitting element. The absorption region of the encapsulation substrate includes photoreactive crystals. | 02-12-2015 |
20150041775 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - Provided is a heterocyclic compound represented by Formula 1 and an organic light-emitting diode including the same: | 02-12-2015 |
20150041776 | DISPLAY DEVICE - A display device includes at least two subpixels arranged in a first direction, a first data line connected to a first subpixel of the two subpixels, and a second data line connected to a second subpixel of the two subpixels. The first data line is arranged at a first side of the two subpixels and the second data line is arranged at a second side of the two subpixels. The first and second sides are opposing sides relative to the first and second subpixels. | 02-12-2015 |
20150041777 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a display substrate including a first substrate and a plurality of pixel light emitting units on the first substrate, and an encapsulation substrate including a second substrate facing the display substrate, and a main reflecting member on the second substrate, the main reflecting member including a light emitting opening at a position corresponding to at least one of the pixel light emitting units, and an auxiliary opening dividing the main reflecting member into a plurality of sub-reflecting members. | 02-12-2015 |
20150041778 | ARRAY SUBSTRATE AND DISPLAY PANEL USING THE SAME - An array substrate having a first area and a second area is disclosed. The array substrate comprises a first substrate, a TFT element, an insulating layer, a first electrode layer, an organic emitting layer, a first touching electrode and a second electrode layer. The TFT element is disposed above the first substrate, and comprises a gate layer, a drain layer and a semiconductor layer. The insulating layer is disposed above the TFT element. The first electrode layer is disposed above the insulating layer. The first touch electrode is composed of one of the gate layer, the drain layer, the first electrode layer and an additional electrode layer, and transfers or receives a touch signal. The second electrode layer has a step in the boundary between the first and second areas, hence breaking off into first and second parts. The first part is electrically insulated from the second part. | 02-12-2015 |
20150041779 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND OPTICAL FILM - An organic light emitting diode display is provided. The organic light emitting diode display includes a flexible substrate, an organic light emitting diode disposed on the flexible substrate, a thin film encapsulator disposed on the organic light emitting diode, a plurality of low refraction protrusions disposed on at least one of a surface of the flexible substrate and the thin film encapsulator, wherein each of the low refraction protrusions is formed having an isosceles trapezoid shape, and a high refraction layer disposed covering the plurality of low refraction protrusions. | 02-12-2015 |
20150041780 | EFFICIENT ORGANIC LIGHT-EMITTING DIODES AND FABRICATION OF THE SAME - Light-emitting devices comprising light-emitting diodes are described herein. These devices may include a substrate, a reflective anode, a hole-injection layer, a hole-transport layer, an emissive component, an electron-transport layer, a cathode, an enhancement layer, and a light-scattering layer. The emissive component may include first and second fluorescent light-emitting layers with an intervening phosphorescent light-emitting layer or first and second phosphorescent light-emitting layers with an intervening fluorescent light-emitting layer. | 02-12-2015 |
20150041781 | SOLID-STATE IMAGE PICKUP DEVICE AND MANUFACTURING METHOD THEREOF - There is provided a solid-state image pickup device that includes a functional region provided with an organic film, and a guard ring surrounding the functional region | 02-12-2015 |
20150041782 | BACK-EMITTING OLED DEVICE - An OLED device includes a transparent anode of given sheet resistance R1, a cathode of given sheet resistance R2, the ratio r=R2/R1 ranging from 0.1 to 5, a first anode electrical contact and a first cathode electrical contact which is offset from the anode electrical contact, for any point B1 of each anode contact, on defining a distance D1 between the point B1 and a point C1 of the contact surface which is closest to the point B1, and on defining a distance L1 between the point B1 and a point X1 of a second edge of the active zone opposite from the first edge, passing through C1, then the following criteria are defined:
| 02-12-2015 |
20150041783 | ORGANIC ELECTROLUMINESCENCE ELEMENT - The organic electroluminescence element in accordance with the present invention, includes: a substrate; a light-emitting stack on a face of the substrate; a covering substrate provided so as to face the face of the substrate; and a sealing bond surrounding the light-emitting stack and bonding the substrate and the covering substrate to enclose the light-emitting stack together with the covering substrate and the substrate. The sealing bond includes a bonding layer and a low moisture permeable layer, and the low moisture permeable layer is lower in moisture permeability and thicker than the bonding layer. | 02-12-2015 |
20150041784 | COMPOUND, LIGHT-EMITTING MATERIAL, AND ORGANIC LIGHT-EMITTING DEVICE - A compound represented by the general formula (1) is useful as a light-emitting material. In the general formula (1), Ar | 02-12-2015 |
20150041785 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic electroluminescent device (EL device) using an indolocarbazole compound. The organic EL device is obtained by laminating an anode, a plurality of organic layers including a phosphorescent light-emitting layer, and a cathode on a substrate, and the phosphorescent light-emitting layer, a hole-transporting layer, an electron-transporting layer, a hole-blocking layer, or an electron-blocking layer contains an indolocarbazole compound represented by the general formula (1). In the general formula (1), a ring I and a ring II represent rings represented by the formula (1a) and the formula (1b) to be fused to adjacent rings, As each represent C—R or N and at least one of As represents N, Ls each represent a substituted or unsubstituted aromatic hydrocarbon group, or a substituted or unsubstituted aromatic heterocyclic group, and at least one of Ls represents a two- to four-ring fused heterocyclic group, Rs each represent an aliphatic hydrocarbon group, an aromatic hydrocarbon group, or an aromatic heterocyclic group, ps each represent an integer of from 0 to 4, q represents an integer of from 0 to 2, r represents an integer of from 1 to 4, X | 02-12-2015 |
20150041786 | TP ON/IN CELL-TYPE ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE HAVING INTEGRATED NFC ANTENNA - An organic light-emitting diode displayer integrated with a near field communication antenna is provided. The organic light-emitting diode displayer is a TP on-cell or in-cell organic light-emitting diode displayer, the near field communication antenna is disposed on a display screen of the organic light-emitting diode displayer, and a near field communication interface is built on an output circuit of the display screen and is connected to a control mainboard of the display screen. | 02-12-2015 |
20150041787 | OPTOELECTRONIC DEVICE - This invention generally relates to an optoelectronic device and a method of fabricating such a device, and more particularly to an optoelectronic device comprising an anode layer, a semiconductive layer provided over the anode layer, and a cathode layer provided over the semiconductive layer, the anode layer comprising a plurality of electrically conductive tracks connected together and spaced apart from one another with gaps therebetween, the device further comprising a first and one or more further hole injection layers provided between the anode layer and the semiconductive layer and extending across said gaps, wherein the first hole injection layer has a conductivity greater than the conductivity of the one or more further hole injection layers. | 02-12-2015 |
20150041788 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescence element includes: a first electrode and a second electrode, a plurality of light emitting units stacked one on top of another between the first electrode and the second electrode and each having a light emitting layer formed by using an organic material, and a transparent conductive layer arranged between the plurality of light emitting units. The transparent conductive layer is formed by using silver or an alloy containing silver as a main component, and is arranged adjacent to a nitrogen-containing layer formed by using a compound containing nitrogen atom. | 02-12-2015 |
20150041789 | TRANSPARENT ELECTRODE, ELECTRONIC DEVICE, AND ORGANIC ELECTROLUMINESCENT ELEMENT - A transparent electrode includes a conductive layer and an intermediate layer disposed adjacent to the conductive layer. The intermediate layer contains a bipyridine derivative represented by the following general formula (1). The conductive layer is composed of silver as a main component. In the general formula (1), E1 to E10 each represent CR or N, and R represents a hydrogen atom or a substituent; and Ar represents a substituted or non-substituted aromatic hydrocarbon ring or a substituted or non-substituted aromatic heterocyclic ring. | 02-12-2015 |
20150041790 | Organic Light Emitting Display Device and Electronic Device Having the Same - An organic light emitting display device configured to employ a time division control technique by which one frame is divided into a first field and a second field, and the first field and the second field are sequentially driven includes a display unit. The display unit includes first emission control-lines and second emission control-lines alternately arranged along a first direction, wherein the first emission control-lines and the second emission control-lines extend along a second direction perpendicular to the first direction, and first pixel groups and second pixel groups, wherein the first pixel groups alternate with the second pixel groups along the second direction between the first emission control-lines and the second emission control-lines, wherein each of the first pixel groups is coupled to an adjacent one of the first emission control-lines, and each of the second pixel groups is coupled to an adjacent one of the second emission control-lines. | 02-12-2015 |
20150041791 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes a substrate including a pixel area and a surrounding peripheral area. The pixel area includes at least one pixel including an organic light emitting element. The OLED display includes a common voltage line disposed in the peripheral area and configured to transmit a common voltage to the pixel area, a barrier rib disposed on the substrate and covering one side of the common voltage line, a protection member covering another side of the common voltage line, at least one thin film transistor (TFT) disposed in the pixel area, a first electrode disposed in the pixel area and connected to the at least one TFT, an organic emission layer disposed on the first electrode, a second electrode disposed on the organic emission layer and connected to the common voltage line, and an encapsulation layer disposed on the protection member and the second electrode. | 02-12-2015 |
20150041792 | Light-Emitting Element, Display Module, Lighting Module, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device - A tandem light-emitting element in which generation of crosstalk can be suppressed even when the element is applied to a high-definition display is provided. In the tandem light-emitting element, a layer in contact the anode side of an intermediate layer contains 2,9-bis(naphthalen-2-yl)-4,7-diphenyl-1,10-phenanthroline (abbreviation: NBPhen). | 02-12-2015 |
20150041793 | PATTERNING OF OLED MATERIALS - A method of making a patterned OLED layer or layers. The method uses a shadow mask having, for example, a free-standing silicon nitride membrane to pattern color emitter material with a feature size of less than 10 microns. The methods can be used, for example, in the manufacture of OLED microdisplays. | 02-12-2015 |
20150041794 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic light-emitting display device includes a pixel array on a first substrate, a second substrate disposed above the first substrate to face the pixel array, a sealant between the first and second substrates to surround the pixel array, and a barrier layer on outer surfaces of the first substrate, the second substrate, and the sealant. | 02-12-2015 |
20150041795 | Light-Emitting Element, Display Module, Lighting Module, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device - A light-emitting element with improved heat resistance is provided without losing its advantages such as thinness, lightness, and low power consumption. A light-emitting element is provided which includes a first electrode, a second electrode, and an EL layer between the first electrode and the second electrode, in which the EL layer includes a layer containing a condensed aromatic compound or a condensed heteroaromatic compound, and a layer containing 2,9-bis(naphthalen-2-yl)-4,7-diphenyl-1,10-phenanthroline (abbreviation: NBPhen) in contact with the layer containing the condensed aromatic compound or the condensed heteroaromatic compound. | 02-12-2015 |
20150041796 | ORGANIC LIGHT EMITTING DISPLAY PANEL INCLUDING A PROTECTIVE SPACER AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display panel may include a plurality of thin film transistors on a substrate, an insulating interlayer on the thin film transistors, a plurality of first electrodes on a portion of the insulating interlayer electrically connected to the thin film transistors, a plurality of metal lines on a portion of the insulating interlayer, a pixel defining layer on a portion of the insulating interlayer and a portion of the first electrodes, a plurality of organic light emitting structures on the first electrodes in the pixel area, a second electrode on the organic light emitting structures, and a spacer on the pixel defining layer. The insulation interlayer may partially expose electrodes of the thin film transistors. The pixel defining layer may define a pixel area by partially exposing the first electrodes, and an exposed area of the insulating interlayer by partially exposing the insulating interlayer. | 02-12-2015 |
20150041797 | POLYMER AND ORGANIC ELECTROLUMINESCENT ELEMENT - The present invention relates to a polymer comprising a repeating unit containing a specific partial structure and a repeating unit containing a crosslinking group, a composition for an organic electroluminescent element comprising the polymer, and an organic electroluminescent element comprising an organic layer formed using the composition for an organic electroluminescent element by a wet film formation method. | 02-12-2015 |
20150048314 | PHOTOACTIVE DEVICES INCLUDING PORPHYRINOIDS WITH COORDINATING ADDITIVES - Coordinating additives are included in porphyrinoid-based materials to promote intermolecular organization and improve one or more photoelectric characteristics of the materials. The coordinating additives are selected from fullerene compounds and organic compounds having free electron pairs. Combinations of different coordinating additives can be used to tailor the characteristic properties of such porphyrinoid-based materials, including porphyrin oligomers. Bidentate ligands are one type of coordinating additive that can form coordination bonds with a central metal ion of two different porphyrinoid compounds to promote porphyrinoid alignment and/or pi-stacking. The coordinating additives can shift the absorption spectrum of a photoactive material toward higher wavelengths, increase the external quantum efficiency of the material, or both. | 02-19-2015 |
20150048315 | ORGANIC THIN-FILM TRANSISTOR - An n-type organic thin-film transistor including a substrate, a gate, and a dielectric layer covering the substrate and the gate. A semiconductor-insulator polymer blend layer is disposed on the dielectric layer; A source and a drain are disposed on top of the semiconductor-insulator polymer blend layer. | 02-19-2015 |
20150048316 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a substrate having a non-light emitting region and a light emitting region, a photochromic layer in a path of light that is emitted from the light emitting region and a light blocking layer on the photochromic layer, wherein the light blocking layer comprises a plurality of light blocking patterns that are spaced from each other, the light blocking patterns overlap the light emitting region, and a space between adjacent light blocking patterns exposes the non-light emitting region. | 02-19-2015 |
20150048317 | SOLID STATE IMAGING DEVICE - According to one embodiment, solid state imaging device includes, a semiconductor substrate and a photoelectric conversion unit formed in the semiconductor substrate or above the semiconductor substrate. Further, the photoelectric conversion unit is provided with a first photoelectric conversion unit and a second photoelectric conversion unit. One of the first and second photoelectric conversion unit uses at least a part of the semiconductor substrate as a first photoelectric conversion layer, and the other of the first and second photoelectric conversion unit uses an inorganic semiconductor material that is of a different type from the semiconductor substrate as a second photoelectric conversion layer. The second photoelectric conversion unit photoelectrically converts light in a wavelength range that had permeated the first photoelectric conversion unit. | 02-19-2015 |
20150048318 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY AND METHOD OF MANUFACTURING SAME - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes a substrate, a pixel electrode disposed on the substrate and a pixel defining layer which covers an edge of the pixel electrode and exposes a center portion of the pixel electrode. The OLED display also includes a plurality of fine patterns disposed on the center portion, wherein the fine patterns are formed of the same material as that of the pixel defining layer. | 02-19-2015 |
20150048319 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - Provided is an organic light-emitting display apparatus including: a substrate having one or more side walls; a display unit positioned on the substrate; and an encapsulation layer deposited over the display unit and contacting each of the one or more side walls, wherein a height of an outer end portion of the encapsulation layer is less than that of each of the side walls. | 02-19-2015 |
20150048320 | THIN-FILM TRANSISTOR ARRAY SUBSTRATE, DISPLAY DEVICE INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE THIN-FILM TRANSISTOR ARRAY SUBSTRATE - A method of manufacturing a thin film transistor (TFT) array substrate is disclosed. In one aspect, the method includes forming an active layer on a substrate, forming a first insulating layer on the substrate to cover the active layer, and forming a first gate electrode on the first insulating layer in an area corresponding to the active layer, doping the active layer with ion impurities, forming a second insulating layer on the first insulating layer to cover the first gate electrode, performing an annealing process on the active layer, forming a lower electrode of a capacitor on the second insulating layer, forming a third insulating layer on the second insulating layer to cover the lower electrode, wherein the third insulating layer has a dielectric constant that is greater than those of the first and second insulating layers, and forming an upper electrode of the capacitor on the third insulating layer. | 02-19-2015 |
20150048321 | ANTHRACENE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An anthracene-based compound and an organic light-emitting device including the anthracene-based compound, the anthracene-based compound being represented by Formula 1, below: | 02-19-2015 |
20150048322 | ORGANIC LIGHT EMITTING DIODE DISPLAY - OLED display that includes: a substrate; a plurality of thin film transistors formed on the substrate; a plurality of first electrodes respectively connected to the thin film transistors; a pixel definition layer formed on the substrate and having a first opening, a second opening, and a third opening respectively exposing first, second, and third first electrodes of the plurality of first electrodes; an emission layer formed at the first opening, the second opening, and the third opening; and a second electrode formed on the emission layer, wherein the first opening has a first pair of boundary lines facing each other and a second pair of boundary lines facing each other, and the first pair of boundary lines overlap boundary lines of a pair of boundary lines of the first first electrode or are positioned outside the boundary lines of the pair of boundary lines of the first first electrode. | 02-19-2015 |
20150048323 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed-cyclic compound and an organic light-emitting device including the same, the compound being represented by Formula 1, below: | 02-19-2015 |
20150048324 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 and an organic light-emitting diode including the same: | 02-19-2015 |
20150048325 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display is provided. An OLED display in accordance with an exemplary embodiment may include a substrate including a first subpixel, a second subpixel, and a third subpixel, a first electrode disposed on each of the first subpixel, the second subpixel, and the third subpixel, a second electrode facing the first electrode, a first common layer disposed on the first subpixel and the second subpixel, a first emission layer and a second emission layer disposed on the first common layer, a second common layer disposed on the third subpixel, and a third emission layer disposed on the second common layer. The first common layer may include a first doping layer and a second doping layer disposed on the first doping layer. Each of the doping layers may including a p-type dopant, and the second common layer may be formed as a single layer. | 02-19-2015 |
20150048326 | DISPLAY APPARATUS AND METHOD OF FABRICATING THE SAME - Provided is a display apparatus including: a substrate including a display area and a periphery; a display element disposed on the display area of the substrate; and an encapsulation layer including a first inorganic layer, an organic layer, and a second inorganic layer that are sequentially formed to cover the display element, wherein the organic layer includes: a first organic layer formed on of the periphery of the substrate and on the substrate; and a second organic layer formed on the first inorganic layer so as to overlap the display element. | 02-19-2015 |
20150048327 | ORGANIC COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME - A compound is represented by the following Chemical Formula 1: | 02-19-2015 |
20150048328 | DISPLAY UNIT, METHOD OF MANUFACTURING DISPLAY UNIT, AND ELECTRONIC APPARATUS - A display unit includes a plurality of first electrodes provided to respective pixels; an insulating layer having an opening facing each of the first electrodes and having an overhang at an edge of the opening; a charge injection-transport layer being cut or having higher resistance at the overhang of the insulating layer to exhibit one or both of a charge injection property and a charge transport property; an organic layer including one light-emitting layer or a plurality of light-emitting layers common to all of the pixels; and a second electrode formed on an entire surface of the organic layer, the first electrodes, the insulating layer, the charge injection-transport layer, the organic layer, and the second electrode being disposed in this order from a substrate side. | 02-19-2015 |
20150048329 | FLEXIBLE DISPLAY - A flexible display may suppress a generation of cracks in an inorganic layer and suppress the spread of cracks. A flexible display includes a flexible substrate and an inorganic layer formed on the flexible substrate. A display unit is formed on the inorganic layer. The display unit includes a plurality of pixels. Each pixel includes an organic light emitting diode. A thin film encapsulation layer covers the display unit. A crack suppressing layer is formed along the edge of the flexible substrate. The crack suppressing layer is disposed on the inorganic layer at an exterior side of the thin film encapsulation layer. | 02-19-2015 |
20150048330 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A an organic light-emitting display apparatus, including a first substrate, a display unit having a plurality of organic light-emitting devices that is formed on the first substrate, a second substrate disposed on the display unit, and a filler included between the first substrate and the second substrate. The organic light-emitting device includes a first electrode formed on the first substrate, an intermediate layer that is disposed on the first electrode and includes an organic emission layer, and a porous second electrode disposed on the intermediate layer. | 02-19-2015 |
20150048331 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING SAME - An organic light-emitting display apparatus includes a substrate; a display unit which defines an active area on the substrate and includes a thin film transistor and an organic light-emitting device electrically connected to each other; and an encapsulation layer disposed on a top surface and a side surface of the display unit, the encapsulation layer including at least a first inorganic layer, a first organic layer, and a second inorganic layer that are sequentially stacked, and the first organic layer covers the first inorganic layer. | 02-19-2015 |
20150048332 | AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - An amine-based compound is represented by Formula 1 below: | 02-19-2015 |
20150048333 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR PREPARING THE SAME - An organic light emitting diode display includes an organic light emitting panel, a high refractive organic film layer on the organic light emitting panel, the high refractive organic film layer including a convex portion having a convex shape with respect to the organic light emitting panel, a low refractive organic film layer on the high refractive organic film, the low refractive organic film layer including a concave portion corresponding to the convex portion of the high refractive organic film layer, a color filter on the low refractive organic film layer, and a light blocking member having an opening corresponding to the color filter. | 02-19-2015 |
20150048334 | PHOTOCURABLE COMPOSITION AND ENCAPSULATED APPARATUS PREPARED USING THE SAME - A photocurable composition, a composition for encapsulation of an organic light emitting diode, and an encapsulated apparatus, wherein, in the photocurable composition, when A represents a glass-metal alloy die shear strength in kgf between a glass substrate and a Ni/Fe alloy after curing, and B represents curing shrinkage in % as determined by Equation 1, below, and the photocurable composition has a value for A/B of about 0.7 kgf/% or more and the glass-metal alloy die shear strength of about 2.5 kgf or more, | 02-19-2015 |
20150048335 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a plurality of pixels, a plurality of first electrodes, a plurality of second electrodes, an intermediate layer, a third electrode, an auxiliary layer, and a fourth electrode. Each pixel includes a first region that emits light in a first direction and a second region that emits light in a second direction that is opposite to the first direction. The first electrodes are respectively located in the first region of each of the pixels. The second electrodes are respectively located in the second region of each of the plurality of pixels. The intermediate layer is on the plurality of first electrodes and the plurality of second electrodes, and includes an organic emission layer. The third electrode is on the intermediate layer and in the first and second regions. The fourth electrode is in the first region and contacts the third electrode. | 02-19-2015 |
20150048336 | ORGANIC ELECTROLUMINESCENCE PANEL AND METHOD FOR PRODUCING THE SAME - In an organic EL panel, a transparent conductive film, a functional layered body including at least one light-emitting layer, and an opposing electrode film are layered in this order on a substrate, and the light-emitting layer which overlaps the transparent conductive film and the opposing electrode film serves as a light-emitting portion. The organic EL panel has at least one auxiliary electrode that is formed on the substrate below the light-emitting portion and directly covered with the transparent conductive film. The transparent conductive film has a film thickness more than that of the auxiliary electrode and the side surface of the transparent conductive film is covered with the functional layered body. | 02-19-2015 |
20150048337 | Organic Light-Emitting Component - An organic light-emitting component includes a first light-emitting layer sequence, which is designed to emit light in a first wavelength range during the operation of the component. A second light-emitting layer sequence which is designed to emit light in a second wavelength range during the operation of the component. A charge carrier generating layer sequence which is designed to output charge carriers to the first light-emitting layer sequence and to the second light-emitting layer sequence during the operation of the component. The first wavelength range differs from the second wavelength range. The charge carrier generating layer sequence is arranged between the first light-emitting layer sequence and the second light-emitting layer sequence in a stacking direction of the organic light-emitting component. | 02-19-2015 |
20150048338 | LIGHT-EMITTING MATERIAL, AND ORGANIC LIGHT-EMITTING ELEMENT - The compound represented by the following general formula is useful as a light-emitting material for an organic light-emitting device. Z | 02-19-2015 |
20150048339 | ORGANIC EL DISPLAY DEVICE - An organic EL display device including a substrate, a transparent electrode, a luminescent layer, and a metal electrode layer in this order from a light emission side, a circularly polarizing plate disposed on the light emission side of the substrate, the circularly polarizing plate including a polarizing film and phase difference films that stacked on each other. The phase difference film includes a resin composition (A) containing polystyrene-based polymer having a syndiotactic structure and polyarylene ether, a ratio of the polystyrene-based polymer having the syndiotactic structure with respect to the polyarylene ether in the resin composition (A) is 65:35 to 55:45, being a weight ratio of (the polystyrene-based polymer having the syndiotactic structure) with respect to (the polyarylene ether), the phase difference film satisfies a relation Re | 02-19-2015 |
20150048340 | GREEN LUMINESCENT MATERIALS - There is provided a compound having Formula I | 02-19-2015 |
20150048341 | ORGANIC ELECTROLUMINESCENT ELEMENT AND METHOD FOR MANUFACTURING THE SAME - Organic electroluminescent element capable of conveniently and precisely establishing the emission color of the element. The organic electroluminescent element includes a pair of electrodes and a light-emitting layer provided between the electrodes and presents an emission color at the coordinate Ao (Xo, Yo) in CIE 1931 chromaticity coordinate system. The light-emitting layer contains in the same layer, a light emitting material A1 that presents an emission color at the coordinate A1 (x1, y1) in the CIE 1931 chromaticity coordinate system and a light emitting material A2 that presents an emission color at the coordinate A2 (x2, y2) in the CIE 1931 chromaticity coordinate system; a distance L | 02-19-2015 |
20150048342 | ORGANIC ELECTROLUMINESCENT ELEMENT AND METHOD FOR MANUFACTURING THE SAME - Organic electroluminescent element capable of conveniently and precisely establishing the emission color of the element and capable of fine-adjusting the emission color in the white region. The organic electroluminescent element includes a pair of electrodes and a light-emitting layer provided between the electrodes and presents an emission color at the coordinate Ao (Xo, Yo) in the CIE 1931 chromaticity coordinate system. The light-emitting layer contains in the same layer, a white light emitting material A1 that presents an emission color at the coordinate A1 (x1, y1) in the CIE 1931 chromaticity coordinate system and a white light emitting material A2 that presents an emission color at the coordinate A2 (x2, y2) different from the coordinate A1 (x1, y1) in the CIE 1931 chromaticity coordinate system. A distance L | 02-19-2015 |
20150048343 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A heterocyclic compound represented by Formula 1 and an organic light-emitting diode including the same: | 02-19-2015 |
20150048344 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display according to an example embodiment of the present invention includes: a substrate and an encapsulation substrate facing each other; a sealing member bonding the substrate and the encapsulation substrate to seal the substrate and the encapsulation substrate; a plurality of pixels positioned on the substrate sealed by the sealing member; a driver positioned on the substrate and electrically connected to the pixels by a plurality of wires; and an insulating layer formed on the substrate and having a recess portion formed at a region corresponding to the sealing member, wherein the wire is positioned within the recess portion. | 02-19-2015 |
20150048345 | DISPLAY DEVICE AND ELECTRONIC DEVICE - There is provided a display device including a light-emitting element corresponding to a pixel for a color, and a white color filter corresponding to a white pixel. Transmittance of the white color filter is based on neutral density (ND) transmittance that is uniform throughout an entire wavelength band of visible light, and transmittance in a particular wavelength band in the entire wavelength band of the visible light is decreased to be lower than the ND transmittance. | 02-19-2015 |
20150048346 | IN-CELL OLED TOUCH DISPLAY PANEL STRUCTURE OF NARROW BORDER - An in-cell OLED touch panel structure of narrow border includes an upper substrate, a lower substrate, an OLED layer configured between the upper and lower substrates, a black matrix layer, a first sensing electrode layer, a second sensing electrode layer, and a thin film transistor layer. The black matrix layer is composed of a plurality of opaque lines. The first sensing electrode layer includes M first conductor line units and N connection lines. The second sensing electrode layer includes N second conductor line units. Each second conductor line unit makes use of a corresponding i-th connection line to be extended to one edge of the in-cell OLED touch panel structure. The M first conductor line units, the N connection lines, and the N second conductor line units are disposed at positions corresponding to those of the plurality of opaque lines of the black matrix layer. | 02-19-2015 |
20150048347 | ORGANIC ELECTRO LUMINESCENT DISPLAY DEVICE - An organic EL display device includes plural pixels that is arranged on a substrate in a matrix, a light shielding film that shields boundaries of the plurality of pixels, and a light emitting area in which an organic layer that is arranged between a lower electrode and an upper electrode, and formed of a plurality of layers including a light emitting layer that emits a light comes in contact with the lower electrode, in each of the plurality of pixels, in which the light shielding film has wide portions and narrow portions which are arranged along sides of the pixels, and different in width from each other. | 02-19-2015 |
20150048348 | DISPLAY PANEL - A display panel includes a first substrate, a lighting device emitting a monochrome light, and a color conversion layer comprising a quantum dots layer. The display panel defines a plurality of pixel areas, each pixel area includes a plurality of sub-pixels for correspondingly emitting light of different colors. The color conversion layer receives the monochrome light and converts the monochrome light to the light of different colors. | 02-19-2015 |
20150048349 | DISPLAY DEVICE - A display device, an electronic device, or a lighting device that is unlikely to be broken is provided. A flexible first substrate and a flexible second substrate overlap with each other with a display element provided therebetween. A flexible third substrate is bonded on the outer surface of the first substrate, and a flexible fourth substrate is bonded on the outer surface of the second substrate. The third substrate is formed using a material softer than the first substrate, and the fourth substrate is formed using a material softer than the second substrate. | 02-19-2015 |
20150048350 | Lighting Tiles - We describe a lighting tile having a substrate bearing an electrode structure, the electrode structure comprising: a plurality of electrically conductive tracks disposed over said substrate; and an electrical connection region connecting to said plurality of tracks; wherein the height of said tracks tapers away from said connection region to compensate for a reduction in luminance from said lighting tile array from the electrical connection region which arises from a non-uniform voltage drop which appears along the tracks in use. Advantageously the tracks are fabricated by electroplating: then, as the rate of deposition is determined by the voltage drop along a track during plating, the height of the deposited tracks, and therefore their resistance, will match the profile required in operation to compensate for the reduction in luminance which would otherwise occur. | 02-19-2015 |
20150048351 | COMPOUND HAVING ACRIDAN RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - An organic compound with characteristics excelling in hole-injecting/transporting performance and having an electron blocking ability, a highly stable thin-film state, and excellent heat resistance is provided as material for an organic electroluminescent device of high efficiency and high durability, and the organic electroluminescent device of high efficiency and high durability is provided using this compound. | 02-19-2015 |
20150048352 | WAFER FOR FORMING IMAGING ELEMENT, METHOD FOR MANUFACTURING SOLID-STATE IMAGING ELEMENT, AND IMAGING ELEMENT CHIP - A wafer for forming an imaging element has a test pattern and a plurality of imaging element units. The wafer has an imaging region which includes a great number of photoelectric conversion pixels, an imaging element units and a test pattern. The test pattern includes a testing organic photoelectric conversion film and a testing counter electrode having the same configuration and formed at the same time as the organic photoelectric conversion film and a counter electrode, respectively of the photoelectric conversion pixels. A first testing terminal is electrically connected to the undersurface side of the testing organic photoelectric conversion film, and a second testing terminal is electrically connected to the testing counter electrode. A protective film is formed over the entire semiconductor wafer so as to cover the imaging region and the test pattern, and is then partially removed so that a part of each testing terminal is exposed. | 02-19-2015 |
20150048353 | ORGANIC LIGHT-EMITTING DEVICE AND IMAGE DISPLAY SYSTEM EMPLOYING THE SAME - An organic light-emitting device and an image display system employing the same are provided. The organic light-emitting device includes: a first substrate; an organic light-emitting pixel structure disposed on a top surface of the first substrate; a second substrate having a bottom surface opposite to the top surface of the first substrate; and an optical functional layer disposed over the organic light-emitting pixel structure. | 02-19-2015 |
20150048354 | DISPLAY APPARATUS - Provided is a display apparatus and a method of manufacture. The display apparatus includes a first substrate with a plurality of organic electroluminescence devices, a second substrate with a color filter, the second substrate facing the first substrate, and an adhesive layer disposed between the first substrate and the second substrate so as to cover the plurality of organic electroluminescence devices, the adhesive layer being made of a material selected from the group consisting of a phenol resin, a melanin resin, an unsaturated polyester resin, an epoxy resin, a silicon resin and a polyurethane resin. | 02-19-2015 |
20150048355 | ORGANIC ELECTROLUMINESCENCE DEVICE, DISPLAY UNIT INCLUDING THE SAME, AND METHOD OF MANUFACTURING AN ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes a first electrode, an organic layer formed on the first electrode and including a light-emitting layer, an intermediate layer formed on the organic layer; and a second electrode formed on the intermediate layer and having a thickness of 6 nm or less. | 02-19-2015 |
20150048356 | ADHESIVE FILM AND SEALING PRODUCT FOR ORGANIC ELECTRONIC DEVICE USING SAME - Provided are an adhesive film, and an organic electronic device (OED) encapsulation product using the same. Dimensional stability, lifespan, and durability may be enhanced even when a panel of an organic electronic device is large-sized and formed as a thin film by controlling dimensional tolerance and edge angular tolerance of the adhesive film, thereby ensuring long-term reliability, and process yields may be enhanced when the adhesive film is applied to an automation process. | 02-19-2015 |
20150048357 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A light emitting device is provided which has a structure for lowering energy barriers at interfaces between layers of a laminate organic compound layer. A mixed layer ( | 02-19-2015 |
20150048358 | LIGHT-EMITTING DEVICE - It is an object of the present invention to provide a light-emitting device where periphery deterioration can be prevented from occurring even when an organic insulating film is used as an insulating film for the light-emitting device. In addition, it is an object of the present invention to provide a light-emitting device where reliability for a long period of time can be improved. A structure of an inorganic film, an organic film, and an inorganic film is not continuously provided from under a sealing material under a cathode for a light-emitting element. In addition, penetration of water is suppressed by defining the shape of the inorganic film that is formed over the organic film even when a structure of an inorganic film, an organic film, and an inorganic film is continuously provided under a cathode for a light-emitting element. | 02-19-2015 |
20150053931 | THIN-FILM TRANSISTOR ARRAY SUBSTRATE, DISPLAY APPARATUS INCLUDING SAME, AND METHOD OF MANUFACTURING THIN-FILM TRANSISTOR ARRAY SUBSTRATE - A thin-film transistor (TFT) array substrate includes: a TFT including an active layer, a gate electrode, a source electrode, and a drain electrode; a first conductive layer disposed in a same layer as one of the active layer, the gate electrode, the source electrode, and the drain electrode; a second conductive layer disposed in a different layer from the first conductive layer; a node contact hole including a first contact hole part which exposes the first conductive layer, a second contact hole part which exposes the second conductive layer, and a connection part which connects the first contact hole part and the second contact hole part and has a width smaller than that of the first contact hole part and that of the second contact hole part; and a connection node disposed in the node contact hole to electrically connect the first and second conductive layers. | 02-26-2015 |
20150053932 | ORGANIC LIGHT EMITTING DIODE PACKAGE AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode (OLED) package includes a substrate, an OLED die mounted on the substrate and an encapsulation layer encapsulating the OLED die. The OLED package further includes a protecting layer formed on the OLED die. The encapsulation layer has a multi-layered structure and is deposited on the protecting layer. Refractive indexes of a cathode of the OLED die, the protecting layer and the encapsulation layer are gradually decreased in the sequence. A barrier layer for blocking moisture from entering the OLED package is formed on a bottom surface of the substrate by atomic layer deposition (ALD) method. The present disclosure also provides a method for manufacturing the OLED package. | 02-26-2015 |
20150053933 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes: a first electrode; a second electrode facing the first electrode; an emission layer (EML) between the first electrode and the second electrode; a hole transport region between the first electrode and the EML; an electron transport layer (ETL) between the EML and the second electrode, and including a first electron transport material; a first buffer layer between the EML and the ETL, and including a first buffer material; and a second buffer layer between the first buffer layer and the ETL, and including a second buffer material and a second electron transport material, wherein the first buffer material and the second buffer material are each independently selected from compounds represented by Formula 1 below, and the first buffer layer does not include an electron transport material: | 02-26-2015 |
20150053934 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT- EMITTING DEVICE COMPRISING THE SAME - A heterocyclic compound represented by Formula 1 and an organic light-emitting device including the same. | 02-26-2015 |
20150053935 | Organic Light-Emitting Diode Displays With Semiconducting-Oxide and Silicon Thin-Film Transistors - An electronic device may include a display having an array of display pixels on a substrate. The display pixels may be organic light-emitting diode display pixels or display pixels in a liquid crystal display. In an organic light-emitting diode display, hybrid thin-film transistor structures may be formed that include semiconducting oxide thin-film transistors, silicon thin-film transistors, and capacitor structures. The capacitor structures may overlap the semiconducting oxide thin-film transistors. Organic light-emitting diode display pixels may have combinations of oxide and silicon transistors. In a liquid crystal display, display driver circuitry may include silicon thin-film transistor circuitry and display pixels may be based on oxide thin-film transistors. A single layer or two different layers of gate metal may be used in forming silicon transistor gates and oxide transistor gates. A silicon transistor may have a gate that overlaps a floating gate structure. | 02-26-2015 |
20150053936 | ORGANIC ELECTRIC LIGHT EMITTING ELEMENT, MATERIAL FOR SAID ELEMENT, AND LIGHT EMITTING DEVICE, DISPLAY DEVICE, AND ILLUMINATION DEVICE EMPLOYING SAID ELEMENT - An organic electroluminescent element including a substrate, a pair of electrodes including an anode and a cathode, disposed on the substrate, and at least one organic layer including a light emitting layer, disposed between the electrodes, in which the organic layer includes a compound represented by the following general formula (1), has high luminous efficiency, excellent blue color purity, and a small change in the chromaticity due to deterioration by driving, wherein Cy, Dn | 02-26-2015 |
20150053937 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode, wherein the organic layer includes an emission layer and any one of a hole injection layer, a hole transport layer, or a functional layer having hole injection and hole transport abilities, wherein the emission layer includes an organic metal complex represented by Formula 1 herein, and wherein the hole injection layer, the hole transport layer, or the functional layer having hole injection and hole transport abilities includes a compound represented by Formula 2 or 3 herein. | 02-26-2015 |
20150053938 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A composition formed of a first mixture of a first compound and a second compound wherein the first compound has different chemical structure than the second compound; the first compound is capable of functioning as a hole transporting material in an organic light emitting device at room temperature; the first compound comprises at least one carbazole group; the first compound has a evaporation temperature T1 of 150 to 350° C.; the second compound has evaporation temperature T2 of 150 to 350° C.; the absolute value of T1−T2 is less than 20° C.; the first compound having a concentration C1 in said first mixture, and the first compound having a concentration C2 in a film formed by evaporating the first mixture in a vacuum deposition tool at a constant pressure between 1×10 | 02-26-2015 |
20150053939 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A composition formed of a mixture of two compounds having similar thermal evaporation properties that are pre-mixed into an evaporation source that can be used to co-evaporate the two compounds into an emission layer in OLEDs via vacuum thermal evaporation process is disclosed. | 02-26-2015 |
20150053940 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A heterocyclic compound and an organic light-emitting diode including the same, the heterocyclic compound being represented by Formula 1 below: | 02-26-2015 |
20150053941 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound and an organic light-emitting diode including the same, the heterocyclic compound being represented by Formula 1, below: | 02-26-2015 |
20150053942 | ORGANIC LIGHT-EMITTING DEVICE - Provided is an organic light-emitting device, including a first electrode; a second electrode facing the first electrode; an emission layer disposed between the first electrode and the second electrode; a first hole transport layer that is disposed between the emission layer and the first electrode and includes a first compound and a first charge-generation material; a second hole transport layer that is disposed between the emission layer and the first hole transport layer and includes a second compound; a third hole transport layer that is disposed between the emission layer and the second hole transport layer and includes a third compound and a second charge-generation material; and a fourth hole transport layer that is disposed between the emission layer and the third hole transport layer and includes a fourth compound. The first, second, third, and fourth compounds are each represented by Formula 1 or 2: | 02-26-2015 |
20150053943 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode device includes a display panel; a polarizing plate on the display panel; a first pressure adhesive layer between the display panel and the polarizing plate; a touch panel on the polarizing plate; a second pressure adhesive layer between the polarizing plate and the touch panel; a window on the touch panel; and a third pressure adhesive layer between the touch panel and the window. | 02-26-2015 |
20150053944 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode; a second electrode opposite to the first electrode; and an organic layer between the first electrode and the second electrode, and the organic layer including an emission layer that includes at least one silane-based compound represented by Formula 1 below and at least one anthracene-based compound represented by Formula 20 below. | 02-26-2015 |
20150053945 | ANTHRACENE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME - An anthracene-based compound is provided as represented by Formula 1: | 02-26-2015 |
20150053946 | ANTHRACENE-BASED COMPOUNDS AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An anthracene-based compound is provided represented by Formula 1: | 02-26-2015 |
20150053947 | LIGHT EMITTING DIODE PIXEL UNIT CIRCUIT AND DISPLAY PANEL - A LED pixel unit circuit and a display panel. The circuit comprises a driving module ( | 02-26-2015 |
20150053948 | ORGANIC EL PANEL AND METHOD FOR MANUFACTURING SAME - An organic EL panel including an organic light-emitting layer with a miniaturized structure formed by a wet process, allowing for excellent light-emitting characteristics, and a method for manufacturing the same. Specifically, the display panel includes: a substrate; first electrodes arranged above the substrate along a first and second direction intersecting with each other; a first, second, and third organic light-emitting layer arranged above the first electrodes so as to be adjacent to each other in the second direction, and each containing an organic light-emitting material corresponding to a different emission color; a first bank separating the first and the second layer; a second bank separating the second and the third layer; and a second electrode disposed above the first, the second, and the third layer and being different in polarity from the first electrodes. The first and the second bank are different in width along the second direction. | 02-26-2015 |
20150053949 | ORGANIC ELECTROLUMINESCENT ELEMENT - The organic electroluminescent element prevents degradation of phosphorescent luminescent organic metal complexes in a light emitting layer, has a long life, and has superior color stability during continuously drive. The organic electroluminescent element has a blue light emitting layer with a phosphorescent light emitting organometallic complex (A) with a local maximum phosphorescent light emission wavelength on the short wave side of 480 nm or less, a phosphorescent light emitting organometallic complex (B), and a host compound. The content of complex (A) is greater than the content of the complex (B). The complex (A) and the host are such that a single layer made from complex (A) and the host compound, the value for the ratio ((D)/(C)) of the percent of remaining luminescence (C) which UV irradiation with a wavelength of 365 nm and the percent of remaining luminescence (D) with UV irradiation by a HgXe light source is 0.75-0.95. | 02-26-2015 |
20150053950 | METHOD FOR MANUFACTURING TRANSPARENT ELECTRODE, TRANSPARENT ELECTRODE, AND ORGANIC ELECTRONIC DEVICE - A method for forming a transparent electrode includes a step of forming a thin metal wire on a transparent substrate; and a step of forming a transparent conductive layer on the transparent substrate and the thin metal wire. The step of forming the transparent conductive layer is a step of forming the transparent conductive layer by applying an application liquid onto the transparent substrate and the thin metal wire by printing. The application liquid is composed of a conductive polymer, a water-soluble binder having a structural unit represented by the following general formula (I), a polar solvent having a log P value of −1.50 to −0.45, and 5.0 to 25 mass % of a glycol ether. | 02-26-2015 |
20150053951 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD FOR PRODUCING AN ORGANIC LIGHT-EMITTING DEVICE - The invention relates to an organic light-emitting part having a functional layer stack ( | 02-26-2015 |
20150053952 | PRODUCTION METHOD FOR JOINED BODY, AND JOINED BODY - A method for manufacturing a joined body composed of a first substrate and a second substrate joined together by sealing resin material attached to a predetermined area of the first substrate includes: attaching a sheet material to the first substrate so as to cover the predetermined area, the sheet material including a sheet base material and the resin material provided on one main surface of the sheet base material; forming, after the attaching, in the sheet material, a slit by reducing a thickness of the resin material along an outline of the predetermined area; and separating, after the forming, part of the resin material inside the slit from the sheet base material to keep the part of the resin material inside the slit on the predetermined area of the first substrate and not to keep the rest of the resin material outside the slit on the first substrate. | 02-26-2015 |
20150053953 | DISPLAY DEVICE - A display device including pixels, in which each of the pixels includes: a positive power supply line and a negative power supply line; a drive transistor that drives a current in a current path according to a gate-source voltage; an organic EL element including an anode and a cathode that are disposed in the current path; an electrostatic storage capacitor that stores the gate-source voltage by having a first electrode connected to a gate of the drive transistor, and a second electrode connected to a source of the drive transistor; a switch transistor that switches a conduction state between the second electrode and a data line; and a switch transistor for applying a negative power supply line voltage to the first electrode. A potential difference between a first power supply line voltage VDDp and a second power line voltage VEEp decreases with proximity to the center of a display unit. | 02-26-2015 |
20150053954 | ELECTRONIC DEVICE, IMAGE DISPLAY APPARATUS, AND SUBSTRATE FOR CONFIGURING IMAGE DISPLAY APPARATUS - An electronic device includes a first electrode and a second electrode which are separately formed on a base; a functional layer which includes an organic semiconductor material layer, and is formed on the base between the first electrode and the second electrode; a functional layer extension portion which includes the organic semiconductor material layer, and extends from the functional layer; a protective film which is formed at least on the functional layer; and an insulating layer which covers an entire surface, in which the protective film is patterned to include at least two sides which intersect with each other at an acute angle, and a vertex portion of the protective film in which the two sides intersect with each other, is chamfered. | 02-26-2015 |
20150053955 | ORGANIC EL DISPLAY DEVICE - An organic EL display device includes: thin film transistors that are arranged in respective pixels within a display area which are arranged in a matrix; a planarization film that is formed over the thin film transistor and made of an organic insulating material; contact electrodes that are connected to drains or sources of the respective thin film transistors through contact holes formed within the planarization film; contact hole planarization films that are arranged over the respective contact electrodes with which the contact holes are embedded, and made of an organic insulating material; a lower electrode that is formed to be electrically connected onto the contact electrodes, and formed over the contact hole planarization film; and an organic layer that is arranged over the lower electrode to cover the overall display area, and formed of a plurality of organic material layers including a light emitting layer. | 02-26-2015 |
20150053956 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic EL display device includes: a circuit substrate formed of a plurality of layers including an organic EL layer; a counter substrate facing and spaced from a surface of the circuit substrate on which the organic EL layer is provided; a seal provided around the organic EL layer between the circuit substrate and the counter substrate; a filler filling a space surrounded by the circuit substrate, the counter substrate, and the seal; and a color filter layer and a black matrix layer stacked on the counter substrate on the side of the space. The seal includes a layer of the same material as at least one of the color filter layer and the black matrix layer. | 02-26-2015 |
20150053957 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A sealing film includes a first inorganic layer that has, in a surface thereof, a convex portion corresponding to an upper surface of an element layer, a second inorganic layer that covers the first inorganic layer, and an organic layer disposed between these layers. The surface of the first inorganic layer includes a recurved area changed from an area around the convex portion to the convex portion, and a flat area surrounding the element layer. The flat area includes an outer peripheral area on an outer end of the first inorganic layer, and an inner peripheral area between the outer peripheral area and the recurved area. The organic layer has an end in the outer peripheral area, has another portion in the recurved area, and avoids the inner peripheral area. A part of the second inorganic layer contacts the first inorganic layer in the inner peripheral area. | 02-26-2015 |
20150053958 | LIGHT-EMITTING ELEMENT, DISPLAY MODULE, LIGHTING MODULE, LIGHT-EMITTING DEVICE, DISPLAY DEVICE, ELECTRONIC APPLIANCE, AND LIGHTING DEVICE - A multicolor light-emitting element that utilizes fluorescence and phosphorescence and is advantageous for practical application is provided. The light-emitting element has a stacked-layer structure of a first light-emitting layer containing a host material and a fluorescent substance and a second light-emitting layer containing two kinds of organic compounds and a substance that can convert triplet excitation energy into luminescence. Note that light emitted from the first light-emitting layer has an emission peak on the shorter wavelength side than light emitted from the second light-emitting layer. | 02-26-2015 |
20150053959 | METHOD FOR MANUFACTURING LIGHT-EMITTING DEVICE - An object of one embodiment of the present invention is to provide a more convenient highly reliable light-emitting device which can be used for a variety of applications. Another object of one embodiment of the present invention is to manufacture, without complicating the process, a highly reliable light-emitting device having a shape suitable for its intended purpose. In a manufacturing process of a light-emitting device, a light-emitting panel is manufactured which is at least partly curved by processing the shape to be molded after the manufacture of an electrode layer and/or an element layer, and a protective film covering a surface of the light-emitting panel which is at least partly curved is formed, so that a light-emitting device using the light-emitting panel has a more useful function and higher reliability. | 02-26-2015 |
20150053960 | COMPOUND CONTAINING A 5-MEMBERED HETEROCYCLE AND ORGANIC LIGHT-EMITTING DIODE USING SAME, AND TERMINAL FOR SAME - Disclosed are a novel-structural compound including a 5-membered heterocycle, an organic electronic device using the same, and a terminal thereof. | 02-26-2015 |
20150053961 | ELECTRONIC DEVICE - An electronic device, such as a thin-film transistor, includes a semiconducting layer formed from a semiconductor composition. The semiconductor composition comprises a polymer binder and a small molecule semiconductor. The semiconducting layer has been deposited on an alignment layer that has been aligned in the direction between the source and drain electrodes. The resulting device has increased charge carrier mobility. | 02-26-2015 |
20150053962 | Light-Emitting Element and Light-Emitting Device - It is an object of the present invention to provide a light-emitting element having a layer containing a light-emitting material and a transparent conductive film between a pair of electrodes, in which electric erosion of the transparent conductive film and metal can be prevented, and also to provide a light-emitting device using the light-emitting element. According to one feature of the invention, a light-emitting element includes a first layer | 02-26-2015 |
20150053963 | DISPLAY UNIT AND ELECTRONIC APPARATUS - A display unit comprising an organic layer between a light-emitting section portion of a first electrode layer and a light-emitting section portion of a second electrode layer. Light is emissible from within the organic layer. An aperture-defining insulating film is between a contact section of the first electrode layer and a gap section portion of the second electrode layer. The thickness of the gap section portion of the second electrode layer is greater than the thickness of the light-emitting section portion of the second electrode layer. | 02-26-2015 |
20150053964 | METHOD FOR MANUFACTURING ORGANIC EL DISPLAY AND ORGANIC EL DISPLAY - A method for manufacturing an organic electroluminescence display including multilayer structures that are each formed in a respective one of pixel areas in an effective area of a substrate and are each formed by a lower electrode, an organic layer, and an upper electrode, the organic electroluminescence display having a common electrode that electrically connects the pixel areas, the method including the steps of: forming a protective electrode and an outer-peripheral electrode that are electrically connected to the common electrode; forming the multilayer structures; and carrying out film deposition treatment involving electrification of the substrate. | 02-26-2015 |
20150060773 | Organic Photosensitive Device with an Electron-Blocking and Hold-Transport Layer - The present disclosure provides a photosensitive device. The photosensitive device includes a donor-intermix-acceptor (PIN) structure. The PIN structure includes an organic hole transport layer; an organic electron transport layer; and an intermix layer sandwiched between the hole transport organic material layer and the electron transport organic material layer. The intermix layer includes a mixture of an n-type organic material and a p-type organic material. | 03-05-2015 |
20150060774 | IMAGE SENSORS WITH ORGANIC PHOTODIODES AND METHODS FOR FORMING THE SAME - Embodiments of forming an image sensor with organic photodiodes are provided. Trenches are formed in the organic photodiodes to increase the PN-junction interfacial area, which improves the quantum efficiency (QE) of the photodiodes. The organic P-type material is applied in liquid form to fill the trenches. A mixture of P-type materials with different work function values and thickness can be used to meet the desired work function value for the photodiodes. | 03-05-2015 |
20150060775 | ORGANIC PHOTO DIODE WITH DUAL ELECTRON BLOCKING LAYERS - Embodiments of forming an image sensor with an organic photodiode are provided. The organic photodiode uses dual electron-blocking layers formed next to the anode of the organic photodiode to reduce dark current. By using dual electron-blocking layers, the values of highest occupied molecular orbital (HOMO) for the neighboring anode layer and the organic electron-blocking layer are matched by one of the dual electron-blocking layers to form a photodiode with good performance. The values of the lowest occupied molecular orbital (LOMOs) of the dual electron-blocking layers are selected to be lower than the neighboring anode layer to reduce dark current. | 03-05-2015 |
20150060776 | ORGANIC ELECTROLUMINESCENT DEVICE WITH ENERGY HARVESTING - Provided herein is an organic light-emitting device and a method of construction thereof. The organic light-emitting device comprises an anode, a cathode, and at least two light-emitting layers located between the anode and the cathode. At least one of the light-emitting layers comprises a host compound having distributed therein a first compound capable of phosphorescent emission at room temperature and a second compound capable of phosphorescent emission at room temperature that has a peak emission wavelength at least 10 nm higher than the first compound. | 03-05-2015 |
20150060777 | FLEXIBLE SUBSTRATE FOR OLED DEVICE - Flexible substrates and devices including flexible substrates are provided. In an embodiment, a flexible substrate includes a first glass substrate material and a first organic light emitting device, disposed over the first flexible substrate, which includes a first emissive layer The first flexible substrate may have a thickness of not more than 300 μm, a flexural rigidity of 10 | 03-05-2015 |
20150060778 | FLEXIBLE ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR FABRICATING THE SAME - Provided is a flexible organic electroluminescent device and a method for fabricating the same. In the flexible electroluminescent device, line hole patterns are formed on surfaces of a plurality of inorganic layers positioned in a pad region in which a flexible printed circuit board is connected to prevent a path of cracks caused by repeated bending and spreading of the organic electroluminescent device from spreading to the interior of the device. | 03-05-2015 |
20150060779 | ORGANIC ELECTROLUMINESCENT ILLUMINATING DEVICE - An organic electroluminescent illuminating device is shown. The device includes, at least on flexible surface light-emitting unit and a single driving unit. The flexible surface light-emitting unit has a flexible substrate and at least one organic electroluminescent element on the flexible substrate. The single driving unit drives the flexible surface light-emitting unit. At least a portion of the flexible surface light-emitting unit is connected to the driving unit. | 03-05-2015 |
20150060780 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device includes an active array substrate, an encapsulating layer, an organic light-emitting layer, an absorption layer and a sealant. The encapsulating layer is opposite to the active array substrate, and the encapsulating layer has an inner surface facing the active array substrate. The organic light-emitting layer is disposed on the active array substrate. The absorption layer is configured to absorb at least one of moisture and oxygen, and is positioned on the inner surface of the encapsulating layer. The sealant is disposed between the active array substrate and the encapsulating layer, and encircles the organic light-emitting layer and the absorption layer | 03-05-2015 |
20150060781 | DISPLAY APPARATUS AND RELATED MANUFACTURING METHOD - A display apparatus may include a substrate, a transistor that overlaps the substrate, and a pixel electrode that is electrically connected to the transistor. The display apparatus may further include a first insulation layer disposed between the pixel electrode and the substrate. The display apparatus may further include a second insulation layer. A first portion of the second insulation layer may be disposed between the first insulation layer and the pixel electrode. A second portion of the second insulation layer may overlap the transistor without overlapping the first insulation layer. The display apparatus may further include a pixel-defining layer that partially covers the pixel electrode and exposes an exposed portion of the pixel electrode. The display apparatus may further include a light-emitting layer that overlaps the exposed portion of the pixel electrode and is configured to emit light. | 03-05-2015 |
20150060782 | OLED DISPLAY DEVICE AND CORRESPONDING FLEXIBLE PRINTED CIRCUIT - An Organic Light-Emitting Diode (OLED) display device and a corresponding flexible printed circuit (FPC) are disclosed. The display device includes a first substrate and a second substrate arranged in opposition. In addition, frit is arranged on an inner side of the first substrate, and the display device also includes at least one bonding mark, where the bonding mark includes the frit, and at least one sub-bonding mark arranged on a surface of the first substrate. The at least one sub-bonding mark includes a first portion and a second portion arranged apart from each other, and the first portion and the second portion are arranged at an edge of the frit. The FPC includes at least one auxiliary bonding mark aligning the FPC to the OLED display device, where the at least one auxiliary bonding mark is aligned with the at least one sub-bonding mark of the OLED display device. | 03-05-2015 |
20150060783 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - Disclosed is an organic light-emitting display apparatus. The organic light-emitting display apparatus includes a pixel electrode that is connected to at least one thin film transistor, an opposite electrode that is disposed to face the pixel electrode, an organic light emitting layer that is disposed between the pixel electrode and the opposite electrode, and a pad electrode that includes a first pad layer, a second pad layer disposed on the first pad layer, and a third pad layer which is disposed between the first pad layer and the second pad layer and contains a material having a reducibility that is lower than a reducibility of a material contained in the second pad layer. | 03-05-2015 |
20150060784 | ORGANIC LIGHT EMITTING DISPLAY AND METHOD FOR MANUFACTURING THE SAME - A method of manufacturing an organic light emitting display includes: patterning an amorphous silicon layer to form an amorphous silicon layer pattern; forming an insulating layer on the amorphous silicon layer pattern; forming a gate electrode on a part of the insulating layer which corresponds to the amorphous silicon layer pattern; forming a blocking film on the gate electrode and the insulating layer; doping an impurity in a part of the amorphous silicon layer pattern; annealing the amorphous silicon layer pattern on which the impurity is doped to form a semiconductor layer; removing the blocking film; etching the insulating layer using the gate electrode as a mask to form a gate insulating layer below the gate electrode; forming an interlayer insulating layer using an organic insulator on a buffer layer, the gate electrode, and the semiconductor layer. | 03-05-2015 |
20150060785 | ORGANIC LIGHT-EMITTING DIODE INCLUDING CONDENSED CYCLIC COMPOUND - An organic light-emitting diode including a condensed cyclic compound represented by Formula 1 and a condensed cyclic compound represented by Formula 2: | 03-05-2015 |
20150060786 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus including a substrate; a display unit which defines an active area of the substrate and includes a thin film transistor; concave-convex portions protruded from the substrate in an area outside the active area; and an encapsulation layer which encapsulates the display unit. The thin film transistor includes an active layer, a gate insulating layer on the active layer, a gate electrode, a source electrode, a drain electrode, and an interlayer insulating layer between the gate electrode and the source electrode, and between the gate electrode and the drain electrode. The concave-convex portions include portions of the gate insulating layer and the interlayer insulating layer, and the encapsulation layer covers the concave-convex portions. | 03-05-2015 |
20150060787 | COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Provided is a compound represented by Formula 1, Formula 2, or Formula 3: | 03-05-2015 |
20150060788 | COMPOUND FOR AN ORGANIC OPTOELECTRIC DEVICE, ORGANIC OPTOELECTRIC DEVICE INCLUDING THE SAME, AND DISPLAY DEVICE INCLUDING THE OPTOELECTRIC DEVICE - A compound for an organic optoelectric device, an organic optoelectric device including the same, and a display device including the organic optoelectric device, the compound including a combination of a moiety represented by the following Chemical Formula I and a moiety represented by the following Chemical Formula II: | 03-05-2015 |
20150060789 | DISPLAY PANEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE COMPRISING THE SAME - A display panel including: a first substrate; a second substrate opposing the first substrate; a sealing substructure on the first substrate, the sealing substructure surrounding a display unit having a plurality of pixels, the sealing substructure including a metal mesh layer having a mesh shape; and a sealing member between the sealing substructure and the second substrate to seal between the first substrate and the second substrate. | 03-05-2015 |
20150060790 | ORGANIC LIGHT-EMITTING DISPLAY - An organic light-emitting display includes a first substrate which includes a pixel region, and an encapsulation region which surrounds the pixel region, an organic light-emitting device which is located in the pixel region, a sealant which is located in the encapsulation region, and a dummy metal which is interposed between the first substrate and the sealant, where the encapsulation region includes a first encapsulation region and a second encapsulation region which is adjacent to the first encapsulation region, and the dummy metal is located in the first encapsulation region. | 03-05-2015 |
20150060791 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed-cyclic compound of Formula 1: | 03-05-2015 |
20150060792 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus including: a substrate; at least one thin-film transistor disposed on the substrate; at least one capacitor disposed on the substrate and including a first electrode and a second electrode; a pixel electrode connected to the at least one thin-film transistor; a counter electrode facing the pixel electrode and including a reflective material; an organic emission layer disposed between the pixel electrode and the counter electrode; a first optical characteristic adjusting layer disposed between the substrate and the pixel electrode and formed on a same layer as the second electrode of the at least one capacitor; and a second optical characteristic adjusting layer disposed between the first optical characteristic adjusting layer and the pixel electrode. | 03-05-2015 |
20150060793 | ORGANIC LIGHT EMITTING ELEMENT - An organic light emitting element is disclosed which includes: an anode and a cathode formed on a substrate and disposed to face each other; and a stack formed between the anode and the cathode and configured to include a hole transport layer, a first light emission layer, a second emission layer and an electron transport layer. The first light emission layer adjacent to the anode includes a host with band gaps of 1.00 eV˜2.70 eV and 2.76 eV˜4.00 eV. Such an organic light emitting element allows at least two light emission layers to be included into a single stack so that a driving voltage is reduced. | 03-05-2015 |
20150060794 | ORGANIC LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting device includes an anode, a cathode, and an organic layer between the anode and the cathode, wherein the organic layer includes an emission layer, an electron transport layer, and an assistance layer interposed therebetween, and the assistance layer has a higher HOMO level than the electron transport layer by more than 0.3 eV. The accumulation of holes in the electron transport layer is decreased or prevented by the gap of the HOMO level between the assistance layer and the electron transport layer such that the lifetime of the organic light emitting device may be increased. | 03-05-2015 |
20150060795 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having a structure according to | 03-05-2015 |
20150060796 | ORGANIC LIGHT EMITTING DEVICE - Provided is an organic light-emitting device, including a first electrode; a second electrode facing the first electrode; an emission layer (EML) disposed between the first electrode and the second electrode; a hole transporting region between the first electrode and the EML; and an electron transporting region between the EML and the second electrode. The hole transporting region includes an amine-based compound represented by one of Formulae | 03-05-2015 |
20150060797 | COMPOSITION, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE COMPOSITION, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - In an aspect, a composition including an acrylate monomer, and aromatic aryl amine compound, an organic light emitting display apparatus including the composition and a method of manufacturing an organic light emitting display apparatus including the composition are provided. | 03-05-2015 |
20150060798 | MONOMERS, POLYMERS AND ORGANIC ELECTRONIC DEVICES - An organic electronic device comprising an anode, a cathode, a semiconducting layer between the anode and the cathode and a hole transporting layer between the anode and the semiconducting layer, the hole-transporting layer comprising a co-polymer comprising repeat units of formula (I) and one or more co-repeat units: (I) wherein: Ar | 03-05-2015 |
20150060799 | ORGANIC ELECTROLUMINESCENT ELEMENT - This disclosure relates to an organic electroluminescent element including a light-emitting layer disposed between electrodes. At least one of the electrodes is a transparent electrode that has light transmitting property. An auxiliary electrode formed of a metal is disposed on an opposite side of the transparent electrode from a side of the light-emitting layer. The auxiliary electrode is provided with a plurality of holes. The plurality of holes have at least two kinds of geometrical shapes in plan view. | 03-05-2015 |
20150060800 | ORGANIC THIN FILM TRANSISTOR, PREPARING METHOD THEREOF, AND PREPARATION EQUIPMENT - An organic thin film transistor, a preparing method thereof, and a preparation equipment. The preparation equipment of an organic thin film transistor comprises: forming a gate electrode, a gate insulating layer, an organic semiconductor layer, and source-drain electrodes on a substrate; the step of forming the organic semiconductor layer comprises: blade-coating a solution in which an organic semiconductor material used to forming the organic semiconductor layer is dissolved to form the organic semiconductor layer. The preparing method can avoid the difference between the edge and the center of the substrate caused by the impact of centripetal force when a spin-coating method is applied, so that the yield of the organic thin film transistor devices is improved. | 03-05-2015 |
20150060801 | ORGANIC ELECTROLUMINESCENT ELEMENT AND MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS - An organic electroluminescence device includes: a cathode; an anode; and an organic thin-film layer having one or more layers and provided between the anode and the cathode, in which the organic layer includes an emitting layer. The emitting layer includes a first host material, a second host material and a phosphorescent dopant material. The first host material is a compound represented by a formula (1A). The second host material is a compound represented by a formula (2A). | 03-05-2015 |
20150060802 | ELECTRONIC DEVICE, MANUFACTURING METHOD THEREOF, AND IMAGE DISPLAY DEVICE - There is provided an electronic device including an electrode structure, an insulating layer, and an active layer. The active layer is formed from an organic semiconductor material. The insulating layer, which is in contact with the active layer, is formed from a cyclic cycloolefin polymer or a cyclic cycloolefin copolymer. | 03-05-2015 |
20150060803 | COMPOUND HAVING ACRIDAN RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - An organic compound with characteristics excelling in hole-injecting/transporting performance and having an electron blocking ability, a highly stable thin-film state, and excellent heat resistance is provided as material for an organic electroluminescent device of high efficiency and high durability, and the organic electroluminescent device of high efficiency and high durability is provided using this compound. The compound of a general formula (Chemical Formula 1) having an acridan ring structure is used as a constituent material of at least one organic layer in the organic electroluminescent device that includes a pair of electrodes and one or more organic layers sandwiched between the pair of electrodes. | 03-05-2015 |
20150060804 | ORGANIC ELECTRONIC COMPONENTS HAVING ORGANIC SUPERDONORS HAVING AT LEAST TWO COUPLED CARBENE GROUPS AND USE THEREOF AS AN N-TYPE DOPANTS - An organic electron transport layer n-dopant. The n-dopant is used to construct organic electronic components, transistors, organic light-emitting diodes, light-emitting electrochemical cells, organic solar cells, photodiodes, and electronic components containing said n-dopant. | 03-05-2015 |
20150060805 | ORGANIC EL DEVICE - An organic EL device including: a substrate; an anode disposed on the substrate; a functional layer disposed on the anode, the functional layer containing a light-emitting layer; an electron transport layer disposed on the functional layer, the electron transport layer containing organic compound-containing material; and a cathode disposed on the electron transport layer so as to be in contact with the electron transport layer, the cathode being light-transmissive. The electron transport layer has a relative permittivity of no less than 2 and no greater than 10 when supplied with an AC voltage having a frequency of 1 MHz. | 03-05-2015 |
20150060806 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An OLED display device and a method of fabricating the same are disclosed. The OLED display device includes a substrate including a display area provided with an organic light emitting element and a pad area provided with a plurality of pads, the pad area formed around the display area, an encapsulation layer formed on the substrate such that the encapsulation layer covers the organic light emitting element, and a dam formed between the display area and the pad area, the dam controlling flow of an organic film material constituting the encapsulation layer. | 03-05-2015 |
20150060807 | LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING LIGHT EMITTING DEVICE, AND ELECTRONIC EQUIPMENT - A light emitting device includes a transistor, a light reflection layer, a first insulation layer that includes a first layer thickness part, a second layer thickness part, and a third layer thickness part, a pixel electrode that is provided on the first insulation layer, a second insulation layer that covers a peripheral section of the pixel electrode, a light emission functional layer, a facing electrode, and a conductive layer that is provided on the first layer thickness part. The pixel electrode includes a first pixel electrode which is provided in the first layer thickness part, a second pixel electrode which is provided in the second layer thickness part, and a third pixel electrode which is provided in the third layer thickness part. The first pixel electrode, the second pixel electrode, and the third pixel electrode are connected to the transistor through the conductive layer. | 03-05-2015 |
20150060808 | INDENOPYRIDINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Provided are an indenopyridine-based compound and an organic light-emitting device including the same. The indenopyridine-based compound is represented by Formula 1: | 03-05-2015 |
20150060809 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An OLED display device includes a substrate, a driving thin film transistor (TFT) formed on the substrate, a passivation layer formed over the substrate and covering the driving TFT, an OLED display formed on the passivation layer, the OLED including a first electrode, an organic emitting layer and a second electrode, a base line formed on the passivation layer, a support pattern formed on the central portion of the base line, a first bank layer covering a boundary portion of each of the first electrode and the base line so as to expose a central portion of each of the first electrode and the base line, and a second bank layer formed on the support pattern. The organic emitting layer is formed on the first electrode, the first and second bank layers and the support pattern in a pixel region of the substrate, and is cut at a top edge portion of the support pattern to expose a portion of the base line, and the second electrode covers the organic emitting layer and is connected to the portion of the base line. | 03-05-2015 |
20150060810 | HIGH APERTURE RATIO ORGANIC LIGHT EMITTING DIODE DISPLAY HAVING DOUBLE BANK STRUCTURE - The present disclosure relates to an organic light emitting diode display having high aperture ratio. The present disclosure suggests an organic light emitting diode display comprising: a substrate having a plurality of pixel area arrayed in a matrix manner; an anode electrode formed within the pixel area on the substrate; a first bank having an open area exposing most of the anode electrode and defining an emission area; a second bank exposing the open area exposed by the first bank and some upper surface of the first bank; an organic light emitting layer covering the some upper surface of the first bank by the second bank and the most of anode electrode exposed by the first bank; and a cathode electrode formed over the substrate having the organic light emitting layer. | 03-05-2015 |
20150060811 | LIGHT EMITTING APPARATUS AND ELECTRONIC APPARATUS - In the light emitting apparatus having a resonance structure for adjusting an optical path length between the reflecting layer and the translucent reflecting layer, in which the emitting layer performs internal luminescence on a first wavelength region and a second wavelength region on a short wavelength side with respect to the first wavelength region, in the second wavelength region, a light emitting peak wavelength, a resonance peak wavelength, and an output wavelength satisfy a relationship of the light emitting peak wavelength>the output wavelength>the resonance peak wavelength, and film thicknesses of an array cavity layer and the emitting layer are adjusted so that an emission intensity of the output wavelength is equal to or less than 15% of an emission intensity of the output wavelength. | 03-05-2015 |
20150060812 | WHITE ORGANIC LIGHT EMITTING DEVICE - Disclosed herein is a white organic light emitting device including various emission layers with improved luminous efficiency, an increased color viewing angle, and low power consumption. The white organic light emitting device includes at least two charge generation layers and at least three stacks between a first electrode and a second electrode. The first stack includes an emission layer having a wavelength range of about 440 to about 470 nm, the second stack includes an emission layer having a wavelength range of about 530 to about 570 nm, and the third stack includes an emission layer having a wavelength range of about 590 to about 620 nm and an emission layer having a wavelength range of about 440 to about 470 nm. | 03-05-2015 |
20150060813 | Heterocyclic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A novel heterocyclic compound that can be used as a host material in which a light-emitting substance is dispersed. A light-emitting element having a long lifetime. A heterocyclic compound in which a substituted or unsubstituted dibenzo[f,h]quinoxalinyl group is bonded to a substituted or unsubstituted arylene group having 6 to 25 carbon atoms which is bonded to any one of the 8-11 positions of a substituted or unsubstituted benzo[b]naphtho[1,2-d]furan skeleton. | 03-05-2015 |
20150060814 | ORGANIC LIGHT EMITTING DIODE DISPLAY HAVING HIGH APERTURE RATIO AND METHOD FOR MANUFACTURING THE SAME - The present disclosure relates to an organic light emitting diode display having high aperture ratio and a method for manufacturing the same. The present disclosure suggests an organic light emitting diode display comprising: a plurality of pixel areas disposed in a matrix manner on a substrate; a thin film transistor disposed in the pixel area; an organic light emitting diode connected to the thin film transistor and disposed in the pixel area; and a three-stack storage capacitor having four electrodes connected to the thin film transistor and the organic light emitting diode. | 03-05-2015 |
20150060815 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic electroluminescent display device includes: a substrate; plural anodes that are formed in respective pixels; pixel separation films that cover at least an edge of the respective anodes between the respective pixels; an organic layer that covers a display area over the plurality of anodes, and the pixel separation films, and includes at least a light emitting layer; a cathode that is formed on the organic layer; and a counter substrate that is arranged on the cathode so as to face the substrate, in which the anodes each include: a contact area that comes in contact with the organic layer, and faces a corresponding pixel of the counter substrate, and a peripheral area that is formed around the contact area, and faces pixels around the corresponding pixels of the counter substrate. The organic electroluminescent display device can realize higher definition, higher luminance, and prevention of color mixture. | 03-05-2015 |
20150060816 | ORGANIC EL DISPLAY DEVICE AND METHOD OF MANUFACTURING ORGANIC EL DISPLAY DEVICE - An organic EL display device includes: a lower electrode; an upper electrode; a first organic layer which is disposed between the lower electrode and the upper electrode and is formed of a plurality of layers including a light emitting layer formed of an organic material that emits light; a metal wire that extends between the pixels within the display region; and a second organic layer which is formed of a plurality of layers the same as that of the first organic layer and which comes into contact with a part of the metal wire and does not come into contact with the first organic layer. The upper electrode comes into contact with the metal wire in the periphery of the second organic layer. Accordingly, it is possible to uniformize the potential of the upper electrode without reducing the light emission area. | 03-05-2015 |
20150060817 | DISPLAY DEVICE - An organic electroluminescent device with a touch sensor including: a first substrate; a second substrate arranged opposite to the first substrate; an organic EL element layer arranged above the first substrate; a first sealing film arranged toward the second substrate of the organic EL element layer, covering the organic EL element layer, and including a first inorganic layer; plural first detection electrodes extending in one direction, and arranged in parallel toward the second substrate of the first sealing film; a second sealing film arranged toward the second substrate of the first detection electrodes, and including a second inorganic layer; plural second detection electrodes extending in another direction different from the one direction, and arranged in parallel toward the second substrate of the second sealing film; and a touch sensor control unit controlling a potential to detect a touch with a display surface. | 03-05-2015 |
20150060818 | Organic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - Provided is a novel organic compound that can be used as a host material of a light-emitting layer in which a light-emitting substance is dispersed. The organic compound is represented by General Formula (G1-1). In the formula, A represents a substituted or unsubstituted dibenzo[f,h]quinoxalin-yl group, Ar | 03-05-2015 |
20150060819 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic EL display device includes: a display area and an inspection area. The display area includes a plurality of first electrodes each provided in the pixel, a light-emitting organic layer formed in the light-emitting areas and formed of a plurality of organic material layers including a light-emitting layer, and a second electrode formed to cover the display area. The inspection area includes an inspection first electrode electrically formed as at least one block in the inspection area, an inspection organic layer formed of at least one organic material layer of the plurality of organic material layers and being in contact with the inspection first electrode, and an inspection second electrode formed in contact with the inspection organic layer. | 03-05-2015 |
20150060820 | ELECTROLUMINESCENCE DEVICE AND MANUFACTURING METHOD THEREOF - Light emitted within an organic EL device is effectively utilized, and a pixel is provided for improving the extraction efficiency of the light. Light extraction is efficiency is improved without increasing a current by effectively utilizing guided wave light which is a cause of the loss of light emitted by an organic EL device. In order to achieve this, a stepped portion is arrange in an insulating layer provided over a lower layer of a first electrode including a light reflecting surface, and a peripheral area of the first electrode is formed so as to contact the stepped portion. The reflecting surface is formed curved towards a second electrode side in the peripheral area of the first electrode from the stepped portion, light guided through the organic EL layer is reflected by the reflecting surface and emitted from the second electrode side. | 03-05-2015 |
20150060821 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic electroluminescence display device includes a thin film transistor substrate and a counter substrate, in which the thin film transistor substrate includes: a moisture blocking area that surrounds an outside of the display area and is made of only an inorganic material between the first substrate and the sealing film, and an auxiliary area between the display area and the moisture blocking area, and a thickness of areas of the counter substrate opposite to the auxiliary area and the moisture blocking area is thinner than a thickness of an area of the counter substrate opposite to the display area. | 03-05-2015 |
20150060822 | ORGANIC EL DISPLAY DEVICE - In an organic EL display device configured in which an acrylic resin layer is disposed under a barrier layer that protects an OLED for flattening the barrier layer, floating the barrier layer caused by penetration of moisture into the acrylic resin can be prevented. A side surface of a bank formed in a boundary of pixels is formed into a cliff part having an inclination angle of 90° or larger in most portions of a circumstance of each pixel part, and formed into a gently sloped part having the inclination angle smaller than 90° in a part of the circumference. The electrode parts disposed within the respective pixels are connected to each other through an electrode part disposed on an upper surface of the bank, and electrode parts disposed on the gently sloped parts to form an OLED common electrode. | 03-05-2015 |
20150060823 | DISPLAY DEVICE - A display device includes a display area in which pixels are arranged in a matrix, and an inspection area that is formed around the display area, and has an inspection pixel, in which the display area includes plural first electrodes that are disposed in the respective pixels, a light emitting organic layer that includes plural organic material layers having a light emitting layer, and a second electrode that covers the display area, and the inspection pixel includes an inspection first electrode electrically independent from the respective first electrodes, an inspection organic layer in which at least one light emitting layer of the plural organic material layers is continuous from the display area, and comes in contact with the inspection first electrode, and an inspection second electrode that is continuous from the second electrode, and comes in contact with the inspection organic layer. | 03-05-2015 |
20150060824 | Organic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - Provided is a novel organic compound that is used as a host material in which a light-emitting substance is dispersed. The organic compound is represented by General Formula (G1). In the formula, A represents a substituted or unsubstituted dibenzofuran-diyl group, a substituted or unsubstituted dibenzothiophene-diyl group, a substituted or unsubstituted N-aryl-9H-carbazole-diyl group, or a substituted or unsubstituted N-alkyl-9H-carbazole-diyl group; Ar | 03-05-2015 |
20150060825 | WHITE ORGANIC LIGHT EMITTING DIODE AND DISPLAY DEVICE USING THE SAME - A white organic light emitting diode (OLED) includes a first electrode and a second electrode facing each other; a first charge generation layer and a second charge generation layer between the first and second electrodes; a first light emitting unit including a first emitting material layer emitting a first color, wherein the first light emitting unit is located between the first electrode and the first charge generation layer; a second light emitting unit including a second emitting material layer emitting a second color, wherein the second light emitting unit is located between the first charge generation layer and the second charge generation layer; and a third light emitting unit including a third emitting material layer emitting the second color, wherein the third light emitting unit is located between the second charge generation layer and the second electrode. | 03-05-2015 |
20150060826 | LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - A light emitting display device includes a first substrate having a display area in which pixels are arranged in a matrix. The first substrate includes: a light emitting organic layer that includes a light emitting layer that emits a light in the pixels, and is made of an organic material; first electrodes that are one of two electrodes arranged to sandwich the light emitting organic layer therebetween, and arranged in the respective pixels, independently; a second electrode that is the other of the two electrodes, and arranged to cover the overall display area; and insulating banks that cover ends of the first electrodes, and are arranged to bring the electrodes between the respective pixels out of contact with each other. A surface of the insulating banks has roughness with a cycle equal to or larger than a visible light wavelength, and smaller than a thickness of the insulating banks. | 03-05-2015 |
20150060827 | DISPLAY DEVICE - In a display device including an device substrate arranged with a plurality of pixels arranged with a light emitting device, a color filter layer with different transmission bands corresponding to each of the pixels, and a color filter substrate arranged with an overcoat layer above the color filter layer, by arranging a first light shielding layer arranged corresponding to a matrix of pixels and a second light shielding layer wider than the first light shielding layer and separated from the first light shielding layer and on a side close to a pixel, light emitted in a diagonal direction leaking to an adjacent pixel enters the second light shielding layer and by increasing the length of a light path of the incident light, the light is absorbed and attenuated by the second light shielding layer and improvements in viewing angle characteristics are achieved without decreasing the aperture ratio of a pixel. | 03-05-2015 |
20150060828 | METHOD OF MANUFACTURING ORGANIC EL ELEMENT, ORGANIC EL ELEMENT, ORGANIC EL APPARATUS, AND ELECTRONIC DEVICE - An organic EL element with a functional layer including at least a hole injection layer, a hole transport layer, and a luminescence layer laminated from a pixel electrode side in order between a pixel electrode as an anode and a counter electrode as a cathode, and a method of manufacturing the organic EL element has a forming process by applying a solution including a low molecular material and a high molecular material to at least one layer among the hole injection layer, the hole transport layer, and luminescence layer, in which the molecular weight of low molecular material is 10,000 or less and the molecular weight of high molecular material is 10,000 to 300,000, and in which a mixing ratio of low molecular material is 10 wt % to 90 wt % with respect to the weight of low molecular material and high molecular material included in the solution. | 03-05-2015 |
20150060829 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display has uniform light emission efficiency over the entire pixel area. The organic light emitting diode display comprises: a substrate having a red pixel area, a green pixel area, and a blue pixel area arrayed in a matrix; an anode electrode in the red, green, and blue pixel areas; a hole injection layer including an organic material with an extinction coefficient less than about 0.13 and on the anode electrode covering a whole surface of the substrate; an emission layer on the hole injection layer; an electron injection layer on a whole surface of the emission layer; and a cathode electrode on a whole surface of the electron injection layer. | 03-05-2015 |
20150060830 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound comprising a ligand L | 03-05-2015 |
20150060831 | SURFACE-MODIFIED ORGANIC SEMICONDUCTORS - Surface-modified organic semiconductors and methods for making surface-modified organic semiconductors are disclosed. More particularly, surface-modified thin films are provided, the surface-modified thin films comprising a first layer comprising a polyaromatic organic semiconductor and a surface layer in direct contact with the first layer, the surface layer comprising an addition reaction product of the polyaromatic organic semiconductor with, for example, a dienophile, wherein the first layer is substantially free of the addition reaction product of the organic semiconductor with the dienophile. Also provided are surface-modified single crystals comprising a core comprising a polyaromatic organic semiconductor and a coating in direct contact with the core, the coating comprising, for example, an addition reaction product of the polyaromatic organic semiconductor with a dienophile, wherein the core is substantially free of the addition reaction product of the organic semiconductor with the dienophile. | 03-05-2015 |
20150060832 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic electroluminescent display device includes a first insulating layer that buries a peripheral portion of a first electrode and has an opening exposing an area of the first electrode inner to the peripheral portion thereof; a second electrode that is in contact with the first electrode in the opening and is provided continuously on a top surface of the first electrode and onto a top surface of the first insulating layer; a second insulating layer covering a peripheral portion of the second electrode; an organic EL layer; and a third electrode. The second electrode includes a stepped portion. An area where the stepped portion is included and the second electrode, the organic electroluminescence layer and the third electrode overlap each other is a light emitting area. Light emitted by the organic EL layer is reflected by the stepped portion. | 03-05-2015 |
20150060833 | MATERIAL FOR ORGANIC ELECTRONIC DEVICE, AND ORGANIC ELECTRONIC DEVICE USING THE SAME - The present specification provides a novel compound that is capable of largely improving an expected life span, efficiency, electrochemical stability, and thermal stability of an organic electronic device, and an organic electronic device that includes an organic material layer including the compound. | 03-05-2015 |
20150060834 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic electroluminescent display device is disclosed which includes: a lower substrate including a first substrate defined into red, green and blue sub-pixel regions, first and second switching elements formed in the red and green sub-pixel regions, first and second anodes each connected to the first and second switching elements, and a first organic light emission layer entirely formed on the first substrate provided with the first and second anodes; and an upper substrate including a second substrate, red and green color filter layers formed on the second substrate corresponding to the red and green sub-pixel regions, a third switching element formed on the second substrate corresponding to the blue sub-pixel region, a third anode connected to the third switching element, and a second organic light emission layer entirely formed on the second substrate provided with the red and green color filter layers and the third anode. | 03-05-2015 |
20150060835 | ORGANIC EL ELEMENT - A technology having resistance to moisture and oxygen, and in which the occurrence and expansion of non-light-generating portions, such as dark spots, are suppressed is provided. An organic EL element in which an organic functional layer including at least one or more light-emitting layers is arranged between a first electrode and a second electrode, wherein the organic functional layer includes a bipolar charge generation layer which generates electrons and holes, and one of the first electrode and the second electrode is a hole-receiving electrode. | 03-05-2015 |
20150060836 | ADHESIVE FILM AND SEALING METHOD FOR ORGANIC ELECTRONIC DEVICE USING SAME - Provided are an adhesive film, an organic electronic device (OED) encapsulation product using the same, and a method of encapsulating the organic electronic device, and more particularly, an adhesive film for encapsulating an organic electronic element including a first adhesive layer having a loss coefficient (tan δ) at 60 to 100° C. of 1 to 5, and a second adhesive layer formed on the first adhesive layer, and a method of encapsulating an organic electronic device using the same. | 03-05-2015 |
20150060837 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device including a lower electrode disposed on a substrate, an organic layer having at least a light emission layer and disposed above the lower electrode, and upper electrode having a transparent conductive film and disposed above the organic layer, in which the device has an electron injecting layer between the organic layer and the upper electrode. The electron injecting layer has a buffer layer comprising an insulative material and a mixed layer comprising an organic material that has an electron transporting property and a metal material that has an electron injecting property. | 03-05-2015 |
20150060838 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE HAVING BUILT-IN TOUCH PANEL AND METHOD OF MANUFACTURING THE SAME - An organic light emitting diode (OLED) display device having a built-in touch panel and a manufacturing method thereof in which an OLED array and a touch array are formed on a flexible substrate and thus the OLED display device has flexibility, and a flexible printed circuit board for driving the touch array is integrally formed with a printed circuit board for driving the OLED array and thus manufacturing costs are reduced are disclosed. The OLED display device includes an OLED array formed on a lower flexible substrate, a touch array formed on an upper flexible substrate, and an adhesive layer adhering the upper flexible substrate to the lower flexible substrate such that the touch array and the OLED array face each other. | 03-05-2015 |
20150060839 | PHOTOELECTRIC CONVERSION DEVICE AND IMAGING DEVICE USING THE SAME - An organic photoelectric conversion device having a pair of electrodes and a light receiving layer which includes at least a photoelectric conversion layer and is sandwiched by the electrodes, the device including an electron blocking layer provided between the photoelectric conversion layer and one of the electrodes, and a hole blocking layer provided between the photoelectric conversion layer and the other of the electrodes, in which the hole blocking layer is a layer that includes a fullerene and/or a fullerene derivative and a transparent hole transport material having an ionization potential of 5.5 eV or more. | 03-05-2015 |
20150060840 | ORGANIC EL ELEMENT AND METHOD FOR MANUFACTURING SAME - In an organic EL element, a concave-convex pattern layer having a first concave-convex shape, a first electrode, an organic layer, and a second electrode layer are stacked on a substrate in this order. Further, an auxiliary layer is provided between the concave-convex pattern layer and the first electrode. A surface of the auxiliary layer on the first electrode side has a second concave-convex shape. The change ratio of the standard deviation of depths of the second concave-convex shape with respect to the standard deviation of depths of the first concave-convex shape is 70% or less. The organic EL light-emitting element, which has a high light extraction efficiency while preventing the occurrence of a leak current, can be obtained. | 03-05-2015 |
20150060841 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS - An organic light emitting display apparatus that includes a substrate, an organic light emitting unit formed on the substrate, a reflection member disposed on a non-light emitting region of the organic light emitting unit, and a sealing member that seals the organic light emitting unit. The organic light emitting display apparatus can function as a display apparatus or a mirror. | 03-05-2015 |
20150060842 | Active Device Substrate - An active device substrate includes a flexible substrate, an inorganic de-bonding layer, and at least one active device. The flexible substrate has a first surface and a second surface opposite to the first surface, wherein the first surface is a flat surface. The inorganic de-bonding layer covers the first surface of the flexible substrate, and the material of the inorganic de-bonding layer is metal, metal oxide or combination thereof. The active device is disposed on or above the second surface of the flexible substrate. | 03-05-2015 |
20150069332 | CHARGE TRANSPORT MATERIAL, OGANIC ELECTROLUMINESCENT ELEMENT, AND ILLUMINATION DEVICE, DISPLAY DEVICE, OR LIGHT-EMITTING DEVICE CHARACTERIZED BY USING SAID ELEMENT - [This] charge transport material which comprises a compound expressed by the following formula has a high efficiency and drive durability after high-temperature storage and resists the occurrence of dark spots (X | 03-12-2015 |
20150069333 | FLEXIBLE ACTIVE MATRIX DISPLAY - High resolution active matrix structures are fabricated using techniques applicable to flexible substrates. A backplane layer including active semiconductor devices is formed using a semiconductor-on-insulator substrate. The substrate is thinned using a layer transfer technique or chemical/mechanical processing. Driver transistors are formed on the semiconductor layer of the substrate along with additional circuits that provide other functions such as computing or sensing. Contacts to passive devices such as organic light emitting diodes may be provided by heavily doped regions formed in the handle layer of the substrate and then isolated. A gate dielectric layer may be formed on the semiconductor layer, which functions as a channel layer, or the insulator layer of the substrate may be employed as a gate dielectric layer. | 03-12-2015 |
20150069334 | IRIDIUM/PLATINUM METAL COMPLEX - Ir/Pt metal complexes, devices containing the Ir/Pt metal complexes, and methods of making such devices are described. The Ir/Pt metal complex includes a first Ir moiety and a first Pt moiety. The first Ir moiety can be an Ir(III) six-coordinated structure. The first Pt moiety can be a Pt(II) four-coordinated tetradentate structure. The devices can have layers that include the Ir/Pt metal complexes. The layers containing the Ir/Pt metal complexes can be made by a solution process. | 03-12-2015 |
20150069335 | ACTIVE ORGANIC ELECTROLUMINESCENCE DEVICE BACK PANEL AND MANUFACTURING METHOD THEREOF - The present invention provides an active organic electroluminescence device back panel and a manufacturing method thereof. The device back panel includes: a substrate ( | 03-12-2015 |
20150069336 | THIN FILM TRANSISTORS, METHODS OF MANUFACTURING THE SAME AND DISPLAY DEVICES INCLUDING THE SAME - A thin film transistor includes a gate electrode on a substrate, a gate insulation layer which covers the gate electrode on the substrate, an oxide semiconductor pattern which is disposed on the gate insulation layer and includes a channel portion superimposed over the gate electrode, and low resistance patterns provided at edges of the channel portion, respectively, and including oxygen vacancies, a channel passivation layer on the oxide semiconductor pattern, a reaction layer which covers the oxide semiconductor pattern and the channel passivation layer, and includes a metal oxide, and a source electrode and a drain electrode which contact the oxide semiconductor pattern. | 03-12-2015 |
20150069337 | ORGANIC MOLECULAR DEVICE - An organic molecular device of an embodiment includes a first and a second conductive layers and an organic molecular layer having an organic molecule provided between the first and the second conductive layer. The organic molecule includes a one-dimensional or quasi one-dimensional π-conjugated system chain having either a first aromatic ring or a second aromatic ring. The first aromatic ring has one or more substituents that are an electron withdrawing group, each substituent of the first aromatic ring is independently selected from the group consisting of the electron withdrawing group and hydrogen, the second aromatic ring has one or more substituents that are an electron releasing group, and each substituent of the second aromatic ring is independently selected from the group consisting of the electron releasing group and hydrogen. The first aromatic ring or the second aromatic ring exist in an unbalanced manner in the π-conjugated system chain. | 03-12-2015 |
20150069338 | DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - A display panel includes a base substrate, on which a pixel area and a peripheral area are defined, a semiconductor pattern disposed on the base substrate, a display element disposed in the pixel area of the base substrate and a first thin film transistor configured to control the display element, where the first thin film transistor includes an input electrode a first portion of the semiconductor pattern and an output electrode disposed on a second portion of the semiconductor pattern, a third portion of the semiconductor pattern between the first portion and the second portion; and a control electrode disposed on the third portion and insulated from the third portion. | 03-12-2015 |
20150069339 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus including: a first substrate including at least one organic light-emitting diode (OLED); a second substrate facing the first substrate; an inorganic sealant between the first and second substrates and attaching the first and second substrates together; a shock absorber between the first and second substrates and configured to absorb a shock applied to at least one of the first and second substrates; and a block member between the inorganic sealant and the shock absorber and configured to separate the shock absorber from the inorganic sealant, is disclosed. | 03-12-2015 |
20150069340 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACURING METHOD THEREOF - An OLED display including a substrate having a pixel area where an organic light emitting diode is formed, and a peripheral area surrounding the pixel area. Monitoring patterns are disposed in the peripheral area and are separated from each other. | 03-12-2015 |
20150069341 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (“OLED”) display includes: a front display unit including a plurality of front pixels disposed on a substrate, where the front pixels display an image on a front surface thereof; and a side display unit including a plurality of side pixels disposed on the substrate, where the side pixels display an image on a side surface thereof, where the front display unit and the side display unit are configured to have different resonance structures from each other. | 03-12-2015 |
20150069342 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME - A condensed-cyclic compound represented by Formula 1 and an organic light-emitting device including the condensed-cyclic compound. | 03-12-2015 |
20150069343 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF - An organic light-emitting display apparatus includes a thin film transistor (TFT) including an active layer, a gate electrode, source and drain electrodes, a first insulating layer between the active layer and the gate electrode, and a second insulating layer between the gate electrode and the source and drain electrodes, a capacitor including a first electrode on a same layer as the active layer and a second electrode on a same layer as the gate electrode, a first contact layer of a same material as the second electrode, a second contact layer on the first contact layer, the second contact layer being of a same material as the gate electrode, a pixel electrode that contacts an edge of the first contact layer and is on an opening in the second insulating layer, an organic emission layer on the pixel electrode, and a counter electrode on the organic emission layer. | 03-12-2015 |
20150069344 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound and an organic light-emitting device including the same, the compound being represented by Formula 1, below: | 03-12-2015 |
20150069345 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A display device includes a substrate, a device layer on a front side of the substrate, and a protection sheet on a rear side of the substrate opposite to the front side of the substrate. The protection sheet comprises polytetrafluoroethylene. | 03-12-2015 |
20150069346 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode (OLED) display includes a display substrate, a display element layer disposed on the display substrate and comprising a plurality of pixels, a thin film encapsulation layer disposed on the display substrate and the display element layer, a retardation film disposed on the thin film encapsulation layer, a capacitive pattern disposed on the retardation film, a polarizing plate disposed on the capacitive pattern, and a window disposed on the polarizing plate. | 03-12-2015 |
20150069347 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound and an organic light-emitting device including the same, the condensed cyclic compound being represented by Formula 1: | 03-12-2015 |
20150069348 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND PHOTOMASK FOR MANUFACTURING THE SAME - A semiconductor device includes a semiconductor layer over a substrate. The semiconductor layer changes direction at least twice and has at least two different widths in the same plane. The length of a current path through the semiconductor layer is greater than a shortest path through the semiconductor layer in the same plane. | 03-12-2015 |
20150069349 | METHOD OF PREPARING ORGANIC ELECTROLUMINESCENT ELEMENT AND ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is a method of preparing an organic electroluminescent element. The method includes: a roughening step of roughening a surface of a moisture-proof substrate; a composite substrate-forming step of placing a resin film on the roughened surface of the moisture-proof substrate to form a composite substrate; an electroluminescent laminate-forming step of forming an organic electroluminescent laminate on a surface of the composite substrate; and a covering step of covering the organic electroluminescent laminate with a covering substrate that is larger than the resin film in a plan view. It gives a highly reliable organic electroluminescent element superior in light-outcoupling efficiency that is effectively resistant to water penetration and to degradation. | 03-12-2015 |
20150069350 | ORGANIC ELECTRONIC ELEMENT INCLUDING LIGHT EFFICIENCY IMPROVING LAYER, ELECTRONIC DEVICE INCLUDING THE SAME, AND COMPOUND FOR THE SAME - An organic electronic element includes a first electrode, a second electrode, one or more organic layers formed between the first electrode and the second electrode, and a light efficiency improving layer formed on at least one of an upper side and a lower side of the first electrode and the second electrode, opposite to the side on which the organic layers are formed, wherein the light efficiency improving layer includes a compound represented by Chemical Formula 1. An electronic device includes a display device including the organic electronic element and a controller for driving the display device. | 03-12-2015 |
20150069351 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device including: an anode; one or more organic thin film layers including an emitting layer; a donor-containing layer; an acceptor-containing layer; and a light-transmissive cathode in this order, wherein the donor-containing layer comprises a compound represented by the following formula (I) or (II): | 03-12-2015 |
20150069352 | ORGANIC LIGHT-EMITTING DIODE CONTAINING CO-HOSTS FORMING EXCIPLEX, AND LIGHTING DEVICE AND DISPLAY APPARATUS INCLUDING SAME - Provided are an organic light-emitting diode (“OLED”) including a bottom electrode, a top electrode disposed opposite to the bottom electrode, and an organic layer that is interposed between the bottom electrode and the top electrode and includes a hole-transporting host and an electron-transporting host forming an exciplex and a phosphorescent dopant having a triplet energy which is lower than the triplet energy of the hole-transporting host, the triplet energy of the electron-transporting host, and the triplet energy of the exciplex, and a lighting device and a display apparatus including the OLED. Instead of a phosphorescent dopant, the fluorescent dopant having a singlet energy which is lower than the singlet energy of the exciplex may be also used. | 03-12-2015 |
20150069353 | LIGHT EMITTING ELEMENT MANUFACTURING METHOD, LIGHT EMITTING ELEMENT AND DISPLAY PANEL - A method of manufacturing a light-emitting element. The method includes forming an underlayer that includes a reflective electrode, forming a bank that has liquid repellency, irradiating the bank with characteristic energy rays to decrease liquid repellency of the bank, and forming a functional layer. The bank is formed on the underlayer and is provided with an opening and an inclined portion surrounding the opening. The opening has a shape that has a long axis and a short axis and is positioned above the reflective electrode. In plan view, end sections of the inclined portion in a direction of the long axis overlap the upper surface of the reflective electrode, while central sections of the inclined portion in the direction of the long axis do not overlap the upper surface of the reflective electrode. | 03-12-2015 |
20150069354 | FUNCTIONALIZATION OF A SUBSTRATE - A method of increasing a work function of an electrode is provided. The method comprises obtaining an electronegative species from a precursor using electromagnetic radiation and reacting a surface of the electrode with the electronegative species. An electrode comprising a functionalized substrate is also provided. | 03-12-2015 |
20150069355 | CONDENSED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - Provided are a condensed compound and an organic light-emitting diode including the same, the condensed compound being represented by Formula 1 or 2: | 03-12-2015 |
20150069356 | PIXEL STRUCTURE - A pixel structure, including a data line, a scan line, at least one active device, a first auxiliary electrode, and a light emitting device, is provided. The at least one active device is electrically connected with the data line and the scan line, and each active device includes a gate, a channel layer, a source, and a drain. The first auxiliary electrode is electrically insulated from the active device. The light emitting device is disposed above the first auxiliary electrode, wherein the light emitting device includes a first electrode layer, a light emitting layer, and a second electrode layer. The first electrode layer is electrically connected with the first auxiliary electrode. The light emitting layer is disposed on the first electrode layer. The second electrode layer is disposed on the light emitting layer, wherein the second electrode layer is electrically connected with the active device. | 03-12-2015 |
20150069357 | DISPLAY DEVICE - A display device is provided that may include a first electrode, an organic light emitting layer positioned on the first electrode, a second electrode positioned on the organic light emitting layer, and an electron transport layer interposed between the organic light emitting layer and the second electrode, and including an electron transport material and an electron injection material. One side portion of the electron transport layer that is adjacent to the organic light emitting layer may include a greater amount of the electron injection material than the electron transport material. | 03-12-2015 |
20150069358 | Light-Emitting Device and Method for Manufacturing Light-Emitting Device - A highly reliable flexible light-emitting device is provided. The light-emitting device includes a first flexible substrate, a second flexible substrate, a light-emitting element between the first flexible substrate and the second flexible substrate, a first bonding layer; and a second bonding layer in a frame shape surrounding the first bonding layer. The first bonding layer and the second bonding layer are between the second flexible substrate and the light-emitting element. The light-emitting element includes layer containing a light-emitting organic compound between the pair of electrodes. The second bonding layer has a higher gas barrier property than the first bonding layer. | 03-12-2015 |
20150069359 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light emitting display apparatus, including a first electrode; a second electrode on the first electrode, the second electrode including silver and magnesium; an organic emission layer between the first electrode and the second electrode; a metal layer between the organic emission layer and the second electrode; and a barrier layer between the organic emission layer and the second electrode. | 03-12-2015 |
20150069360 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic electroluminescent display device includes a substrate, plural pixel electrodes that are disposed on the substrate, an insulating layer that is disposed in areas between the pixel electrodes adjacent to each other, and extends integrally to upper and lower portions of ends of the pixel electrodes adjacent to each other, an organic electroluminescent film that is disposed on the substrate with the inclusion of a common layer that continuously covers the plural pixel electrodes and the insulating layer; and a common electrode that is disposed on the organic electroluminescent film. | 03-12-2015 |
20150069361 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A substrate on which a plurality of pixel electrodes are disposed is prepared. An organic electroluminescent film | 03-12-2015 |
20150069362 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE HAVING AN INPUT FUNCTION - An organic electroluminescent display device includes a first substrate having a pixel area including a plurality of pixels each including a plurality of sub pixels, a light emitting devices are provided in correspondence with the sub pixels, and a partition layer covering a peripheral portion of each of the sub pixels; and a second substrate having a sensing unit including a first electrode pattern extending in one direction and a second electrode pattern extending in a direction intersecting the one direction, and the first electrode pattern and the second electrode pattern is provided out of contact from each other. The first electrode pattern is located to overlap the partition layer so as to enclose the sub pixels. The first electrode pattern included in the sensing unit encloses the sub pixels, and thus light is prevented from leaking to adjacent sub pixels. | 03-12-2015 |
20150069363 | LIGHT EMITTING DEVICE AND ELECTRONIC APPARATUS - On a semiconductor substrate, a plurality of transistors that includes a drive transistor which controls a drive current according to a potential of a gate, a light emitting element that emits a light having a brightness corresponding to the drive current, and an element isolation portion that electrically isolates each transistor are formed. The element isolation portion has a structure in which an insulator fills inside of a groove formed on the semiconductor substrate. | 03-12-2015 |
20150069364 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode display and method of manufacturing the same are provided in the present invention. The organic light-emitting diode display includes an anode layer and a cathode layer opposite to and spaced apart from each other, an organic light-emitting layer disposed between the anode layer and the cathode layer, wherein the organic light-emitting layer includes primary color regions and mixed color regions, and a color deviation protective layer disposed between the anode layer and the organic light-emitting layer or between the organic light-emitting layer and the cathode layer, and the color deviation protective layer is provided with insulating patterns corresponding to the mixed color regions to prevent light generation from the mixed color regions. The manufacturing method features the step of disposing a color deviation protective layer to prevent light generation from the mixed color regions of the organic light-emitting layer and solve conventional color deviation issue. | 03-12-2015 |
20150069365 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND FABRICATION METHOD THEREOF - An organic light-emitting diode (OLED) display and fabrication method thereof are provided. The OLED display includes an organic light-emitting layer comprising a plurality of primary color regions and a plurality of mixed color regions, wherein the primary color regions and the mixed color regions have the same light emitting direction. A color shift prevention layer is disposed above or under the organic light-emitting layer, the color shift prevention layer comprising a plurality of opaque patterns disposed on the light emitting direction of the corresponding mixed color regions. The color shift prevention layer incorporated in the OLED display is able to block the mixed light emitted from the mixed color regions of the organic light-emitting layer. Therefore, the color shift problem can be solved. | 03-12-2015 |
20150069366 | Nanocluster Based Light Emitting Device - A luminescent device including an emission layer having luminescent nanoclusters is provided. The luminescent nanoclusters can be phosphorescent metal halides. The luminescent device can emit IR, NIR, colored, or white light and be pumped electrically or optically. A method for manufacturing a luminescent device including an emission layer having luminescent nanoclusters is also provided. | 03-12-2015 |
20150069367 | Semiconductor Device and Manufacturing Method Thereof - As a result of miniaturization of a pixel region associated with an improvement in definition and an increase in a substrate size associated with an increase in area, defects due to precision, bending, and the like of a mask used at the time of evaporation have become issues. A partition including portions with different thicknesses over a pixel electrode (also referred to as a first electrode) in a display region and in the vicinity of a pixel electrode layer is formed, without increasing the number of steps, by using a photomask or a reticle provided with an auxiliary pattern having a light intensity reduction function made of a diffraction grating pattern or a semi-transmissive film. | 03-12-2015 |
20150069368 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device, the compound being represented by the following Chemical Formula (“CF”) 1: | 03-12-2015 |
20150069369 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic electroluminescent display device is disclosed which includes a lower substrate including a first substrate defined into red, green and blue sub-pixel regions, first and second switching elements formed in the red and green sub-pixel regions, first and second anodes each connected to the first and second switching elements, and a first organic light emission layer entirely formed on the first substrate provided with the first and second anodes; and an upper substrate including a second substrate, red and green color filter layers formed on the second substrate corresponding to the red and green sub-pixel regions, a third switching element formed on the second substrate corresponding to the blue sub-pixel region, a third anode connected to the third switching element, and a second organic light emission layer entirely formed on the second substrate provided with the red and green color filter layers and the third anode. | 03-12-2015 |
20150069370 | SPLIT ELECTRODE FOR ORGANIC DEVICES - A device includes a first electrode, an organic layer disposed over the first electrode and a second electrode disposed over the organic layer. The second electrode includes a first conductive layer, a first separation layer disposed over the first conductive layer, and a second conductive layer disposed over the first separation layer, wherein the first separation layer is not a continuous layer and the first and second conductive layers are bridged where the first separation layer is not continuous. The first separation layer has an extinction coefficient that is at least 10% different from the extinction coefficient of the first conductive layer at wavelength 500 nm, or an index of refraction that is at least 10% different from the index of refraction of the first conductive layer at wavelength 500 nm. | 03-12-2015 |
20150069371 | METHOD FOR MANUFACTURING AN ELECTRO-OPTICAL DEVICE - An object of the present invention is to provide an EL display device having high operation performance and reliability. | 03-12-2015 |
20150069372 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD OF ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes: a substrate; an organic light emitting diode on the substrate; and a thin film encapsulation layer including a first inorganic layer having a first density on the substrate and a second inorganic layer having a second density on the first inorganic layer, the second density being different from the first density, and the organic light emitting diode being encapsulated between the thin film encapsulation layer and the substrate. | 03-12-2015 |
20150069373 | IN-CELL ACTIVE MATRIX OLED TOUCH DISPLAY PANEL STRUCTURE OF NARROW BORDER - An in-cell active matrix OLED touch panel structure of narrow border includes first and second substrates, an OLED layer configured between the first and second substrates, first and second sensing electrode layers, and a thin film transistor layer. The first sensing electrode layer includes M first conductor blocks and N connection lines arranged in a first direction. The second sensing electrode layer includes N second conductor blocks arranged in a second direction. Each second conductor block makes use of a corresponding i-th connection line to be extended to one edge of the panel structure. The thin film transistor layer includes K gate lines and L source lines. The M first conductor blocks, the N connection lines, and the N second conductor blocks are disposed at positions corresponding to those of the K gate lines and L source lines of the thin film transistor layer. | 03-12-2015 |
20150069374 | Light-Emitting Module and Light-Emitting Device - Provided is a light-emitting module from which light with uniform brightness can be extracted. Further, provided is a beautiful light-emitting module in which Newton's rings are not observed. The light-emitting module includes a first substrate, a light-emitting element formed on one surface side of the first substrate, a second substrate, a conductive spacer maintaining the gap between the first substrate and the second substrate, and a space in which the light-emitting element is sealed between the first substrate and the second substrate. Further, the pressure in the space is lower than or equal to the atmospheric pressure. Furthermore, the conductive spacer is electrically connected to the second electrode in a position overlapping with a partition provided over the first substrate so as to reduce a voltage drop occurring in the second electrode. | 03-12-2015 |
20150069375 | ORGANIC LIGHT EMITTING DEVICE AND DISPLAY UNIT INCLUDING THE SAME - An organic light emitting device includes a first electrode and a second electrode, an organic layer including a light emitting layer between the first electrode and the second electrode, and an insulating film covering a rim of the first electrode from a surface thereof to a side surface thereof, and having an internal wall surface being in contact with the organic layer, and one or more corner sections in the internal wall surface with a ridge line thereof in parallel with the surface of the first electrode. | 03-12-2015 |
20150069376 | ORGANIC LIGHT EMITTING DIODE DISPLAY - Disclosed is an organic light emitting diode (OLED) display comprising a substrate; an organic light emitting element disposed on the substrate; an encapsulation substrate disposed on the organic light emitting element; and an adhesive layer formed on the substrate, covering the organic light emitting element, and bonding the substrate on which the organic light emitting element is formed with the encapsulation substrate. | 03-12-2015 |
20150076451 | ORGANIC LIGHT-EMITTING COMPONENT - An organic light-emitting component includes a translucent substrate, on which an optical coupling-out layer is applied. A translucent electrode overlies the coupling-out layer and an organic functional layer stack having organic functional layers overlies the translucent electrode. The organic functional layer stack includes a first organic light-emitting layer on the translucent electrode and a second organic light-emitting layer on the first organic light-emitting layer. The first organic light-emitting layer includes arbitrarily arranged emitter molecules and the second organic light-emitting layer includes anisotropically oriented emitter molecules having an anisotropic molecular structure. | 03-19-2015 |
20150076452 | ORGANIC LIGHT-EMITTING COMPONENT - An organic light-emitting component includes a substrate, on which are applied an optical coupling-out layer, a translucent electrode on the coupling-out layer, an organic hole-conducting layer or an organic electron-conducting layer on the translucent electrode, an organic light-emitting layer thereon, an organic electron-conducting layer or an organic hole-conducting layer on the organic light-emitting layer, and a reflective electrode. The organic light-emitting layer is at a distance of greater than or equal to 150 nm from the reflective electrode. | 03-19-2015 |
20150076453 | ULTRAVIOLET ORGANIC LIGHT-EMITTING APPARATUS - The present invention provides an ultraviolet (UV) organic light-emitting apparatus. The UV organic light-emitting apparatus comprises a UV organic light-emitting device and a passivation layer. The UV organic light-emitting device comprises a first electrode, a UV organic material layer and a second electrode formed in sequence. The passivation layer is disposed at a light emitting side of the UV organic light-emitting device, wherein the passivation layer is made of a transparent material showing a weak absorption in a UV spectral region. The present invention can mitigate the problems existing in the conventional UV lamp. | 03-19-2015 |
20150076454 | PHOSPHORUS CONTAINING BIS(TRIDENATE) OSMIUM COMPLEXES - Bis(tridentate) osmium(II) complexes containing phosphite groups useful as phosphorescent emitters are disclosed. The disclosed osmium(II) complexes have higher oxidation potential then previously known osmium(II) complexes. An organic light emitting device having an organic layer that includes the disclosed osmium(II) complex is also disclosed. | 03-19-2015 |
20150076455 | LIGHT-EMITTING DEVICE - A light-emission device includes a substrate and a G light-emission layer; an R light-emission layer, a B light-emission layer, and a wiring layer made of metal that are each arranged above the substrate. Each of the G light-emission layer, the R light-emission layer, and the B light-emission layer contains light-emission material. An amount of heat that the B light-emission layer generates upon light emission is greater than an amount of heat that the G light-emission layer generates upon light emission. Further, the B light-emission layer directly faces the wiring layer at a facing edge portion. | 03-19-2015 |
20150076456 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device and a method of manufacturing the organic light-emitting display device. The organic light-emitting display device is a dual emission display capable of displaying differing images on either side of the display, and includes a facing electrode that is selectively deposited in the first area but not in the second area, the selectivity being brought about by a varying an underlying material having differing adhesive forces with the material of the facing electrode. In addition, the underlying materials of the facing electrode and other intermediate layers of the organic light emitting diode device provide extra distance between the organic light emitting layer and a reflective electrode, so that exciton quenching is reduced, resulting in improved light emitting efficiency. | 03-19-2015 |
20150076457 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate, an organic light-emitting device including a first electrode disposed on the substrate, a second electrode disposed opposite the first electrode, and an intermediate layer disposed between the first and second electrodes, where the intermediate layer includes an organic emission layer, and an encapsulation unit disposed on the organic light-emitting device, where at least one of the substrate and the encapsulation unit, which is disposed in an emission direction of light, includes a region having a first refractive index and a region having a second refractive index greater than the first refractive index, and the region having the first refractive index and the region having the second refractive index include materials having a same chemical formula as each other. | 03-19-2015 |
20150076458 | FLEXIBLE DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF - A method of manufacturing a flexible display apparatus includes sequentially forming a flexible substrate, a thin film transistor (TFT), an organic light-emitting diode (OLED) including a first electrode, an intermediate layer, and a second electrode, and a first attachment layer on a carrier substrate, sequentially forming a deposition layer and a second attachment layer on a sealing film, attaching the carrier substrate and the sealing film to each other such that the sealing film covers flexible substrate on the carrier substrate, sealing the first and second attachment layers, laminating the carrier substrate and the sealing film, and separating the sealing film and the flexible substrate from the carrier substrate. | 03-19-2015 |
20150076459 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes an emission layer including a novel dopant comprising a first compound represented by Formula 1 and a novel host comprising a second compound represented by Formula 100 | 03-19-2015 |
20150076460 | METHOD OF MANUFACTURING SUBSTRATE FOR DISPLAY DEVICE, SUBSTRATE FOR DISPLAY DEVICE, AND DISPLAY DEVICE - A method of manufacturing a substrate for a display device includes forming a first organic layer on a base substrate; forming an inorganic layer on the first organic layer; and forming a second organic layer on the inorganic layer, where the second organic layer includes transition metal particles. | 03-19-2015 |
20150076461 | LIGHT EMITTING DEVICE - In a sealing method of a top-emission organic light emitting element, in the case of using a method of filling with a sealing agent between a substrate mounted with pixels and an opposed substrate, the organic light-emitting element is degraded by ultraviolet rays when irradiation of the ultraviolet rays is performed toward the pixels in order to achieve ultraviolet curing of the sealing agent filling on the pixels. It is an object of the present invention to propose a method for avoiding this phenomenon to provide an organic light-emitting device with superior stability. In order for a sealing agent | 03-19-2015 |
20150076462 | ORGANIC ELECTROLUMINESCENT ELEMENT, COMPOUND AND MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, USED IN THE SAME, AND LIGHT EMITTING DEVICE, DISPLAY DEVICE AND ILLUMINATION DEVICE, USING THE ELEMENT - An organic electroluminescent element comprising a substrate, a pair of electrodes including an anode and a cathode, disposed on the substrate, and at least one organic layer which is arranged between the electrodes and which includes a light emitting layer, wherein the organic layer contains a compound represented by general formula (1) in at least one layer. The organic electroluminescent element has a high luminous efficiency, excellent blue color purity, and little chromaticity change due to drive deterioration. (In the formula, the two Xs either both represent an O atom or both represent an S atom, and R | 03-19-2015 |
20150076463 | ORGANIC EL DEVICE AND MANUFACTURING METHOD THEREFOR - In an organic EL device, a risk of a short circuit between adjacent terminals in a connection space on a substrate can be reduced. An organic EL device includes a substrate, one or a plurality of organic EL elements formed on the substrate, a plurality of connection terminals provided on the substrate and electrically connected to electrodes of the organic EL elements, an insulating cover layer that covers the connection terminals and the substrate between the connection terminals, and a mounted component mounted via an anisotropic conducive layer and including terminals to be connected electrically connected to the connection terminals. The anisotropic conductive layer includes conductive particulates that electrically connect the connection terminals and the terminals to be connected. The conductive particulates electrically connect the connection terminals and the terminals to be connected piercing through the cover layer. | 03-19-2015 |
20150076464 | ORGANIC ELECTROLUMINESCENT ILLUMINATION PANEL, MANUFACTURING METHOD THEREOF, AND ORGANIC ELECTROLUMINESCENT ILLUMINATION DEVICE - Provided is a long-life organic electroluminescent illumination panel which is flexible and, even when a load is applied by bending, impact or vibration, can suppress the occurrence of defects in an electrode layer and an organic layer containing an organic electroluminescent material, and which can suppress the occurrence of dark spots due to short circuiting. This organic electroluminescent illumination panel includes: a pair of electrode layers, at least one of which is transparent, between a flexible film substrate and a flexible film sealing material, at least one of which is transparent; and an organic layer containing an organic electroluminescent material which is sandwiched between the pair of electrode layers. This organic electroluminescent illumination panel has multiple spacers which are disposed on an electrode layer laminated on the flexible film substrate so as to pass through the organic layer and another electrode layer. | 03-19-2015 |
20150076465 | BLUE LUMINESCENT COMPOUNDS - There is provided a compound having Formula I | 03-19-2015 |
20150076466 | BLUE LUMINESCENT COMPOUNDS - There is provided a compound having Formula I | 03-19-2015 |
20150076467 | TFT SUBSTRATE, METHOD FOR PRODUCING SAME, ORGANIC EL DISPLAY DEVICE, AND METHOD FOR MANUFACTURING ORGANIC EL DISPLAY DEVICE - A method of manufacturing a thin film transistor (TFT) substrate in which a TFT including an oxide semiconductor layer is formed, the method including: forming an insulating layer to cover the oxide semiconductor layer; and forming an opening in the insulating layer, wherein the insulating layer includes a first film, a second film which is provided above the first film and is an aluminum oxide film, and a third film which is provided above the second film and is a film including silicon, and the forming of an opening includes: forming a resist pattern above the third film; processing the third film by dry etching; and processing the second film by wet etching. | 03-19-2015 |
20150076468 | LIGHT EXTRACTION PRODUCT FOR SEMICONDUCTOR LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE - A light extraction product ( | 03-19-2015 |
20150076469 | ORGANIC ELECTROLUMINESCENT ELEMENT - The organic electroluminescent element has a pair of electrodes and at least two organic functional layers including a light-emitting layer on a substrate. The light-emitting layer contains a host compound and a phosphorescent dopant. The light-emitting layer or the layer adjacent to the light-emitting layer contains quantum dots. | 03-19-2015 |
20150076470 | Luminescent Cyclometallated Iridium (III) Complexes Having Acetylide Ligands - The present invention relates to phosphorescent (triplet-emitting) organometallic materials. The phosphorescent materials of the present invention comprise Ir(III)cyclometallated alkynyl complexes for use as triplet light-emitting materials. The Ir(III)cyclometallated alkynyl complexes comprise at least one cyclometallating ligand and at least one alkynyl ligand bonded to the iridium. Also provided is an organic light emitting device comprising an anode, a cathode and an emissive layer between the anode and the cathode, wherein the emissive layer comprises a Ir(III)cyclometallated alkynyl complex as a triplet emitting material. | 03-19-2015 |
20150076471 | DISPLAY DEVICE AND SEMICONDUCTOR DEVICE - A display device includes a first electrode, a second electrode, an organic light emitting layer, a first transistor, and a second transistor. The first transistor includes a first semiconductor layer, a first conductive unit, a second conductive unit, a first gate electrode, and a first gate insulating film. The second transistor includes a second semiconductor layer, a third conductive unit, a fourth conductive unit, a second gate electrode, and a second gate insulating film. An amount of hydrogen included in the first gate insulating film is larger than an amount of hydrogen included in the second gate insulating film. | 03-19-2015 |
20150076472 | LIGHT-EMITTING DEVICE, LIGHTING DEVICE, AND DISPLAY DEVICE - A light-emitting device, a lighting device, a display device, or the like in which the state of a back surface side can be observed when light is not emitted is provided. The light-emitting device includes a plurality of light-emitting portions and a region transmitting visible light in a region other than the light-emitting portions. Alternatively, the light-emitting device includes a plurality of light-transmitting portions transmitting visible light and a light-emitting portion that can emit light in a region other than the light-transmitting portions. When light is not emitted, the state of a back surface side of the light-emitting device is visible through the region transmitting visible light. When light is emitted, the state of the back surface side of the light-emitting device can be made less visible by diffusion of light emitted from the light-emitting portion. | 03-19-2015 |
20150076473 | DISPLAY DEVICE - A display device having high display quality is provided. In the display device that includes a plurality of display regions having different normal directions, the arrangement directions of a plurality of subpixels included in pixels vary between the display regions. | 03-19-2015 |
20150076474 | METHOD FOR PACKAGING DISPLAY PANEL AND PACKAGING STRUCTURE OF DISPLAY PANEL - A method for packaging display panel is provided. The method comprises following steps: providing a first substrate; pasting a frit on the first substrate; pre-sintering the frit in a specific temperature; forming a color filter unit on the first substrate; providing a second substrate oppositely disposed on the first substrate; and assembling the first substrate and the second substrate with the frit by way of laser sealing. | 03-19-2015 |
20150076475 | Light-Emitting Device, Information Processing Device, and Imaging Device - Provided is a light-emitting device and an information processing device which include a light-emitting element mounted on a housing and an optical component detachable from the housing. The optical component is capable of condensing light emitted from the light-emitting element. This structure allows a user to select the emission of diffused light and condensed light by attaching or detaching the optical component. | 03-19-2015 |
20150076476 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE AND METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - A method of manufacturing an organic electroluminescent display device includes the steps of: forming a first insulating layer on a substrate; forming a first patterning layer; forming a second patterning layer; forming a trench portion; and forming an electrode layer on the second patterning layer and in the trench portion, wherein in the step of forming the trench portion, an end of the first patterning layer exposed within the trench portion is etched to an outside more than an end of the second patterning layer exposed within the trench portion in a plan view, and in the step of forming the electrode layer, the electrode layer formed within the trench portion is isolated from the electrode layer formed outside of the trench portion. | 03-19-2015 |
20150076477 | ORGANIC EL DISPLAY PROVIDED WITH GEL-STATE ENCAPSULANT INCORPORATING A DESICCANT AND A HIGH MOLECULAR-WEIGHT MEDIUM - A sealing substrate is arranged to oppositely face an element substrate on which organic EL layers are formed in a matrix array with a sealing material sandwiched therebetween. A gel-state desiccant is filled in an inner space surrounded by the element substrate, the sealing substrate and the sealing material. Since the gel-state desiccant is in a gel state, the gel-state desiccant is flexibly filled in the inner space of the organic EL display device thus completely eliminating a gap. Since the inner space is filled with the gel-state desiccant, moisture hardly intrudes into the inner-space from the outside and, at the same time, a mechanical strength of the organic EL display device is also enhanced. | 03-19-2015 |
20150076478 | LUMINESCENCE DEVICE AND DISPLAY APPARATUS - A metal coordination compound represented by any one of formulas (1)-(5). An organic luminescence device including an anode, a cathode, and an organic layer, which contains the metal coordination compound, disposed between the anode and the cathode. | 03-19-2015 |
20150076479 | ORGANIC COMPOUND, CHARGE-TRANSPORTING MATERIAL, COMPOSITION CONTAINING THE COMPOUND, ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE, AND LIGHTING DEVICE - The invention provides an organic compound incorporating a specific structure into a pyridine skeleton or a 1,3,5-triazine skeleton and adapting the molecular weight to a specific range, a composition comprising the organic compound and a solvent, organic electroluminescent element comprising a layer that is formed by using the composition, and the uses thereof. | 03-19-2015 |
20150076480 | ORGANIC LIGHT EMITTING DEVICE COMPRISING 9,10-DIHYDROACRIDINE DERIVATIVE - Provided is an organic light emitting device (OLED) comprising 9,10-dihydroacridine derivative represented by the following Formula (I): | 03-19-2015 |
20150076481 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING DISPLAY DEVICE - A display device and method for manufacturing same are provided. The display device including a plurality of unit pixels disposed in the matrix on a substrate, each of the unit pixels has a thin film transistor at a place other than the center of the pixel, and unit pixels in a first row and unit pixels in a second row adjacent to the first row are arranged so that they are symmetric with respect to a first virtual plane orthogonal to a main surface of the substrate. | 03-19-2015 |
20150076482 | ELECTRONIC DEVICE AND ELECTRONIC DEVICE MANUFACTURING METHOD - An electronic device including: a substrate; a bank formed on an upper surface of the substrate, surrounding an area of the upper surface of the substrate, and defining an aperture from which the area is exposed; a liquid-philic layer formed on a peripheral portion of the area, and not overlapping a central portion of the area; a semiconductor layer formed within the aperture, and attaching to at least a portion of the central portion and to an upper surface of the liquid-philic layer; and a pair of electrodes that are in contact with an area of the semiconductor layer, the area of the semiconductor layer not overlapping the liquid-philic layer in plan view. The bank has a liquid-phobic lateral surface surrounding the aperture, and the upper surface of the liquid-philic layer has a higher degree of liquid-philicity than the upper surface of the substrate. | 03-19-2015 |
20150076483 | ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC ELECTROLUMINESCENT LIGHTING DEVICE AND ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic electroluminescent element comprising: an anode; a cathode; a luminescent layer provided between the anode and the cathode; and a hole injecting and transporting layer provided between the anode and the cathode and adjacent to the luminescent layer, wherein at least the luminescent layer is formed by a wet film forming method, and contains a charge transporting material and a luminescent material, in which the charge transporting material contains a hole transporting material and an electron transporting material each having a specific partial structure, and the luminescent material contains at least three kinds of materials and has an emission spectrum having at least two kinds of emission maximums. | 03-19-2015 |
20150076484 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE SAME - A solid-state imaging device includes: a semiconductor substrate; a pixel unit formed on the semiconductor substrate; and a peripheral circuit unit formed on the semiconductor substrate, at a periphery of the pixel unit, in which the pixel unit includes: a photoelectric conversion film which converts incident light into charges; and a floating diffusion which holds the charges, the peripheral circuit unit includes a transistor including a gate electrode and two source and drain diffusion regions, and the two source and drain diffusion regions have a higher impurity concentration than an impurity concentration of the floating diffusion. | 03-19-2015 |
20150084003 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display, including a flexible substrate bent in a first direction, an OLED arranged on the flexible substrate, a first thin film transistor connected to the OLED and including a first channel area extending in a second direction crossing the first direction, and one or more additional thin film transistors connected to the first thin film transistor and including corresponding additional channel areas extending in the second direction. | 03-26-2015 |
20150084004 | TRANSFERABLE TRANSPARENT CONDUCTIVE OXIDE - A method for fabricating a photovoltaic device includes forming an adhesion layer on a substrate, forming a material layer on the adhesion layer and applying release tape to the material layer. The substrate is removed at a weakest interface between the adhesion layer and the substrate by mechanically pulling the release tape to form a transfer substrate including the adhesion layer, the material layer and the release tape. The transfer substrate is transferred to a target substrate to contact the adhesion layer to the target substrate. The transfer substrate includes a material sensitive to formation processes of the transfer substrate such that exposure to the formation processes of the transfer substrate is avoided by the target substrate. | 03-26-2015 |
20150084005 | LEDS WITH IMPROVED LIGHT EXTRACTION - A light extraction structure that includes a composition of a base material and a scattering material disposed within the base material. The scattering material is a metal oxide, and the difference between the refractive indices of the base material and the scattering material is at least +/−0.05. | 03-26-2015 |
20150084006 | OLED Interface - An OLED interface has a panel layer, an anode-electrode layer, a cathode-electrode layer, an organic illuminant layer structure received between the anode-electrode layer and the cathode-electrode layer, and an evaluation circuit. The evaluation circuit is designed and connected in such a way that, together with at least the anode-electrode layer and/or the cathode electrode layer, a sensor system is produced, for detecting a finger or a hand of a user in a region upstream of the panel layer without, or before, the finger or hand touching the OLED interface or a panel element covering same. | 03-26-2015 |
20150084007 | RESIN COMPOSITION AND OPTICAL FILM INCLUDING THE SAME AND HAVING REVERSE WAVELENGTH DISPERSION CHARACTERISTICS - The present disclosure relates to a resin composition comprising a copolymer including: (A) 100 parts by weight of units derived from a polycyclic aromatic monomer including a vinyl group, (B) 100 to 600 parts by weight of units derived from a styrene monomer, (C) 100 to 600 parts by weight of units derived from an acid anhydride monomer, and (D) 150 to 1000 parts by weight of units derived from a (meth)acrylate monomer, and an optical film including the resin composition and having reverse wavelength dispersion characteristics. | 03-26-2015 |
20150084008 | ORGANIC LIGHT EMITTING DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device including a thin film transistor including an active layer, a gate electrode, a source electrode, a drain electrode, a source electrode top layer on the source electrode and a drain electrode top layer on the drain electrode, a first insulating layer between the active layer and the gate electrode, and a second insulating layer between the gate electrode and the source and drain electrodes; a pad electrode including a first pad layer at the same level as the source electrode and a second pad layer on the first pad layer and at the same level as the source and drain electrode top layers; a third insulating layer covering end portions of the source, drain, and pad electrodes and including an organic insulating layer; and a pixel electrode in an opening formed in the third insulating layer and including a semi-transmissive metal layer. | 03-26-2015 |
20150084009 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes: a pixel electrode on a substrate; an environmental element on the pixel electrode; a protection insulating layer between the pixel electrode and the environmental element and at a location corresponding to the environmental element; an opposing electrode facing the pixel electrode; and an intermediate layer between the pixel electrode and the opposing electrode and including an organic emission layer. | 03-26-2015 |
20150084010 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate, an organic light-emitting device on the substrate, and a thin film encapsulation layer including a first inorganic film, a first organic film, and fine particles including silica with platinum particles. The fine particles are dispersed on the first organic film, and the thin film encapsulation layer is on the organic light-emitting device. | 03-26-2015 |
20150084011 | ORGANIC MATTER VAPOR DEPOSITION DEVICE AND ORGANIC LIGHT EMITTING DISPLAY MANUFACTURED THEREBY - An organic material deposition device configured to sense a deposition amount of an organic material deposited in a vacuum chamber by detecting a back propagation characteristic variation of a passive radio frequency identification (RFID) sensor. The organic material deposition device includes: a chamber configured to perform an organic material deposition process therein; a deposition source mounted in the chamber to vaporize an organic material; a deposition mask mounted to face the deposition source and configured to bond a substrate at an opposite side to the deposition source; an antenna mounted in the chamber to receive back propagation from a radio frequency identification (RFID) sensor; and a radio frequency (RF) reader connected to the antenna to measure an organic material deposition amount from a variation of the back propagation. | 03-26-2015 |
20150084012 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display apparatus includes a substrate, a display unit on the substrate, a dispersion layer on the display unit, and a thin film encapsulation layer sealing the display unit and the dispersion layer. The dispersion layer has a diffusion coefficient in a horizontal direction that is greater than a diffusion coefficient in a vertical direction. | 03-26-2015 |
20150084013 | ORGANIC SEMICONDUCTOR ELEMENT AND CMIS SEMICONDUCTOR DEVICE INCLUDING THE SAME - There is provided with an organic semiconductor element. The organic semiconductor element has a source electrode portion, a drain electrode portion, an active layer region of an organic semiconductor, a gate insulating film, and a gate electrode portion. The source electrode portion has a multilayer structure where layers are arranged in order of a work function from a lowermost layer region in contact with the active layer region to an uppermost layer region. A work function of a material of the lowermost layer region is closer to a work function of a material of the active layer region than a work function of a material of the uppermost layer region. The lowermost region is made of lanthanum boride (LaB | 03-26-2015 |
20150084014 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF REPAIRING THE SAME - An organic light emitting display device includes a substrate including a display area and a non-display area, a plurality of scan lines extended in a first direction on the substrate, a plurality of data lines extended in a second direction intersecting the first direction, a plurality of first switching elements in the display area, the plurality of first switching elements being connected to the scan lines and data lines, organic emission layers connected to the first switching elements, first dummy lines between corresponding adjacent ones of the plurality of scan lines, the first dummy lines extending in the first direction, second switching elements disposed in the non-display area, the second switching elements being adjacent to first ends of the first dummy lines, and second dummy lines extended in the second direction, the second dummy lines being adjacent to the second switching elements. | 03-26-2015 |
20150084015 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES - An organic light emitting display device includes a first substrate having a display region and a peripheral region adjacent to the display region, a plurality of display structures in the display region, the display structures including a plurality of switching elements and a plurality of organic light emitting elements, a plurality of solar cells adjacent to the organic light emitting elements in the display region, and a second substrate opposed to the first substrate. | 03-26-2015 |
20150084016 | ORGANIC ELECTROLUMINESCENT ELEMENT, LIGHT-EMITTING MATERIAL THEREFOR, LIGHT EMITTING DEVICE, DISPLAY DEVICE, AND ILLUMINATION DEVICE - An organic electroluminescent element including a luminescent compound represented by the following formula (in which R | 03-26-2015 |
20150084017 | ORGANIC LIGHT-EMITTING DIODE DEVICE AND MANUFACTURING METHOD THEREOF - The embodiment of the present invention relates to an organic light-emitting diode (OLED) device, which comprises a pixel define layer (PDL) and a light-emitting structure. Metal nanoparticles are doped in the PDL. The OLED device improves the luminous efficiency. The embodiment of the present invention further provides a method for manufacturing the OLED device. | 03-26-2015 |
20150084018 | DISPLAY PANEL AND FABRICATING METHOD THEREOF - A display panel and a fabricating method thereof are provided, and the display panel ( | 03-26-2015 |
20150084019 | ARRAY SUBSTRATE AND OLED DISPLAY DEVICE - An array substrate and an organic light-emitting diode (OLED) display device are provided. The array substrate includes: a thin-film transistor (TFT) substrate and a plurality of driver integrated circuits (ICs), the TFT substrate includes a substrate and a plurality of pixel units disposed on one surface of the substrate; and the plurality of driver ICs are disposed on the other surface of the substrate and configured to transmit signals to the pixel units. In the array substrate, the driver ICs can have enough driving capability to drive the pixel units, so that the image brightness displayed by the OLED display device can become more uniform. | 03-26-2015 |
20150084020 | LIGHT-EMITTING DEVICE MATERIAL AND LIGHT-EMITTING DEVICE - Au organic thin film light-emitting element having both high luminous efficiency and high durability can be provided using a light-emitting element material that comprises a compound having a specified carbazole skeleton. | 03-26-2015 |
20150084021 | SEMICONDUCTOR ELEMENT, DISPLAY DEVICE, METHOD FOR MANUFACTURING SEMICONDUCTOR ELEMENT, AND METHOD FOR MANUFACTURING DISPLAY DEVICE - A semiconductor element includes a semiconductor layer, a first and a second conductive unit, a gate electrode, and a gate insulating film. The semiconductor layer includes a first portion, a second portion, and a third portion provided between the first portion and the second portion. The first conductive unit is electrically connected to the first portion. The second conductive unit is electrically connected to the second portion. The gate electrode is separated from the first conductive unit, the second conductive unit, and the third portion. The gate electrode opposes the third portion. The gate insulating film is provided between the third portion and the gate electrode. A concentration of nitrogen of the first portion is higher than a concentration of nitrogen of the third portion. A concentration of nitrogen of the second portion is higher than the concentration of nitrogen of the third portion. | 03-26-2015 |
20150084022 | LIGHT EMITTING DEVICE AND ELECTRONIC APPARATUS - A light emitting device according to the invention includes a first pixel electrode; a second pixel electrode; an organic layer including a light emitting layer; a pixel separation layer being interposed between an outer edge portion of the first pixel electrode and an outer edge portion of the second pixel electrode, and the organic layer, and separating a first pixel area and a second pixel area; and a common electrode provided on a side opposite to a side on which the first pixel electrode and the second pixel electrode of the organic layer are provided, wherein a width overlapped between the pixel separation layer and the first pixel electrode in the planar view and a width overlapped between the pixel separation layer and the second pixel electrode in the planar view are greater than a film thickness of the organic layer or a charge transfer layer. | 03-26-2015 |
20150084023 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An insulating layer is disposed in areas between pixel electrodes adjacent to each other so as to rest on peripheries of the pixel electrodes. An organic layer is disposed to include a common layer that continuously covers the pixel electrodes and the insulating layer. A common electrode is disposed on the organic layer. A sealing layer conducts sealing to cover the organic layer and the common electrode. The pixel electrodes have depressed portions whose upper surfaces are recessed on ends including the peripheries of the pixel electrodes. The common layer has depressed portions whose upper surfaces are recessed in correspondence with the depressed portions of the pixel electrodes. The common electrode has depressed portions whose upper surfaces are recessed in correspondence with the depressed portions of the common layer. The sealing layer is curved in correspondence with the depressed portions of the common electrode. | 03-26-2015 |
20150084024 | GLASS STRIP AS A SEAL - An emissive display system includes an electro-optic device having a first substantially transparent substrate including first and second surfaces. At least one of the first and second surfaces includes a first electrically conductive layer. A second substantially transparent substrate includes third and fourth surfaces, at least one including a second electrically conductive layer. A primary seal between the second and third surfaces includes a first epoxy layer and a second epoxy layer. A gasket is disposed between the first and second epoxy layers. The seal and the first and second substrates define a substantially hermetic cavity therebetween. An electro-optic medium is disposed in the cavity and is variably transmissive such that the electro-optic device is operable between substantially clear and darkened states. A substantially transparent light emitting display is disposed adjacent to the electro-optic device, which is converted to the darkened state when the light emitting display is emitting light. | 03-26-2015 |
20150084025 | OLED DISPLAY PANEL - An OLED display panel and manufacturing method of the panel are provided in which a terminal electrode is exposed by performing etching with fixed etching conditions without performing step processing. A terminal region comprised from a plurality of metal electrodes | 03-26-2015 |
20150084026 | DISPLAY DEVICE - Provided is a display device that even in the case where microlenses are formed to increase the light extraction efficiency, can decrease damage on an OLED caused by the production of the microlenses. The display device includes a first substrate; light emitting elements provided on the first substrate and located in correspondence with pixels arrayed in a matrix; a second substrate; a light collection layer provided on the second substrate and including, on the side facing the light emitting elements, at least one convex lens in correspondence with each of the pixels; and a light-transmissive layer that is provided between the first substrate and the second substrate so as to be in contact with the lens and has a refractive index lower than that of the light collection layer. | 03-26-2015 |
20150084027 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES - An organic light emitting display device may include a first cover, a protection member disposed on the first cover, a display panel disposed on the protection member, and a second cover disposed on the protection member. The protection member may include a plurality of layers having a plurality of pores, respectively. The protection member may reduce or remove a tolerance generated in manufacturing processes, and also may absorb or remove an external impact. | 03-26-2015 |
20150084028 | Organic Electroluminescent Devices and Metal Complex Compounds - An organic electroluminescent device, which has a pair of electrodes and at least one organic layer including a luminescent layer between the pair of electrodes, wherein at least one layer between the pair of electrodes comprises at least one metal complex having a tridentate- or higher polydentate-chain structure ligand. | 03-26-2015 |
20150084029 | DISPLAY DEVICE AND ELECTRONIC APPARATUS - According to one feature of the invention, a display device comprises a pixel including a first sub-pixel having a first light-emitting element and a second sub-pixel having a second light-emitting element, a first source driver connected to a first source line included in the first sub-pixel, and a second source driver connected to a second source line included in the second sub-pixel. The first sub-pixel and the second sub-pixel are provided over one surface of a light-transmitting substrate, and a first display region using the first sub-pixel over one surface of the substrate and a second display region using the second sub-pixel over the opposite surface the substrate are provided. Accordingly, it is possible to provide a display device that realizes sophistication and a high added value, which includes a display region in each of one and the opposite sides. | 03-26-2015 |
20150084030 | PIXEL CIRCUIT AND DISPLAY DEVICE - A pixel circuit includes: a switching transistor whose conduction is controlled by a drive signal supplied to the control terminal; a drive wiring adapted to propagate the drive signal; and a data wiring adapted to propagate a data signal. The drive wiring is formed on a first wiring layer and connected to the control terminal of the switching transistor. The data wiring is formed on a second wiring layer and connected to a first terminal of the switching transistor. A multi-layered wiring structure is used so that the second wiring layer is formed on a layer different from that on which the first wiring layer is formed. | 03-26-2015 |
20150084031 | NOVEL POLYMERIZABLE MONOMER, AND MATERIAL FOR ORGANIC DEVICE, HOLE INJECTION/TRANSPORT MATERIAL, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE ELEMENT AND ORGANIC ELECTROLUMINESCENT ELEMENT EACH COMPRISING POLYMER (POLYMERIC COMPOUND) OF THE POLYMERIZABLE MONOMER - A polymerizable monomer represented by the following formula (1) wherein at least one of Ar | 03-26-2015 |
20150084032 | DESIGN FOR OLED DISPLAY DEVICE THAT PREVENTS SHORTING OF INTERCONNECTIONS DURING MANUFACTURE THEREOF - An organic light emitting diode (OLED) display device, including a first substrate and a second substrate facing each other, a sealant arranged between the first and second substrates to adhere the first and second substrates together, a plurality of interconnections arranged on one of the first and second substrates and a plurality of cladding parts covering at least a portion of each of the plurality of interconnections at a location that corresponds to the sealant, each of the cladding parts including a material having a higher melting point than that of the interconnections. By including the cladding parts, a short circuit between the interconnections caused by heat applied to the sealant can be prevented, and safety and reliability of the OLED display device can be improved. | 03-26-2015 |
20150084033 | LIGHT-EMITTING DEVICE - There is provided a light emitting device which enables a color display with good color balance. A triplet compound is used for a light emitting layer of an EL element that emits red color, and a singlet compound is used for a light emitting layer of an EL element that emits green color and a light emitting layer of an EL element that emits blue color. Thus, an operation voltage of the EL element emitting red color may be made the same as the EL element emitting green color and the EL element emitting blue color. Accordingly, the color display with good color balance can be realized. | 03-26-2015 |
20150084034 | THIN FILM TRANSISTOR SUBSTRATE AND DISPLAY - An embodiment of the invention provides a thin film transistor substrate includes: a substrate; and a plurality of transistors, wherein each of the transistors includes a gate electrode disposed on the substrate; a first diffusion barrier layer disposed on the substrate and covering an upper surface and a ring sidewall of the gate electrode; a gate insulating layer disposed on the first diffusion barrier layer; an active layer disposed on the gate insulating layer and over the gate electrode; a source electrode disposed on the substrate and electrically connected to the active layer; a drain electrode disposed on the substrate and electrically connected to the active layer; and a protective layer covering the source electrode and the drain electrode. | 03-26-2015 |
20150090960 | Methods to Fabricate Flexible OLED Lighting Devices - A method of fabricating an organic light emitting device (OLED) on a substrate includes providing a mold having surface features, forming a substrate over the mold, fabricating an OLED over the substrate while the substrate is in the mold, and removing the mold from the substrate having the OLED fabricated thereon. | 04-02-2015 |
20150090961 | ORGANIC LIGHT-EMITTING DISPLAY PANEL - An organic light-emitting display panel includes a pixel unit including a plurality of pixels respectively located at intersections between scan lines and data lines and displaying different colors; a plurality of pads respectively coupled to ends of the data lines; and a test unit selectively performing an array test to detect a defect of a pixel circuit of the pixels or a cell test to detect a defect of a light-emitting device of the pixels. | 04-02-2015 |
20150090962 | ORGANIC LIGHT-EMITTING DEVICE - In an aspect, an organic light-emitting device is provided. | 04-02-2015 |
20150090963 | ORGANIC COMPOUNDS AND ORGANIC LIGHT-EMITTING DEVICES INCLUDING THE SAME - An organic compound represented by Formula 1 is disclosed. An organic light-emitting device including the organic compound is also disclosed. | 04-02-2015 |
20150090964 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A compound represented by Formula 1 or Formula 2 and an organic light-emitting device including the same: | 04-02-2015 |
20150090965 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING SAME - A compound represented by Formula 1 or 2, and an organic light-emitting device including the same are disclosed. | 04-02-2015 |
20150090966 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light emitting display apparatus and a method of manufacturing the same. The apparatus includes a substrate including a display area and a peripheral area outside the display area, a plurality of thin film transistors (TFTs) disposed in the peripheral area of the substrate, a first insulating layer covering the plurality of TFTs, a plurality of conductive layers disposed on the first insulating layer to be located above the plurality of TFTs and to be mutually separated to correspond to spaces among the plurality of TFTs, a second insulating layer covering spaces among the plurality of conductive layers, and an opposite electrode corresponding to the display area and the peripheral area of the substrate, covering the second insulating layer, and being in contact with at least portions of the conductive layers. | 04-02-2015 |
20150090967 | PYRENE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A pyrene-based compound is represented by Formula 1: | 04-02-2015 |
20150090968 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by Formula 1: | 04-02-2015 |
20150090969 | DISPLAY DEVICE - A display device according to an exemplary embodiment of the present invention includes a display panel. A protective window is formed on the display panel. The protective window includes a first transparent member. The first transparent member includes a plurality of protrusions. The first transparent member is made of an elastomer. A second transparent member is provided on the first transparent member. The second transparent member is made of a high-hardness polymer material. The second transparent member fills spaces between the plurality of protrusions and forms a flat surface. | 04-02-2015 |
20150090970 | Organic Light-Emitting Diode Displays With White Subpixels - An electronic device may include a display having an array of organic light-emitting diode display pixels that produce light that forms an image. Color filter elements may be used to allow the display to present color images. Each display pixel may have a red subpixel, a blue subpixel, a green subpixel, and a white subpixel. To adjust the color coordinates of the white pixel and thereby ensure that the light from the white pixel has a desired white point, part of the white subpixel may be overlapped by an area of colored color filter material. The white subpixel may, for example have a rectangular white area within which a patch of blue color filter material may be provided to make the white light from the white subpixel more bluish than it would be without the patch of blue color filter material. | 04-02-2015 |
20150090971 | DISPLAY APPARATUS - A display apparatus includes a substrate, a display unit, a first metal oxide layer on the display unit, and a second metal oxide layer. The display unit may include an emission region and a non-emission region. The second metal oxide layer may be on the first metal oxide layer in the non-emission region. The first metal oxide layer and the second metal oxide layer may each include a metal oxide, the transparency of which varies according to a degree of oxidization of the metal oxide. | 04-02-2015 |
20150090972 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes red, green, and blue pixels. Each pixel includes a pixel electrode, a hole auxiliary layer formed over the pixel electrode, and an organic emission layer formed over the hole auxiliary layer. Each pixel also includes an electron auxiliary layer formed over the organic emission layer, and a common electrode formed over the electron auxiliary layer. Each of the red and green pixels further includes a host layer formed between the hole auxiliary layer and the organic emission layer and a resonance layer formed between the host layer and the organic emission layer. | 04-02-2015 |
20150090973 | Stable Blue Phosphorescent Organic Light Emitting Devices - Novel combination of materials and device architectures for organic light emitting devices is provided. An organic light emitting device, is provided, having an anode, a cathode, and an emissive layer disposed between the anode and the cathode. The emissive layer includes a host and a phosphorescent emissive dopant having a peak emissive wavelength less than 500 nm, and a radiative phosphorescent lifetime less than 1 microsecond. Preferably, the phosphorescent emissive dopant includes a ligand having a carbazole group. | 04-02-2015 |
20150090974 | COMPOUND FOR ORGANIC OPTOELECTRIC DEVICE, ORGANIC OPTOELECTRIC DEVICE COMPRISING SAME, AND DISPLAY APPARATUS COMPRISING ORGANIC OPTOELECTRIC DEVICE - Provided is a compound for an organic optoelectric device, an organic light emitting diode including the same, and a display device including the organic light emitting diode, wherein the compound for an organic optoelectric device is represented by Chemical Formula 1. The Chemical Formula 1 and description thereof are the same as described in the specification. | 04-02-2015 |
20150090975 | PHTHALOCYANINE NANO-SIZE STRUCTURES, AND ELECTRONIC ELEMENTS USING SAID NANO-SIZE STRUCTURES - There is provided an organic semiconductor material with which it is possible to manufacture an electronic element by a wet process which is low cost. Furthermore, the object is to provide an organic semiconductor electronic element which is hardly broken, light in weight and inexpensive, and has high characteristic. According to the present invention, it has been found that it is possible to provide an organic semiconductor material in which performance is improved and which is suitable for a wet process by optimizing a phthalocyanine derivative which configures a phthalocyanine nano-sized substance and the completion of the present invention has been reached. Furthermore, it is possible to provide an electronic element which has high durability, is hardly broken, light in weight, inexpensive and has high characteristic by using the organic semiconductor material in an electronic element active part (a semiconductor layer). | 04-02-2015 |
20150090976 | Method for Producing an Organic Field Effect Transistor and an Organic Field Effect Transistor - Methods for producing organic field effect transistors, organic field effect transistors, and electronic switching devices are provided. The methods may include providing a gate electrode and a gate insulator assigned to the gate electrode for electrical insulation on a substrate, depositing a first organic semiconducting layer on the gate insulator, generating a first electrode and an electrode insulator assigned to the first electrode for electrical insulation on the first organic semiconducting layer, depositing a second organic semiconducting layer on the first organic semiconducting layer and the electrode insulator, and generating a second electrode on the second organic semiconducting layer. | 04-02-2015 |
20150090977 | ORGANIC ELECTROLUMINESCENCE UNIT, METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE UNIT, AND ELECTRONIC APPARATUS - An organic electroluminescence unit includes: a plurality of light-emitting layers of different colors ( | 04-02-2015 |
20150090978 | TRANSPARENT ELECTRODE, ELECTRONIC DEVICE, AND ORGANIC ELECTROLUMINESCENT ELEMENT - A transparent electrode comprising a nitrogen-containing layer, and an electrode layer provided adjacent to the nitrogen-containing layer and having silver as a main component. The nitrogen-containing layer is configured using a compound containing nitrogen atoms, wherein the effective unshared electron pair content [n/M] is 2.0×10 | 04-02-2015 |
20150090979 | COMPOSITION FOR INSULATOR, INSULATOR, AND THIN FILM TRANSISTOR - An insulating composition includes a nanoparticle-polyorganosiloxane composite, a cross-linking agent, and a solvent, an insulator includes the insulating composition, and an electronic device includes the insulator. | 04-02-2015 |
20150090980 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display having thin film transistors (TFTs) is disclosed. In one aspect, TFTs of the OLED display include a substrate and a first semiconductor layer formed over the substrate and including first channel, source, and drain regions and a lightly doped region between the first channel region and the first source and drain regions. The OLED display also includes a second semiconductor layer formed over the substrate and including second channel, source, and drain regions. The OLED display further includes first and second gate electrodes formed over the first semiconductor layer and a third gate electrode formed over the second semiconductor layer. The width of the second gate electrode is less than that of the first gate electrode and the lightly doped region overlaps a portion of the first gate electrode and does not overlap the second gate electrode. | 04-02-2015 |
20150090981 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having the structure of formula I: | 04-02-2015 |
20150090982 | ORGANIC LIGHT EMITTING DIODE DISPLAY PANEL AND ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE CONTAINING THE SAME - An organic light emitting diode display panel is disclosed, which comprises: a first substrate with an organic light emitting layer formed thereon; a second substrate corresponding to the first substrate, and adhered to the first substrate with a frit sealant; and plural spacers disposed between the first substrate and the second substrate, and comprising a first spacer, a second spacer and a third spacer adjacent to each other, wherein shapes of a first projection of the first spacer, a second projection of the second spacer and a third projection of the third spacer on the second substrate are different from each other. In addition, the present invention also disclosed an organic light emitting diode display device containing the same. | 04-02-2015 |
20150090983 | DISPLAY UNIT AND ELECTRONIC APPARATUS - A display unit includes: a plurality of light emitting elements provided in a display region of a first substrate, and including a first electrode, a light emitting layer, and a second electrode in this order on the first substrate; an auxiliary wiring provided on a second substrate facing the first substrate with the light emitting elements interposed therebetween, and extending from the display region to a peripheral region surrounding the display region; a first pillar configured to electrically connect the auxiliary wiring and the second electrode of the light emitting elements; and a second pillar configured to electrically connect the auxiliary wiring and a peripheral electrode provided in the peripheral region of the first substrate. | 04-02-2015 |
20150090984 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - Disclosed is an organic light emitting display (OLED) device that may include first and second electrodes facing each other on a substrate, at least two light emitting units between the first and second electrodes, and a charge generation layer between the at least two light emitting units, the charge generation layer including an N-type charge generation layer and a P-type charge generation layer, wherein the N-type charge generation layer includes at least two hosts and a dopant, and wherein the at least two hosts have different lowest unoccupied molecular orbital (LUMO) energy levels. | 04-02-2015 |
20150090985 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light-emitting display device is disclosed. The organic light emitting display device includes a display panel including sub-pixels emitting light of at least three colors, and a driver supplying a driving signal to the display panel, wherein each of the sub-pixels emitting at least three colors includes an opening region emitting its own color and a light-emitting participation region additionally emitting the same color as or different color from the its own color. | 04-02-2015 |
20150090986 | ORGANIC EL DISPLAY DEVICE - An organic EL display device includes: a TFT substrate that includes a display area in which pixels are arranged in a matrix; and a color filter substrate that is provided to face the TFT substrate and includes an area transmitting light in a predetermined wavelength range for each of the pixels. Each of the pixels of the TFT substrate includes a pair of electrodes, at least two light emission layers that are arranged between the pair of electrodes, and a charge generation layer that is arranged between the at least two light emission layers, is a layer to generate a pair of positive and negative charges, and has different film thicknesses in accordance with the predetermined wavelength range of the corresponding area. | 04-02-2015 |
20150090987 | ORGANIC EL DISPLAY DEVICE - An organic EL display device includes an organic layer having plural layers including a light emitting layer, and a color filter that passes a light of a predetermined wavelength region. The organic layer includes a first organic layer that is arranged in a first area having plural independent areas in a display area, and includes the light emitting layer that emits a light of a first wavelength region, and a second organic layer that is arranged in a second area having plural independent areas which is an area different from the first area in the display area, and includes the light emitting layer that emits a light of a second wavelength region which is different from the first wavelength region. The color filter has a first color filter and a second color filter that pass respective lights of different wavelength regions in the light emitted from the light emitting layer of the first organic layer. | 04-02-2015 |
20150090988 | ORGANIC EL DISPLAY DEVICE - In an organic EL display device, a resistance of a cathode electrode of OLEDs is substantially reduced while maintaining a higher opening ratio of pixels as an entire display area. A reference power supply line is formed on a glass substrate, and receives a reference potential for driving the OLED. The OLED is formed on the glass substrate where the reference power supply line is formed, and has a structure in which a lower electrode, an organic material layer, and an upper electrode that is a cathode electrode common to plural pixels are laminated on each other in the order from the bottom. In some of the plural pixels, a cathode contact that penetrates through the organic material layer, and electrically connects the upper electrode to the reference power supply line is formed within an opening area corresponding to a W sub-pixel. | 04-02-2015 |
20150090989 | ORGANIC EL DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE ORGANIC EL DISPLAY DEVICE - An organic EL display device includes a first organic layer that is arranged between lower electrodes and an upper electrode, and formed of a plurality of layers including a light emitting layer, a laminated auxiliary line that has a first auxiliary line and a second auxiliary line, and laminated on each other in order, and extend in one direction between two of pixels adjoining each other, and a second organic layer that is formed of a plurality of the same layers as the first organic layer, and arranged in contact with the first auxiliary line in a connection hole opened in the second auxiliary line so as to come out of contact with the first organic layer, in which the upper electrode is arranged in contact with the first auxiliary line around the second organic layer so as to embed the connection hole. | 04-02-2015 |
20150090990 | DISPLAY DEVICE AND METHOD OF MANUFACTURING DISPLAY DEVICE - A display device according to the invention includes: a first substrate that includes a flexible substrate, is segmented into a display area and a non-display area outside the display area, and includes a thin film transistor and an electroluminescent light-emitting element formed on the display area of the flexible substrate; and an IC chip that is bonded on the non-display area of the first substrate via an anisotropic conductive film, wherein the first substrate includes, between the flexible substrate and the anisotropic conductive film, at least one or more support layers whose plan view shape is larger than that of the IC chip and whose hardness is higher than that of the flexible substrate, and the IC chip is located inside the at least one or more support layers in a plan view. | 04-02-2015 |
20150090991 | ELECTRO-LUMINESCENCE DEVICE AND METHOD OF MANUFACTURING THE SAME - A filling material is provided in an interval part between a first substrate provided with a light emitting device in a pixel and a second substrate provided with a color filter layer corresponding to each pixel which is provided to face each other and a protruding part is provided in the interval part. The protruding part is provided separated along one edge of each pixel. An end part in a length direction of the protruding part is formed in a cone or streamlined shape. In addition, the protruding part is formed from a material having light absorbing properties such as carbon black so as to provide light shielding properties. By adopting this structure, it is possible to solve a problem of mixing colors produced between pixels. It is possible to ensure that the flow of the filling material provided between the first substrate and the second substrate is not obstructed. | 04-02-2015 |
20150090992 | ORGANIC EL DISPLAY DEVICE - In an organic EL display device having plural organic EL elements each including an organic light emitting layer, an upper electrode formed on an upper side of the organic light emitting layer, and a reflective layer formed on a lower side of the organic light emitting layer, in which an image is displayed on a side of the organic light emitting layer on which the upper electrode is formed. The reflective layer in each of the organic EL elements includes a first plane, a second plane formed on a side lower than the first plane; and an inclined plane formed between the first plane and the second plane, and linearly inclined at a given angle equal to or higher than 35°, and equal to or lower than 55°. | 04-02-2015 |
20150090993 | DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - A display device, includes: a first substrate; an organic planarizing film that is made of an organic insulating material, and arranged on the first substrate; an electrode that comes in contact with a part of a surface of the organic planarizing film opposite to the first substrate side; an inorganic bank that is made of an inorganic insulating material, covers an end of the electrode, and comes in contact with a part of a surface of the organic planarizing film opposite to the first substrate side; an OLED layer that covers a side of the electrode and the inorganic bank opposite to a side that comes in contact with the organic planarizing film, and partially comes in contact with the organic planarizing film; and a sealing film that is configured to cover a side of the OLED layer opposite to the organic planarizing film side. | 04-02-2015 |
20150090994 | ORGANIC COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME - A compound and an organic light emitting diode device, the compound being represented by the following Chemical Formula 1: | 04-02-2015 |
20150090995 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Discussed are an organic light emitting diode (OLED) display device and a method of manufacturing the same, which can simplify a manufacturing process and decrease an error. The OLED display device includes a substrate on which an organic light emitting diode (OLED) is formed, a first inorganic thin layer configured to cover the OLED, an organic deposition layer configured to have an organic layer characteristic covering the first inorganic thin layer, and a second inorganic thin layer configured to cover the organic deposition layer. | 04-02-2015 |
20150090996 | SEMICONDUCTOR DEVICE AND DRIVING METHOD THEREOF - A voltage equal to the threshold value of a TFT ( | 04-02-2015 |
20150090997 | DISPLAY APPARATUS AND ELECTRONIC APPARATUS - Disclosed herein is a display apparatus, including: a plurality of subpixels disposed adjacent each other and forming one pixel which forms a unit for formation of a color image; the plurality of subpixels including a first subpixel which emits light of the shortest wavelength and a second subpixel disposed adjacent the first subpixel; the second subpixel having a light blocking member disposed between the second subpixel and the first subpixel and having a width greater than a channel length or a channel width of a transistor which forms the second subpixel. | 04-02-2015 |
20150090998 | SOLID-STATE IMAGING DEVICE AND METHOD OF MANUFACTURING THE DEVICE - Each unit pixel includes a photoelectric converter formed above a semiconductor region, an amplifier transistor formed in the semiconductor region, and including a gate electrode connected to the photoelectric converter, a reset transistor configured to reset a potential of the gate electrode, and an isolation region formed in the semiconductor region between the amplifier transistor and the reset transistor to electrically isolate the amplifier transistor from the reset transistor. The amplifier transistor includes a source/drain region. The source/drain region has a single source/drain structure. | 04-02-2015 |
20150097160 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD FOR MANUFACTURING THE SAME - An organic light-emitting display apparatus and a method for forming the same, the apparatus including a transparent protection layer on a substrate; a via insulation layer on the transparent protection layer; a pixel electrode on the via insulation layer; an opposite electrode on the pixel electrode; and an intermediate layer between the pixel electrode and the opposite electrode, the intermediate layer including an organic emission layer. | 04-09-2015 |
20150097161 | ORGANIC LIGHT EMITTING DEVICE AND ORGANIC LIGHT EMITTING DISPLAY HAVING THE SAME - Disclosed is an organic light emitting device including a first electrode, a second electrode, and an organic laminate formed between the first and second electrodes. The organic laminate includes, a multilayer-light emitting structure that includes two or more light emitting layers emitting light of different colors and a charge transport control layer formed at boundaries between the two or more light emitting layers and controlling the amount of charges transported between the two or more light emitting layer. A first light emitting layer of the two or more light emitting layers is between a hole transport layer and the charge transport control layer and formed of a mixture including a first dopant and a host of a hole transport material. Both a hole transport layer and the charge transport control layer are formed of the same material as the host of the first light emitting layer. | 04-09-2015 |
20150097162 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE, AND LIGHTING DEVICE - Provided is an organic electroluminescent element which has improved driving voltage and improved current efficiency. An organic electroluminescent element having the above-mentioned improved characteristics is provided by using, as a material for organic electroluminescent elements, a polycyclic aromatic compound in which a nitrogen atom and another heteroatom or a metal atom (X) are adjacent to each other in a non-aromatic ring. | 04-09-2015 |
20150097163 | SEMICONDUCTOR DEVICE, DISPLAY, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A semiconductor device includes: a gate electrode layer; a gate insulating film provided on the gate electrode layer; a semiconductor layer provided, in opposition to the gate electrode layer, on the gate insulating film; and a source-drain electrode layer provided on the semiconductor layer and on the gate insulating film. A face, in opposition to the gate insulating film, of the semiconductor layer is located above a face of a section, located on the gate insulating film, of the source-drain electrode layer. | 04-09-2015 |
20150097164 | ORGANIC LIGHT EMITTING DIODE - The present specification discloses an organic electroluminescent device including an anode, a cathode, a light emitting layer provided between the cathode and the anode, a first p-type organic material layer provided between the cathode and the light emitting layer, and a first n-type organic material layer provided between the first p-type organic material layer and the light emitting layer. | 04-09-2015 |
20150097165 | OPTOELECTRONIC COMPONENT AND METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT - An optoelectronic component may include a carrier, a protective layer on or above the carrier, a first electrode on or above the protective layer, an organic functional layer structure on or above the first electrode, and a second electrode on or above the organic functional layer structure. The protective layer has a lower transmission than the carrier for electromagnetic radiation having a wavelength of less than approximately 400 nm at least in one wavelength range. The protective layer includes a glass. | 04-09-2015 |
20150097166 | PROCESS FOR PRODUCING A SCATTERING LAYER FOR ELECTROMAGNETIC RADIATION AND SCATTERING LAYER FOR SCATTERING ELECTROMAGNETIC RADIATION - Various embodiments may relate to a process for producing a scattering layer for electromagnetic radiation. The process may include applying scattering centers onto a carrier, applying glass onto the scattering centers, and liquefying of the glass so that a part of the liquefied glass flows between the scattering centers toward the surface of the carrier, in such a way that a part of the liquefied glass still remains above the scattering centers. | 04-09-2015 |
20150097167 | ORGANIC LIGHT EMITTING DEVICE AND ORGANIC LIGHT EMITTING DISPLAY HAVING THE SAME - An organic light emitting device including a first electrode connected to a thin film transistor formed on a substrate, a second electrode opposite to the first electrode, and an organic laminate formed between the first electrode and the second electrode and including a hole transport layer, a multilayer-light emitting structure, and an electron transport layer. The multilayer-light emitting structure includes at least two light emitting layers emitting light of different colors through recombination of electrons and holes injected through the first and second electrodes, and a charge transport control layer formed of a bipolar material transporting both electrons and holes at boundaries between the at least two light emitting layers and controlling the amount of charges transported between the at least two light emitting layers. | 04-09-2015 |
20150097168 | DISPLAY DEVICE AND ELECTRONIC APPARATUS - Provided is a display device, including: a plurality of pixels that are provided between a first substrate and a light-transparent second substrate, the plurality of pixels each including a light-reflective first electrode, an organic layer, and a light-transparent second electrode in order from the first substrate side, the organic layer including at least a light-emission layer; a first light-shielding layer that is provided in a region between the plurality of pixels on one side of the second substrate; and a second light-shielding layer that is provided between the first light-shielding layer and the first substrate, the second light-shielding layer facing at least part of the first light-shielding layer. | 04-09-2015 |
20150097169 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Novel metal complexes containing azole fused pyridine ligands useful for providing more efficient and more stable phosphorescent emitter for OLED applications are disclosed. | 04-09-2015 |
20150097170 | NON-VOLATILE MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME - A non-volatile memory device includes a gate electrode, a data storage layer provided on the gate electrode, and a source electrode and a drain electrode provided on the data storage layer and spaced apart from each other. The data storage layer comprises three layers that form hetero-interfaces and have different permittivities from one another. | 04-09-2015 |
20150097171 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic light-emitting display device according to one embodiment of the present disclosure includes a substrate, a thin-film transistor formed on the substrate, a planarization layer formed on the thin-film transistor, an organic light-emitting element formed on the planarization layer, the emitting element including an organic light-emitting layer and a cathode, and a lower auxiliary wiring between the organic light-emitting element and the planarization layer, the wiring electrically connected with the cathode. | 04-09-2015 |
20150097172 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - Provided are a display device and a method of manufacturing the same. A display device includes a coplanar thin-film transistor and a capacitor. The coplanar thin-film transistor comprises a gate electrode, an active layer including an oxide semiconductor, a source electrode and a drain electrode. The capacitor comprises a lower electrode, intermediate electrode and upper electrode. And the lower electrode is comprised of the same material as the active layer, and is conductivized. Also, the upper electrode is connected to the lower electrode. By using the conductivized lower electrode, the capacitor is configured to operate as multiple capacitors. Thus, the size of the capacitor is reduced, and sufficient capacitance may be secured with the capacitor with a smaller area. In this way, the area of each sub-pixel in the display device may be reduced, thereby achieving high resolution. | 04-09-2015 |
20150097173 | ORGANIC EL ELEMENT MANUFACTURING METHOD, ORGANIC EL APPARATUS, AND ELECTRONIC EQUIPMENT - An organic EL element manufacturing method includes coating ink which contains a functional layer forming material in a coating region which is configured by a pixel electrode and a partition wall which surrounds a periphery of the pixel electrode, where, in the coating ink, the ink is coated so as to satisfy the following expressions (1) to (3) in a case where a contact angle of the ink with respect to the side surface of the partition wall is set to θbc and a contact angle with respect to a surface of the coating region where the ink is coated is set to θlc. | 04-09-2015 |
20150097174 | RESIN COMPOSITION, SUBSTRATE, METHOD OF MANUFACTURING ELECTRONIC DEVICE AND ELECTRONIC DEVICE - Provided are a resin composition and a substrate that are capable of being used for manufacturing an electronic device having excellent light extraction efficiency. The resin composition contains a crystalline polymer and a solvent dissolving the crystalline polymer. The resin composition is used to form a layer, and a haze value of the layer is 5% or more. Further, a method of manufacturing the electronic device by using such a substrate, and the electronic device are also provided. | 04-09-2015 |
20150097175 | TOUCH PANEL - The present invention provides a touch panel, including a lower substrate, an organic light-emitting component, disposed on the lower substrate, a nano silver sensing layer, disposed on the organic light emitting component, and an upper substrate, disposed on the nano silver sensing layer. | 04-09-2015 |
20150097176 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Compounds comprising a 3,9-linked oligocarbazole moiety and a dibenzothiophene, dibenzofuran, dibenzoselenophene, aza-dibenzothiophene, aza-dibenzofuran, or aza-dibenzoselenophene are provided. The 3,9-linked oligocarbazole and dibenzo or aza-dibenzo moiety are separated by an aromatic spacer. The compounds may be used as non-emissive materials for phosphorescent OLEDs to provide devise having improved performance. | 04-09-2015 |
20150097177 | LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - A light emitting device having a structure in which oxygen and moisture are prevented from reaching light emitting elements, and a method of manufacturing the same, are provided. Further, the light emitting elements are sealed by using a small number of process steps, without enclosing a drying agent. The present invention has a top surface emission structure. A substrate on which the light emitting elements are formed is bonded to a transparent sealing substrate. The structure is one in which a transparent second sealing material covers the entire surface of a pixel region when bonding the two substrates, and a first sealing material (having a higher viscosity than the second sealing material), which contains a gap material (filler, fine particles, or the like) for protecting a gap between the two substrates, surrounds the pixel region. The two substrates are sealed by the first sealing material and the second sealing material. Further, reaction between electrodes of the light emitting elements (cathodes or anodes) and the sealing materials can be prevented by covering the electrodes with a transparent protective layer, for example, CaF | 04-09-2015 |
20150097178 | DISPLAY DEVICE AND ELECTRONIC APPARATUS - A display device in which variations in luminance due to variations in characteristics of transistors are reduced, and image quality degradation due to variations in resistance values is prevented. The invention comprises a transistor whose channel portion is formed of an amorphous semiconductor or an organic semiconductor, a connecting wiring connected to a source electrode or a drain electrode of the transistor, a light emitting element having a laminated structure which includes a pixel electrode, an electro luminescent layer, and a counter electrode, an insulating layer surrounding an end portion of the pixel electrode, and an auxiliary wiring formed in the same layer as a gate electrode of the transistor, a connecting wiring, or the pixel electrode. Further, the connecting wiring is connected to the pixel electrode, and the auxiliary wiring is connected to the counter electrode via an opening portion provided in the insulating layer. | 04-09-2015 |
20150102290 | DISUBSTITUTED PYRENE COMPOUNDS WITH AMINO GROUP CONTAINING ORTHO ARYL GROUP - Compounds according to Formula 1, devices containing the same and formulations containing the same are described. Formula 1 has the following structure: | 04-16-2015 |
20150102291 | ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic electroluminescent display includes: a first substrate including a plurality of pixel regions, each having three sub-pixel regions; a first electrode in each sub-pixel region on the first substrate; an organic light emitting layer in each pixel region on the first electrode; a second electrode on the organic light emitting layer; a second substrate facing the first substrate; and quantum dot layers on an inner surface of the second substrate and corresponding to at least two of the three sub-pixel regions. | 04-16-2015 |
20150102292 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An exemplary embodiment of the present invention discloses an organic light-emitting display apparatus including: a display substrate including pixel areas and a non-pixel area; a sealing substrate disposed facing the display substrate; a spacer disposed in the non-pixel area of the display substrate, between the display substrate and the sealing substrate, and configured to maintain an interval between the display substrate and the sealing substrate; and a metal layer disposed under the spacer. | 04-16-2015 |
20150102293 | DISPLAY APPARATUS - A display apparatus which can be uniformly sealed by an inhomogeneous laser beam is disclosed. One inventive aspect includes a display substrate, an encapsulation substrate and a sealing portion. On the display substrate, a display unit, including a display device, is formed. The encapsulation substrate is formed to face the display substrate. The sealing portion is formed to surround the display unit and bond the display substrate and the encapsulation substrate to each other. | 04-16-2015 |
20150102294 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR PREPARING THE SAME - In an aspect, an organic light emitting diode display including: a substrate; a first electrode and an auxiliary electrode positioned on the substrate and separated from each other; an absorption electrode positioned on the auxiliary electrode; an organic emission layer positioned on the first electrode and having a contact hole exposing the auxiliary electrode and the absorption electrode; and a second electrode positioned on the organic emission layer and connected to the auxiliary electrode and the absorption electrode through the contact hole is provided. In an aspect, the organic light emitting diode (OLED) display may minimize the voltage drop of the driving power passing through the large-sized electrode of the thin film for driving the organic emission layer, and may simplify the removal process of the organic emission layer on the auxiliary electrode by adding the absorption electrode on the auxiliary electrode. | 04-16-2015 |
20150102295 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device and manufacturing method thereof are disclosed. One inventive aspect includes a first substrate, a second substrate, a pixel unit, a circuit unit, a sealing member and a radiation unit. The pixel unit is formed on the first substrate and comprises an organic light emitting device and a thin-film transistor (TFT). The radiation unit includes radiation fins formed in the sealing member and a radiation layer contacting first ends of the radiation fins. | 04-16-2015 |
20150102296 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - A organic light emitting diode display including an organic light emitting display panel displaying an image, and a lower passivation film attached to a bottom of the organic light emitting panel and including a polymer resin and an antistatic agent, wherein the lower passivation film includes a plurality of stress adjustment patterns disposed to be adjacent to each other wherein decreasing the bending interval between the bending stress adjustment patterns formed at the lower passivation film processed with the antistatic agent and attached at the position corresponding to the bending portion of the organic light emitting panel, thereby selectively minimizes the stress of the bending portion of the organic light emitting panel, therefore, asymmetry of strains of the bending portions of the organic light emitting display panel can be prevented to remove a picture abnormality, and static electricity may be prevented. | 04-16-2015 |
20150102297 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode display having a pixel array structure capable of maximizing space use, and a method for manufacturing the same. The organic light emitting diode display includes pixels of a first color, pixels of a second color, and pixels of a third color, each having a longitudinal direction that extends along one of two diagonals of the display and not along a row or a column direction. Furthermore, each of the pixels are arranged in pairs, each pixel of each pair being of a same color and being arranged near each other, extending in a same direction, and being symmetrical about a vertex of a unit area. Each pair of pixels is produced by deposition of an emission material into a single opening in a fine metal mask, leading to improved aperture ratio and improved use of space. | 04-16-2015 |
20150102298 | FLEXIBLE DISPLAY PANEL AND MANUFACTURING METHOD THEREOF - A flexible display panel and a manufacturing method which is capable of removing a non-display area without damaging a display element layer, the flexible display panel includes a flexible substrate which includes a display area and a peripheral area outside of the display area, a display element layer disposed on the flexible substrate, and a neutral plane balancing layer disposed on the display element layer in the peripheral area, wherein the peripheral area of the flexible substrate in which the neutral plane balancing layer is disposed is folded towards a rear side of the display area along a first bending line, and the neutral plane balancing layer overlaps the first bending line. | 04-16-2015 |
20150102299 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes a display substrate including a display area displaying an image and a peripheral area surrounding the display area. The OLED display also includes an encapsulation substrate facing the display substrate and a sealant bonding the display substrate to the encapsulation substrate. The display substrate includes a substrate, a scan driver formed over the substrate in the peripheral area and including a common voltage line applying a common voltage to the display area. The display substrate also includes a pixel defining layer formed above the common voltage line. The sealant is formed over the scan driver and contacts an upper surface of the pixel defining layer. | 04-16-2015 |
20150102300 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus and a method of manufacturing the organic light-emitting display apparatus is provided. The organic light-emitting display apparatus may include a first substrate including a display portion, a second substrate disposed opposite the first substrate, a sealing line that encloses the display portion and binds the first substrate to the second substrate; and a plurality of sealing branches binding the first substrate to the second substrate in which one end of each of the plurality of sealing branches contacts the sealing line and another end of each of the plurality of sealing branches does not contact the sealing line. | 04-16-2015 |
20150102301 | ORGANIC OPTOELECTRIC DEVICE AND DISPLAY DEVICE - Disclosed is an organic optoelectric device, including an anode and a cathode facing each other, an emission layer interposed between the anode and the cathode, a hole transport layer interposed between the anode and the emission layer, and a hole transport auxiliary layer interposed between the hole transport layer and the emission layer. The emission layer includes at least one first compound represented by Chemical Formula 1: | 04-16-2015 |
20150102302 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND REPAIRING METHOD THEREOF - An organic light emitting diode display includes a substrate having a display unit and a peripheral portion, scan lines in a first direction, data lines in a second direction, pixels in the display unit and having pixel circuit portions and organic light emitting diodes, first dummy lines in the display unit and extending in the first direction, at least one second dummy line in the peripheral portion and extending in the second direction, dummy circuit portions connected to a first dummy line and the at least one second dummy line, driving pads connected to end portions of the data lines, at least one dummy driving pad connected to an end portion of the at least one second dummy line, and a driving circuit configured to transmit a data signal to the driving pads and to the at least one dummy driving pad. | 04-16-2015 |
20150102303 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME - A substrate includes a driving transistor, a capacitor, a driving voltage line, and a connection line. The driving transistor has a gate electrode overlapping a channel region of a curved active layer. The capacitor has a first electrode is formed of the gate electrode of the driving transistor and a second electrode overlapping the first electrode. The driving voltage line includes driving voltage line portions on the capacitor and connected to edges of the second electrode of the capacitor. The first connection line is located at a portion of a region on the capacitor separated from the driving voltage line. A via hole is on the first connection line. | 04-16-2015 |
20150102304 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY PANEL SUBSTRATE AND METHOD OF CUTTING OLED DISPLAY PANELS FROM THE SUBSTRATE - A method of cutting an organic light-emitting display panel substrate into OLED display panels is disclosed. In one aspect, the method includes forming a plurality of OLEDs over a lower mother substrate, wherein the OLEDs are divided into a plurality of groups. The method also includes forming a plurality of sealant lines over at least one of an upper mother substrate or the lower mother substrate such that each sealant line surrounds a corresponding group of the OLEDs. The method further includes forming a plurality of assistance sealant lines between adjacent sealant lines, attaching the upper mother substrate to the lower mother substrate with the sealant lines and the assistance sealant lines interposed therebetween, and cutting the upper mother substrate and the lower mother substrate along the assistance sealant lines. | 04-16-2015 |
20150102305 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first sub-pixel, a second sub-pixel, and a third sub-pixel on a substrate; a plurality of first electrodes in the first sub-pixel, the second sub-pixel, and the third sub-pixel, respectively; a second electrode being a sub-common layer to the first sub-pixel and the second sub-pixel and facing the first electrodes of the first sub-pixel and the second sub-pixel; and a third electrode in the third sub-pixel and facing the first electrode of the third sub-pixel is disclosed. | 04-16-2015 |
20150102306 | ELECTROLUMINESCENT (EL) DEVICE AND DISPLAY DEVICE - An electroluminescent (EL) device and a display device are disclosed. The OLED device comprises a base substrate; a plurality of pixel units arranged in an array are disposed on the base substrate; each pixel unit comprises sub-pixel units provided with EL structures; the EL structures each comprise a transparent anode, an emission layer (EML) and a transparent cathode disposed on the base substrate in sequence; the EL structure of each sub-pixel unit is divided into a transmissive area and a reflective area; and the reflective area of the EL structure is provided with a reflective layer. The EL device can achieve transparent display with the transmissive area of each sub-pixel unit, and meanwhile, the transmissive area for achieving transparent display can also realize normal display. | 04-16-2015 |
20150102307 | ELECTROLUMINESCENT ELEMENT, METHOD FOR MANUFACTURING ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE, AND ILLUMINATION DEVICE - An electroluminescent element including a substrate and a layered part having a first electroconductive layer, a dielectric layer, a second electroconductive layer, a light-emitting layer and a third electroconductive layer. Plural contact holes that pass through at least the dielectric layer are disposed in the dielectric layer, the first and second electroconductive layers are electrically connected inside the contact holes, the refractive indices of the second electroconductive layer and light-emitting layer are 1.5 to 2.0 inclusive, the absolute value of the difference between the refractive indices, respectively, and the refractive index of the dielectric layer is 0.1 or more. Further, (i) the light-emitting surface side has at continuous light-emitting region, and (ii) the number of contact holes is 10 | 04-16-2015 |
20150102308 | ORGANIC SEMICONDUCTOR LAYER, ELECTRONIC DEVICE, AND METHOD FOR MANUFACTURING ELECTRONIC DEVICE - Provided is an organic semiconductor layer including a mixture of a first polycyclic aromatic hydrocarbon to which a substituent R | 04-16-2015 |
20150102309 | LIGHT EMITTING PANEL AND MANUFACTURING METHOD OF LIGHT EMITTING PANEL - In the present invention, a light emitting panel has a transmissive light emitting region comprising a light emission section for emitting light and a light transmitting section for transmitting light. The light emission section of the transmissive light emitting region has a light emitting part that emits light and a conductive reflective layer that blocks and reflects light. The light emitting part of the transmissive light emitting region has the following: a first electrode layer that is electrically connected to one surface of the reflective layer and that is conductive and light transmissive; a second electrode layer that is disposed facing the first electrode layer and that is conductive and light transmissive; and an organic EL layer interposed between the second electrode layer and the first electrode layer. The light transmitting section of the transmissive light emitting region has a first electrode layer that is not in the position of the reflective layer, a second electrode layer, and an organic EL layer. In the space between the first electrode layer and organic EL layer of the light transmitting section of the transmissive light emitting region, an insulative and light transmissive resin layer is filled thereinto. | 04-16-2015 |
20150102310 | ORGANIC OPTOELECTRONIC COMPONENT AND USE OF A TRANSPARENT INORGANIC SEMICONDUCTOR IN A CHARGE CARRIER PAIR GENERATING LAYER SEQUENCE - Various embodiments may relate to an organic optoelectronic component with a layer structure for generating and separating charge carriers of a first charge carrier type and charge carriers of a second charge carrier type, the layer structure including a hole-conducting transparent inorganic semiconductor. | 04-16-2015 |
20150102311 | OPTOELECTRONIC COMPONENT AND METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT - An optoelectronic component may include a first organic functional layer structure, a second organic functional layer structure, and a charge generating layer structure between the first organic functional layer structure and the second organic functional layer structure. The charge generating layer structure includes a first electron-conducting charge generating layer, and a second electron-conducting charge generating layer. The second electron-conducting charge generating layer is formed from a single substance, and the substance of the first electron-conducting charge generating layer is a substance selected from the group of substances consisting of: HAT-CN, Cu(I)pFBz, NDP-2, NDP-9, Bi(III)pFBz, F16CuPc. | 04-16-2015 |
20150102312 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A display apparatus includes an array of pixels and dummy pixels. A plurality of first lines are connected to the pixels and the dummy pixels. A plurality of repair lines are connected to the dummy pixels and are selectively connected to the pixels. A plurality of second lines are connected to the pixels. At least one dummy line is connected to the dummy pixels. At least one dummy wiring is connected to the at least one dummy line and is selectively connected to one of the second lines. | 04-16-2015 |
20150102313 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - In an aspect, an organic light-emitting display apparatus including: a substrate; a thin film transistor (TFT) formed on the substrate and comprising an active layer, a gate electrode, a source electrode, and a drain electrode; a first insulating layer formed on the TFT; a pixel electrode; a second insulating layer formed on the first insulating layer; and an opposite electrode formed on the intermediate layer is provided. | 04-16-2015 |
20150102314 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display is provided including: a substrate including a display area and a non-display area positioned at a circumference of the display area; a thin film transistor formed on the substrate; a first electrode formed on the thin film transistor and electrically connected to the thin film transistor; a pixel definition layer formed on the first electrode and defining a pixel area; and an emission layer formed on the first electrode and contacting the first electrode in the pixel area, wherein the display area is divided into a first region, and a second region including a remainder of the display area except for the first region, and a cross-sectional area ratio of the pixel definition layer that a cross-section of the pixel definition layer occupies for a unit pixel is different in the first region and the second region. | 04-16-2015 |
20150102315 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display may include a display substrate including an organic light emitting diode, a sealing member facing the display substrate to cover the organic light emitting diode, a sealant positioned between the display substrate and the sealing member and bonding the display substrate and the sealing member, and a reinforcing member positioned at an outer surface of the sealant and a space between the display substrate and the sealing member, in which shear stress and hardness of the reinforcing member are a function of a sum of thicknesses of the display substrate and the sealing member. | 04-16-2015 |
20150102316 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes a thin film transistor including an active layer, a gate electrode, a source electrode, and a drain electrode, a first insulating layer arranged between the active layer and the gate electrode, and a second insulating layer arranged between the gate, source, and drain electrodes. The OLED display also includes a third insulating layer covering the source and drain electrodes, wherein an opening is defined in each of the second and third insulating layers and wherein the openings substantially overlap. The OLED display further includes a pixel electrode formed in the openings defined in the second and third insulating layers and including a semi-permeable metal layer. | 04-16-2015 |
20150102317 | THIN FILM TRANSISTOR SUBSTRATES, DISPLAY DEVICES AND METHODS OF MANUFACTURING DISPLAY DEVICES - A thin film transistor substrate may include a gate electrode on a base substrate, a gate insulation layer covering the gate electrode on the base substrate, an active pattern on the gate insulation layer, an etch-stop layer pattern partially exposing the active pattern, a source electrode and a drain electrode in contact with a portion of the exposed active pattern, and an inorganic barrier layer on the source electrode, the drain electrode, and the etch-stop layer pattern. The active pattern may be superimposed over the gate electrode. The source electrode and the drain electrode may be superimposed over both ends of the gate electrode. The inorganic barrier layer may be in contact with a remaining portion of the exposed active pattern. | 04-16-2015 |
20150102318 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a substrate, a display element on the substrate, and an encapsulation member on the substrate. The encapsulation member encapsulates the display element. The encapsulation member includes a plurality of organic layers and a plurality of inorganic layers. Each inorganic layer includes a plurality of inorganic blocks that are separate from each other. The inorganic layers are between the organic layers. | 04-16-2015 |
20150102319 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display is provided that may include a first substrate, a plurality of electrodes on the first substrate and spaced apart from each other, a pixel defining layer on the plurality of electrodes, spacers on the pixel defining layer, and a second substrate on the spacers. The pixel defining layer includes a plurality of openings spaced apart from each other and respectively open to the plurality of electrodes. The spacers on the pixel defining layer are at crossing points of a plurality of virtual lines, the spacers crossing spaces between adjacent openings of the plurality of openings. | 04-16-2015 |
20150102320 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a red pixel including a red organic emission layer, a blue pixel including a blue organic emission layer, a green pixel including a green organic emission layer, a main spacer adjacent to the blue pixel, and a sub spacer shorter than the main spacer. | 04-16-2015 |
20150102321 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Compounds according to Formula 1, devices containing the same and formulations containing the same are described. Formula 1 has the following structure: | 04-16-2015 |
20150102322 | DISPLAY DEVICE - A display device in which pixels each including an emission area are arranged in a form of a matrix, the display device including: a first electrode formed from the emission area of the pixels to a non-emission area on a periphery of the emission area; a second electrode formed so as to be common to the pixels; and a light emitting material layer formed between the first electrode and the second electrode; wherein film thickness in the non-emission area of at least one of the first electrode and the second electrode is larger than film thickness in the emission area. | 04-16-2015 |
20150102323 | LIGHT-EMITTING DEVICE AND ELECTRONIC APPARATUS - A light-emitting device includes: a light-emitting element which is disposed in a display region of a base body and includes a first electrode, a second electrode, and a light-emitting functional layer; a first conductor; a first insulating layer which covers the first conductor; a second conductor; and a second insulating layer which covers the second conductor. The second electrode is formed on a surface of the first insulating layer and is electrically connected to the first conductor via a first conduction hole of the first insulating layer, the first conductor is formed on a surface of the second insulating layer and is electrically connected to the second conductor via a second conduction hole of the second insulating layer, and the first conduction hole and the second conduction hole are configured not to overlap each other in a plan view. | 04-16-2015 |
20150102324 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes a flexible substrate, a barrier layer disposed on the flexible substrate, and an organic light emitting diode disposed on the barrier layer. The barrier layer includes a plurality of metal layers and a plurality of insulation layers in which the metal layers and the insulation layers are alternatively stacked with each other on the flexible substrate. | 04-16-2015 |
20150102325 | OPTICAL SHEET, DISPLAY DEVICE INCLUDING OPTICAL SHEET, AND RELATED MANUFACTURING METHOD - An optical sheet includes a transparent substrate. The optical sheet further includes light-scattering elements randomly and nonperiodically distributed in the transparent substrate. Each light-scattering element of the light scattering elements includes a binding-material member and light-scattering particles dispersed in the binding-material member. A weight percent calculated from dividing a total weight of light-scattering particles of the light-scattering elements by a total weight of the light-scattering elements is in a range of 5 wt % to 40 wt %. | 04-16-2015 |
20150102326 | FLEXIBLE ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - A flexible organic light emitting diode (OLED) display according to an exemplary embodiment includes: a substrate; an organic light emitting diode (OLED) layer provided on the substrate; and a thin film encapsulation layer provided on the OLED layer. The thin film encapsulation layer includes a plurality of laminated inorganic layers, at least one inorganic layer of the plurality of inorganic layers includes a plurality of inorganic layer patterns that are disposed to be spaced apart from each other on a plane, and an organic layer is formed between the plurality of inorganic layer patterns. | 04-16-2015 |
20150102327 | OPTICAL FILM FOR REDUCING COLOR SHIFT AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE EMPLOYING THE SAME - An optical film includes a high refractive index pattern layer including a material having a refractive index greater than about 1, wherein a groove pattern defined by grooves, each of which has a curved groove surface and a depth greater than a width, is defined on a first surface of the high refractive index pattern, the grooves are two-dimensionally arranged in a first direction and a second direction, and a cross-sectional shape of each of the grooves has an anisotropic shape, in which a length in a first axial direction and a length in a second axial direction, which is perpendicular to the first axial direction, are different from each other, and a low refractive index pattern layer including a material having a refractive less than the refractive index of the high refractive index pattern layer and further including fillers corresponding to the grooves. | 04-16-2015 |
20150102328 | OPTICAL FILM FOR REDUCING COLOR SHIFT AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE EMPLOYING THE SAME - An optical film includes: a high refractive index pattern layer including a material having a refractive index greater than about 1, where a plurality of grooves, each having a curved groove surface and a depth greater than a width thereof, is defined on a first surface of the high refractive index pattern layer, the plurality of grooves defines a pattern, the plurality of grooves are two-dimensionally arranged in a first direction and a second direction, and a first distance between adjacent grooves in the first direction and a second distance between adjacent grooves in the second direction are different from each other; and a low refractive index pattern layer including a material having a refractive index less than the refractive index of the high refractive index pattern layer and further including a plurality of fillers which fills the plurality of grooves, respectively. | 04-16-2015 |
20150102329 | MASK FOR DEPOSITING THIN FILM, METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DIODE DISPLAY USING THE SAME, AND ORGANIC LIGHT EMITTING DIODE DISPLAY USING THE SAME - A mask for depositing a thin film according to an exemplary embodiment of the present invention includes: mask strips each including a plurality of pattern portions disposed end to end along one direction; and a frame on which the mask strips are positioned. Intervals between adjacent ends of adjacent pattern portions differ from each other according to distance from a predetermined location, thereby providing an organic light emitting diode display having a more uniform quality. | 04-16-2015 |
20150102330 | COMPOSITION AND DEVICE - A composition comprises a low molecular weight polyelectrolyte, a high molecular weight polymer, a light-emitting material and a salt. The viscosity average molecular weight of the high molecular weight polymer in at least one solvent is at least 5 times greater than the viscosity average molecular weight of the low molecular weight polyelectrolyte in the at least one solvent, and the high molecular weight polymer and the low molecular weight polymer are preferably different molecular weight polymers of the same polyelectrolyte material, such as polyethylene oxide. The composition is used to provide a light emitting layer ( | 04-16-2015 |
20150102331 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A light-emitting element with high reliability that can keep favorable characteristics after long-time driving is provided. In addition, a light-emitting device having a long lifetime including the light-emitting element is provided. Moreover, an electronic device and a lighting device having a long lifetime are provided. In a light-emitting element including an EL layer between a pair of electrodes, a light-emitting layer included in the EL layer has a stacked-layer structure which is different from the conventional structure, whereby the light-emitting element can keep favorable characteristics after long-time driving even in the case where carrier balance is changed over time due to driving of the light-emitting element or a light-emitting region is shifted due to the change. | 04-16-2015 |
20150102332 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting device and a method of fabricating the same includes a first substrate; a thin film transistor (TFT) on the first substrate; a planarization layer on the TFT; an organic light emitting diode (OLED) on the planarization layer; a passivation layer on the OLED; a second substrate on the passivation; and a hydrogen capturing material between the first and the second substrates to prevent oxidation of materials forming the TFT. | 04-16-2015 |
20150102333 | ELECTRONIC DEVICE AND ELECTRONIC DEVICE MANUFACTURING METHOD - An electronic device including: a substrate; a bank formed above the substrate; a semiconductor layer formed within an aperture surrounded by the bank; and electrodes electrically connected to the semiconductor layer. An outline of the aperture in plan view includes a first straight edge, a second straight edge continuous with one end of the first edge via a first connector, and a straight third edge continuous with the other end of the first edge via a second connector. The area of a first connector region differs from the area of a second connector region, the first connector region being defined by a first imaginary straight line along the first edge, a second imaginary straight line along the second edge, and the first connector, and the second connector region being defined by a third imaginary straight line along the third edge, the first imaginary straight line, and the second connector. | 04-16-2015 |
20150102334 | POLYMER COMPOUND, CHARGE-TRANSPORTING POLYMER, COMPOSITION FOR ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC EL DISPLAY DEVICE, AND ORGANIC EL LIGHT - The present invention provides a polymer compound and charge transporting polymer having a high hole injecting and transporting performance, a composition for organic electroluminescent element containing the charge transporting polymer, and an organic electroluminescent element. The polymer compound of the present invention comprises a specific group having a benzocyclobutene ring. | 04-16-2015 |
20150108433 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light-emitting diode (OLED) display and a manufacturing method thereof are disclosed. One inventive aspect includes a first substrate, a second substrate, and a first insulation layer, a metal layer and a second insulation layer formed on the first insulation layer. The metal layer is formed on the first insulating layer and has a first through hole. The second insulation layer is formed on the metal layer and has a second through hole. The inventive aspect further includes a sealing member formed by filling the first and second through hole so as to seal the first substrate to the second substrate. | 04-23-2015 |
20150108434 | TOUCH DETECTING STRUCTURE, TOUCH DISPLAY DEVICE AND TOUCH DETECTING AND MANUFACTURING METHODS - The invention discloses a touch detecting structure, an organic light emitting touch display device, a method of detecting a touch on a device and a method of manufacturing a device. The touch detecting structure includes: a first signal transmission line arranged on a first substrate of an organic light emitting touch display device; an insulating layer arranged on the first signal transmission line and having a via hole and a protrusion; a second signal transmission line located on the insulating layer and passing the top of the protrusion; a signal transmission terminal arranged on the lateral surface of the protrusion and having one end connected with the first signal transmission line through the via hole and the other end located on the top of the protrusion, and insulated from the second signal transmission line; and a cathode film arranged on a second substrate. | 04-23-2015 |
20150108435 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a substrate, an organic light-emitting diode on the substrate and including a first electrode, a second electrode, and an intermediate layer between the first electrode and the second electrode, and an encapsulation layer covering the organic light-emitting diode. The encapsulation layer includes a first inorganic layer, a first stress control layer and a first organic layer which are sequentially stacked. A Young's modulus of the first stress control layer is greater than a Young's modulus of the first inorganic layer. | 04-23-2015 |
20150108436 | DISPLAY DEVICE HAVING ANISOTROPIC CONDUCTIVE FILM AND MANUFACTURING METHOD THEREOF - A display device includes a substrate including a wiring portion, a pad unit provided on the substrate and extended from the wiring portion, an integrated circuit chip electrically connected to the pad unit and mounted on the substrate, and an anisotropic conductive film configured to electrically connect the pad unit and the integrated chip to each other. The anisotropic conductive film includes an adhesive layer provided between the pad unit and the integrated circuit chip and at least one conductive ball scattered in the adhesive layer. A heat generator is also provided on the substrate. The heat generator is configured to come into contact with the anisotropic conductive film to supply heat to the anisotropic conductive film. | 04-23-2015 |
20150108437 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes an emission pixel in a display area and a spare pixel circuit in a repair area outside the display area. The emission pixels includes a plurality of sub emission pixels each including a driving unit for generating a driving current corresponding to input data signals and an emission device for emitting light by using the driving current. The spare pixel circuit is coupled to a repair line that is coupled to the emission device of one of the sub emission pixels. The spare pixel circuit includes a plurality of driving transistors corresponding to the plurality of sub emission pixels. | 04-23-2015 |
20150108438 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes: a lower substrate including a major surface, which comprises a display area and a sealing area surrounding the display area when viewed in a direction perpendicular to the major surface; an insulating structure disposed over the lower substrate in both the display area and the sealing area; a plurality of openings formed through the insulating structure in the sealing area, the openings comprising inner side surfaces; a first conductive layer including a first portion over the insulating structure extending in a horizontal direction, and a second portion extending on at least part of the inner side surfaces of the openings in a vertical direction; an upper substrate placed over the lower substrate; and a sealing member interposed between the lower substrate and the upper substrate in the sealing area thereof and filing the openings, thereby integrating the lower and upper substrates. | 04-23-2015 |
20150108439 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display having a flat region having a flat surface, and curved regions disposed at left and right sides of the flat region and formed in curved surfaces, having a display panel including a substrate, which maintains a flat surface in the flat region, and is formed in curved surfaces in the curved regions, and organic light emitting diodes disposed on the substrate, a window disposed in the flat region and the curved regions on the display panel, and a cover member disposed under the display panel, in which the cover member includes a flat cover member disposed in the flat region and curved cover members disposed in the curved regions, and the flat cover member and the curved cover member are formed of different materials or have different thicknesses. | 04-23-2015 |
20150108440 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound and an organic light-emitting device, the compound being represented by Formula 1: | 04-23-2015 |
20150108441 | DISPLAY DEVICE - A display device is disclosed. In one aspect, the display device includes a first substrate including a display area and a non-display area surrounding the display area and a display unit formed over the first substrate in the display area and configured to display an image. The display device also includes a plurality of first reinforcing members formed over the first substrate in the non-display area, wherein the first reinforcing members are spaced apart from each other. The display device further includes a second substrate formed over the first substrate with the display unit interposed therebetween, and a sealant formed in the non-display area and substantially sealing the first and second substrates, wherein the sealant is interposed between the display area and the plurality of first reinforcing members. | 04-23-2015 |
20150108442 | ORGANIC LIGHT-EMITTING APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light-emitting apparatus. The organic light-emitting apparatus includes: a substrate; an organic light-emitting device provided on the substrate and including a first electrode, a second electrode, and an intermediate layer provided between the first electrode and the second electrode; and an encapsulation layer provided to cover the organic light-emitting device, wherein the encapsulation layer includes a first organic layer and a first inorganic layer provided on the first organic layer and including carbon, and a carbon content of the first inorganic layer gradually decreases from an interface between the first organic layer and the first inorganic layer in a direction from the first organic layer to the first inorganic layer. | 04-23-2015 |
20150108443 | ORGANIC LIGHT-EMITTING APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting apparatus including: a substrate; an organic light-emitting device disposed on the substrate and including a first electrode, a second electrode, and an intermediate layer disposed between the first electrode and the second electrode; and an encapsulation layer provided to cover the organic light-emitting device. The encapsulation layer includes a first inorganic layer including a first fracture point, and a first fracture control layer provided on the first inorganic layer to seal the first fracture point. | 04-23-2015 |
20150108444 | ORGANIC ELECTROLUMINESCENCE DEVICE AND DISPLAY APPARATUS - An organic electroluminescence device is provided, including an anode, a cathode and a light emitting layer disposed between the anode and the cathode; an electron transport layer disposed between the cathode and the light emitting layer, a phthalocyanine dye being doped in the electron transport layer. A display apparatus including the organic electroluminescence device is also provided. | 04-23-2015 |
20150108445 | ELECTRONIC COMPONENT AND METHOD FOR PRODUCING AN ELECTRONIC COMPONENT - An electronic component may include an electrically active region, having a first contact pad, a second contact pad, an organic functional layer structure between the first contact pad and the second contact pad, at least one electrical terminal which is coupled to the first contact pad or to the second contact pad. The first contact pad and/or the second contact pad may include an encapsulation and an electrically conductive region. The encapsulation partly covers the electrically conductive region in such a way that a part of the first contact pad or of the second contact pad is exposed. The exposed region is completely laterally surrounded by encapsulation. | 04-23-2015 |
20150108446 | DISPLAY DEVICE, MANUFACTURING METHOD OF DISPLAY DEVICE, AND ELECTRONIC APPARATUS - There is provided a display device ( | 04-23-2015 |
20150108447 | DIOXAANTHANTHRENE COMPOUND AND ELECTRONIC DEVICE - Provided is a dioxaanthanthrene compound represented by the following structural formula (1). | 04-23-2015 |
20150108448 | ORGANIC ELECTRONIC MATERIAL - The present invention discloses an “organic light-emitting device (OLED)”, comprising an anode, a cathode, and one or more organic layers, wherein the said organic layer contains at least one compound having the formula (I), and the said OLED has the advantages of excellent light-emitting efficiency, excellent color purity and long lifetime. | 04-23-2015 |
20150108449 | ORGANIC ELECTRONIC MATERIAL AND ORGANIC ELECTROLUMINESCENT DEVICE - An organic light-emitting material having the structure of formula (I) or (II) as described below and an organic light-emitting device (OLED) are disclosed. The OLED adopts the compound containing fluoranthene group as the electron transport material possessing good electron transport and injection ability. The material also enhances the luminous efficiency and lifetime of the device because of its excellent thermal stability and film-forming properties. At the same time, the high triplet energy and excellent electron transport capacity of the material containing fluoranthene group make it suitable to be used as the host for phosphorescent devices, increasing the number of electrons in the light-emitting layer and the efficiency of the device. | 04-23-2015 |
20150108450 | THIN-FILM TRANSISTOR ARRAY SUBSTRATE, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS, AND MANUFACTURING METHOD THEREOF - A thin film transistor (TFT) array substrate, an organic light-emitting display apparatus, and a manufacturing method thereof are disclosed. One inventive aspect includes a first gate line formed on a substrate and a second gate line formed on the first gate line. A third gate line is formed on the second gate line and covers a top surface of the second gate line and the side portions of the first and second gate lines. | 04-23-2015 |
20150108451 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having a structure according to formula (I) | 04-23-2015 |
20150108452 | PAD ELECTRODE STRUCTURE AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE PAD ELECTRODE STRUCTURE - An organic light-emitting display apparatus includes a pad electrode, an interlayer insulating layer on the pad electrode, a conductive barrier layer, and a planarization insulating layer. The interlayer insulating layer includes a plurality of openings that expose an upper surface of the pad electrode. The conductive barrier layer is on the plurality of openings and the interlayer insulating layer. The planarization insulating layer covers an edge of the conductive barrier layer. The planarization insulating layer is in the openings. | 04-23-2015 |
20150108453 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus is disclosed. In one embodiment, the display apparatus includes i) a substrate and ii) an organic light-emitting device formed on the substrate, the organic light-emitting device including a stack structure including a first electrode, an organic light-emitting layer, and a second electrode. The apparatus may further include a sealing layer formed on the substrate so as to cover the organic light-emitting device, the sealing layer including an inorganic layer and a porous layer interposed between the sealing layer and the organic light-emitting device. One embodiment can reduce a stress due to a sealing inorganic layer so as to maintain characteristics for a long time in a severe environment and not affect an organic light-emitting device. | 04-23-2015 |
20150108454 | THIN FILM TRANSISTOR ARRAY SUBSTRATE, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS, AND METHOD OF MANUFACTURING THE THIN FILM TRANSISTOR ARRAY SUBSTRATE - Provided is a thin film transistor including an active layer including a first silicon active layer, a second silicon active layer, and an oxide active layer in a space between the first silicon active layer and the second silicon active layer, a gate electrode on the active layer with a gate insulating layer disposed therebetween, and a source electrode and a drain electrode with an interlayer insulating layer disposed between the gate electrode and the source and drain electrodes, the source and drain electrodes being in contact with the first silicon active layer and the second silicon active layer, respectively. | 04-23-2015 |
20150108455 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device is disclosed. The organic light emitting display device includes a first sub-pixel that includes a first emission region which makes a first color, a second sub-pixel that is disposed adjacent to the first sub-pixel, and includes a second emission region which makes a second color, a third sub-pixel that is disposed adjacent to the first sub-pixel, and includes a third emission region which makes a third color, and a fourth sub-pixel that is disposed adjacent to the second sub-pixel and the third sub-pixel, and includes a fourth emission region which makes a fourth color. At least one of the first to fourth sub-pixels includes a transmission region which cannot emit light and through which external light is transmitted. The transmission region is surrounded by at least one of the first to fourth emission regions. | 04-23-2015 |
20150108456 | ORGANIC LIGHT EMITTING DEVICE - Disclosed is an organic light emitting device that may include a substrate having first to third light emitting parts; a first electrode in each of the first to third light emitting parts; a hole transport layer on the first electrode; first and second light emitting layers on the hole transport layer in the first and second light emitting parts, respectively; a common third light emitting layer on the first and second light emitting layers; a hole connection layer including a bipolar material and an electron transport material, wherein the hole connection layer in the first and second light emitting parts is provided between the common third light emitting layer and the first and second light emitting layers, and the hole connection layer in the third light emitting part is provided between the common third light emitting layer and the hole transport layer; a second electrode on the common third light emitting layer. | 04-23-2015 |
20150108457 | RESIN COMPOSITION, METHOD OF MANUFACTURING RESIN COMPOSITION, SUBSTRATE, METHOD OF MANUFACTURING ELECTRONIC DEVICE AND ELECTRONIC DEVICE - Provided are a resin composition and a substrate that are capable of being used for manufacturing an electronic device including a transparent resin film having an excellent display property, a method of manufacturing such a resin composition and a method of manufacturing the electronic device using such a substrate and the electronic device. The resin composition of the present invention contains an aromatic polyamide, an aromatic multifunctional compound having two or more functional groups including a carboxyl group or an amino group, and a solvent dissolving the aromatic polyamide. | 04-23-2015 |
20150108458 | ORGANIC EL ELEMENT, METHOD FOR MANUFACTURING THE SAME, ORGANIC EL DISPLAY PANEL, AND ORGANIC EL DISPLAY DEVICE - An organic EL element of the present disclosure is an organic EL element having an inverted structure including a first hole injection layer containing a first organic material whose LUMO level is −4 eV or less. The organic EL element further includes a second hole injection layer containing a second organic material. The second hole injection layer is disposed between the first hole injection layer and an anode. The roughness of a principal surface of the second hole injection layer on the side of the anode is smaller than the roughness of a principal surface of the first hole injection layer on the side of the second hole injection layer. L1, L2, and EA satisfy formula: −EA−2 eV≦L2≦L1+2 eV, where the first organic material has a LUMO level L1, the second organic material has a LUMO level L2, and the anode has an electron affinity EA. | 04-23-2015 |
20150108459 | DISPLAY APPARATUS - Disclosed herein is a display apparatus has a pixel array section including: pixel circuits which are each provided with a driving transistor and an electro-optical device and are laid out to form a matrix; and a draw wire provided in each of the pixel circuits to serve as a wire connecting the driving transistor to a power-supply providing line, wherein the resistance of the draw wire is relatively large in the pixel circuit close to a source applying a power-supply voltage to the power-supply providing line. | 04-23-2015 |
20150108460 | DISPLAY DEVICE AND METHOD OF DRIVING A DISPLAY DEVICE - Write in of lower significant bits of a digital video signal to a memory is eliminated by a memory controller of a signal control circuit in a display device during a second display mode in which the number of gray scales is reduced, as compared to a first display mode. Further, read out of the lower significant bits of the digital video signal from the memory is also eliminated. The amount of information of digital image signals input to a source signal line driver circuit is reduced. Corresponding to this operation, a display controller functions to make start pulses and clock pulses input to each driver circuit have a lower frequency, and write in periods and display periods of sub-frame periods participating in display are set longer. | 04-23-2015 |
20150108461 | ELECTRONIC DEVICE WITH REDUCED NON-DEVICE EDGE AREA - A first product may be provided that comprises a substrate having a first surface, a first side, and a first edge where the first surface meets the first side; and a device disposed over the substrate, the device having a second side, where at least a first portion of the second side is disposed within 3 mm from the first edge of the substrate. The first product may further comprise a first barrier film that covers at least a portion of the first edge of the substrate, at least a portion of the first side of the substrate, and at least the first portion of the second side of the device. | 04-23-2015 |
20150108462 | Organometallic Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device and Lighting Device - Provided is a novel substance that can emit phosphorescence. Alternatively, provided is a novel substance with high emission efficiency. An organometallic complex in which a 4-arylpyrimidine derivative is a ligand and iridium is a central metal is provided. Specifically, an organometallic complex having a structure represented by a general formula (G1) is provided. In the general formula (G1), R | 04-23-2015 |
20150108463 | FLEXIBLE LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND METHOD FOR MANUFACTURING FLEXIBLE-LIGHT EMITTING DEVICE - It is an object to provide a flexible light-emitting device with long lifetime in a simple way and to provide an inexpensive electronic device with long lifetime using the flexible light-emitting device. A flexible light-emitting device is provided, which includes a substrate having flexibility and a light-transmitting property with respect to visible light; a first adhesive layer over the substrate; an insulating film containing nitrogen and silicon over the first adhesive layer; a light-emitting element including a first electrode, a second electrode facing the first electrode, and an EL layer between the first electrode and the second electrode; a second adhesive layer over the second electrode; and a metal substrate over the second adhesive layer, wherein the thickness of the metal substrate is 10 μm to 200 μm inclusive. Further, an electronic device using the flexible light-emitting device is provided. | 04-23-2015 |
20150108464 | DISPLAY PANEL AND PANEL INSPECTION APPARATUS - Disclosed herein is a display panel based on active matrix driving having a display area made up of N pixel control lines, M video signal lines orthogonally intersecting the N pixel control lines, and pixel circuits arranged at intersections between the N pixel control lines and M video signal lines, wherein positional identification patterns are arranged on every k (k being a natural number) pixel control lines inside each of the pixel circuits. | 04-23-2015 |
20150108465 | Electro-Optical Device - An electro-optical device for performing time division gray scale display and which is capable of arbitrarily setting the amount of time during which light is emitted by EL elements is provided. From among n sustain periods Ts1, . . . , Tsn, the brightness of light emitted by the EL elements during at least one sustain period is set to be always lower than the brightness of light emitted by the EL elements during the other sustain periods, and the sustain periods are extended by the amount that the brightness has dropped. In accordance with the above structure, the sustain periods can be extended by lowering the setting of the brightness of light emitted by the EL elements. | 04-23-2015 |
20150108466 | Light-Emitting Element and Display Device Using Same - A display device includes a plurality of light-emitting elements aligned on a TFT substrate in a formation of a matrix. The plurality of light-emitting elements each have a flat surface portion and including a light-emitting layer, an anode, and a cathode, an insulating layer formed on the TFT substrate and under the light emitting element, and a tilted metal surface provided on a peripheral area surrounding the flat surface portion of the light-emitting element and having a tilt angle with respect to the flat surface portion of the light-emitting element. The tilted metal surface is provided on a surface of a slope of a bank that is provided on the insulation layer, and a width of a cross-section of the bank becomes smaller as the cross section comes farther away from a surface of the TFT substrate. A counter substrate is placed on the TFT substrate. | 04-23-2015 |
20150115224 | OLED DEVICE AND CORRESPONDING DISPLAY APPARATUS - The present invention relates to an OLED device and a corresponding display apparatus, which includes a metal cathode; an organic emitter layer which is disposed on the metal cathode; a transparent cathode which is disposed on the organic emitter layer; and a reflective layer which is disposed between the metal cathode and the organic emitter layer. The reflective layer is an Ag—Mg—Cu alloy layer. The OLED device and the corresponding display apparatus of the present invention have low production cost and high light outputted efficiency. | 04-30-2015 |
20150115225 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device includes: a cathode; an anode; and an organic thin-film layer disposed between the cathode and the anode, the organic thin-film layer having one or more layers including an emitting layer, in which the emitting layer includes a first material represented by the following formula (1) and a second material in a form of a fluorescent dopant material. | 04-30-2015 |
20150115226 | OPTOELECTRONIC COMPONENT, A METHOD FOR MANUFACTURING AN OPTOELECTRONIC COMPONENT, AND A METHOD FOR PROCESSING A CARRIER - According to various embodiments, an optoelectronic component may be provided, the optoelectronic component including: an electrode structure disposed at least one of over and in a carrier; and a grating structure disposed over the electrode structure, the grating structure including at least a first region and a second region, wherein the first region of the grating structure includes amorphous silicon; and wherein the second region of the grating structure includes a material having a refractive index different from the refractive index of the amorphous silicon. | 04-30-2015 |
20150115227 | FIBER-BASED ORGANIC ELECTROCHEMICAL TRANSISTOR - An organic electrochemical transistor (OECT) that may be used as a biosensor is built up by layers applied to a monofilament. A first conducting layer applied to the monofilament includes generally cylindrical source and drain contacts with a gap therebetween. An electro-active layer of an organic material altering its electrical conductivity through a change in redox state is in electrical contact with the source and drain contacts, and has a transistor channel interface for contacting an electrolyte. A gate electrode is spaced apart from the first monofilament, and may comprise a cylindrical layer built up on another length of monofilament. | 04-30-2015 |
20150115228 | Light Emitting Device - A light emitting device is disclosed, including a first electrode layer, an organic light emitting layer disposed on the first electrode layer, and a second electrode layer disposed on the organic light emitting layer. The organic light emitting layer is sandwiched between the first electrode layer and the second electrode layer. The second electrode layer is patterned to form a plurality of electrode patterns arranged with different densities, thereby generating three-dimensional, greyscale or full-color images. | 04-30-2015 |
20150115229 | FLEXIBLE DISPLAY APPARATUS - A flexible display apparatus includes a flexible display panel and a window cover coupled onto the flexible display panel. The flexible display panel includes a view area and a non-display area outside the view area. The view area includes an active area configured to display images, and an area outside the active area. A refractive portion is in the view area and outside the active area. | 04-30-2015 |
20150115230 | ORGANIC LIGHT-EMITTING DISPLAY AND METHODS OF MANUFACTURING THE SAME - An organic light-emitting display including a conductive-organic small molecular filling material and methods of manufacturing the same are disclosed. The organic light-emitting display includes a substrate, a display unit disposed on the substrate, a sealing substrate disposed above the display unit, a sealing member that attaches the substrate to the sealing substrate and disposed outside the display unit; and a filling material filling a space between the substrate and the sealing substrate inwards from the sealing member, wherein the filling material is a conductive-organic small molecule. | 04-30-2015 |
20150115231 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device having a resonance structure includes a substrate; a first electrode and a second electrode on the substrate and facing each other; an emission layer between the first electrode and the second electrode; a first hole transport layer between the first electrode and the emission layer; and a second hole transport layer between the first hole transport layer and the emission layer. An electron mobility of the second hole transport layer is 5 times to 100 times greater than an electron mobility of the first hole transport layer, and a thickness of the second hole transport layer corresponds to a resonance distance of a wavelength of emission light of the emission layer. | 04-30-2015 |
20150115232 | CHRYSENE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A chrysene-based compound and an organic light-emitting device including the same, the chrysene-based compound being represented by Formula 1, below: | 04-30-2015 |
20150115233 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed is an organic light-emitting device. The organic light-emitting device includes a first pixel electrode that is disposed on a substrate, a first conductive film that is formed on the substrate to cover the first pixel electrode, a second conductive pattern and an insulating layer that are sequentially formed on the first conductive film and include an opening which exposes a portion of a top of the first conductive film, a hole injection layer that is formed on the opening and the insulating layer to cover the exposed first conductive film, a hole transport layer that is formed in a partial region of the hole injection layer and the opening, and an emissive layer that is formed on the hole transport layer. | 04-30-2015 |
20150115234 | ORGANIC LIGHT EMITTING DISPLAY APPARATUSES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY APPARATUSES - An organic light emitting display apparatus includes a first substrate, a second substrate, an organic light emitting device, a thin film transistor, a wiring pattern and a seal. The first substrate includes a first region, a second region and a third region. The third region surrounds the first region. The second region is between the first region and the third region, and is partially overlapped with the third region. The second substrate faces the first substrate. The organic light emitting device is disposed on the first substrate in the first region. The thin film transistor is disposed on the first substrate in a region where the second region and the third region overlap. The wiring pattern is disposed on the first substrate in the second region. The seal is disposed in the third region, between the first substrate and the second substrate in the third region. | 04-30-2015 |
20150115235 | ORGANIC LIGHT EMITTING DISPLAY AND METHOD OF FABRICATING THE SAME - The present disclosure provides an organic light emitting display that may comprise: an organic light emitting device (OLED) including a first electrode, an organic layer including a light-emitting layer, and a second electrode, which are sequentially formed on a substrate having a Thin Film Transistor (TFT) formed on the substrate; and an upper encapsulation layer, which is formed of an aluminum oxide-based material, is formed in a single layer, and is disposed on the substrate on which the organic light emitting device (OLED) is formed, wherein a Water Vapor Transmission Rate (WVTR) of the upper encapsulation layer is smaller than or equal to 10 | 04-30-2015 |
20150115236 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes: a substrate; an organic light-emitting device including a first electrode disposed on the substrate, a second electrode disposed to face the first electrode, and an intermediate layer including an organic emission layer disposed between the first electrode and the second electrode; and a light scattering layer disposed on at least one of the first electrode and the second electrode disposed in a light emission direction, where the light scattering layer includes a plurality of barriers, and a light scattering pattern disposed at a side surface of each of the plurality of barriers. | 04-30-2015 |
20150115237 | LIGHT-EMITTING COMPONENT ARRANGEMENT - A light-emitting component arrangement may include at least one flexible printed circuit board, at least one light-emitting component coupled to the flexible printed circuit board, at least one electromechanical connecting part, wherein the connecting part is mechanically fixed to the flexible printed circuit board and is electrically coupled to the light-emitting component, and wherein the connecting part has an electromechanical connection for mechanically and electrically connecting a connecting element which is external to the printed circuit board. | 04-30-2015 |
20150115238 | Array of Several Organic Semiconductor Components and Method for the Production Thereof - The invention relates to an array of several semiconductor components ( | 04-30-2015 |
20150115239 | ELECTRONIC DEVICES - The application relates to an electronic device comprising anode, cathode, at least one emitting layer between anode and cathode, at least one p-doped layer A which comprises a monotriarylamine as host, and at least one layer B comprising a monotriarylamine. The invention furthermore relates to a p-doped mixture comprising a monotriarylamine of the formula (II), (III) or (IV) as host and an electron-acceptor compound as dopant and to the use of the mixture in an electronic device. | 04-30-2015 |
20150115240 | ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is a practically useful organic electroluminescent device (organic EL device) having high efficiency and high driving stability while being capable of being driven at a low voltage. The organic electroluminescent device includes a light-emitting layer between an anode and a cathode opposite to each other. The light-emitting layer contains two host materials and at least one light-emitting dopant. One of the two host materials is a host material selected from an indolocarbazole compound having one indolocarbazole ring and an indolocarbazole compound having two indolocarbazole rings, and the other thereof is a host material selected from carbazole compounds. | 04-30-2015 |
20150115241 | Use of a Semiconducting Compound in an Organic Light Emitting Device - The disclosure relates to xanthene derivatives, and electronic devices including xanthene derivatives. The electronic devices may includes an electron transporting layer or an electron injecting layer, and the electron transporting layer or the electron injecting layer may include one of the xanthene derivative. | 04-30-2015 |
20150115242 | ORGANIC EL LIGHTING PANEL SUBSTRATE, ORGANIC EL LIGHTING PANEL, AND ORGANIC EL LIGHTING DEVICE - Disclosed is an organic EL lighting panel substrate that can improve the uniformity in luminance and chromaticity in an. organic EL lighting panel plane and can suppress deterioration in reliability due to disconnection and the like caused, by art auxiliary electrode. The organic EL lighting panel substrate ( | 04-30-2015 |
20150115243 | SOLID-STATE IMAGE PICKUP DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A solid-state image pickup device includes: an organic photoelectric conversion layer; a passivation layer formed to cover a top of the organic photoelectric conversion layer; and an insulating film formed on the passivation layer and in a slit produced on a level difference in the passivation layer, the insulating film having a smaller refractive index than that of the passivation layer. | 04-30-2015 |
20150115244 | ORGANIC LIGHT EMITTING DIODE - The present specification discloses an organic electroluminescent device including: an anode; a cathode; a light emitting layer provided between the anode and the cathode; a first organic material layer associated with the anode and doped with a p-type dopant; and a second organic material layer associated with the cathode and doped with a p-type dopant. | 04-30-2015 |
20150115245 | ORGANIC LIGHT EMITTING DEVICE AND METHOD - An organic light-emitting device comprising: an anode; a cathode; a first light-emitting layer between the anode and the cathode, the first light-emitting layer comprising a fluorescent light-emitting material having a triplet excited state energy level T | 04-30-2015 |
20150115246 | ORGANIC PHOTONIC DEVICE - The invention relates to a transparent substrate for an organic light-emitting device comprising an electrode-bearing carrier, said electrode consisting in a multilayer comprising at least, in order starting from the substrate, a first dielectric layer (D | 04-30-2015 |
20150115247 | FILM-FORMING COMPOSITION - This film-forming composition includes, for example, a polymer, crosslinking agent and light-diffusing agent that contain a triazine ring-containing repeating unit structure such as that represented formula (17), and is able to provide cured films with good light diffusing properties. Furthermore, this film-forming composition, which includes the same polymer, crosslinking agent and fine inorganic particles having a cross-linkable functional group, is able to provide cured films with good high temperature and high humidity resistance. | 04-30-2015 |
20150115248 | DISPLAY DEVICE - A display device includes a display panel configured to display an image, and a protection film coupled to a lower portion of the display panel. The protection film includes a support film contacting the display panel and a stress control layer below the support film, and the stress control layer includes a plurality of nanobeads. | 04-30-2015 |
20150115249 | LIGHT EMITTING DEVICE - A light emitting device is disclosed, including a first electrode layer, a second electrode layer, and an organic light emitting layer sandwiched between the first and second electrode layers. The second electrode layer is patterned to form a plurality of electrode patterns arranged with different densities. The organic light emitting layer is subjected to a color separation process to form a plurality of monochromatic blocks that correspond to the electrode patterns, respectively. The electrode patterns are divided into a plurality of electrode pattern groups arranged in an alternate manner. The electrode pattern groups display a same image, and a same voltage is applied to the electrode pattern groups at a same time. Alternatively, the electrode pattern groups display different images, and a same or different voltages are applied to the electrode pattern groups at different times. As such, the light emitting device generates grayscale, full-color, three-dimensional or dynamic images. | 04-30-2015 |
20150115250 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Fluorine substituted metal complexes as efficient phosphorescent emitters is disclosed. The fluorine substitution is at para position of a phenyl group. | 04-30-2015 |
20150115251 | DISPLAY DEVICE AND ELECTRONIC APPARATUS - Provided is a display device, including: a first electrode; an organic layer that is provided on the first electrode and includes a light-emission layer; and a second electrode that includes a first conductive film and a second conductive film, the first conductive film and the second conductive film being laminated in order on the organic layer. | 04-30-2015 |
20150115252 | THIN FILM TRANSISTOR SUBSTRATES, METHODS OF MANUFACTURING THE SAME AND DISPLAY DEVICES INCLUDING THE SAME - A thin film transistor substrate includes a data line, a gate line, a gate electrode, a source electrode, a first drain electrode, a semiconductor layer and a second drain electrode. The data line and the gate line cross each other on a base substrate. The gate electrode is electrically connected to the gate line. The source electrode is electrically connected to the data line. The first drain electrode and the source electrode face each other. The semiconductor layer serves as a channel between the source electrode and the first drain electrode. The second drain electrode is disposed on the first drain electrode. The second drain electrode is electrically connected to the first drain electrode. | 04-30-2015 |
20150115253 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - The organic light emitting display device includes a flexible substrate, a thin-film transistor on the flexible substrate, a first anode on the thin-film transistor, a second anode on the same plane with the first anode and spaced apart from the first anode so as to surround the first anode, an organic light emitting layer on the first anode and the second anode, and a cathode on the organic light emitting layer. The second anode includes an opening where the first anode is encompassed therein. The shape of the first anode and the second anode and arrangement thereof reduces a segment length of an anode in a bending direction of the organic light emitting display device, and, thus, occurrence of cracks in the anode can be minimized. | 04-30-2015 |
20150115254 | DISPLAY DEVICE INTEGRATED WITH TOUCH SCREEN PANEL - A display device integrated with a touch screen panel may include a display device and an anti-reflection layer. The display device may include a plurality pixels arranged therein. The anti-reflection layer may include a plurality of metal layers and a plurality of dielectric layers that are sequentially laminated on an upper surface of the display device. In the display device, one or more metal layers among the plurality of metal layers constituting the anti-reflection layer may be operated as sensing electrodes of the touch screen panel. | 04-30-2015 |
20150115255 | RESIN COMPOSITION, SUBSTRATE, METHOD OF MANUFACTURING ELECTRONIC DEVICE AND ELECTRONIC DEVICES - Provided are a resin composition and a substrate that are capable of being used for manufacturing an electronic device having excellent light extraction efficiency. The resin composition contains a polymer and a solvent dissolving the polymer. The resin composition is used to form a layer, and when refractive indexes of the layer along two perpendicular in-plane directions thereof are respectively defined as “Nx” and “Ny” and a refractive index of the layer along a thickness direction thereof is defined as “Nz”, Nx, Ny and Nz satisfy a relationship of “(Nx+Ny)/2−Nz”>0.01. Further, a method of manufacturing the electronic device by using such a substrate, and the electronic device are also provided. | 04-30-2015 |
20150115256 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes a thin film transistor comprising an active layer, a gate electrode, a source electrode, and a drain electrode. A first insulating layer is formed at least between the active layer and the gate electrode and a second insulating layer formed at least between the gate, source, and drain electrodes. The OLED display also includes a third insulating layer covering the source and drain electrodes and a pixel electrode including a first portion formed in first and second openings respectively defined in the second and third insulating layers and a second portion formed outside of the second opening. A pixel defining layer is formed over the second portion of the pixel electrode and the third insulating layer and has a third opening. The third opening has an area greater than that of the second opening. | 04-30-2015 |
20150123081 | PIXEL ARRAY SUBSTRATE AND ORGANIC LIGHT-EMITTING DIODE DISPLAY - A pixel array substrate includes a first substrate, pixel units, data lines, scan lines, constant voltage lines, a constant voltage source, a constant voltage pad, and a conductive pattern. The first substrate has pixel regions and a peripheral region surrounding the pixel regions. The conductive pattern includes conductive lines interlaced with each other to form a net and a conductive frame that surrounds and is electrically coupled to the conductive lines. The conductive frame is in electrical contact with the constant voltage pad within the peripheral region. Each pixel region is defined by two adjacent scan lines and two adjacent data lines. A portion of one of the constant voltage lines located completely within each of the pixel regions is in electrical contact with one of the conductive lines within the pixel region. An OLED display including the pixel array substrate and another OLED are also provided. | 05-07-2015 |
20150123082 | ORGANOMETALLIC COMPOUND, ORGANIC LIGHT-EMITTING DEVICE, AND LIGHTING DEVICE EMPLOYING THE SAME - Organometallic compounds, organic light-emitting devices, and lighting devices employing the same are provided. The organometallic compound has a chemical structure represented by formula (I) or (II): | 05-07-2015 |
20150123083 | DISPLAY PANEL - A display panel has first and second unit regions staggered to each other and includes a pixel array layer and a color filter layer. The pixel array layer includes white organic light emitting units generating a white light. The color filter layer includes red, green and blue filter patterns and first and second white filter patterns. A first white light CIE color coordinate of the white light passing through the first white filter patterns is different from a second white light CIE color coordinate of the white light passing through the second white filter patterns. Each first unit region has one red filter pattern, one green filter pattern, one blue filter pattern, and one first white filter pattern disposed therein, and each second unit region has one red filter pattern, one green filter pattern, one blue filter pattern, and one second white filter pattern disposed therein. | 05-07-2015 |
20150123084 | THIN FILM TRANSISTOR ARRAY SUBSTRATE, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE THIN FILM TRANSISTOR ARRAY SUBSTRATE - A thin film transistor array substrate includes: a substrate; a bottom gate electrode including a gate area doped with ion impurities and undoped areas on left and right sides of the gate area; an active layer on the bottom gate electrode with a first insulating layer therebetween and including a source contact region, a drain contact region, and an oxide semiconductor region; a top gate electrode on the active layer with a second insulating layer therebetween; and a source electrode in contact with the source contact region and a drain electrode in contact with the drain contact region, the source electrode and the drain electrode being on the top gate electrode with a third insulating layer therebetween. The oxide semiconductor region is between the source contact region and the drain contact region. | 05-07-2015 |
20150123085 | DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A display apparatus includes a substrate, a display unit on the substrate and including an emission area and a non-emission area, a first blocking layer at the non-emission area on the display unit, the first blocking layer having a thickness that tapers toward an edge of the first blocking layer, and a second blocking layer on the first blocking layer and configured to block external light reflection. | 05-07-2015 |
20150123086 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display includes a first substrate, an organic light emitting diode on the first substrate, a capping layer on the organic light emitting diode. The capping layer includes a first surface facing the organic light emitting diode and a second surface opposite the first surface. The capping layer has a gradient of refractive index that varies along a thickness direction from the first surface toward the second surface. | 05-07-2015 |
20150123087 | ORGANIC LIGHT EMITTING DEVICE - A compound for an organic light emitting device is represented by Chemical Formula 1. An organic light emitting device includes a first electrode, a second electrode facing the first electrode and an organic layer between the first electrode and the second electrode, and the organic layer includes a compound represented by Chemical Formula 1. | 05-07-2015 |
20150123088 | METHOD FOR MANUFACTURING ORGANIC EL DEVICE AND ORGANIC EL DEVICE - Provided is a method for manufacturing an organic EL device which suppresses a deterioration in the light emission properties. In this method, while first and second electrode layers are prevented from being in contact with each other, an organic layer is allowed to protrude from the first electrode layer toward at least both outer sides in the longitudinal direction of a substrate. Further, the second electrode layer is allowed to protrude from the organic layer toward at least both outer sides in the longitudinal direction. Thereby, the first electrode layer, the organic layer, and the second electrode layer are formed so that both end edges of the organic layer in a longitudinal direction of the substrate are covered by both end sides of the second electrode layer in the longitudinal direction, on at least both outer sides of the light emitting part in the longitudinal direction. | 05-07-2015 |
20150123089 | NOVEL NITROGEN-CONTAINING HETEROCYCLIC COMPOUND AND ORGANIC ELECTRONIC DEVICE USING SAME - The present application provides a new nitrogen-containing heterocyclic compound and an organic electronic device using the same. | 05-07-2015 |
20150123090 | METHOD FOR THE DEPOSITION OF AN ORGANIC MATERIAL - The present invention relates to a method for the deposition of at least one layer of an organic material on a substrate by (a) providing a source of a solid organic material in an atmosphere at a pressure comprised between 50 and 200 kPa, (b) heating said organic material to a first temperature to produce a vapor of said organic material, (c) exposing at least one surface of a substrate having a second temperature lower than said first temperature to said vapor to deposit organic material from said vapor onto said at least one surface of said substrate. | 05-07-2015 |
20150123091 | TRANSPARENT ELECTRODE, METHOD FOR MANUFACTURING TRANSPARENT ELECTRODE, ELECTRONIC DEVICE, AND ORGANIC ELECTROLUMINESCENCE ELEMENT - A transparent electrode is provided with a nitrogen-containing layer, an electrode layer having silver as the main component thereof, and an aluminum intermediate layer, wherein the aluminum intermediate layer is in contact with the nitrogen-containing layer and the electrode layer and sandwiched between the nitrogen-containing layer and the electrode layer. The nitrogen-containing layer is formed by using a compound containing a nitrogen atom. The effective unshared electron pair content [n/M] of this compound satisfies “3.9×10 | 05-07-2015 |
20150123092 | ORGANIC EL DISPLAY APPARATUS - An organic EL display device is provided with: an organic EL element, which is formed on an insulating substrate, and which is provided between the insulating substrate and an insulating substrate; a sealing material, which is provided in a frame region, and which is sandwiched between the insulating substrate and the insulating substrate to bond the insulating substrates to each other; and a wall member, which is provided in the frame region by being adjacent to the outer face side of the sealing material, and which forms level differences in the sealing material so as to make the height of the outer face side of the sealing material small. | 05-07-2015 |
20150123093 | Organic Light-Emitting Component and Method for Producing an Organic Light-Emitting Component - According to at least one embodiment, an organic light-emitting component includes a substrate, a first electrode arranged on the substrate, and a second electrode. An organic light-generating layer stack is arranged between the first and second electrodes and includes a first organic OLED functional material. A first organic coupling-out layer is in optical contact with the organic light-generating layer stack and includes an organic material containing a second organic OLED functional material. One of the first and second electrodes is translucent, and the first organic coupling-out layer is arranged on that side of the electrode that faces away from the organic light-generating layer stack. | 05-07-2015 |
20150123094 | ORGANIC ELECTROCHEMICAL DEVICE, AND METHOD FOR MANUFACTURING SAME - The present invention relates to an organic electrochemical device and a fabrication method thereof. The organic electrochemical device according to the present invention comprises: a substrate; a first electrode provided on the substrate; an intermediate layer provided on the first electrode; a second electrode provided on the intermediate layer; and a first organic material layer, in which at least a part of the first organic material layer is in contact with the second electrode and the intermediate layer. | 05-07-2015 |
20150123095 | ORGANIC EL DEVICE AND METHOD FOR MANUFACTURING SAME - An organic Electro Luminescence device that equalizes heat generated in an organic EL element while preventing the intrusion of moisture. A light emitting element is covered with an inorganic sealing layer, an adhesive layer, an insulating resin film, and a metallic foil. The organic EL device includes a current carrying area located outside and along an edge of an emission area. A heat-conductive sealing stacked layer structure is formed in the current carrying area and includes the following layers in direct contact with each other in the following order: a first electrode layer extended from the emission area, auxiliary electrode layers having heat conductivity larger than that of the first electrode layer, the inorganic sealing layer, the adhesive layer, and a heat-conductive sealing layer. The heat-conductive sealing stacked layer structure having a linear shape is located near at least one side of a transparent substrate. | 05-07-2015 |
20150123096 | MULTILAYER LIGHT-EMITTING ELECTROCHEMICAL CELL DEVICE STRUCTURES - Novel structures and compositions for multilayer light-emitting electrochemical cell devices are described, particularly those that are adapted to work with stable and printable electrode metals, that optimize recombination efficiency, lifetime and turn-on kinetics. In particular, embodiments of the present invention provide improved performance and extended lifetime for doped electronic devices, where ionic doping levels, ionic support materials content, and electronic transport content are advantageously structured within the device. The doping profile of mobile or semi-mobile ionic dopants is intentionally made to be non-uniform to enhance doping in the interface regions of a device where the active layer interfaces with the electrode. | 05-07-2015 |
20150123097 | PASSIVE-MATRIX DISPLAY AND TILING DISPLAY - A passive-matrix display of the disclosure includes a first electrode disposed over a substrate, a second electrode disposed over the first electrode and three-dimensionally intersecting the first electrode, a first auxiliary electrode disposed between the substrate and the first electrode, three-dimensionally intersecting the first electrode and being parallel to the second electrode, and a second auxiliary electrode parallel to the first auxiliary electrode and to the second electrode, the first electrode and the first auxiliary electrode being electrically connected by a first connection portion, and the second electrode and the second auxiliary electrode being connected by a plurality of second connection portions each disposed with at least one of the first electrodes therebetween. The passive-matrix display enables voltage drop and variation in brightness to be reduced by lowering the wiring resistance of the second electrode. | 05-07-2015 |
20150123098 | FLEXIBLE DISPLAY AND MANUFACTURING METHOD THEREOF - A method of manufacturing a flexible display includes: forming a first barrier layer on a flexible substrate; forming a second barrier layer including silicon nitride on the first barrier layer; releasing stress of the second barrier layer; forming a first buffer layer including silicon nitride on the second barrier layer; forming a second buffer layer on the first buffer layer; and forming a thin film transistor on the second buffer layer. | 05-07-2015 |
20150123099 | THIN FILM TRANSISTOR AND DISPLAY DEVICE HAVING THE SAME - A thin film transistor includes a semiconductor pattern on a base substrate, the semiconductor pattern including an input area, an output area, and a channel area between the input area and the output area, a first insulating layer covering the semiconductor pattern, a control electrode on the first insulating layer, the control electrode overlapping the channel area, a second insulating layer covering the control electrode, an input electrode connected to the input area, an output electrode connected to the output area, and a heat discharge electrode on the second insulating layer, the heat discharge electrode being connected to the control electrode. | 05-07-2015 |
20150123100 | THIN FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC DEVICE INCLUDING THE THIN FILM TRANSISTOR - A thin film transistor includes a gate electrode and an organic semiconductor overlapping the gate electrode. A gate insulating layer is disposed between the gate electrode and the organic semiconductor. A source electrode and a drain electrode are disposed on and electrically connected to the organic semiconductor. A solvent selective photosensitive pattern is disposed on the organic semiconductor and between the source electrode and the drain electrode. An electronic device may include the thin film transistor. | 05-07-2015 |
20150123101 | LIGHTING EMITTING DEVICE, MANUFACTURING METHOD OF THE SAME, ELECTRONIC DEVICE HAVING THE SAME - One pixel is divided into a first region including a first light emitting element and a second region including a second light emitting element, wherein the first region emits light in one direction and the second region emits light in the direction opposite to that of the first region. Independently driving the first light emitting element and the second light emitting element allows images to be displayed independently on the surface. | 05-07-2015 |
20150123102 | ORGANIC LIGHT EMITTING DISPLAY - An organic light emitting display includes a first substrate comprising a major surface, and a pixel array formed over the major surface of the first substrate. The pixel array comprises a plurality of pixels formed over the first substrate and a plurality of spacers arranged over the first substrate. Each pixel comprises a first electrode and an organic emission layer formed over the first electrode. The pixel array provides a plurality of recesses and a plurality of bumps, and the plurality of recesses correspond to the first electrodes of the plurality of pixels and the plurality of bumps corresponds to the plurality of spacers. When viewing the pixel array from the top in a first direction perpendicular to the major surface, each spacer is interposed between two immediately neighboring recesses and a row of spacers are arranged along a first axis, and each recess has a first width taken along the first axis and a second width taken along a second axis parallel to the first axis, the first width being smaller than the second width. | 05-07-2015 |
20150123103 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device comprise an organic electroluminescence element including a pair of electrodes and an organic layer disposed between the pair of electrodes and a sealing resin layer covering a surface of the organic electroluminescence element. The organic layer includes an emitting layer. The sealing resin layer includes a thermoplastic resin composite. The thermoplastic resin composite contains a matrix resin component constituted by at least one resin having a molecular weight of 5000 or more. A content of an organic component having a molecular weight of less than 500 in the thermoplastic resin composite is less than 6 ppm. | 05-07-2015 |
20150123104 | DISPLAY PANEL WITH VARYING CONDUCTIVE PATTERN ZONE - An organic electroluminescence display panel comprises a first substrate, a second substrate assembled to the first substrate, an organic light-emitting layer positioned between the first and second substrates, a sealant positioned between the first and second substrates, a varying pattern zone, and supplemental pattern zone. The second substrate comprises a sealant dispensing area, a metal region, and a non-metal region adjacent to the metal region. The metal region includes plural traces. The sealant is formed in the sealant dispensing area of the second substrate. The varying pattern zone is formed at one of the traces of the metal region, and is corresponding to the sealant dispensing area. The varying pattern zone comprises plural conductive portions. The supplemental pattern zone comprising plural supplemental conductive portions is formed at the non-metal region. A width of the conductive portions is larger than a width of the supplemental conductive portions. | 05-07-2015 |
20150123105 | OFF-CENTER SPIN-COATING AND SPIN-COATED APPARATUSES - Various aspects of the instant disclosure are directed to methods and to apparatuses involving spin-coating and spin-coated materials. As may be implemented in connection with one or more embodiments, a solution having objects dispersed therein is applied to a substrate and the substrate is spun about an axis that is off-center relative to a center of the substrate. The objects are thus aligned along a predominantly unidirectional orientation. The solution is solidified with the objects aligned to one another along the predominantly unidirectional orientation. | 05-07-2015 |
20150123106 | Peeling Method and Light-Emitting Device - The yield of a peeling process is improved. A first step of forming a peeling layer to a thickness of greater than or equal to 0.1 nm and less than 10 nm over a substrate; a second step of forming, on the peeling layer, a layer to be peeled including a first layer in contact with the peeling layer; a third step of separating parts of the peeling layer and parts of the first layer to form a peeling trigger; and a fourth step of separating the peeling layer and the layer to be peeled are performed. The use of the thin peeling layer can improve the yield of a peeling process regardless of the structure of the layer to be peeled. | 05-07-2015 |
20150123107 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light emitting display device and a method of manufacturing the same. The organic light emitting display device includes: an emission unit including an organic light emitting diode, a pixel circuit unit including: a circuit configured to drive the emission unit, and a line configured to apply a signal to the circuit, and a light blocking layer covering the pixel circuit unit, and configured to block light input to the pixel circuit unit, and a repair part disposed in the light blocking layer, the repair part being configured for repairing the line when a defect occurs in a pixel that includes the pixel circuit unit. | 05-07-2015 |
20150123108 | ORGANIC ELECTROLUMINESCENCE ELEMENT, METHOD FOR PRODUCING ORGANIC ELECTROLUMINESCENCE ELEMENT AND ORGANIC ELECTROLUMINESCENCE MODULE - Provided is an organic electroluminescence element that eliminates uneven light emission and changes a light emitting pattern. The organic electroluminescence element including: a supporting substrate; a first electrode; N sets of light emitting units including one or more organic functional layers, where N represents an integer of 2 or more; and one or more (N−1) sets of intermediate metal layers with optical transparency, each disposed between the adjacent light emitting units; and a second electrode. Herein, at least one organic functional layer of each light emitting unit is a layer subjected to patterning using a mask during formation of the organic functional layer, a layer subjected to patterning via light irradiation after formation of the organic functional layer, or a layer subjected to patterning using a mask during formation of the organic functional layer and further subjected to patterning via light irradiation after the formation of the organic functional layer. | 05-07-2015 |
20150123109 | DRIVING METHOD OF LIGHT EMITTING DEVICE AND LIGHT EMITTING DEVICE - A driving method of a light emitting device, in which when an N-type driving TFT is connected to an anode of a light emitting element or a P-type driving TFT is connected to a cathode thereof, the driving TFT operates in a saturation region and an image can be displayed with a desired gray scale level depending on a video signal. In addition, a light emitting device adopting the driving method is provided. According to the invention, when a potential having image data is supplied to a gate of a driving TFT depending on a video signal, a reverse bias voltage is applied to the driving TFT and a light emitting element which are connected in series with each other. Meanwhile, when a pixel displays an image depending on the video signal, a forward bias voltage is applied to the driving TFT and the light emitting element. | 05-07-2015 |
20150129840 | OSMIUM COMPLEXES COMPRISING THREE DIFFERENT BIDENTATE LIGANDS AND METHOD OF MAKING THE SAME - A novel emitter compound having the formula Os(L | 05-14-2015 |
20150129841 | Ambient and Infrared (IR) LIght Sensing In Organic Light Emitting Diode (OLED) Display - A method and apparatus for an OLED display system is presented. A substrate is provided and a display is provided on the substrate. At least one sensor is also provided on the substrate. A barrier is provided on the substrate between the display and said the least one sensor, the barrier blocking emissions from the display from being sensed by the at least one sensor. | 05-14-2015 |
20150129842 | Method For Manufacturing Organic Electroluminescence Device And Organic Electroluminescence Device Manufactured With Same - The present invention provides a method for manufacturing an organic electroluminescence device and an organic electroluminescence device manufactured with the same. The method includes ( | 05-14-2015 |
20150129843 | ORGANIC LIGHT-EMITTING DIODE DEVICE AND MANUFACTURING METHOD THEREOF - The present disclosure relates to an organic light-emitting diode (OLED) device and the method for manufacturing the same. The OLED device includes an OLED substrate, on the inner surface of which a plurality of OLEDs are arranged; and a package substrate arranged opposite to the inner surface of the OLED substrate, wherein the OLED substrate and the package substrate are welded and hermetically connected together through a metal solder located therebetween, so that the OLEDs are hermetically packaged between the OLED substrate and the package substrate. In this OLED device, the OLED substrate and the package substrate are hermetically connected together by using the metal solder, so that water and the oxygen can be prevented from entering a sealed area from the exterior, thus prolonging the service life of the OLED device. | 05-14-2015 |
20150129844 | THIN FILM TRANSISTOR SUBSTRATE AND ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY HAVING THE SAME - A thin film transistor substrate and an organic light-emitting diode (OLED) display are disclosed. In one aspect, the OLED includes a thin film transistor substrate. The thin film transistor substrate includes a substrate, a source electrode formed over the substrate, a drain electrode formed over the substrate and spaced apart from the source electrode, an oxide semiconductor layer, and a gate electrode. The oxide semiconductor layer includes a source area at least partially overlapping the source electrode, a drain area at least partially overlapping the drain electrode, and a channel area formed between the source area and the drain area. The gate electrode, which is insulated from the oxide semiconductor layer, has a first width at a first end thereof, a second width at a second end opposite to the first end thereof and the first width is different from the second width. | 05-14-2015 |
20150129845 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device having a display substrate; a display element layer formed on the display substrate and including a plurality of pixels, a thin film encapsulation layer which covers and protects the display substrate and the display element layer; a function film disposed on the thin film encapsulation layer, a first adhesive layer disposed between the thin film encapsulation layer and the functional film, a window attached onto the functional film which protects the display element layer, and a second adhesive layer disposed between the functional film and the window, in which the first adhesive layer and the second adhesive are formed by deposition, a surface processing is performed, and facing surfaces are adhered with each other. | 05-14-2015 |
20150129846 | ORGANIC LIGHT-EMITTING ELEMENT AND METHOD OF PRODUCING AN ORGANIC LIGHT-EMITTING ELEMENT - An organic light-emitting component includes a substrate on which a functional layer stack is applied, the stack including a first electrode, an organic functional layer stack thereover including an organic light-emitting layer and a translucent second electrode thereover, and a translucent halogen-containing thin-film encapsulation arrangement over the translucent second electrode, wherein a translucent protective layer having a refractive index of more than 1.6 is arranged directly on the translucent second electrode between the translucent second electrode and the thin-film encapsulation arrangement. | 05-14-2015 |
20150129847 | METHOD FOR PRODUCING CONDUCTIVE SUBSTRATE, CONDUCTIVE SUBSTRATE, AND ORGANIC ELECTRONIC ELEMENT - A method for producing a conductive substrate including at least an anchor layer and a pattern of conductive thin metal lines on a bare substrate is provided. The method includes the steps of: forming a porous anchor layer mainly composed of an inorganic compound on the bare substrate; forming the pattern of thin metal lines containing metal nanoparticles and a metal complex on the anchor layer; and performing thermal annealing of the pattern of thin metal lines by irradiation of flash light. | 05-14-2015 |
20150129848 | ILLUMINATION DEVICE - An illumination device including a light-emitting panel, a touch panel, and a control module is provided. The light-emitting panel includes a light-emitting layer configured to emit a light beam. The touch panel is overlaid on the light-emitting panel, and includes a first ouch electrode. The control module is electrically connected to the light-emitting panel and the touch panel. The light-emitting layer further includes a light-emitting material, and the width of the light-emitting material is greater than half of the width of the first touch electrode. | 05-14-2015 |
20150129849 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having the structure of Formula 1, | 05-14-2015 |
20150129850 | DISTORTION TOLERANT PROCESSING - An integrated circuit (IC) for driving a flexible display includes a first layer including spatially non-repetitive features, the first layer deposited on a flexible substrate, the spatially non-repetitive features not substantially regularly repeating in both of two orthogonal directions (x,y) in the plane of the substrate. The IC further includes a second layer including spatially repetitive features with the second layer being deposited on said first layer. The first and second layers are aligned to one another so as to allow electrical coupling between said non-repetitive and said repetitive features, and wherein distortion compensation is applied during deposition of said repetitive features to enable said alignment. | 05-14-2015 |
20150129851 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a first substrate, a thin film transistor disposed on the first substrate, a first electrode electrically coupled to the thin film transistor, a pixel defining layer disposed on the first substrate and the first electrode to define unit pixels, a plurality of organic light emitting structure disposed on the first electrode, where in the organic light emitting structure includes a first organic light emitting structure, a second organic light emitting structure and a third light emitting structure, a second electrode which covers the first through third organic light emitting structures and the pixel defining layer; a metamaterial layer disposed on the second electrode corresponding to the organic light emitting structures, an encapsulation member which covers the second electrode and the metamaterial layer, and a second substrate disposed on the encapsulation member opposite to the first substrate. | 05-14-2015 |
20150129852 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed is an organic light emitting display (OLED) device that may include first and second pixels on a substrate, each including a TFT region and a display region, the display region of each of the first and second pixels including a first electrode, an emission layer and a second electrode; a color filter layer in the display region of the second pixel; and a reflection preventing layer in the first and second pixels, substantially excluding the display region of the second pixel. | 05-14-2015 |
20150129853 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode display device includes a substrate including a display and non-display regions at a periphery of the display region with red, green, blue and white pixel regions as one pixel group formed in the display region, and the display region divided into a first region and a second region; an organic emitting diode in each of the red, green, blue and white pixel regions; first to third power lines respectively disposed at an end of the first region, at an opposite end of the second region and a boundary of the first and second regions and connected to the organic emitting diode; a first drive integrated circuit connected to the first and third power lines; and a second drive integrated circuit connected to the second and third power lines, wherein the first and second regions are symmetric with respect to the third power line. | 05-14-2015 |
20150129854 | THIN-FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME, AND ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY INCLUDING THE SAME - A thin-film transistor, method of manufacturing the same, and organic light-emitting diode (OLED) display including the same are disclosed. In one aspect, the thin-film transistor includes an active layer including a channel region, a source region, and a drain region, wherein the active layer has a top surface. The transistor also includes a gate insulating layer formed over the active layer and a gate metal layer formed over the gate insulating layer and having a bottom surface. The area of the bottom surface of the gate metal layer is less than the area of the top surface of the active layer and the bottom surface of the gate metal layer overlaps the top surface of the active layer. | 05-14-2015 |
20150129855 | ORGANIC EL LUMINESCENT DEVICE - The invention is an organic EL luminescent device comprising: a translucent substrate ( | 05-14-2015 |
20150129856 | ORGANIC LIGHT EMITTING DISPLAY PANEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - Discussed is an organic light emitting display panel. The organic light emitting display panel includes a plurality of unit pixels which each include first to third sub-pixels having different colors. The plurality of unit pixels, which each include first to third driving transistors respectively connected to the first to third sub-pixels, a first contact hole that connects the first sub-pixel to the first driving transistor, a second contact hole that connects the second sub-pixel to the second driving transistor, and a third contact hole that connects the third sub-pixel to the third driving transistor, are arranged in a matrix type. | 05-14-2015 |
20150129857 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode display device which may improve luminous emitting efficiency by forming a scattering layer with a material including fluorine and a method of fabricating the same are discussed. The organic light emitting diode display device can include a thin film transistor formed on a substrate; an overcoat layer formed on the substrate such that the thin film transistor is covered; a scattering layer formed on the overcoat layer and formed with a material including fluorine; and an organic light emitting cell formed on the scattering layer and including a first electrode, an organic emission layer and a second electrode sequentially laminated, wherein light emitted from the organic light emitting cell passes through the scattering layer and then is emitted through the substrate. | 05-14-2015 |
20150129858 | Display Device and Method of Manufacturing Thereof - A novel display device with higher reliability having a structure of blocking moisture and oxygen, which deteriorate the characteristics of the display device, from penetrating through a sealing region and a method of manufacturing thereof is provided. According to the present invention, a display device and a method of manufacturing the same comprising: a display portion formed by aligning a light-emitting element using an organic light-emitting material between a pair of substrate, wherein the display portion is formed on an insulating layer formed on any one of the substrates, the pair of substrates is bonded to each other with a sealing material formed over the insulating layer while surrounding a periphery of the display portion, at least one layer of the insulating layer is made of an organic resin material, the periphery has a first region and a second region, the insulating layer in the first region has an opening covered with a protective film, the sealing material is formed in contact with the opening and the protective film, an outer edge portion of the insulating layer in the second region is covered with the protective film or the sealing material. | 05-14-2015 |
20150129859 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - The present application relates to a substrate for an organic electronic diode (OED), an organic electronic system, and a lighting. In the present application, the substrate capable of forming an OED or the organic electronic system can ensure performance including light extracting efficiency and reliability is provided. | 05-14-2015 |
20150129860 | GETTER COMPOSITION AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME - A getter composition including a moisture absorbing material and a binder having a volatility of 400 ppm or less when heated to a temperature in the range of 60° C. to 120° C. for 2 hours and an organic light emitting diode device including the getter composition | 05-14-2015 |
20150129861 | ORGANIC MATERIAL FOR DEPOSITION, AND ORGANIC PHOTOELECTRIC CONVERSION ELEMENT, IMAGING ELEMENT, DEPOSITION METHOD, AND MANUFACTURING METHOD FOR ORGANIC PHOTOELECTRONIC ONVERSION ELEMENT OBTAINED USING THE SAME - An organic material for deposition that is used for dry deposition of an organic layer included in an organic photoelectric conversion element is provided in which the organic material contains an organic composition of the organic layer as a principal component, and a residual solvent content of the organic material for deposition is equal to or less than 3 mol %. | 05-14-2015 |
20150129862 | THIN FILM TRANSISTOR, METHOD OF MANUFACTURING SAME, AND IMAGE DISPLAY APPARATUS - A source electrode ( | 05-14-2015 |
20150137080 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Iridium complexes comprising a tetradentate ligand and two monodentate ligands, devices containing the same and formulations containing the same are described. The iriium complexes can have a structure according to Formula (I) | 05-21-2015 |
20150137081 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus, including a substrate including a first subpixel region, a second subpixel region, and a third subpixel region, a first pixel electrode, a second pixel electrode, and a third pixel electrode disposed respectively in the first subpixel region, the second subpixel region, and the third subpixel region, a first intermediate layer, a second intermediate layer, and a third intermediate layer disposed respectively on the first pixel electrode, the second pixel electrode, and the third pixel electrode and including an organic emission layer, an opposite electrode disposed on the first intermediate layer, the second intermediate layer, and the third intermediate layer, and a dielectric reflective layer including at least one pair of high refractive layers and low refractive layers stacked alternately and disposed between the substrate, and the first pixel electrode and the second pixel electrode, wherein the third pixel electrode includes a metal layer. | 05-21-2015 |
20150137082 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - Provided is an organic light-emitting display apparatus, including a substrate, a first pixel electrode, a second pixel electrode, and a third pixel electrode, disposed on the substrate separated from one another, a red emission layer disposed corresponding to the first pixel electrode, a green emission layer disposed corresponding to the second pixel electrode, and a blue emission layer disposed corresponding to the third pixel electrode, an opposite electrode disposed over the red, green, and blue emission layers facing the first to third pixel electrodes, a first capping layer disposed on the opposite electrode corresponding to the first and second pixel electrodes, and a second capping layer disposed on the first capping layer corresponding to the first and second pixel electrodes and disposed on the opposite electrode to correspond to the third pixel electrode. | 05-21-2015 |
20150137083 | CONJUGATED AROMATIC DERIVATIVES AND ORGANIC LIGHT EMITTING DIODE USING THE SAME - Conjugated aromatic derivatives having an electron donating group and an electron accepting group at each end are provided. The conjugated aromatic derivatives of the present invention may be provided with blue light-emitting property and may be applied as a host material, a dopant material, an electron transporting material or a hole transporting material. An OLED device using the conjugated aromatic derivatives is also herein disclosed. | 05-21-2015 |
20150137084 | TRIPTYCENE DERIVATIVES HAVING SYMMETRIC OR ASYMMETRIC SUBSTITUENTS AND ORGANIC LIGHT EMITTING DIODE USING THE SAME - Triptycene derivatives having symmetric or asymmetric substituents are provided. The triptycene derivatives of the present invention may be applied in phosphorescent lighting devices ranging from deep blue to red and may be applied as a host material, an electron transporting material or a hole transporting material. An OLED device is also herein disclosed. | 05-21-2015 |
20150137085 | ORGANIC EL DEVICE AND METHOD FOR MANUFACTURING ORGANIC EL DEVICE - Provided is an organic EL device having high reliability, wherein decrease of the luminance due to the generation of a gas such as water vapor is suppressed. This organic EL device is provided with: an interlayer insulating film that is formed on a substrate; a lower electrode that is formed above the interlayer insulating film so as to correspond to a light emitting region; a light emitting layer that is formed on the lower electrode in the light emitting region; and an upper electrode that is formed on the light emitting layer. A gap is formed between the interlayer insulating film and the lower electrode. | 05-21-2015 |
20150137086 | ORGANIC ELECTROLUMINESCENCE UNIT, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - An organic electroluminescence unit of the present disclosure includes: a plurality of light emitting devices arranged having a pitch from 10 micrometers to 60 micrometers both inclusive, and each including a first electrode, an organic layer, and a second electrode that are laminated in order from a substrate, the organic layer including at least a light emitting layer, and at least one layer in the organic layer being formed by a plate printing method; and a dividing wall provided between adjacent light emitting devices of the plurality of light emitting devices, in which a difference between a height, from the substrate, of the dividing wall and a height, from the substrate, of a surface to be printed by the plate printing method is from 0 micrometer to 1 micrometer both inclusive. | 05-21-2015 |
20150137087 | ORGANIC LIGHT EMITTING ELEMENT, ORGANIC EL DISPLAY PANEL, ORGANIC EL DISPLAY DEVICE, COATED DEVICE, AND METHOD FOR MANUFACTURING THESE - An organic light-emitting element including: a substrate; a light-emitting part above the substrate, the light-emitting part including an organic layer; and banks defining bounds of the organic layer in a direction along a main surface of the substrate. In the organic light-emitting element, in plan view, a surface of the organic layer is longer in a first direction than in a second direction perpendicular to the first direction, and in the second direction, the surface of the organic layer is convex, protruding upwards in a thickness direction of the organic layer, and in the first direction, the surface of the organic layer is concave, protruding downwards in the thickness direction. | 05-21-2015 |
20150137088 | RADIATION DETECTOR WITH AN ORGANIC PHOTODIODE - The present invention relates to a radiation detector with organic photodiodes and to a method of producing such a radiation detector. The TFT backplane ( | 05-21-2015 |
20150137089 | SHEET-LIKE ADHESIVE AND ORGANIC EL PANEL USING THE SAME - [Problem] A conventional sheet-like adhesive has low adhesion to an adherend and, at the same time, is required to be heated at a high temperature of 100° C. or higher when adhered to an adherend, and hence, when an adherend is an electronic part with deterioration by a high temperature, damage to the adherend is large. In addition, since a sheet-like adhesive in the B-stage hardly exhibited flowability when heating, bubbles may be remained when there is unevenness on an adherend. | 05-21-2015 |
20150137090 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display and method of manufacturing the same are disclosed. In one aspect, the OLED display includes a substrate, a thin film transistor (TFT) formed over the substrate, and a first pixel defining layer formed over the TFT and having an opening. The OLED display also includes an insulating layer formed in the opening and including a top surface having a dome shape and an OLED formed over the insulating layer. | 05-21-2015 |
20150137091 | ORGANIC LIGHT-EMITTING DIODE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode display device includes a substrate, a light-absorption layer, an active array structure, and an organic light-emitting diode. The substrate has a first and a second surface opposite to each other. The light-absorption layer is disposed on the first surface, and has at least one opening exposing a portion of the first surface. The active array structure is positioned on the second surface, and includes at least one data line, at least one gate line, and at least one switching device electrically connected to the gate and data lines. The light-absorption layer overlaps at least one of the data line and the gate line when viewed in a direction perpendicular to the substrate. The organic light-emitting diode is electrically connected to the switching device, and the organic light-emitting diode overlaps the opening when viewed in the direction perpendicular to the substrate. | 05-21-2015 |
20150137092 | TRANSISTOR STRUCTURE AND MANUFACTURING METHOD THEREOF - A transistor structure disposed on a substrate includes a gate electrode, an organic semiconductor layer, a gate insulation layer and a patterned metal layer. The gate insulation layer is disposed between the gate and the organic semiconductor layer. The patterned metal layer has a conductive oxidation surface and is divided into a source electrode and a drain electrode. A portion of the organic semiconductor layer is exposed between the source electrode and the drain electrode. The conductive oxidation surface directly contacts with the organic semiconductor layer. | 05-21-2015 |
20150137093 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device comprises a substrate that includes a plurality of pixel regions; a conductive line arranged on the substrate; and an anti-reflective layer arranged on the conductive line, wherein the anti-reflective layer includes an intermediate layer arranged on the conductive line and a semi-transparent layer arranged on the intermediate layer, and the conductive line is electrically connected with the semi-transparent layer. | 05-21-2015 |
20150137094 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A material for an organic electroluminescence (EL) device and an organic electroluminescence (EL) device, the material being represented by Formula 1: | 05-21-2015 |
20150137095 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having the formula Ir(L | 05-21-2015 |
20150137096 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - According to one embodiment, a compound comprising a ligand L | 05-21-2015 |
20150137097 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display and a method of manufacturing the OLED display are disclosed. In one aspect, the OLED display includes a substrate including a display region and a peripheral region, a first auxiliary electrode formed in the peripheral region, and a protecting electrode. The protecting electrode can be formed in the display region and the peripheral region, wherein at least a portion of the protecting electrode can be formed above the first auxiliary electrode. | 05-21-2015 |
20150137098 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - A display device according to the present disclosure includes: a first substrate including a front surface and a rear surface, the front surface defining thereon a first region, a second region, and a third region, the rear surface defining thereon a fourth region, a fifth region, and a sixth region respectively opposing the first region, the second region and the third region; a display element provided on the first region; a wire provided on the second region and electrically connected to the display element; a driving element provided above the third region; and a second substrate provided to be in contact with the fourth region, the fifth region, and the six region, and being composed of a material with higher rigidity than the first substrate, a portion thereof in contact with the sixth region having a smaller thickness than a portion thereof in contact with the fourth region. | 05-21-2015 |
20150137099 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device comprises a driving transistor for driving an organic light emitting diode; a first transistor controlled by a scan signal and connected between a reference voltage line and a first node of the driving transistor; a second transistor controlled by the scan signal and connected between a data line and a second node of the driving transistor; a first plate with an improved conductive characteristic and connected to the semiconductor layer of the driving transistor and the semiconductor layer of the first transistor; a second plate positioned on the first plate, and connected to the semiconductor layer of the second transistor and a gate electrode of the driving transistor; and a pixel electrode of the organic light emitting diode, positioned on the second plate and connected to the first plate through a contact hole. | 05-21-2015 |
20150137100 | Organic Electroluminescent Device - An organic electroluminescence device of the present invention adapts a new concept in its configuration to improve its efficiency in addition to obtain a high reliability and good yielding. The organic electroluminescent device having an electroluminescent film containing an organic material capable of causing an electroluminescence and being arranged between a first electrode and a second electrode, includes: a carrier generation layer, which is a floating electrode, is embodied in the electroluminescent film; an insulting film between the first electrode and the electroluminescent film, and an insulating film between the second electrode and the electroluminescent film, wherein the organic electroluminescent device is driven by an alternating current bias. | 05-21-2015 |
20150137101 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a scan line, a data line, a power supply line, and a pixel. The scan line extends in one direction. The data line crosses the scan line. The power supply line crosses the scan line and the data line. The pixel is electrically coupled to the scan line, the data line, and the power supply line. The pixel includes an organic light emitting diode including a first electrode on a substrate, an organic layer on the first electrode, and a second electrode on the organic layer. The pixel further includes at least two domains configured to radiate light in directions different from each other. | 05-21-2015 |
20150137102 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY DEVICE - Provided are an organic light-emitting display device and a method for manufacturing the same. A flexible substrate of the organic light-emitting display device is bent across a bend line and includes a first area, a first bending area adjacent to the first area, a second bending adjacent to the first bending area, and a second area adjacent to the second bending area. A wiring is formed over the first area, the first bending area, the second bending area, and the second area of the flexible substrate to electrically connect a display unit formed in the first area and a pad unit formed in the second area. A first alignment key is formed over the flexible substrate, and a second alignment is formed over the flexible substrate. The first alignment key is positioned to overlap the second alignment key with the flexible substrate bent across the bend line. | 05-21-2015 |
20150137103 | ORGANIC ELECTROLUMINESCENCE ELEMENT - A light emitting device including an organic electroluminescence element is provided. The light emitting device may be a display device or a lighting device. The organic electroluminescence element includes an anode, a light emitting layer, and a cathode that are arranged in this order. An electron injection layer is arranged between the light emitting layer and the cathode. The electron injection layer is made of an amorphous C12A7 electride. | 05-21-2015 |
20150137104 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided are an organic electroluminescence device capable of enhancing reflectance of an anode, thereby resulting in improved light-emitting efficiency and a method of manufacturing the same. An anode ( | 05-21-2015 |
20150137105 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode display includes a substrate; a first capacitor electrode provided over the substrate and including polysilicon; an insulating layer provided over the first capacitor electrode; and a second capacitor electrode provided over the insulating layer and including a first lower metal layer overlapping with the first capacitor electrode and a first upper metal layer. The first upper metal layer includes a doping opening configured to expose at least a portion of the first lower metal layer. | 05-21-2015 |
20150137106 | METHOD FOR MANUFACTURING A DISPLAY UNIT - A method for manufacturing a display unit is provided, and the method includes forming a first insulating film, forming a plurality of first electrodes on the first insulating film, forming a second insulating film on the first electrodes, forming a plurality of openings corresponding to the first electrodes, forming a plurality of organic layers formed in a shape of a stripe having notch parts, forming a second electrode on the organic layer having the notch parts is formed, and forming a protective film on the second electrode. | 05-21-2015 |
20150137107 | METHOD OF FABRICATING A DISPLAY DEVICE WITH STEP CONFIGURATION IN THE INSULATING LAYER - A display device includes: a substrate; a plurality of light-emission elements arranged, on the substrate, in a first direction and a second direction intersecting each other, each of the light-emission elements having a first electrode layer, an organic layer including a luminous layer, and a second electrode layer which are laminated in that order; and a separation section disposed, on the substrate, between the light-emission elements adjacent to each other in the first direction, the separation section having two or more pairs of steps. The first electrode layers in the light-emission elements are separated from each other, and the organic layers as well as the second electrode layers in the light-emission elements adjacent to each other in the first direction are separated from each other by the steps included in the separation section. | 05-21-2015 |
20150137108 | FUNCTIONAL FILM AND ORGANIC EL DEVICE - A functional film of the present invention includes a support body of which a retardation value is less than or equal to 300 nm; a protective inorganic layer which is formed on the support body; one or more combinations of an inorganic layer and an organic layer which are formed on the protective inorganic layer; and a mixed layer having a thickness of 1 to 100 nm which is formed between the support body and the underlying inorganic layer, and is mixed with a component of the support body and a component of the protective inorganic layer. | 05-21-2015 |
20150137109 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - Provided are a substrate for an organic electronic device (OED), an organic electronic system, and a light. The substrate capable of forming an OED ensuring excellent performances and reliability because it may have excellent performances including light extraction efficiency, permeation of moisture or a gas from an external environment may be inhibited, and growth of dark spots may be controlled may be provided. | 05-21-2015 |
20150137110 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - The present application relates to a substrate for an organic electronic device, an organic electronic device, and a lighting device. In an embodiment of the present application, a substrate or an organic electronic device which may form an organic electronic device capable of ensuring performance including light extraction efficiency or the like and reliability by applying a scattering layer capable of exhibiting different scattering properties according to an angle of incident light may be provided. | 05-21-2015 |
20150137111 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT-EMITTING DEVICE CONTAINING THE SAME, AND DISPLAY DEVICE INCLUDING SAID ORGANIC LIGHT-EMITTING DEVICE - A compound for an organic optoelectronic device, an organic light-emitting device including the same and a display device including the organic light-emitting device are provided, and the compound for an organic optoelectronic device represented by a combination of the following Chemical Formulae 1 and 2 is provided and thus an organic light-emitting device has improved life-span characteristics due to excellent electrochemical and thermal stability, and high luminous efficiency at a low driving voltage. | 05-21-2015 |
20150144889 | ORGANIC X-RAY DETECTOR WITH BARRIER LAYER - An organic x-ray detector and a method of making the organic x-ray detector are disclosed. The x-ray detector includes a TFT array disposed on a substrate, an organic photodiode layer disposed on the TFT array, a barrier layer disposed on the photodiode layer, and a scintillator layer disposed on the barrier layer, such that the barrier layer includes at least one inorganic material. | 05-28-2015 |
20150144890 | Structure to Enhance Light Extraction and Lifetime of OLED Devices - A device having high index layers is provided. The device includes an organic light emissive device, an air interface, a first planarization layer, and a first barrier layer. The first planarization layer is disposed between the air interface and the organic light emissive device and has an index of refraction of at least 1.6. The first barrier layer is disposed between the first planarization layer and the organic emissive device and has an index of refraction of at least 1.6. | 05-28-2015 |
20150144891 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY CAPABLE OF CONTROLLING LIGHT TRANSMITTANCE - An organic light-emitting diode (OLED) display capable of controlling light transmittance is disclosed. In one aspect, the OLED display includes a plurality of pixels, each including a first region configured to emit light and a second region configured to transmit light therethrough and a plurality of first electrodes respectively formed in the first regions of the pixels. The OLED display also includes a plurality of organic layers respectively formed over the first electrodes, a second electrode formed over all of the organic layers, and a plurality of third electrodes each formed in the second regions of the pixels. The OLED display further includes a plurality of solvents respectively placed over the third electrodes, wherein each of the solvents is configured to selectively block light and a fourth electrode formed over the solvents for all of the pixels. | 05-28-2015 |
20150144892 | Capacitor Structures for Display Pixel Threshold Voltage Compensation Circuits - A display may have an array of organic light-emitting diode display pixels. Each display pixel may have a light-emitting diode that emits light under control of a thin-film drive transistor. Each display pixel may have thin-film transistors and capacitor structures that form a circuit for compensating the drive transistor for threshold voltage variations. The capacitor structures may be formed from interleaved stacked conductive plates. The conductive plates may be formed from layers of material that are used in forming the drive transistor and other thin-film transistors such as a semiconductor layer, a first metal layer, a second metal layer, a third metal layer, and interposed dielectric layers. | 05-28-2015 |
20150144893 | DISPLAY SUBSTRATE AND DRIVING METHOD THEREOF, DISPLAY APPARATUS - A display substrate and a driving method thereof, and a display apparatus are provide. The display substrate includes an array of a plurality of sub-pixels having at least two colors, wherein the sub-pixels of each color constitute a plurality of sub-pixel sets, each of the sub-pixel sets includes at least two sub-pixels of the same color and arranged adjacently in a first direction, and sub-pixel sets of different colors are arranged alternately in the first direction. The display substrate may be applied to display devices, particularly to organic light emitting diode display devices using different organic light emitting layer materials for different sub-pixels. | 05-28-2015 |
20150144894 | ORGANIC LIGHT EMITTING DEVICE AND DISPLAY DEVICE - An organic light emitting device and a display device is provided. The organic light emitting device includes an anode, a cathode, and a light emitting layer disposed between the anode and the cathode; an electron transport layer disposed between the cathode and the light emitting layer, and the material of the electron transport layer is an organic metal chelate. | 05-28-2015 |
20150144895 | ORGANIC ELECTROLUMINESCENT DEVICE - The present specification discloses an organic electroluminescent device including: a substrate; a cathode provided on the substrate; a light emitting layer provided on the cathode; an anode provided on the light emitting layer; a first p-type organic material layer provided between the cathode and the light emitting layer; and a first n-type organic material layer provided between the first p-type organic material layer and the light emitting layer. | 05-28-2015 |
20150144896 | STACKED ORGANIC LIGHT EMITTING DIODE - The present specification discloses an organic electroluminescent device including: an anode; a cathode; and two or more light emitting units provided between the anode and the cathode and including a light emitting layer, in which a light emitting unit among the light emitting units, which is the most associated with the cathode, includes a first n-type organic material layer provided on the cathode side of the light emitting layer, and a first p-type organic material layer is provided between the light emitting unit among the light emitting units, which is the most associated with the cathode, and the cathode. | 05-28-2015 |
20150144897 | ORGANIC LIGHT EMITTING DIODE - The present disclosure provides an organic light emitting device that includes a first electrode, a second electrode, and two or more light emitting units provided between the first electrode and the second electrode, wherein a charge generation layer is provided between, among the light emitting units, two light emitting units that are adjacent to each other, an electron transport layer is provided between the charge generation layer and the light emitting unit placed closer to the first electrode of the two adjacent light emitting units, and the electron transport layer includes a first electron transport layer doped with an n-type dopant, and a second electron transport layer doped with a metal salt, metal oxide or organic metal salt. | 05-28-2015 |
20150144898 | ORGANIC ELECTROLUMINESCENT DEVICE - The present invention discloses an “organic light-emitting device (OLED)”, comprising an anode, a cathode, and one or more organic layers, wherein the said organic layer contains at least one compound having the formula (I), and the said OLED has the advantages of excellent light-emitting efficiency, excellent color purity and long lifetime. | 05-28-2015 |
20150144899 | METHOD OF MAKING A STACK OF THE TYPE COMPRISING A FIRST ELECTRODE, AN ACTIVE LAYER, AND A SECOND ELECTRODE - A method of making a stack of the type comprising a first electrode, an active layer, and a second electrode, for use in an electronic device, in particular of the organic photodetector type or the organic solar cell type, the method comprising the following steps:
| 05-28-2015 |
20150144900 | LAYERED STRUCTURE FOR OLED DEVICE, METHOD FOR MANUFACTURING THE SAME, AND OLED DEVICE HAVING THE SAME - A layered structure for an organic light-emitting diode (OLED) device, the layered structure including a light-transmissive substrate and an internal extraction layer formed on one side of the light-transmissive substrate, in which the internal extraction layer includes (1) a scattering area containing scattering elements composed of solid particles and pores, the solid particles having a density that decreases as it goes away from the interface with the light-transmissive substrate, and the pores having a density that increases as it goes away from the interface with the light-transmissive substrate, and (2) a free area where no scattering elements are present, formed from the surface of the internal extraction layer, which is opposite to the interface, to a predetermined depth. | 05-28-2015 |
20150144901 | ORGANIC LIGHT-EMITTING DIODE - An organic light-emitting diode includes a carrier substrate, a scattering layer, a first electrode, an organic layer sequence with at least one active layer, and a second electrode wherein all the components are arranged in the stated sequence, the scattering layer has a higher average refractive index than the organic layer sequence, the first electrode has at least n or at least n+1 non-metal layers and n metal layers, n is a natural number greater than or equal to 1 or greater than or equal to 2, and the non-metal layers and the metal layers succeed one another alternately. | 05-28-2015 |
20150144902 | Organic Light Emitting Diode Display Device - An organic light emitting diode display device includes: a reflective electrode and an auxiliary electrode; a bank layer on the reflective electrode and the auxiliary electrode, the bank layer including a first open portion and a second open portion exposing a portion of the reflective electrode and a portion of the auxiliary electrode, respectively; a separator on the auxiliary electrode, the separator disposed within the second open portion; a pixel electrode in contact with the portion of the reflective electrode exposed by the first open portion of the bank layer, the pixel electrode being disposed separated from the separator; an organic emission layer on the pixel electrode, the organic emission layer divided by the separator, the organic emission layer being disposed separated from the separator; and a common electrode on the organic emission layer, the common electrode connected to the auxiliary electrode. | 05-28-2015 |
20150144903 | ORGANIC LIGHT EMITTING DIODE DEVICE - An organic light emitting diode device includes an emission layer between first and second electrodes, a first auxiliary layer, and a second auxiliary layer. The first electrode includes a silver-magnesium alloy having a greater content of silver than magnesium. The first auxiliary layer is between the first electrode and emission layer, and includes an inorganic material. The second auxiliary layer is between the first electrode and first auxiliary layer, and includes a material having a work function of less than or equal to about 4.0 eV. | 05-28-2015 |
20150144904 | ORGANIC ELECTROLUMINESCENT DEVICE AND REPAIRING METHOD THEREOF - An organic electroluminescent device includes a substrate including a plurality of pixel regions each having a light emission region and an element region; a plurality of thin film transistors (TFTs) including at least one switching TFT and at least one driving TFT in each element region; a planarization layer on the plurality of TFTs; a first electrode on the planarization layer and including first to third portions connected to one another, wherein the first and second portions are at each pixel region, and the third portion is at a neighboring pixel region; an organic light emitting layer on the first electrode; and a second electrode on the organic light emitting layer, wherein an end of the third portion overlaps the driving TFT of the neighboring pixel region. | 05-28-2015 |
20150144905 | ARRAY SUBSTRATE FOR DISPLAY DEVICE - The present invention provides a display device and a dual gate type thin film transistor (TFT) structure for an electronic device. According to an embodiment, the dual gate TFT structure includes a first gate electrode formed on a substrate; a semiconductor layer formed on the first gate electrode; an insulating layer formed on the semiconductor layer, and including first, second and third contact holes therein; drain and source electrodes in contact with the semiconductor layer respectively through the first and second contact holes; a passivation layer formed on the drain electrode and the source electrode, and including a fourth contact hole therein; a planarization layer formed on the passivation layer, and including a fifth contact hole therein; and a second gate electrode formed on the planarization layer, and in electrical contact with the first gate electrode through the third, fourth and fifth contact holes. | 05-28-2015 |
20150144906 | DISPLAY UNIT, METHOD OF MANUFACTURING DISPLAY UNIT, AND ELECTRONIC APPARATUS - A display unit includes: an organic light emitting element including a first electrode, an organic layer, and a second electrode in order, the organic layer including a conductive layer; and an auxiliary electrode configured to be electrically connected to the second electrode via the conductive layer in the organic layer. | 05-28-2015 |
20150144907 | ORGANIC LIGHT EMITTING DIODE DISPLAY PANEL AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode display panel is disclosed, which comprises: a first substrate having a first edge, a second edge, a third edge opposite to the first edge, and a fourth edge opposite to the second edge; a second substrate opposite to the first substrate; an organic light emitting diode unit disposed on the second substrate; a fit unit disposed between the first substrate and the second substrate and surrounding the organic light emitting diode unit; and a buffer unit disposed between the first substrate and the second substrate and between the frit unit facing to the first edge of the first substrate and the first edge thereof, wherein the buffer unit has a first end with a first cutting edge connecting to the second edge. In addition, the present invention also provides a method for manufacturing the same. | 05-28-2015 |
20150144908 | ORGANIC LIGHT EMITTING DISPLAY PANEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - Discussed is an organic light emitting display panel and an organic light emitting display device including the same. In the organic light emitting display panel, each of a plurality of unit pixels includes first to third driving transistors respectively connected to the first to third organic light emitting diodes, a first contact hole connected to one electrode of the first organic light emitting diode, a second contact hole connected to one electrode of the second organic light emitting diode, and a third contact hole connected to one electrode of the third organic light emitting diode, and are arranged in a matrix. | 05-28-2015 |
20150144909 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD FOR MANUFACTURING THE SAME - Disclosed is an organic light emitting display (OLED) apparatus that includes a substrate; an organic light emitting element on the substrate, the organic light emitting element including a first electrode, an organic light emitting layer and a second electrode; a viscoelastic layer on the organic light emitting element, wherein an elastic portion of the viscoelastic layer is about 30% or more, the elastic portion being defined by : Elastic portion (E | 05-28-2015 |
20150144910 | Array Substrate For Display Device And Method Of Fabricating The Same - An array substrate for a display device includes a first thin film transistor (TFT) including a first semiconductor layer, a first gate electrode corresponding to the first semiconductor layer, a first source electrode and a first drain electrode; a second TFT including a second semiconductor layer, a second gate electrode corresponding to the second semiconductor layer, a second source electrode and a second drain electrode; a first transparent capacitor electrode connected to the first drain electrode; a first passivation layer on the first transparent capacitor electrode; a second transparent capacitor electrode on the first passivation layer and connected to the second drain electrode, the second transparent capacitor electrode overlapping the first transparent capacitor electrode; a second passivation layer on or over the first passivation layer and the second transparent capacitor electrode; and a first electrode on the second passivation layer and connected to the second transparent capacitor electrode. | 05-28-2015 |
20150144911 | ORGANIC LIGHT EMITTING DISPLAY - The present disclosure provides an organic light emitting display including: a first substrate including a display area where an organic light emitting device is formed and a non-display area where a plurality of pads are formed, a second substrate facing and spaced apart from the first substrate, a pattern formed in the non-display area of the first substrate and having openings, and an adhesive layer formed between the first substrate and the second substrate and covering a portion of the pattern. | 05-28-2015 |
20150144912 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light emitting display apparatus and a method of manufacturing the same. The organic light emitting display apparatus includes: a thin film transistor (TFT) substrate including a plurality of thin film transistors, an organic light-emissive device on the TFT substrate, and an encapsulation layer on the TFT substrate and the organic light-emissive device, the encapsulation layer being configured to cover the organic light-emissive device, the encapsulation layer including a hybrid material including: a block copolymer, and functionalized graphene. | 05-28-2015 |
20150144913 | ADHESIVE FILM AND MANUFACTURING METHOD OF THE SAME, AND DISPLAY DEVICE INCLUDING THE ADHESIVE FILM - An adhesive film that includes a first region having a first hardness, and second regions disposed on opposing sides of the first region and having a second hardness that is greater than the first hardness. | 05-28-2015 |
20150144914 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided is a display device, including a pixel electrode in each of a plurality of pixels; an auxiliary wiring part including a first auxiliary wiring having a first edge portion, and a second auxiliary wiring having a second edge portion spaced apart from and facing the first edge portion; an insulating layer on the pixel electrode and the auxiliary wiring part, and in which a first opening and a second opening are defined, the first opening overlapping the pixel electrode, and the second opening overlapping the first edge portion and the second edge portion; an organic light-emitting layer on the insulating layer and contacting the pixel electrode through the first opening; and an upper electrode on the organic light-emitting layer and having a connection portion electrically connected with the auxiliary wiring part through the second opening. | 05-28-2015 |
20150144915 | Display Panel for Display Device - Disclosed is a display panel in which a jumping wiring made of a heterogeneous material for the prevention of static electricity connects a signal pad with a test wiring of an array substrate, or a display panel, and induces a discharge of the static electricity when the static electricity generated in an array test process flows into the display panel, thereby preventing damage to the display panel. | 05-28-2015 |
20150144916 | ORGANIC EL DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - The present invention is a method of manufacturing an organic EL display device including a display part arranged with a plurality of pixels including an organic EL light emitting layer, and a terminal part arranged with a plurality of terminals each connected to the organic EL light emitting layer respectively, the method comprising forming a TFT drive circuit layer controlling the organic EL light emitting layer and forming the plurality of terminals connected to the TFT drive circuit layer on a first substrate; forming the organic EL light emitting layer connected to the TFT drive circuit layer over the TFT drive circuit layer; forming a sealing film over the organic EL light emitting layer; adhering a second substrate covering the display part in a position corresponding to the first substrate; forming a touch panel sensor substrate and an electrode layer over the second substrate; and exposing the plurality of terminals by etching a part of the sealing film. | 05-28-2015 |
20150144917 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a first substrate, a second substrate, and an array of organic light emitting elements formed over the first substrate and interposed between the first and second substrate. The array comprises a pixel defining layer. The organic light emitting display device further includes a recess formed into the pixel defining layer, a sealing member, and a reinforcing member. The sealing member is formed along the edges of the first and second substrates and interconnects the first and second substrates. The reinforcing member comprises a first portion positioned in the recess and a second portion projected outside the recess toward the second substrate such that the second portion of the reinforcing member is capable of supporting the second substrate when the second substrate is pressed toward the first substrate by an external force. | 05-28-2015 |
20150144918 | METHOD OF MANUFACTURING OPTICAL FILM FOR REDUCING COLOR SHIFT, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS USING OPTICAL FILM FOR REDUCING COLOR SHIFT, AND METHOD OF MANUFACTURING THE SAME - An optical film manufacturing method includes forming a master in which a shape corresponding to a plurality of micro-lens patterns is engraved, forming a low refractive index pattern layer in which the plurality of micro-lens patterns are formed, by using the master, forming a high refractive index material layer that has a higher refractive index than a refractive index of the low refractive index pattern layer, and imprinting the low refractive index pattern layer on the high refractive index material layer to form a high refractive index pattern layer, on a first surface of a substrate. | 05-28-2015 |
20150144919 | DISPLAY DEVICE - A display device includes a first substrate, an organic EL layer formed on the first substrate and curved in each pixel, and color filters disposed in the respective pixels, and curved to match the organic EL layer. With this configuration, a change in the chromaticity and brightness of the display device depending on a viewing angle of a user is reduced. | 05-28-2015 |
20150144920 | TOUCH PANEL - A flexible touch panel is provided. Both reduction in thickness and high sensitivity of a touch panel are achieved. The touch panel includes a first flexible substrate, a first insulating layer over the first substrate, a transistor and a light-emitting element over the first insulating layer, a color filter over the light-emitting element, a pair of sensor electrodes over the color filter, a second insulating layer over the sensor electrodes, a second flexible substrate over the second insulating layer, and a protective layer over the second substrate. A first bonding layer is between the light-emitting element and the color filter. The thickness of the first substrate and the second substrate is each 1 μm to 200 μm inclusive. The first bonding layer includes a region with a thickness of 50 nm to 10 μm inclusive. | 05-28-2015 |
20150144921 | DISPLAY DEVICE - A display device is discussed which can include: a flexible substrate defined into a first area, a second area bent from an edge of the first area, and a third area outwardly expanded from the second area; a thin film transistor layer disposed on the substrate; an organic emission layer disposed on the thin film transistor layer; an encapsulation layer disposed on the organic emission layer; a polarization layer disposed on the encapsulation layer; and a cover window disposed on the polarization layer. The polarization layer is formed on the encapsulation layer opposite to the first and third areas of the substrate. | 05-28-2015 |
20150144922 | LARGE AREA ORGANIC LIGHT EMITTING DIODE DISPLAY - A large area organic light emitting diode display is provided. The organic light emitting diode display comprises a substrate including a display area defining a plurality of pixel areas in a matrix manner and a non-display area surrounding the display area; a thin film transistor disposed in each pixel area; an auxiliary cathode electrode disposed at the same layer with an element of the thin film transistor; a planar layer on the thin film transistor and the auxiliary cathode electrode; an anode electrode connected to the thin film transistor and disposed in each pixel area on the planar layer; an organic light emission layer disposed on the anode electrode; a cathode electrode covering the whole surface of the display area on the organic light emission layer; and a protective electrode covering the auxiliary cathode electrode exposed through a cathode contact hole and contacting the cathode electrode. | 05-28-2015 |
20150144923 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An OLED display device includes a first oxide semiconductor layer including first to fourth regions; a first gate electrode on a first insulating layer and the first oxide semiconductor layer, and completely overlapping the first region; a first storage electrode extending from the first gate electrode and overlapping the second region; a second insulating layer covering the first gate electrode and the first storage electrode and exposing the third and fourth regions; first source and drain electrodes on the second insulating layer and contacting the third and fourth regions; and an emitting diode connected to the first drain electrode, wherein a portion of the second region at an edge of the first storage electrode except a center of the first storage electrode is conductive to form a second storage electrode, and the first and second storage electrodes and the first insulating layer constitute a first storage capacitor. | 05-28-2015 |
20150144924 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by Formula 1 | 05-28-2015 |
20150144925 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - Discussed is an organic light emitting display device. An OLED including a transparent anode formed of one conductive transparent material and an organic light emitting diode (OLED) including a cavity anode formed of a plurality of conductive materials are provided in one panel. | 05-28-2015 |
20150144926 | ORGANIC LIGHT EMITTING DEVICE - Disclosed is an organic light emitting device. The organic light emitting device includes a first emission unit configured to include a common blue emission material layer which is included in common in a plurality of pixels emitting lights having different wavelength ranges, a second emission unit configured to include a red emission material layer, a green emission material layer, and a blue emission material layer which respectively emit lights having different wavelength ranges, a charge generation layer disposed between the first emission unit and the second emission unit, a first electrode formed as a reflective electrode, and configured to supply an electric charge having a first polarity to the first emission unit and the second emission unit, and a second electrode formed as a semi-transmissive electrode, and configured to supply an electric charge having a second polarity to the first emission unit and the second emission unit. | 05-28-2015 |
20150144927 | ORGANIC ELECTROLUMINESCENCE DEVICE AND MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence (EL) device includes a charge generating layer including a charge generating material or a hole injection layer including a hole injection material, the charge generating material or the hole injection material including a 1,2-closo-carborane compound represented by the following Formula 1: | 05-28-2015 |
20150144928 | BURIED GRID FOR OUTCOUPLING WAVEGUIDED LIGHT IN OLEDs - Light-emitting devices are provided that include a mixed-index layer having a buried grid disposed below a bottom electrode of the device. The grid provides improved outcoupling into glass and air modes relative to techniques that omit such a grid and/or that use a conventional low-index grid embedded in the emissive layers of the device. | 05-28-2015 |
20150144929 | DISPLAY DEVICE, METHOD OF LAYING OUT LIGHT EMITTING ELEMENTS, AND ELECTRONIC DEVICE - Disclosed herein is a display device in which light emitting elements of a plurality of colors including a light emitting element emitting blue light are formed in each pixel on a substrate on which a transistor is formed for each sub-pixel, and a plurality of pixels formed with sub-pixels of the plurality of colors as a unit are arranged in a form of a matrix, wherein relative positional relation between transistors of sub-pixels of respective light emission colors including blue light and a light emitting section of a light emitting element emitting the blue light is laid out such that distances between the transistors of the sub-pixels of the respective light emission colors including the blue light and the light emitting section of the light emitting element emitting the blue light are equal to each other for the respective colors. | 05-28-2015 |
20150144930 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode (OLED) display includes: a substrate; an organic light emitting diode formed on the substrate; a metal oxide layer formed on the substrate and covering the organic light emitting diode; a first inorganic layer formed on the metal oxide layer and covering a relatively larger area than the metal oxide layer; a first organic layer formed on the first inorganic layer and covering a relatively smaller area than the first inorganic layer; and a second inorganic layer formed on the first organic layer, covering a relatively larger area than the first organic layer, and contacting the first inorganic layer at an edge of the second inorganic layer. | 05-28-2015 |
20150144931 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - The present application relates to a substrate for an organic electronic device, an organic electronic device, and a lighting device. In an embodiment of the present application, a substrate or an organic electronic device which may form an organic electronic device capable of ensuring performance including light extraction efficiency or the like and reliability by applying a scattering layer capable of exhibiting different scattering properties according to an angle of incident light may be provided. | 05-28-2015 |
20150144932 | ADHESIVE FILM AND METHOD FOR ENCAPSULATING ORGANIC ELECTRONIC DEVICE USING SAME - The present invention relates to an adhesive film, to an encapsulated product of an organic electronic device using same and to a method for encapsulating an organic electronic device using same. More particularly, an adhesive film for encapsulating an organic electronic device comprises: a protective film layer, a first adhesive layer, a second adhesive layer and a release film layer sequentially arranged. The peel strength (A) between the first adhesive layer and the protective film layer is lower than the peel strength (B) between the second adhesive layer and the release film layer, and the peel strength (B) between the second adhesive layer and the release film layer is lower than the peel strength (C) between the first adhesive layer and an encapsulation substrate, thus improving faults during a peeling process. | 05-28-2015 |
20150144933 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes: a substrate; a pixel electrode disposed on the substrate; an intermediate layer that is disposed on the pixel electrode and includes an organic light-emitting layer; a facing electrode disposed on the intermediate layer; and a thin film encapsulating layer disposed on the facing electrode, wherein the thin film encapsulating layer includes: a first inorganic film and a second inorganic film, which are disposed on the facing electrode; a first organic film that is disposed between the first inorganic film and the second inorganic film and has a first thickness; and a second organic film that is disposed on the second inorganic film and has a second thickness greater than the first thickness. | 05-28-2015 |
20150144934 | Electronic Devices Having Displays With Openings - An electronic device may have a display. The display may have an active region in which display pixels are used to display images. The display may have one or more openings and may be mounted in a housing associated with the electronic device. An electronic component may be mounted in alignment with the openings in the display. The electronic component may include a camera, a light sensor, a light-based proximity sensor, status indicator lights, a light-based touch sensor array, a secondary display that has display pixels that may be viewed through the openings, antenna structures, a speaker, a microphone, or other acoustic, electromagnetic, or light-based component. One or more openings in the display may form a window through which a user of the device may view an external object. Display pixels in the window region may be used in forming a heads-up display. | 05-28-2015 |
20150144935 | ORGANIC LIGHT-EMITTING DEVICE - The present invention provides an organic light emitting device including: a substrate; and two or more stacked light emitting elements, which comprise a first electrode, at least one intermediate electrode, a second electrode, and an organic material layer disposed between the electrodes, the stacked organic light emitting elements including a first group of electrodes electrically connected to each other such that among the electrodes, at least two electrodes, which are not adjacent to each other, become a common electric potential, and a second group of electrodes which include one electrode among electrodes which are not electrically connected to the first group of electrodes, or at least two electrodes which are not electrically connected to the first group of electrodes and are electrically connected to each other so as to be a common electric potential without being adjacent to each other, in which the stacked organic light emitting elements are disposed at an interval apart from each other on the substrate and driven by an alternating current power source such that a form, in which a first group of electrodes of one stacked organic light emitting element among the stacked organic light emitting elements are directly connected to a second group of electrodes of another stacked organic light element, is continuously repeated. | 05-28-2015 |
20150144936 | ORGANIC LIGHT EMITTING DEVICE AND DISPLAY UNIT - An organic light emitting device capable of improving the light extraction characteristics while suppressing the driving voltage and improving the luminescent performance, and a display unit using it are provided. The organic light emitting device includes: a lamination structure that includes a cathode, a plurality of layers including a light emitting layer made of an organic material, and an anode including a metal thin film in this order, in which the cathode is reflective and the anode is semi-transparent to light generated in the light emitting layer; and a resonator structure that resonates the light generated in the light emitting layer between the cathode and the anode. | 05-28-2015 |
20150144937 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE COMPRISING SAME, AND DISPLAY DEVICE COMPRISING ORGANIC LIGHT EMITTING DIODE - Provided are a compound represented by the following Chemical Formula 1 for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode. The structure of Chemical Formula 1 is described in the specification. | 05-28-2015 |
20150144938 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME AND DISPLAY INCLUDING THE ORGANIC LIGHT EMITTING DIODE - A compound for an organic optoelectronic device, an organic light emitting diode including the same, and a display device including the organic light emitting diode are disclosed and the compound for an organic optoelectronic device represented by a combination of the following Chemical Formulae 1 and 2 provides an organic light emitting diode having life-span characteristics due to excellent electrochemical and thermal stability, and high luminous efficiency at a low driving voltage. | 05-28-2015 |
20150291824 | TRANSPARENT RESIN COMPOSITION FOR SEALING ORGANIC ELECTROLUMINESCENCE ELEMENT, RESIN SHEET FOR SEALING ORGANIC ELECTROLUMINESCENCE ELEMENT, AND APPARATUS FOR DISPLAYING IMAGE - A transparent resin composition for sealing an organic EL element and other things having a sufficient effect of blocking moisture and excellent flexibility is provided. As essential components, a thermoplastic resin, tackifying resin and organometallic compound represented by the following chemical formula are included, having a light transmittance of 85% or more, and having a relationship of AM/Y<162, | 10-15-2015 |
20150295013 | DISPLAY DEVICE AND MANUFACTURING METHOD FOR DISPLAY DEVICE - A display device includes a first substrate on which a plurality of pixel electrodes are disposed in a matrix shape, a pixel separating film provided in a convex shape to expose a part of the pixel electrodes and divide the plurality of pixel electrodes, an organic layer provided on the exposed pixel electrodes and including a light emitting layer, a counter electrode provided to be overlapped with the light emitting layer and the pixel separating film, a sealing insulating film provided on the counter electrode, and a colored layer provided to fill a region surrounded by the convex pixel separating film and to be overlapped with an upper surface of the pixel separating film. | 10-15-2015 |
20150295014 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate, a first electrode disposed on the substrate, a pixel-defining layer which is disposed on the substrate and the first electrode and in which an opening which exposes a central part of the first electrode is defined, an interlayer which is disposed on the first electrode and comprises an organic light-emitting layer; and a second electrode disposed on the interlayer, where a sidewall of the opening comprises a bumpy structure in which a plurality of bumps is disposed. | 10-15-2015 |
20150295017 | DISPLAY UNIT - A display unit includes a plurality of light emitting devices, each of the light emitting devices including a function layer including at least an organic layer is sandwiched between a first electrode and a second electrode, and which have a resonator structure for resonating light by using a space between the first electrode and the second electrode as a resonant section and extracting the light through the second electrode are arranged on a substrate, wherein in the respective light emitting devices, the organic layer is made of an identical layer, and a distance of the resonant section between the first electrode and the second electrode is set to a plurality of different values. | 10-15-2015 |
20150295175 | POLYMER DIODE - The present invention provides flexible polymer diodes in the form of a printable polymer sandwich configuration similar to that found in electroactive polymer transducers. The inventive flexible polymer diodes comprise a dielectric layer sandwiched between a pair of electrodes. With appropriate optional additives introduced in the electrode formulation and the proper electrical properties in the electrode, a device may be constructed which allows current to pass through for only one polarity of applied voltage. | 10-15-2015 |
20150295182 | LIGHT-EMITTING ELEMENT, LIGHT EMISSION APPARATUS, AUTHENTICATION APPARATUS, AND ELECTRONIC MACHINE - A light-emitting element includes an anode, a cathode, and a light emission layer. The light emission layer is arranged between the anode and the cathode and configured to emit light by energization between the anode and the cathode. The light emission layer includes a compound represented by a general formula NIR-D as a light emission material and a compound represented by a formula IRH-1 as a host material of the light emission material. The general formula NIR-D is | 10-15-2015 |
20150295183 | ORGANIC LIGHT-EMITTING DEVICE - Provided is an organic light-emitting device with a blue emission layer. The blue emission layer is an emission layer that emits blue light by a fluorescent emission mechanism. The blue emission layer includes a compound represented by Formula 4 below: | 10-15-2015 |
20150295184 | ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to organic electroluminescent devices having at least two emitting layers, where the two emitting layers comprise phosphorescent dopants. | 10-15-2015 |
20150295185 | MATERIAL FOR ORGANIC LIGHT EMITTING DEVICE, ORGANIC LIGHT EMITTING DEVICE, AND DISPLAY DEVICE INCLUDING THE SAME - A material for an organic light emitting device includes a compound represented by one of the following Chemical Formulae 1 to 3 and a compound represented by the following Chemical Formula 4. | 10-15-2015 |
20150295186 | MATERIALS FOR ORGANIC ELECTROLUMINESCENT DEVICES - The present invention relates to compounds according to formula (1) and formula (2), said compounds being suitable for use in electronic devices, in particular organic electroluminescent devices. | 10-15-2015 |
20150295187 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having the structure of Formula M(L | 10-15-2015 |
20150295189 | Organic Electroluminescent Materials and Devices - This invention discloses novel metal complexes for phosphorescent OLEDs. The incorporation of a specific nitrogen substitution in the benzimidazole phenanthridine ligand system is shown to provide desirable color. | 10-15-2015 |
20150295190 | Organic Electroluminescent Materials and Devices - Phosphorescent complexes are designed with intramolecular H-bonding properties to prevent deprotonation of neighboring molecules. | 10-15-2015 |
20150295192 | Organic Electroluminescent Materials and Devices - A novel type of blue emitter is described based on the azaphenanthridine imidazole ligand. The preferred use of this moiety for generating blue phosphorescence is as part of a symmetric platinum tetradentate complex. | 10-15-2015 |
20150295193 | SEMICONDUCTOR DEVICE USING PAPER AS A SUBSTRATE AND METHOD OF MANUFACTURING THE SAME - Disclosed are a semiconductor device manufactured using a paper as a substrate and a method of manufacturing the same. According to an embodiment of the present invention, the semiconductor device is manufactured by using a paper including pulp as a raw material or paper as a substrate coated with a heat-resistant material such as silicon. According to the present invention, a metal wiring layer such as a gate electrode is formed on the paper substrate by using a vacuum deposition method, or the like and an insulating layer is stacked thereon. | 10-15-2015 |
20150295197 | Efficient Organic Electroluminescent Devices - An OLED device includes, in order, an electron blocking layer, an organic emissive layer, and a hole blocking layer. Its organic emissive layer contains at least four components: an electron transporting compound, a host, a hole transporting compound, and an emitting compound capable of phosphorescence emission at room temperature. The emitting compound has HOMO energy level of 5.2 eV or lower and a LUMO energy level of 2.5 eV or higher. | 10-15-2015 |
20150295198 | Efficient White Organic Light Emitting Diodes with High Color Quality - Organic light emitting devices (OLEDs) are provided that include two red emissive dopants. The two dopants may be disposed within a common organic emissive layer in an OLED, or each may be disposed in a separate layer. Configurations including multiple layers having multiple dopants are also provided, in which a first red dopant is disposed in a separate organic layer from a second red dopant, and a common dopant of another color, such as green, is disposed in each organic layer. Devices as disclosed herein are capable of providing an R9 value of at least 80, and of providing white light with a CRI of at least 80. | 10-15-2015 |
20150295199 | Efficient Organic Electroluminescent Devices - An OLED includes a suppression mechanism and multiple dopants in its organic emissive layer. The organic emissive layer includes a host, a first compound capable of phosphorescent emission at room temperature, and a second compound capable of phosphorescent emission at room temperature. The suppression mechanism is capable of preferentially reducing the emission of light emitted by the second compound. The organic emissive layer may also contain a third compound capable of phosphorescent emission at room temperature. | 10-15-2015 |
20150295200 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescent element includes: first and second electrodes; and luminous units between the electrodes on a substrate, adjacent luminous units each being separated by an intermediate electrode layer, wherein at least one of the electrodes is a transparent electrode; the luminous units are each an organic functional layer including an organic luminous layer; the intermediate electrode layer includes an independent connecting terminal for electrical connection; a thin-film layer is disposed on a surface of the transparent electrode being at least one of the electrodes; and the thin-film layer has a variable refractive index across a thickness of the thin-film layer, the variable refractive index having an extreme value at which the refractive index changes from an increase to a decrease or an extreme value at which the refractive index changes from a decrease to an increase. | 10-15-2015 |
20150295201 | OPTOELECTRONIC COMPONENT - Various embodiments may relate to an optoelectronic component, including a substrate, a first electrically conductive electrode layer, a second electrically conductive electrode layer, an organic layer structure, and a conductor track layer. The first electrically conductive electrode layer, the second electrically conductive electrode layer and the conductor track layer are formed in each case from an optically transparent material. | 10-15-2015 |
20150295202 | OLED Lighting Panel and Methods for Fabricating Thereof - Described herein are OLED lighting systems and devices, and methods related to fabricating such OLED lighting systems and devices. The methods can be used to produce OLED systems and devices of varying sizes without changing the underlying OLED unit design. In one embodiment, the OLED lighting system includes a cover that connects a plurality of OLED lighting units. The cover includes contacts that are accessible from outside the system, such that each OLED lighting unit connected to the cover can be controlled in series or in parallel. | 10-15-2015 |
20150295204 | OPTOELECTRONIC DEVICE - An optoelectronic device is provided which comprises a functional layer stack ( | 10-15-2015 |
20150295205 | ORGANIC LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREFOR - The present invention relates to an organic light emitting diode and a manufacturing method therefor, and the organic light emitting diode comprises: a lower electrode formed on a light-transmitting substrate; an organic thin film layer which is formed on the lower electrode and includes a light-emitting layer; a light-transmitting upper electrode formed on the organic thin film layer; a functional layer which is formed on the upper electrode and enables mutual reinforcement and interference for the transmitted lights; and a reflective layer formed on the functional layer. | 10-15-2015 |
20150299358 | POLYMER COMPOUND AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE HAVING THIN-FILM ENCAPSULATION STRUCTURE INCLUDING THE POLYMER COMPOUND - A polymer compound has a repeating unit represented by Formula 1 and an organic light-emitting display device including the polymer compound. | 10-22-2015 |
20150299519 | ADHESIVE AGENT COMPOSITION, ADHESIVE SHEET, AND ELECTRONIC DEVICE AND PRODUCTION METHOD THEREFOR - The present invention provides: an adhesive composition for sealing an electronic device, the adhesive composition comprising an isobutylene-isoprene copolymer as a main component, the isobutylene-isoprene copolymer having a content of repeating units derived from isoprene of 0.1 to 99 mol % based on total repeating units; an adhesive sheet comprising a gas barrier film, and an adhesive layer that is formed on the gas barrier film, the gas barrier film having a water vapor transmission rate at a temperature of 40° C. and a relative humidity of 90% of 0.1 g/m | 10-22-2015 |
20150301453 | PHOTOSENSITIVE RESIN COMPOSITION, METHOD FOR PRODUCING HEAT-RESISTANT RESIN FILM AND DISPLAY DEVICE - The present invention provides a photosensitive resin composition which uses a polyimide precursor that has excellent solubility in organic solvents and is capable of reducing the viscosity of a resin composition obtained therefrom. The solution according to the present invention is a photosensitive resin composition which contains: an aromatic amide resin that has, as a main repeating unit, a specific structure having an amide group, a trifluoromethyl group and an aromatic ring; (b) a sensitizer; and (c) a solvent. | 10-22-2015 |
20150303241 | DISPLAY PANEL, FABRICATING METHOD THEREOF AND DISPLAY DEVICE - A display panel, a fabricating method thereof and a display device. The display panel, including: a transparent substrate; a plurality of display pixels, provided on the transparent substrate, wherein each of the plurality of display pixels includes: a plurality of first transparent self-luminous sub-pixels, provided on a light exiting surface of the transparent substrate; and a plurality of second self-luminous sub-pixels, provided on a surface of the transparent substrate opposite to the light exiting surface, and a light exiting direction of each of the second sub-pixels a direction towards the light exiting surface of the transparent substrate. | 10-22-2015 |
20150303242 | ARRAY SUBSTRATE, MANUFACTURING METHOD THEREOF, AND DISPLAY DEVICE - The present invention provides an array substrate, a manufacturing method thereof, and a display device, belonging to the field of organic electroluminescence display technology, which may solve the problem of low light extraction efficiency of existing array substrates. The array substrate of the present invention comprises an organic light emitting device and a planarization layer disposed therebelow, the OLED comprises: a first electrode layer, a second electrode layer, and a light-emitting layer disposed between the first electrode layer and the second electrode layer, the first electrode layer is a transparent electrode layer and disposed on the planarization layer, and the planarization layer is doped with metal micro/nanoparticles. | 10-22-2015 |
20150303243 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES - An organic light emitting display device is disclosed. The organic light emitting display device includes a substrate, a first electrode, a pixel defining layer, a first hydrophobic pattern, at least one charge transport layer, a second hydrophobic pattern, an organic light emitting layer and a second electrode. The substrate has a pixel region and a non pixel region surrounding the pixel region. The first electrode, the at least one charge transport layer and the organic light emitting layer are disposed on the substrate in the pixel region, while the pixel defining layer, the first hydrophobic pattern and the second hydrophobic pattern are disposed on the substrate in the non pixel region. The charge transport layer of one pixel is separated from a charge transport layer of another pixel by the first and second hydrophobic patterns to prevent crosstalk phenomenon. | 10-22-2015 |
20150303244 | Electronic Devices With Display-Integrated Light Sensors - An electronic device is provided, with a display and a display-integrated light sensor. The display includes a transparent cover layer, light-generating layers, and a touch-sensitive layer. The display-integrated light sensor is interposed between the transparent cover layer and a display layer such as the touch-sensitive layer or a thin-film transistor layer of the light-generating layers. The light-generating layers include a layer of organic light-emitting material. The display-integrated light sensor can be implemented as an ambient light sensor or a proximity sensor. The display-integrated, light sensor may be a packaged light sensor that is integrated into the display layers of the display or may be formed from light-sensor components formed directly on a display circuitry layer such as the touch-sensitive layer or the thin-film transistor layer. | 10-22-2015 |
20150303245 | DISPLAY APPARATUS AND METHOD FOR MANUFACTURING THE SAME - Display apparatus, including a substrate; a pixel electrode on the substrate and corresponding to a pixel; an auxiliary line on the substrate and insulated from the pixel electrode; an insulation layer on the pixel electrode and the auxiliary line, the insulation layer covering at least a portion of the pixel electrode and at least a portion of the auxiliary line; an organic light emitting layer on the pixel electrode, the auxiliary line, and the insulation layer; a first electrode on the organic light emitting layer and overlapping at least a portion of the auxiliary line; an opening in each of the organic light emitting layer and the first electrode to correspond to the auxiliary line; and a second electrode on the first electrode and an exposed portion of the auxiliary line, the second electrode electrically connecting the auxiliary line to the first electrode, the exposed portion of the auxiliary line being exposed by the opening. | 10-22-2015 |
20150303378 | COMPOUND AND ORGANIC PHOTOELECTRONIC DEVICE AND IMAGE SENSOR - A compound may be represented by Chemical Formula 1, an organic photoelectronic device may include a first electrode and a second electrode facing each other with an active layer that includes the compound represented by Chemical Formula 1 between the first electrode and the second electrode, and an image sensor may include the organic photoelectronic device. | 10-22-2015 |
20150303380 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescence device including: an anode; a cathode; two or more emitting units that are disposed between the anode and the cathode, each unit having an emitting layer; and a charge-generating layer that is disposed between the emitting units, wherein the charge-generating layer includes an N layer nearer to the anode and a P layer nearer to the cathode, and the N layer includes a compound represented by the following formula (I) or (II): | 10-22-2015 |
20150303381 | COMPOUND FOR ORGANIC OPTOELECTRIC DEVICE AND COMPOSITION AND ORGANIC OPTOELECTRIC DEVICE AND DISPLAY DEVICE - Provided are a compound, a composition, a light emitting material including the same, an organic optoelectric device and a display device including the organic optoelectric device, and the compound is represented by the following Chemical Formula I, | 10-22-2015 |
20150303384 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound and an organic light-emitting device, the condensed cyclic compound being represented by one of the following Formulae 1 or 2: | 10-22-2015 |
20150303385 | ORGANIC LIGHT-EMITTING DEVICE, DISPLAY APPARATUS, IMAGE DISPLAY APPARATUS, LIGHTING APPARATUS, IMAGE FORMATION APPARATUS, AND EXPOSURE APPARATUS - An organic light-emitting device is provided that is driven with a low voltage and has a high luminous efficiency and a long device lifetime. The organic light-emitting device includes an anode, a cathode, a light-emitting layer disposed between the anode and the cathode, and an organic compound layer disposed between the cathode and the light-emitting layer and being in contact with the cathode. The organic compound layer includes a complex represented by Formula [1]: | 10-22-2015 |
20150303386 | ORGANIC LIGHT-EMITTING DEVICE AND DISPLAY APPARATUS - Provided is an organic light-emitting device improved in emission efficiency and lifetime. The organic light-emitting device includes a pair of electrodes and an organic compound layer disposed between the pair of electrodes, in which: the organic compound layer includes a benzo[f]isoquinoline iridium complex of a specific structure and a hydrocarbon compound of a specific structure; and the hydrocarbon compound is a compound formed only of an SP | 10-22-2015 |
20150303387 | Organic Electroluminescent Device - A first aspect of the invention is an organic electroluminescent device that includes a plurality of organic compound layers between a pair of electrodes. The plurality of organic compound layers include a luminescent layer and two or more hole-transporting layers. The hole-transporting layers include a layer adjacent to the luminescent layer. The luminescent layer contains a host material and a luminescent material. The luminescent material is a metal complex containing a tri- or higher-dentate ligand. When the ionization potential of the luminescent layer is designated as Ip | 10-22-2015 |
20150303389 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - Provided are a substrate for an organic electronic device (OED), an organic electronic system, a method of manufacturing the substrate or the system, a light source for a display, and a lighting device. The substrate for an OED may form an organic electronic system having enhanced durability by preventing penetration of a foreign material such as moisture or oxygen, and thus having excellent performance including light extraction efficiency. | 10-22-2015 |
20150303391 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescence device according of the invention includes an anode, a cathode, and at least a first emitting layer and a second emitting layer interposed between the anode and the cathode. The first emitting layer includes a first host material and a first dopant material. The second emitting layer includes a second host material, a third host material and a second dopant material. | 10-22-2015 |
20150303392 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - The light-emitting element includes an EL layer between a pair of electrodes. The EL layer includes a light-emitting layer. The light-emitting layer includes a first light-emitting layer and a second light-emitting layer. The first light-emitting layer and the second light-emitting layer contain light-emitting substances emitting light with different colors. Any one of the first light-emitting layer and the second light-emitting layer is not formed partly so that the light-emitting layer includes a region where the any the other of the first light-emitting layer and the second light-emitting layer is only formed. A first light emitted from a region of the light-emitting layer where the first light-emitting layer and the second light-emitting layer overlap with each other is different in color from a second light emitted from a region including the non-formation region. The first light and the second light can be obtained from the light-emitting layer at the same time. | 10-22-2015 |
20150303393 | ORGANIC ELECTROLUMINESCENT DEVICE AND PROCESS FOR PREPARING THE SAME - An organic electroluminescent device and a process for preparing the organic electroluminescent device, wherein the organic electroluminescent device includes a substrate, on which pixel dividing walls are provided, said pixel dividing walls are composed of at least two stacked organic material dividing layers, and adjacent organic material dividing layers have different wettability. A process for preparing the organic electroluminescent device, including depositing and patternizing a bottom electrode; preparing two or more layers of pixel dividing walls; preparing a functional layer by deposition; and depositing sequentially a cathode, a protective layer and a sealing layer. The pixel dividing wall of the organic electroluminescent device are composed by stacking at least two organic material dividing layers, wherein the wettability of the adjacent organic material dividing layers is different, so as to ensure that a functional film with an even thickness is formed after ink droplets printed to a pixel region are dried. The organic material dividing layers have strong adhesion, thereby ensuring the good performance of the device. | 10-22-2015 |
20150303394 | Organic Electroluminescent Device and a Method of Producing an Organic Electroluminescent Device - An organic electroluminescent device includes a substrate, a first electrode arranged on the substrate, and a functional organic layer arranged on the first electrode. The functional organic layer is adapted to emit electromagnetic radiation A second electrode is arranged on the functional organic layer. The functional organic layer includes a matrix material and an emitter material. The emitter material is neutral or ionically charged. The emitter material is selected from the group of ionic transition metal complex, neutral transition metal complex, polymer emitter and combinations thereof, wherein the matrix material comprises at least one ionic charge carrier transporting material, wherein the ionic charge carrier transporting material is selected from the group of electron-transporting material, hole-transporting material, ambipolar-transporting material and combinations thereof, and wherein the at least one ionic charge carrier transporting material is ionically charged. | 10-22-2015 |
20150303395 | ORGANIC LIGHT EMITTING DEVICES - The present invention provides an emissive region in organic light emitting devices having a combined emission from at least two emissive materials, a fluorescent blue emissive material and a phosphorescent emissive material. The emissive region may further comprise additional fluorescent or phosphorescent emissive materials. Preferably, the emissive region has three different emissive materials—a red emissive material, a green emissive material and a blue emissive material. Organic light emitting devices incorporating the emissive region provides a high color-stability of the light emission over a wide range of currents or luminances. | 10-22-2015 |
20150303396 | METHOD AND SYSTEM FOR AN ORGANIC LIGHT EMITTING DIODE STRUCTURE - Disclosed is a system and method for a nano-pillar geometry for increased light extraction properties of an Organic Light Emitting Diode. | 10-22-2015 |
20150303398 | TRANSLUCENT ELECTRODE, AND ELECTRONIC DEVICE - To constitute a translucent electrode including a base layer having a surface in which surface roughness (Ra) is 2 or less and elastic modulus is 20 GPa or more, and an electrically conductive layer that is provided on the surface side of the base layer and that contains silver as the principal component. | 10-22-2015 |
20150303399 | MASK PLATE, ORGANIC LIGHT-EMITTING DIODE (OLED) TRANSPARENT DISPLAY PANEL AND MANUFACTURING METHOD THEREOF - A mask plate for manufacturing an organic light-emitting diode (OLED) transparent display panel, the OLED transparent display panel and a manufacturing method thereof are disclosed. The mask plate includes a substrate and a plurality of hollowed-out areas and a plurality of opaque areas disposed on the substrate, and a pattern of the hollowed-out areas correspond to a pattern of a cathode of the OLED transparent display panel to be manufactured; and all the hollowed-out areas are communicated with each other. | 10-22-2015 |
20150303400 | OLED DEVICE AND PREPARATION METHOD THEREOF - An OLED device and a preparation method thereof are provided by the present invention; the OLED device comprises an OLED device body and a protective layer which coats outside the OLED device body and is configured to package the OLED device body; the chemical formula of the protective layer is SiO | 10-22-2015 |
20150303402 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic EL device whose organic EL elements hardly deteriorate and device manufacturing method are provided. The organic EL device | 10-22-2015 |
20150303404 | LAMINATED ELECTRONIC OR OPTOELECTRONIC ORGANIC DEVICE - The invention relates to a structure comprising at least two impermeable substrates, at least one of these substrates being transparent, at least one intermediate adhesive film and at least one electronic or optoelectronic organic device between the two substrates, said device comprising a stack of organic layers comprising a photoelectroactive layer, with, on either side of the latter, additional organic layers that facilitate the transport of charge, among which layers mention may be made of a hole transport layer and an electron transport layer, said stack being inserted between two carriers, said stack of organic layers essentially containing materials the glass transition temperature (T | 10-22-2015 |
20150303405 | ORGANIC ELECTROLUMINESCENCE ELEMENT - The present invention relates to an organic electroluminescence element in which a transparent first electrode, an organic light emitting layer, a second electrode are stacked on a translucent substrate in this order. The translucent substrate includes a moisture-proof layer facing the first electrode. An LR layer and an HR layer having a refractive index higher than a refractive index of the LR layer are situated between the moisture-proof layer and the first electrode in this order from the moisture-proof layer. An uneven structure is provided at an interface between the LR layer and the HR layer. A linear expansivity α of the moisture-proof layer, and a linear expansivity β of the LR layer, and a linear expansivity γ of the HR layer satisfy a relation of α≦β≦γ. | 10-22-2015 |
20150303406 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display and a method of manufacturing the same are disclosed. The OLED display comprises a substrate on which are defined a display area and a non-display area, an inorganic layer formed over the substrate, an encapsulation layer formed over the inorganic layer. A portion of the inorganic layer is formed over the non-display area, a portion of the encapsulation layer is formed over the non-display area, and a plurality of openings are formed in the portion of the encapsulation layer and the portion of the inorganic layer. | 10-22-2015 |
20150303407 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes a substrate, a light path guide layer formed on the substrate and having an inclined side wall, an organic light emitting diode (OLED) formed on the substrate and the light path guide layer, and a phase transition layer formed on the OLED and formed so as to correspond to the inclined side wall. Therefore, in the OLED display, the phase transition layer is formed in the light path guide layer so that it is possible to minimize external light reflectance increased by the light path guide layer. | 10-22-2015 |
20150303408 | ORGANIC LIGHT EMITTING DEVICE COMPRISING FLEXIBLE SUBSTRATE AND METHOD FOR PREPARING THEREOF - The present application relates to an organic light emitting device including a flexible substrate, and a preparing method thereof, and the method includes: 1) forming a polyimide layer on a carrier substrate; 2) forming a plastic substrate on the carrier substrate and the polyimide layer; 3) forming an organic light emitting device on the plastic substrate; and 4) separating the carrier substrate. | 10-22-2015 |
20150307652 | POLYMER - A polymer comprising a repeat unit of formula (I): (I) wherein Ar | 10-29-2015 |
20150307758 | RESIN COMPOSITION FOR SEALING ORGANIC ELECTRONICS DEVICES AND ORGANIC ELECTRONICS DEVICE - An organic electronic device sealing resin composition including a block copolymer hydride obtained by hydrogenating 90% or more of all unsaturated bonds of a block copolymer, wherein the block copolymer includes: two or more polymer blocks [A] per one molecule of the copolymer, the block having an aromatic vinyl compound unit as a main component; and one or more polymer blocks [B] per one molecule of the copolymer, the block having a linear conjugated diene compound unit as a main component, and a ratio between a weight fraction wA of all the polymer blocks [A] in the entire block copolymer and a weight fraction wB of all the polymer blocks [B] in the entire block copolymer (wA:wB) is 20:80 to 60:40. | 10-29-2015 |
20150311258 | IMAGE SENSORS AND ELECTRONIC DEVICES INCLUDING THE SAME - Image sensors, and electronic devices including the same, include a first photo-sensing device sensing light in a full visible to near infrared ray region, a second photo-sensing device sensing light in a blue wavelength region, a third photo-sensing device sensing light in a red wavelength region, and a fourth photo-sensing device sensing light in a green wavelength region. At least one of the first photo-sensing device, the second photo-sensing device, the third photo-sensing device, and the fourth photo-sensing device includes a pair of light-transmitting electrodes facing each other, and a photoactive layer between the light-transmitting electrodes. The photoactive layer includes an organic light-absorbing material. | 10-29-2015 |
20150311260 | INPUT AND OUTPUT DEVICE - A flexible input and output device in which defects due to a crack is reduced. The input and output device includes a first flexible substrate, a second flexible substrate, a first buffer layer, a first crack inhibiting layer, an input device, and a light-emitting element. A first surface of the first flexible substrate faces a second surface of the second flexible substrate. The first buffer layer, the first crack inhibiting layer, and the input device are provided on the first surface side of the first flexible substrate. The first buffer layer includes a region overlapping with the first crack inhibiting layer. The first buffer layer is between the first crack inhibiting layer and the first surface. The input device includes a transistor and a sensor element. The light-emitting element is provided on the second surface side of the second flexible substrate. | 10-29-2015 |
20150311261 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a plurality of pixels defined on a substrate. Each of the plurality of pixels has a plurality of sub-pixels, and each of the plurality of sub-pixels has a light emitting area and a driving area. Widths in a first direction of the driving areas of the plurality of sub-pixels are identical to each other. A size of a light emitting area of a first sub-pixel of the plurality of sub-pixels is greater than a size of a light emitting area of a second sub-pixel of the plurality of sub-pixels. | 10-29-2015 |
20150311262 | ORGANIC LIGHT-EMITTING DIODE DISPLAY PANEL - An organic light-emitting diode (OLED) display panel is provided. The OLED display panel includes a pixel. The pixel includes a first sub-pixel and a second sub-pixel. The first sub-pixel includes a first light emitting unit and a second light emitting unit. The first light emitting unit is used for emitting a first color light. The second light emitting unit is used for emitting a second color light. The second sub-pixel includes a third light emitting unit and a fourth light emitting unit. The third light emitting unit is used for emitting a third color light. The fourth light emitting unit is used for emitting a fourth color light. The combination of the first color light and the second color light is different from the combination of the third color light and the fourth color light. | 10-29-2015 |
20150311263 | PIXEL STRUCTURE AND ELECTROLUMINESCENT DISPLAY HAVING THE SAME - A pixel structure and an electroluminescent display having the same are disclosed. The pixel structure comprises a first pixel and a second pixel. The first pixel and the second pixel each comprise a first sub-pixel, a second sub-pixel and a third sub-pixel. The first sub-pixel of the first pixel is adjacent to the first sub-pixel of the second pixel, the second sub-pixel of the first pixel is adjacent to the second sub-pixel of the second pixel, and the third sub-pixel of the first pixel is adjacent to the third sub-pixel of the second pixel. The first sub-pixel of the first pixel is adjacent to the first sub-pixel of the second pixel in a first direction, and the second sub-pixel of the first pixel is adjacent to the second sub-pixel of the second pixel in a second direction that is not parallel to the first direction. | 10-29-2015 |
20150311264 | DISPLAY PANEL AND PIXEL ARRAY THEREOF - A pixel array includes a plurality of pixel groups, each of which includes a plurality of brightness sub-pixel regions, a plurality of first sub-pixel regions, and a plurality of second sub-pixel regions. Each brightness sub-pixel regions has a first side, a second side, a third side, and a fourth side. The first sub-pixel regions include a first group and a second group, and the second sub-pixel regions include a third group and a fourth group. The first, the second, the third, and the fourth groups are respectively disposed at the first, the third, the second, and the fourth sides of the first brightness sub-pixel region. Extension lines of long directions of the first, the second, the third, and the fourth groups respectively interlace a vertical baseline at a first angle θ1, a second angle θ2, a third angle θ3, and a fourth angle θ4. 0°<θ1<90°, 0°<θ2<90°, 0°<θ3<90°, and 0°<θ4<90°. | 10-29-2015 |
20150311265 | PIXEL ARRAY, ELECTRO-OPTIC DEVICE, AND ELECTRIC APPARATUS - There are provided a pixel array, an electro-optic device, and an electric apparatus. A pixel array includes plural pixels each having a rectangular shape and including a first-colored sub-pixel of a first color being the maximum in relative luminosity, a second-colored sub-pixel, and a third-colored sub-pixel of a third color being the minimum in relative luminosity. The third-colored sub-pixel is greater in size than each of the first-colored sub-pixel and the second-colored sub-pixel, and is arranged next to the first-colored sub-pixel and the second-colored sub-pixel. The center of gravity of the first-colored sub-pixel is located nearer to the center of gravity of the pixel than that of the second-colored sub-pixels, and/or the center of gravity of a part of the third-colored sub-pixel at the second-colored-sub-pixel side is located at a shorter distance to the center of gravity of the pixel than that of the other part of the third-colored sub-pixel. | 10-29-2015 |
20150311266 | Display Device and Method for Manufacturing Display Device - The thickness of a display device including a touch sensor is reduced. Alternatively, the thickness of a display device having high display quality is reduced. Alternatively, a method for manufacturing a display device with high mass productivity is provided. Alternatively, a display device having high reliability is provided. Stacked substrates in each of which a sufficiently thin substrate and a relatively thick support substrate are stacked are used as substrates. One surface of the thin substrate of one of the stacked substrates is provided with a layer including a touch sensor, and one surface of the thin substrate of the other stacked substrate is provided with a layer including a display element. After the two stacked substrates are attached to each other so that the touch sensor and the display element face each other, the support substrate and the thin substrate of each stacked substrate are separated from each other. | 10-29-2015 |
20150311268 | OLED PANEL - The present invention provides an OLED panel. The OLED panel includes a substrate and a plurality of walls formed on the substrate. The substrate and the walls define a plurality of containing areas. Each of the containing areas is corresponding to each of a plurality of sub-pixels. The sub-pixels are separated from each other by the walls. Each of the sub-pixels includes one of emitting materials formed in one of the containing areas. At least one of the containing areas corresponding to the sub-pixel includes a first partition. The height of the first partition is lower than the walls. | 10-29-2015 |
20150311270 | DISPLAY DEVICE, METHOD FOR DRIVING THE SAME, AND ELECTRONIC APPARATUS - A display device including a pixel array unit having a matrix of pixels each configured such that an anode electrode of an organic electroluminescent element is connected to a source electrode of a drive transistor, a gate electrode of the drive transistor is connected to a source or drain electrode of a writing transistor, and a storage capacitor is connected between the gate and source electrodes of the drive transistor, scanning lines and power supply lines for individual pixel rows, and signal lines for individual pixel columns. A video signal reference potential is supplied to the signal lines for a period during which a scanning signal is supplied to the scanning lines during driving of pixels in a preceding row. During threshold correction for the drive transistor in a current pixel, the video signal reference potential and a potential of the cathode electrode of the organic electroluminescent element are equal. | 10-29-2015 |
20150311441 | METAL COMPLEX COMPOUND, AND ORGANIC LIGHT-EMITTING ELEMENT AND DISPLAY DEVICE CONTAINING THE SAME - A metal complex compound is expressed by the following general formula [1]: | 10-29-2015 |
20150311443 | METHOD FOR PRODUCING TRANSPARENT ELECTRODE AND ORGANIC EL ELEMENT - A method for producing a transparent electrode includes a forming step, an applying step and an irradiating step. The forming step is a step of forming a conductive metal layer on a transparent resin substrate. The applying step is a step of applying a composition containing a conductive polymer and a nonconductive polymer over the transparent resin substrate and the conductive metal layer so as to form a conductive polymer layer. The irradiating step is a step of irradiating the conductive polymer layer with an infrared ray having a ratio of spectral radiance at a wavelength of 5.8 μm to spectral radiance at a wavelength of 3.0 μm of 5% or less. | 10-29-2015 |
20150311445 | PHOTOELECTRIC CONVERSION DEVICE, SOLID-STATE IMAGE PICKUP UNIT, AND ELECTRONIC APPARATUS - A solid-state image pickup unit of the invention includes a plurality of pixels, each of which includes a photoelectric conversion element. The photoelectric conversion element includes a photoelectric conversion layer; and first and second electrodes provided with the photoelectric conversion layer in between, the photoelectric conversion layer including a first organic semiconductor of a first conductive type and a second organic semiconductor of a second conductive type, and being configured by addition of a third organic semiconductor made of a derivative or an isomer of one of the first and second organic semiconductors. | 10-29-2015 |
20150311446 | HETEROCYCLIC COMPOUND, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC APPLIANCE, AND LIGHTING DEVICE - A novel heterocyclic compound is provided. A novel heterocyclic compound that can be used for a light-emitting element is provided. A novel heterocyclic compound that can improve the reliability of a light-emitting element when used for a light-emitting element is provided. A light-emitting element, a light-emitting device, an electronic appliance, or a lighting device which includes the novel heterocyclic compound and is highly reliable is provided. One embodiment of the present invention is a heterocyclic compound represented by a general formula (G0). In the general formula (G0), A represents a dibenzo[f,h]quinoxalinyl group, B represents a substituted or unsubstituted fluorenyl group, and Ar represents a substituted or unsubstituted arylene group having 6 to 25 carbon atoms. | 10-29-2015 |
20150311447 | ELECTROLUMINESCENT DEVICES INCLUDING ORGANIC EIL LAYER - An OLED device comprises a cathode, an anode, and has therebetween a light emitting layer (LEL) comprising a phosphorescent emitting compound disposed in a host comprising a mixture of at least one electron transporting co-host which is a benzophenone derivative with a spiro substituent and at least one hole transporting co-host which is a triphenylamine which contains one trivalent nitrogen atom that is bonded only to carbon atoms, at least one of which is a member of an aromatic ring, wherein there is present an electron transporting layer contiguous to the LEL (HBL?) on the cathode side comprising an anthracene or a fluoranthene and wherein there is present an election injecting layer comprising a phenanthroline or a lithium quinolate contiguous to the cathode. | 10-29-2015 |
20150311448 | ORGANIC SEMICONDUCTOR MATERIAL - Novel compounds useful as organic semiconductor material are described. Semiconductor devices containing said organic semiconductor material are also described. | 10-29-2015 |
20150311449 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound that has the structure according to Formula 1: | 10-29-2015 |
20150311450 | NEW COMPOUNDS AND ORGANIC ELECTRONIC DEVICE USING THE SAME - The present specification relates to an organic electronic device in which a novel compound that may improve a life-span, efficiency, a driving voltage drop, and stability of the organic electronic device is contained in an organic material layer. | 10-29-2015 |
20150311451 | CARBAZOLE-BASED COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - A carbazole-based compound and an organic light-emitting device including the carbazole-based compound, the compound being represented by one of the following Formulae 1 to 3: | 10-29-2015 |
20150311452 | TRANSPARENT ELECTRODE, ELECTRONIC DEVICE, AND ORGANIC ELECTROLUMINESCENT DEVICE - Provided is a transparent electrode including a nitrogen-containing layer and an electrode layer provided adjacent thereto. The nitrogen-containing layer includes a compound that contains a nitrogen atom or atoms and has an effective lone pair content n/M of 2.0×10 | 10-29-2015 |
20150311453 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND ILLUMINATION DEVICE - The organic electroluminescence element includes: a positive electrode; a negative electrode; a plurality of light emitting layers interposed between the positive electrode and the negative electrode; and an interlayer-provided between the plurality of light emitting layers. The interlayer includes: a first layer-containing a nitrogen-containing heterocyclic compound; an alkali metal layer containing an alkali metal; a second layer containing a nitrogen-containing heterocyclic compound; and a hole injection layer containing an electron-accepting organic material. The first layer, the alkali metal layer, the second layer, and the hole injection layer are arranged in this order from the positive electrode to the negative electrode. The second layer is thicker than the alkali metal layer. | 10-29-2015 |
20150311454 | Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - To provide a novel compound which can be used as a host material in which a light-emitting substance is dispersed. To provide a light-emitting element having a long lifetime. A compound represented by General Formula (G0). In the formula, A represents any one of a substituted or unsubstituted dibenzothiophenylene group and a substituted or unsubstituted dibenzofuranylene group, X represents a substituted or unsubstituted fluorenyl group, E represents a substituted or unsubstituted dibenzo[f,h]quinoxalinyl group, and Ar represents a substituted or unsubstituted arylene group having 6 to 30 carbon atoms. | 10-29-2015 |
20150311462 | WHITE ORGANIC LIGHT EMITTING DEVICE - Disclosed is a white organic light emitting device in which a lifetime of a device is enhanced. The white organic light emitting device includes a first emission part between a first electrode and a second electrode and a second emission part on the first emission part. At least one among the first and second emission parts includes an emission area control layer. The white organic light emitting device includes a first emission part between a first electrode and a second electrode, a second emission part on the first emission part, and a third emission part on the second emission part. At least one among the first to third emission parts includes an emission area control layer. | 10-29-2015 |
20150311463 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device comprises a first and a second electrode, an organic light emitting layer disposed between the first and the second electrode, a hole injection layer adjacent to a first electrode, and a common layer disposed on the hole injection layer, wherein the common layer serves as a hole transporting layer and an electron blocking layer. | 10-29-2015 |
20150311464 | Light-Emitting Element, Light-Emitting Device, Electronic Appliance, and Lighting Device - A light-emitting element which has low driving voltage and high emission efficiency is provided. The light-emitting element includes, between a pair of electrodes, a hole-transport layer and a light-emitting layer over the hole-transport layer. The light-emitting layer contains a first organic compound having an electron-transport property, a second organic compound having a hole-transport property, and a light-emitting third organic compound converting triplet excitation energy into light emission. A combination of the first organic compound and the second organic compound forms an exciplex. The hole-transport layer contains at least a fourth organic compound whose HOMO level is lower than or equal to that of the second organic compound and a fifth organic compound whose HOMO level is higher than that of the second organic compound. | 10-29-2015 |
20150311466 | OPTOELECTRONIC DEVICE AND METHOD FOR PRODUCING AN OPTOELECTRONIC DEVICE - Various embodiments may relate to an optoelectronic device, including a first organic functional layer structure, a second organic functional layer structure, and a charge generating layer structure between the first organic functional layer structure and the second organic functional layer structure. The charge generating layer structure includes a first electron-conducting charge generating layer, a second electron-conducting charge generating layer, and an interlayer between the first electron-conducting charge generating layer and the second electron-conducting charge generating layer. The interlayer includes at least one phthalocyanine derivative. Various embodiments may further relate to a method for producing the optoelectronic device. | 10-29-2015 |
20150311467 | TRANSPARENT ELECTRODE, AND ELECTRONIC DEVICE - A transparent electrode is configured which is provided with: a nitrogen-containing layer; a conductive layer which is provided abutting the nitrogen-containing layer, and which has silver as a main component thereof; a high refractive index layer having a refractive index higher than that of the nitrogen-containing layer; and a low refractive index layer having a refractive index lower than that of the high refractive index layer. In the nitrogen-containing layer, a compound is used which includes nitrogen atoms, and which has, in cases when n represents the number of unshared electron pairs which are not involved in aromaticity and which are not coordinated to metal, from among the unshared electron pairs of the nitrogen atoms, and M represents molecular weight, an effective unshared-electron-pair content [n/M] that satisfies 2.0×10 | 10-29-2015 |
20150311468 | FLEXIBLE DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A flexible display apparatus includes: a first flexible substrate including carbon and having an upper surface, a lower surface facing the upper surface, and a lateral surface coupling the upper surface to the lower surface; a first barrier layer on the first flexible substrate to cover the first flexible substrate; a second flexible substrate on the first barrier layer, the second flexible substrate including carbon and having an upper surface, a lower surface facing the upper surface, and a lateral surface coupling the upper surface to the lower surface; and an organic light emitting device on the second flexible substrate. | 10-29-2015 |
20150311469 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD FOR MANUFACTURING SAME - An exemplary embodiment of the present invention provides a method for preparing an organic light-emitting device, comprising the steps of: 1) forming a spacer pattern on a first electrode formed on a substrate; 2) forming an organic material layer and a second electrode; 3) exposing the first electrode by forming an encapsulation thin film and then etching at least one portion of the encapsulation thin film; and 4) forming an auxiliary electrode which is electrically connected to the first electrode exposed in the step 3). The organic light-emitting device according to the exemplary embodiment of the present invention may solve problems of a voltage drop due to resistance of a transparent electrode in a longitudinal direction and of resultant brightness non-uniformity of the diode. | 10-29-2015 |
20150311470 | CONDUCTIVE SUPPORT FOR AN OLED DEVICE, AND OLED DEVICE INCORPORATING THE SAME - A conductive support for an OLED, includes a dielectric sublayer, with an optical thickness L1 of greater than 20 nm and less than 180 nm, including a first crystalline contact layer based on zinc oxide, a first silver layer of less than 20 nm, a dielectric separating layer, with an optical thickness L2 of greater than 80 nm and less than 280 nm, including in this order a layer of zinc oxide with a thickness e | 10-29-2015 |
20150311471 | ELECTRONIC STRUCTURE HAVING AT LEAST ONE METAL GROWTH LAYER AND METHOD FOR PRODUCING AN ELECTRONIC STRUCTURE - Various embodiments may relate to an electronic structure, including at least one organic layer, at least one metal growth layer grown onto the organic layer, and at least one metal layer grown on the metal growth layer. The at least one metal growth layer contains germanium. Various embodiments further relate to a method for producing the electronic structure. | 10-29-2015 |
20150311473 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting device includes: a first substrate; a plurality of electrodes on the first substrate; a pixel definition layer on the plurality of electrodes and including a plurality of openings and respectively exposing the plurality of electrodes; and a spacer on the pixel definition layer, wherein the pixel definition layer includes a first opening and a second opening adjacent to each other along a first direction by an interval for each pixel, and a third opening adjacent to the first opening and the second opening by an interval along a second direction crossing the first direction, and wherein the spacer is at a crossing point of a first imaginary line extending in the first direction and passing between the first opening and the third opening and a second imaginary line extending in the second direction and passing between the first opening and the second opening. | 10-29-2015 |
20150311474 | ORGANIC LIGHT EMITTING DIODE WITH SURFACE MODIFICATION LAYER - An organic light emitting diode ( | 10-29-2015 |
20150311477 | ORGANIC LIGHT-EMITTING DIODE DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the display includes a substrate and a display layer formed over the substrate and including a pixel area and a non-pixel area. The display also includes an upper thin layer formed over the display layer, wherein the upper thin layer comprises at least first and second conductive layers and a dielectric layer formed between the first and second conductive layers, wherein the second conductive layer is closer to the substrate than the first conductive layer, and wherein the first and second conductive layers are patterned as a touch electrode. The display further includes a light absorbing member at least partially overlapping the non-pixel area and not overlapping the pixel area. | 10-29-2015 |
20150315064 | HIGHLY REFRACTIVE THIN GLASSES - Glass sheets with high refractive indexes (nd), layer composite assemblies including the glass sheets, methods for manufacturing the glass sheets, and methods of using the glass sheets are all provided. The glass sheets can be processed in a glass sheet manufacturing process and nevertheless have the optical properties of a classical optical glass. The glass sheets of the are highly transparent, resistant to crystallization, chemically resistant and highly refractive. The glass sheets have a viscosity-temperature behavior that is adapted to the manufacturing procedure with glass sheet manufacturing processes. | 11-05-2015 |
20150315066 | HIGHLY REFRACTIVE THIN GLASSES - Glass sheets with high refractive indexes (nd), layer composite assemblies including the glass sheets, methods for manufacturing the glass sheets, and methods of using the glass sheets are all provided. The glass sheets can be processed in a glass sheet manufacturing process and nevertheless have the optical properties of a classical optical glass. The glass sheets of the are highly transparent, resistant to crystallization, chemically resistant and highly refractive. The glass sheets have a viscosity-temperature behavior that is adapted to the manufacturing procedure with glass sheet manufacturing processes. | 11-05-2015 |
20150315222 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound that has the structure according to Formula M(L | 11-05-2015 |
20150315425 | ADHESIVE FILM AND OPTICAL DISPLAY INCLUDING THE SAME - An adhesive film and an optical display, the adhesive film being prepared from an adhesive composition that includes a (meth)acrylic copolymer, wherein the adhesive film has an elongation of about 750% to about 3,000%, and satisfies the following Equation 1: | 11-05-2015 |
20150318077 | COMPOSITION, LAMINATE, METHOD OF MANUFACTURING LAMINATE, TRANSISTOR, AND METHOD OF MANUFACTURING TRANSISTOR - A composition includes the following (a) to (c).
| 11-05-2015 |
20150318334 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting device utilizing the micro-cavity effect in the RGB subpixel regions while suppressing the micro-cavity effect in the white subpixel region is provided. The organic light emitting device includes a lower substrate, an anode formed on the lower substrate, an organic emission layer formed on the anode, a cathode formed on the organic emission layer, and a reflection decreasing layer formed on at least a portion of the cathode for reducing reflection of the light emitted from the organic emission layer by the cathode to reduce the micro-cavity effect. Such a selective use of the micro-cavity effect in the organic light emitting device improves the color accuracy, the luminance efficiency and the lifespan of the top emission type organic light emitting device. | 11-05-2015 |
20150318335 | Light-Emitting Element, Light-Emitting Device, Lighting Device, and Electronic Appliance - An inverted-structure light-emitting element is provided. One embodiment of the invention disclosed in this specification is a light-emitting element including a cathode, a layer serving as a buffer over the cathode, an electron-injection layer over the layer serving as a buffer, a light-emitting layer over the electron-injection layer, and an anode over the light-emitting layer. The electron-injection layer includes an alkali metal or an alkaline earth metal. The layer serving as a buffer includes an electron-transport material. In the inverted-structure light-emitting element, contact of the alkali metal or alkaline earth metal included in a material of the electron-injection layer with the already formed cathode increases the driving voltage of an EL element and reduces emission efficiency. This problem becomes prominent particularly when the cathode includes an oxide conductive film. To prevent this, the layer serving as a buffer is provided between the cathode and the electron-injection layer. | 11-05-2015 |
20150318336 | DISPLAY MODULE - An organic display device includes a pixel driving circuit having a TFT connected to a current supply line and a capacitor. A first insulation layer, with a first electrode thereon, covers a source electrode of the TFT. The first electrode is connected to the TFT through a contact hole in the insulation layer. A second insulation layer including an aperture is formed on the first insulation layer and electrode layers. An organic light emitting layer, with a second electrode thereon is formed in the aperture and connected to the first electrode. The second insulation layer includes an inner wall at the aperture, said inner wall having a surface of a convex plane on an edge of the recessed part of the first electrode. The convex plane is located between the organic light emitting layer and the edge of the first electrode, and the second electrode is formed over pixels. | 11-05-2015 |
20150318338 | METHOD OF MANUFACTURING CAPACITOR, METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE CAPACITOR, AND ORGANIC LIGHT EMITTING DISPLAY DEVICE MANUFACTURED BY USING THE METHOD - A method of manufacturing an organic light emitting display device includes: providing a capacitor on a substrate; providing a protection layer on the capacitor; providing an organic light emitting diode on the protection layer; and providing an encapsulation layer which encapsulates the organic light emitting diode. The providing the capacitor includes: providing a bottom electrode including an oxide semiconductor, on the substrate; providing an insulation layer on the substrate and overlapping the bottom electrode; annealing the bottom electrode to increase a carrier density of the bottom electrode; and providing an intermediate electrode on the insulation layer and overlapping the bottom electrode. | 11-05-2015 |
20150318430 | Organic Optoelectronic Component with Infrared Detector - An organic optoelectronic component includes a substrate, an organic light-emitting element which has an organic light-emitting layer between two electrodes, and an organic radiation-detecting element which has an organic radiation-detecting layer. The organic light-emitting element and the organic radiation-detecting element are arranged on the substrate. The organic light-emitting element is designed to emit visible light during operation and the organic radiation-detecting element is designed to detect infrared radiation during operation. | 11-05-2015 |
20150318481 | AN ORGANIC POLYMER PHOTO DEVICE WITH BROADBAND RESPONSE AND INCREASED PHOTO-RESPONSITIVITY - An organic polymer photo device with broadband response and high photo-responsitivity includes an anode terminal with a hole transporting network, and a cathode terminal with an electron transporting network. Positioned in electrical communication with the hole transporting network and the electron transporting network is a blended material that has at least one organic polymer light absorbing component. The organic light absorbing component is configured to have a collection length that is larger than the distance to the nearest electron transporting network and hole transporting network. As such, the blended material forms a light absorbing area that has a dimension that is greater than the collection length of the organic polymer light absorbing component. | 11-05-2015 |
20150318482 | PHOTOCURABLE COMPOSITION AND DEVICE INCLUDING BARRIER LAYER FORMED FROM COMPOSITION - The present invention relates to: a photocurable composition containing (A) a photocurable monomer and (B) a monomer containing phosphorus and an amide group; and a device including a barrier layer formed of the composition. | 11-05-2015 |
20150318483 | NOVEL COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE COMPRISING SAME - The present disclosure relates to a novel compound having excellent hole injection capabilities and transport capabilities, light-emitting capabilities, and the like, and an organic electroluminescent device which includes the compound in one or more organic material layers thereof so as to improve characteristics such as light-emitting efficiency, driving voltage, and a service life. | 11-05-2015 |
20150318485 | MICROMOLECULAR ELECTRON TRANSPORT MATERIAL BASED ON PYRIDINE AND TRIAZOLE, PREPARATION METHOD AND ORGANIC LIGHT-EMITTING DIODE THEREOF - The present invention provides a micromolecular electron transport material based on pyridine and triazole, which is represented by the formula I, and an organic light-emitting diode using the micromolecular electron transport material. The micromolecular electron transport material of the present invention can improve the capacity of electron injection, transmitting and hole-blocking, thus can gain high E | 11-05-2015 |
20150318486 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device and a flat panel display device, the organic light-emitting device including an anode; cathode; and organic layer therebetween, the organic layer including a hole transport region between the anode and the emission layer and that includes at least one of a hole injection layer, a hole transport layer, a buffer layer, and an electron blocking layer, an electron transport region between the emission layer and the cathode, the electron transport region including at least one of a hole blocking layer, an electron transport layer, and an electron injection layer, and a mixed organic layer disposed between the emission layer and the electron transport region, wherein the mixed organic layer includes a hole transport compound and an electron transport compound, and an electron affinity (EA1) of the hole transport compound and an electron affinity (EA2) of the electron transport compound satisfy the following relationship: | 11-05-2015 |
20150318487 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device and a flat panel display device, the organic-light emitting device including an anode; a cathode; and an organic layer therebetween including an emission layer, a hole transport region between the anode and the emission layer, the hole transport region including at least one of a hole injection layer, a hole transport layer, and an electron blocking layer, an electron transport region between the emission layer and the cathode, the electron transport region including at least one of a hole blocking layer, an electron transport layers and an electron injection layer, and a buffer layer between the emission layer and the electron transport region, wherein the buffer layer includes a biscarbazole-based derivative and triphenylene-based derivative, and a triplet energy (E | 11-05-2015 |
20150318488 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode, a second electrode facing the first electrode, and an organic layer disposed between the first electrode and the second electrode and including an emission layer; an electron transport region disposed between the second electrode and the emission layer; a mixed layer disposed between the emission layer and the electron transport region and including a first material and a second material; wherein the first material and the second material are pyrrolidine-based compounds; and triplet energy Eg | 11-05-2015 |
20150318489 | ORGANIC ELECTROLUMINESCENCE ELEMENT, ILLUMINATION DEVICE, AND DISPLAY DEVICE - An organic electroluminescence element includes: an anode; a cathode; and a luminous layer. The luminous layer includes: a luminescent dopant having a reorganization energy of 0 eV to 0.7 eV in electron transition from a ground state (S | 11-05-2015 |
20150318490 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME - A condensed cyclic compound represented by Formula 1 and an organic light-emitting device including the condensed cyclic compound are provided; | 11-05-2015 |
20150318492 | Quinoxaline Derivative, and Light Emitting Element, Light Emitting Device, and Electronic Device Using the Quinoxaline Derivative - To provide a new bipolar organic compound. In particular, to provide a bipolar organic compound having excellent heat resistance and to provide a bipolar organic compound which is electrochemically stable. Further, to provide a light emitting element and a light emitting device of which a driving voltage and power consumption are reduced by using a new bipolar organic compound. Further, to provide a light emitting element and a light emitting device which have excellent heat resistance by using a new bipolar organic compound. Further, to provide a light emitting element and a light emitting device which have a long life by using a new bipolar organic compound. | 11-05-2015 |
20150318497 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formulae 1, 2, or 3 below: | 11-05-2015 |
20150318499 | ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE AND LIGHTING DEVICE - A wet process using an organic solvent is used to produce an organic EL element, which has high light emission efficiency, a long light emission life and a small color change when continuously driven, an illuminating device and a display device are provided. Especially, an organic EL element which emits white light and can be manufactured at low cost is provided. | 11-05-2015 |
20150318501 | PHENOXASILINE BASED COMPOUNDS FOR ELECTRONIC APPLICATION - Organic electronics applications, especially an organic light-emitting diode (OLED), an organic solar cell (organic photovoltaics) or a switching element such as an organic transistor, for example an organic FET (Field Effect Transistor) and an organic TFT (Thin Film Transistor), comprising at least one substituted phenoxasiline derivative, a organic semiconductor layer, a host material, electron/hole/exciton blocking material or electron/hole injection material comprising at least one substituted phenoxasiline derivative, the use of a substituted phenoxasiline derivative in organic electronics applications, an organic light-emitting diode, wherein at least one substituted phenoxasiline derivative is present in the electron/hole/exciton blocking layer, the electron/hole injection layer and/or the light-emitting layer, a light-emitting layer, an electron/hole/exciton blocking layer and an electron/hole injection layer comprising at least one substituted phenoxasiline derivative and a device selected from the group consisting of stationary visual display units, mobile visual display units; illumination units; keyboards; garments; furniture and wallpaper comprising at least one organic light-emitting diode, at least one light-emitting layer, at least one electron/hole/exciton blocking layer and/or at least one electron/hole injection layer according to the present invention. | 11-05-2015 |
20150318502 | TRANSPARENT ORGANIC THIN-FILM TRANSISTOR AND METHOD FOR MANUFACTURING SAME - A highly transparent organic thin-film transistor that has superior transistor performance and can be applied to flexible devices includes: a transparent support substrate; a first gate electrode formed on the transparent support substrate; a second gate electrode formed on the first gate electrode; a polymeric gate-insulating layer formed on the second gate electrode; a source electrode and a drain electrode formed on the polymeric gate-insulating layer; and an organic semiconductor layer formed on the source electrode and the drain electrode. | 11-05-2015 |
20150318506 | ORGANIC LIGHT EMITTING DIODE DISPLAY APPARATUS - An organic light emitting diode display apparatus includes a light-emitting module and a color filter layer that includes a plurality of sub-pixels, wherein at least one of the sub-pixels contains a quantum dot material; the light-emitting module includes a plurality of light-emitting units corresponding to the plurality of sub-pixels on the color filter layer. | 11-05-2015 |
20150318507 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display element includes a substrate, a lower electrode positioned on the substrate, at least one organic light emitting layer positioned on the lower electrode, a metal doped layer positioned on the organic light emitting layer, and an upper electrode positioned on the metal doped layer that includes a conductive material, and is configured to transmit light. Such organic light emitting display element is capable of minimizing degeneration and damage to the organic light emitting layer caused by sputtering. | 11-05-2015 |
20150318508 | ORGANIC LIGHT-EMITTING DEVICE - An OLED and a flat panel display, the OLED including an anode; a cathode; and an organic, the organic layer including an EML, the EML including first and second hosts, a hole transport region between the anode and the EML and including at least one of a hole injection layer (HIL), a hole transport layer (HTL), a buffer layer, or an electron blocking layer (EBL), an electron transport region between the EML and the cathode, the electron transport region including at least one of a hole blocking layer (HBL), an electron transport layer (ETL), or an electron injection layer (EIL), and an intermediate layer between the EML and the electron transport region; wherein an electron affinity of a compound of the intermediate layer [EA | 11-05-2015 |
20150318509 | ORGANIC LIGHT-EMITTING DISPLAY - An organic light-emitting display including a substrate having a first pixel area to emit a light of a first color and a second pixel area to emit a light of a second color, a first anode disposed on the first pixel area and a second anode disposed on the second pixel area, a first emitting layer disposed on the first anode and a second emitting layer disposed on the second anode, the first emitting layer including a fluorescent light-emitting material and the second emitting layer including a first phosphorescent light-emitting material, a first buffer layer disposed on the first emitting layer and a second buffer layer disposed on the second emitting layer, the first buffer layer and the second buffer layer being formed of different materials, and a first cathode disposed on the first buffer layer and a second cathode disposed on the second buffer layer. | 11-05-2015 |
20150318510 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes an anode, a cathode, and an organic layer between the anode and the cathode, wherein the organic layer includes a mixed organic layer, and the mixed organic layer includes at least two different compounds, and a triplet energy of at least one compound of the at least two different compounds is 2.2 eV or higher. The organic light-emitting device according to embodiments of the present invention may have a low driving voltage, a high efficiency, and a long lifespan. | 11-05-2015 |
20150318511 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device and a flat panel display, the device including an anode; a cathode; and an organic layer therebetween, the organic layer including an EML, the EML including a first host and a second host that are different from one another, a hole transport region between the anode and the EML, the hole transport region including at least one of a hole injection layer (HIL), a hole transport layer (HTL), a butler layer, or an electron blocking layer (EBL), an electron transport region between the EML and the cathode, the electron transport region including at least one of a hole blocking layer (HBL), an electron transport layer (ETL), or an electron injection layer (EIL), and an intermediate layer between the EML and the electron transport region; wherein the intermediate layer includes a compound having an electron affinity of more than 0 eV and less than 2.5 eV. | 11-05-2015 |
20150318512 | Optoelectronic Device and Method for Producing an Optoelectronic Device - An optoelectronic device is provided which comprises an organic active layer ( | 11-05-2015 |
20150318513 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic EL device includes at least two light-emitting units and at least one intermediate electrode that are disposed between a lower electrode and an upper electrode, the at least one intermediate electrode being electrically connected to an external power source. The at least one intermediate electrode is disposed between the at least two light-emitting units. At least one of the at least one intermediate electrode consists of a first metal layer composed of a metal with a work function of 3 eV or lower and a second metal layer adjoining the first metal layer and composed of another metal with a work function of 4 eV or higher. The first and second metal layers have a total thickness of 15 nm or less. The first metal layer is adjacent to an anode side of the second metal layer, when a voltage is applied across the intermediate electrode and the electrode opposing the intermediate electrode. | 11-05-2015 |
20150318515 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light-emitting display apparatus including a substrate; a first pixel electrode for first color emission, a second pixel electrode for second color emission, and a third pixel electrode for third color emission, the first pixel electrode, the second pixel electrode, and the third pixel electrode being spaced apart from each other on the substrate; a first color emission layer on the first pixel electrode, a second color emission layer on the second pixel electrode, and a third color emission layer on the third pixel electrode; an opposite electrode on the first color emission layer, the second color emission layer, and the third color emission layer; and a capping layer that includes a same material as the opposite electrode and is porous. | 11-05-2015 |
20150318516 | ORGANIC EL LIGHT-EMITTING DEVICE AND ILLUMINATION DEVICE - The organic EL light-emitting device according to the present invention includes a first substrate, an organic EL element, a second substrate and a sealing member. The organic EL light-emitting device further includes a protection layer, a hygroscopic member, a moisture permeable member, and a contact prevention member within a space enclosed by the first substrate, the second substrate and the sealing member. The hygroscopic member is for absorbing moisture within the space. The moisture permeable member is in contact with the hygroscopic member and allows moisture within the space to permeate. | 11-05-2015 |
20150322102 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formula 1: | 11-12-2015 |
20150322208 | CONJUGATED POLYMERS - The invention relates to novel conjugated polymers containing one or more polycyclic repeating units, to methods for their preparation and educts or intermediates used therein, to polymer blends, mixtures and formulations containing them, to the use of the polymers, polymer blends, mixtures and formulations as organic semiconductors in organic electronic (OE) devices, especially in organic photovoltaic (OPV) devices and organic photodetectors (OPD), and to OE, OPV and OPD devices comprising these polymers, polymer blends, mixtures or formulations. | 11-12-2015 |
20150322337 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC ELECTROLUMINESCENT ELEMENT, ILLUMINATION DEVICE, AND DISPLAY DEVICE - A material for an organic electroluminescent element contains a compound represented by Formula (1): | 11-12-2015 |
20150323707 | OPTICAL FILM FOR REDUCING COLOR SHIFT AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE EMPLOYING THE SAME - Optical films, and organic light-emitting display devices employing the same, include a high refractive index pattern layer including a lens pattern region and a non-pattern region alternately formed, wherein the lens pattern region includes a plurality of grooves each having a depth larger than a width thereof, and the non-pattern region has no pattern; and a low refractive index pattern layer formed of a material having a refractive index smaller than a refractive index of the high refractive index pattern layer, wherein the low refractive index pattern includes a plurality of filling portions filling the plurality of grooves. | 11-12-2015 |
20150325629 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - Provided is an organic electroluminescence display device. The organic electroluminescence display device includes a bank that is provided so as to surround a central portion of a pixel electrode, an organic electroluminescence layer that is provided on the pixel electrode, a common electrode that is formed so as to extend from the organic electroluminescence layer to the bank, a color filter layer that overlaps the organic electro luminescence layer, a black matrix layer that overlaps the bank, a spacer that is provided on the black matrix layer, and a wiring that is provided on the black matrix layer so as to be placed on the spacer. The black matrix layer is disposed on the bank through the spacer. A convex portion is formed by the wiring being placed on the spacer, and the convex portion is electrically connected to the common electrode above the bank. | 11-12-2015 |
20150325630 | ARRAY SUBSTRATE, MANUFACTURING METHOD THEREOF AND DISPLAY DEVICE - An array substrate, a manufacturing method thereof and a display device are provided. The array substrate comprises a base substrate ( | 11-12-2015 |
20150325631 | DISPLAY DEVICE, LIGHT-EMITTING DEVICE, AND ELECTRONIC APPLIANCE - A highly reliable display device or electronic appliance is provided. The display device or a light-emitting device includes a substrate; a light-emitting element including a first electrode, an EL layer, and a second electrode; an organic resin film in contact with the light-emitting element; and an oxide semiconductor film in contact with the light-emitting element and the organic resin film. The oxide semiconductor film is in contact with the first electrode or the second electrode included in the light-emitting element. The oxide semiconductor film is in contact with an exposed portion of the organic resin film, typically, a side surface of the organic resin film. The light-emitting element and the organic resin film are positioned between the substrate and the oxide semiconductor film. | 11-12-2015 |
20150325632 | ELECTROLUMINESCENT DEVICE AND MANUFACTURING METHOD THEREOF - An electroluminescent device and manufacturing method thereof are provided. The electroluminescence device comprises an array substrate ( | 11-12-2015 |
20150325633 | DISPLAY DEVICE - At least two TFTs which are connected with a light emitting element are provided, crystallinities of semiconductor regions composing active layers of the respective TFTs are made different from each other. As the semiconductor region, a region obtained by crystallizing an amorphous semiconductor film by laser annealing is applied. In order to change the crystallinity, a method of changing a scan direction of a continuous oscillating laser beam so that crystal growth directions are made different from each other is applied. Alternatively, a method of changing a channel length direction of TFT between the respective semiconductor regions without changing the scan direction of the continuous oscillating laser beam so that a crystal growth direction and a current flowing direction are different from each other is applied. | 11-12-2015 |
20150325794 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT - An aromatic amine derivative represented by the following formula (1). In the formula, Ar | 11-12-2015 |
20150325795 | COMPOUND FOR ORGANIC ELECTRIC ELEMENT, ORGANIC ELECTRIC ELEMENT COMPRISING THE SAME AND ELECTRONIC DEVICE THEREOF - Provided are a compound capable of improving light emitting efficiency, stability, and lifespan of the element, an organic element using the same, and an electric device for the same. | 11-12-2015 |
20150325796 | ORGANIC ELECTROLUMINESCENT DEVICE - Provided is a practically useful organic EL element having high efficiency and high driving stability while being capable of being driven at a low voltage. The organic EL element has a light-emitting layer and any other organic layer between an anode and a cathode opposite to each other. The light-emitting layer contains at least two host materials and at least one light-emitting dopant. At least one of the host materials is a host material selected from compounds each having one or two indolocarbazole skeletons, and at least one of the other host materials is a host material selected from carbazole compounds each substituted with a dibenzofuran or a dibenzothiophene. | 11-12-2015 |
20150325797 | OPTOELECTRONIC MATERIAL AND ORGANIC OPTOELECTRONIC DEVICE AND IMAGE SENSOR - An optoelectronic material includes a first organic molecule and a second organic molecule crosslinked with each other, the first organic molecule and the second organic molecule having wavelength selectivity in a visible ray region. | 11-12-2015 |
20150325798 | ORGANIC LIGHT-EMITTING DEVICES - An organic light-emitting device including a first electrode; a second electrode facing the first electrode; and an emission layer between the first electrode and the second electrode, wherein the emission layer includes a triphenylene-based compound represented by Formula 2, below, and at least one heterocyclic compound selected from compounds represented by Formulae 1A, 1B, 1C, 1D, and 1E. | 11-12-2015 |
20150325799 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by Formulae 1A or 1B: | 11-12-2015 |
20150325800 | ANTHRACENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME - An anthracene derivative represented by the following formula (1): wherein in the formula (1), L | 11-12-2015 |
20150325801 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes: a first electrode, a second electrode facing the first electrode, and an organic layer between the first electrode and the second electrode, the organic layer including: an emission layer, an electron transport region between the second electrode and the emission layer, and a mixed layer between the emission layer and the electron transport region, the mixed layer including a first material and a second material, the first material and the second material being selected from a pyrrolidine-based compound and a C | 11-12-2015 |
20150325802 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formula 1 or Formula 2: | 11-12-2015 |
20150325803 | INTEGRATED CONDUCTIVE SUBSTRATE, AND ELECTRONIC DEVICE EMPLOYING SAME - Provided are an integrated conductive substrate simultaneously serving as a substrate and an electrode, and an electronic device using the same. The integrated conductive substrate includes a metal layer composed of a non-ferrous metal, which has a first surface having a first root mean square roughness, and a semiconductor layer containing a semiconductor material, which has a second surface having a second root mean square roughness and is formed on the first surface. Here, the semiconductor layer includes a semiconductor-type planarization layer formed by a solution process using at least one of the semiconductor material and a precursor of the semiconductor material to planarize the first surface of the metal layer, and the second root mean square roughness is smaller than the first root mean square roughness. | 11-12-2015 |
20150325805 | TRANSISTOR ELEMENT - The present invention provides a transistor element having a laminated structure, the laminated structure comprising a sheet-like base electrode being arranged between an emitter electrode and a collector electrode; at least one p-type organic semiconductor layer being provided on each of the surface and the back sides of the base electrode; and a current transmission promotion layer being formed, on each of the surface and back sides of the base electrode, between the base electrode and the p-type organic semiconductor layer or layers provided on each of the surface and back sides of the base electrode. According to the present invention, it becomes possible to provide a transistor element (MBOT) that is, in particular, stably supplied through a simple production process, has a structure capable of being mass-produced, and has a large current modulation effect and an excellent ON/OFF ratio at a low voltage in the emitter electrode and the collector electrode. | 11-12-2015 |
20150325806 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, AND ELECTRONIC DEVICE - It is an object to provide an element structure which is suitable for a light-emitting element using a phosphorescent compound. It is another object to provide a light-emitting element with high luminous efficiency by using the element structure. In particular, it is another object to provide a light-emitting element with high luminous efficiency and long life. A light-emitting element is manufactured, which includes a first light-emitting layer and a second light-emitting layer provided to be in contact with each other between a first electrode and a second electrode, where the first light-emitting layer includes a hole transporting host material and a phosphorescent compound, and the second light-emitting layer includes an electron transporting host material and the phosphorescent compound. | 11-12-2015 |
20150325807 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formula 1: | 11-12-2015 |
20150325808 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND DISPLAY PANEL THEREOF - An organic light emitting diode (OLED) display device and a display panel thereof are provided. The organic light emitting diode display panel comprises a first substrate, a first electrode, an organic light emitting layer, a second electrode, and a second substrate. The first electrode is disposed on the first substrate. The organic light emitting layer is disposed on the first electrode. The second electrode is disposed on the organic light emitting layer. The second substrate is located on the second electrode. The material of the second electrode comprises an alkaline earth element and silver. The second electrode comprises a first portion and a second portion, and the first portion is located between the second portion and the first substrate. The ratios of the alkaline earth element to silver in the first portion and in the second portion are different. | 11-12-2015 |
20150325809 | ORGANIC ELECTROLUMINESCENT PANEL | 11-12-2015 |
20150325810 | ORGANIC EL LAMINATE - In the organic EL laminate, a gas barrier film, which has a laminated structure composed of an organic film and an inorganic film, adheres to a passivation film, which covers a light emitting element using an organic EL material, by an adhesive in a state in which the inorganic film faces the passivation film and the inorganic film and the passivation film are formed of the same material. | 11-12-2015 |
20150325811 | SYSTEM FOR DISPLAYING IMAGES - A system for displaying images employing a pixel structure. The pixel structure includes a first sub-pixel, a second sub-pixel, and a third sub-pixel, wherein each sub-pixel comprises a color filter layer, an electroluminescent element corresponding to the color filter layer, and a passivation layer formed on the electroluminescent element and covering the electroluminescent element, wherein the filling layer is disposed between the color filter layer and the, and wherein the distance between the first and second sub-pixels is greater than that between the first and third sub-pixels, and wherein the color filter layers of the first sub-pixel, the second sub-pixel, and the third sub-pixel are a red color filter layer, green color filter layer, and blue color filter layer respectively. | 11-12-2015 |
20150325812 | Light-Emitting Device and Electronic Device - A highly reliable light-emitting device is provided. Damage to an element due to externally applied physical power is suppressed. Alternatively, in a process of pressure-bonding of an FPC, damage to a resin and a wiring which are in contact with a flexible substrate due to heat is suppressed. A neutral plane at which stress-strain is not generated when a flexible light-emitting device including an organic EL element is deformed, is positioned in the vicinity of a transistor and the organic EL element. Alternatively, the hardness of the outermost surface of a light-emitting device is high. Alternatively, a substrate having a coefficient of thermal expansion of 10 ppm/K or lower is used as a substrate that overlaps with a terminal portion connected to an FPC. | 11-12-2015 |
20150325813 | EMISSIVE DISPLAY WITH HYBRID POLARIZER - An emissive display comprising an OLED, a first birefringent reflective polarizer, a second birefringent reflective polarizer optically between the OLED and the first birefringent reflective polarizer, a first linear absorbing polarizer having a contrast ratio of less than 100:1 optically between the first birefringent reflective polarizer and the second birefringent reflective polarizer, a second linear absorbing polarizer having a contrast ratio of less than 100:1, where the first birefringent reflective polarizer is optically between the second linear absorbing polarizer and the first linear absorbing polarizer, and a structured optical film optically between the OLED and the second birefringent reflective polarizer. | 11-12-2015 |
20150325814 | DISPLAY PANEL, MANUFACTURE METHOD THEREOF, AND DISPLAY DEVICE - A display panel comprising a substrate ( | 11-12-2015 |
20150325816 | EMISSIVE DISPLAY WITH REFLECTIVE POLARIZER - An emissive display includes an OLED, a linear polarizer, a reflective polarizer optically between the OLED and the linear polarizer, and a structured optical film optically between the OLED and the reflective polarizer. | 11-12-2015 |
20150329747 | PRESSURE-SENSITIVE ADHESIVE COMPOSITION - The present invention relates to a pressure-sensitive adhesive composition and a pressure-sensitive adhesive film including the same, and provides a pressure-sensitive adhesive composition and a pressure-sensitive adhesive film which may prevent damage to an element from moisture contained in the composition, ionic substances, and other foreign substances, and effectively block electrochemical corrosion, thereby improving a lifetime and durability of an organic electronic device. | 11-19-2015 |
20150333107 | ORGANIC LIGHT EMITTING DIODE DISPLAY PANEL - An organic light-emitting diode (OLED) display panel includes: an OLED layer; a color filter layer; and a color coordinate tuning layer disposed between the OLED layer and the color filter layer, wherein the OLED layer includes a first light-emitting portion comprising a first light-emitting layer configured to emit a first light and a second light-emitting portion comprising a second light-emitting layer configured to emit a second light. The OLED layer is configured to emit a third light produced by mixing the first light and the second light. The color coordinate tuning layer is configured to output a fourth light different from the third light. The number of all of peaks and shoulders that an EL spectrum of the fourth light has is higher than the number of all of peaks and shoulders that an EL spectrum of the third light has throughout an entire range of a visible wavelength band. | 11-19-2015 |
20150333109 | OPTOELECTRONIC COMPONENT, METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT - An optoelectronic component is provided. The optoelectronic component includes an electromagnetic radiation source including an optically active region designed for emitting a first electromagnetic radiation, and a converter structure, which includes at least one converter material and is arranged in the beam path of the first electromagnetic radiation. The at least one converter material is designed to convert at least one portion of the first electromagnetic radiation into at least one second electromagnetic radiation. The at least one second electromagnetic radiation has at least one different wavelength than the at least one portion of the first electromagnetic radiation. The converter structure is formed in a structured fashion in such a way that the converter structure has a predefined region, such that the at least one second electromagnetic radiation is emittable only from the predefined region. The predefined region has a smaller area than the optically active region. | 11-19-2015 |
20150333110 | ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD FOR FABRICATING THE SAME - Disclosed an organic electroluminescent device and a method for fabricating the same. The device may include a thin film transistor disposed on a substrate; a first electrode formed for each pixel on the thin film transistor; a first pixel define layer formed to cover an edge portion of the first electrode; a second pixel define layer formed on the first pixel define layer; an organic layer formed on the first electrode; and a second electrode formed on the organic layer. | 11-19-2015 |
20150333111 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic electroluminescent display device of the invention includes a substrate on which a plurality of pixels are disposed in a matrix, an under layer that includes an organic insulating film and lower electrodes, a pixel separation film that is provided on the under layer so as to project therefrom, and an organic layer that covers the top of the under layer and the top of the pixel separation film and includes at least a light-emitting layer. A first adhesive film formed of one or more kinds of substances selected from the group consisting of amorphous carbon, diamond-like carbon, silicon, gallium, germanium, graphite oxide, and silicon carbide is formed at least partially between the top of the under layer and the pixel separation film or between the pixel separation film and the organic layer. | 11-19-2015 |
20150333112 | ORGANIC EL DEVICE AND MANUFACTURING METHOD OF THE SAME - According to one embodiment, an organic EL device includes an insulating substrate including a first main surface and a second main surface, a switching element formed on the insulating substrate at the first main surface side, a first electrode electrically connected to the switching element, a second electrode opposed to the first electrode, an organic luminescent layer disposed between the first electrode and the second electrode, a reflective plate disposed between the insulating substrate and the first electrode, and a conductive film covering the second main surface of the insulating substrate. | 11-19-2015 |
20150333114 | ARRAY SUBSTRATE AND A DISPLAY PANEL HAVING THE SAME - An array substrate and a display panel are disclosed. The array substrate comprises a plurality of first pixel units and a plurality of second pixel units. The first pixel units and the second pixel units are disposed interlaced. A first input terminal of a driving circuit of a sub-pixel unit of each of the first pixel unit receives a first pulse signal, and a second input terminal of the driving circuit of the sub-pixel unit of each of the first pixel unit receives a second pulse signal. The phases of first pulse signal and the second pulse signal are reversed, making the first pixel units and the second pixel units to be driven alternatingly. Power efficiency is increased and the life of a pixel unit is prolonged. | 11-19-2015 |
20150333115 | FLEXIBLE DISPLAY SUBSTRATE AND A MANUFACTURING METHOD THEREOF, AS WELL AS A FLEXIBLE DISPLAY DEVICE - The embodiments of the present invention provide a flexible display substrate and a manufacturing method thereof, as well as a flexible display device, which relate to the technical field of display, and can avoid the performance of the thin film transistor from being influenced by light energy when a flexible substrate is striped from a carrying substrate. The method for manufacturing the flexible display substrate may comprise: forming a flexible substrate on a carrying substrate; forming a first buffer layer on the flexible substrate; forming a plurality of display elements on the first buffer layer, each of the plurality of display elements comprising a thin film transistor and an electrode structure, the thin film transistor comprising a metal oxide semiconductor active layer; stripping the flexible substrate from the carrying substrate, wherein the method further comprises: forming a light absorbing layer before the plurality of display elements are formed. | 11-19-2015 |
20150333116 | DISPLAY UNIT - A display unit is provided including a first insulating film, a plurality of anode electrodes disposed on the first insulating film, a second insulating film disposed on the anode electrodes, a plurality of organic layers disposed on the anode electrodes, a cathode electrode disposed on the organic layer, and a first wiring disposed on the first insulating film. The cathode electrode is connected to an extraction electrode via a first wiring provided in a peripheral area of the anode electrodes. Moreover, one of the organic layers extending in a column direction is provided over two of more rows of the anode electrodes. | 11-19-2015 |
20150333237 | LIGHT-EMISSIVE DEVICES AND LIGHT-EMISSIVE DISPLAYS - In some embodiments, a light-emissive device may include a reflector assembly, a dielectric layer, an electrode pin, a second semiconductor, and an electrode connector. The reflector assembly may define a cavity, a light opening, and an electrode pin opening. The dielectric layer may be positioned adjacent to the reflector assembly. The dielectric layer may define an electrode pin aperture and an electrode connector aperture. The electrode pin may include a head and a shaft. The head may be positioned in the cavity and coated with a first semiconductor. The shaft may be at least partially positioned in the electrode pin opening and through-mounted to the electrode pin aperture. The second semiconductor may be disposed in the cavity. The second semiconductor may surround the first semiconductor. The electrode connector may be electrically coupled to the second semiconductor and through-mounted to the electrode connector aperture. | 11-19-2015 |
20150333261 | NANO-ENCAPSULATING POLYMERS WITH HIGH BARRIER PROPERTIES - Polymeric barriers for organic light emitting diodes are formed in-situ by encapsulation or polymerization. Encapsulation with melamine-cyanurate is performed using sublimation reaction technique. An encapsulation technique involves curing a layer of resin made by mixing a polyaza aryl compound, such as melamine, melam, or melem, with a cyanuryl triglycidyl ether. Another encapsulation technique involves curing a layer of resin made by mixing the polyaza aryl aromatic compound in 2,4,6-tricyanatophenyl glycidyl ether or tetracyanatobenzene applied to an organic light emitting diode. Photo catalytic curing of the coating may be achieved in the presence of catalysts such as titanium IV oxide acetylacetonate. | 11-19-2015 |
20150333262 | POLYMER AND DEVICE - A copolymer comprising a repeat unit of Formula (I) and at least one further repeat unit: Formula (I) wherein: wherein: Ar | 11-19-2015 |
20150333266 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes: a first electrode; a second electrode facing the first electrode; and an organic layer that is disposed between the first electrode and the second electrode and includes an emission layer, wherein the organic layer includes at least one pyrene-based compound represented by Formula 1 and at least one anthracene-based compound represented by Formula 2: | 11-19-2015 |
20150333267 | NOVEL ORGANIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE AND DISPLAY APPARATUS HAVING THE SAME - A novel organic compound having high stability is provided. The organic compound is represented by Formula (1) described in claim | 11-19-2015 |
20150333268 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME - A condensed cyclic compound is represented by Formula 1. | 11-19-2015 |
20150333270 | Light-Emitting Element, Light-Emitting Device, Lighting Device, and Electronic Device - A light-emitting element is provided, in which n (n is a natural number of two or more) EL layers are provided between an anode and a cathode. Between the m-th (m is a natural number, 1≦m≦n−1) EL layer and the (m+1)-th EL layer, a first layer containing any of an alkali metal, an alkaline earth metal, a rare earth metal, an alkali metal compound, an alkaline earth metal compound, and a rare earth metal compound, a second layer containing a substance having high electron-transport properties in contact with the first layer, and a charge-generation layer containing a substance having high hole-transport properties and an acceptor substance in contact with the second layer are provided in this order over the anode. The charge-generation layer does not have a peak of an absorption spectrum in a visible light region. | 11-19-2015 |
20150333271 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by Formula 1: | 11-19-2015 |
20150333273 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by one of Formulae 1A to 1C, wherein Formulae 1A to 1C are disclosed in the detailed description. | 11-19-2015 |
20150333274 | MATERIALS FOR ELECTRONIC DEVICES - The present application relates to a compound of a formula (I) which contains an indenocarbazole group, a carbazole group and an electron-deficient group bonded to the indenocarbazole group. The compound is suitable for use in electronic devices, in particular in organic electroluminescent devices. | 11-19-2015 |
20150333276 | MATERIAL FOR ORGANIC ELECTRONIC DEVICE, AND ORGANIC ELECTRONIC DEVICE USING THE SAME - The present invention provides a novel compound that is capable of largely improving a life time, efficiency, electrochemical stability, and thermal stability of an organic electronic device, and an organic electronic device that comprises an organic material layer comprising the compound. | 11-19-2015 |
20150333277 | ORGANIC LIGHT EMITTING COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - Disclosed is an organic light emitting compound represented by Formula I: | 11-19-2015 |
20150333278 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formula 1: | 11-19-2015 |
20150333279 | ORGANIC LIGHT-EMITTING ELEMENT AND DISPLAY APPARATUS - Provided is an organic light-emitting element having high luminous efficiency and a long lifetime. The organic light-emitting element includes a pair of electrodes and an organic compound layer placed between the pair of electrodes, in which the organic compound layer includes an iridium complex having a benzo[f]isoquinoline of a specific structure as a ligand and a metal complex compound of a specific structure. | 11-19-2015 |
20150333281 | ORGANIC LIGHT-EMITTING DEVICE - Provided is an organic light-emitting device including a first electrode, a second electrode, an emission layer between the first electrode and the second electrode, and a hole transport region between the first electrode and the emission layer, wherein the hole transport region includes an auxiliary layer, the auxiliary layer including at least one amine-based compound represented by Formula 1: | 11-19-2015 |
20150333284 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes: an organic light-emitting device that includes: a cathode; an anode facing the cathode; a functional layer located between the cathode and the anode, the functional layer including a light-emitting layer; and an electron-injection layer located between the cathode and the functional layer, the electron-injection layer at least partially composed of a metallic compound containing a metal element, wherein the electron-injection layer includes crystal grains in which the metallic compound is crystallized and the metal element has a d10 electron configuration in the outermost shell, and at least one of the crystal grains is in contact with both the cathode and the functional layer. | 11-19-2015 |
20150333285 | DISPLAY DEVICE - A display device includes a back cover, an image display panel, a chassis disposed between the back cover and the image display panel, an escutcheon to cover the periphery of the image display panel, and a plurality of holding members. Each of the plurality of the holding members is coupled with the chassis. Moreover, the each of the plurality of the holding members is coupled with the escutcheon. Furthermore, at least a part of the plurality of the holding members is coupled with the back cover. The image display panel is configured such that the periphery of the image display panel is pushed by the escutcheon in the direction toward the chassis. | 11-19-2015 |
20150333289 | METHOD FOR PRODUCING TRANSPARENT GAS BARRIER FILM, APPARATUS FOR PRODUCING TRANSPARENT GAS BARRIER FILM, AND ORGANIC ELECTROLUMINESCENCE DEVICE - A method for producing a transparent gas barrier film of the present invention is performed using a roll-to-roll method. The method includes depositing a plurality of layers on a long belt-shaped resin substrate | 11-19-2015 |
20150333292 | ORGANIC ELECTROLUMINESCENT DEVICE - In an organic electroluminescent device, deterioration of an organic material layer of an OLED due to moisture and the like from a surrounding material is effectively prevented. An OLED is provided with an organic material layer including a light emitting layer and is provided on a lower substrate. A first diamond-like carbon layer is provided between the lower substrate and the organic material layer and is at least arranged in a light emitting area in a surface along the lower substrate. A second diamond-like carbon layer is provided above the organic material layer and is at least arranged in the light emitting area. | 11-19-2015 |
20150333293 | Encapsulation Layers with Improved Reliability - An electronic device may include a display having an array of organic light-emitting diodes formed on a substrate. An encapsulation layer may be formed over the array of organic light-emitting diodes to protect the organic light-emitting diodes from moisture and other contaminants. The encapsulation layer may include a transparent sheet of material interposed between upper and lower inorganic films. The reliability of the encapsulation layer is increased by dividing one or both of the inorganic films into multiple sub-layers. The sub-layers may have different densities and may be deposited in sequential steps. Additional moisture protection may be provided by forming a conformal thin-film coating over the organic light-emitting diodes. The conformal thin-film coating may be an aluminum oxide layer that is formed using atomic layer deposition techniques. | 11-19-2015 |
20150333295 | ORGANIC LIGHT EMITTING DIODE AND DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting diode can include a first electrode and a second electrode; an organic light emitting layer between the first electrode and the second electrode; and a auxiliary light emitting layer between the first electrode and the organic light emitting layer or between the organic light emitting layer and the second electrode, wherein a difference between a main peak wavelength of light emitted from the organic light emitting layer itself and a main peak wavelength of light out-coupling between the first and second electrodes is within a predetermined range. | 11-19-2015 |
20150333296 | ORGANIC LIGHT EMITTING DEVICE - Provided is an organic light emitting device including: an organic emission layer disposed between a first electrode and a second electrode and in a plurality of sub-pixel areas; a plurality of electroluminescence units which include the organic emission layer and are formed by stacking; and a charge generation layer between the plurality of electroluminescence units, where the charge generation layers respectively disposed in the plurality of sub-pixel areas have a step and are formed at different positions, and the second electrodes respectively disposed in the multiple sub-pixel areas have a step and are formed at different positions. | 11-19-2015 |
20150333297 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE, METHOD FOR MANUFACTURING THE SAME AND DISPLAY APPARATUS - Disclosed is an organic electroluminescent display device, a manufacturing method thereof and a display apparatus including the same. The organic electroluminescent display device includes an substrate comprising a main material film layer, and a first phase difference film layer, a water and oxygen-proof film layer and a polarizing film layer provided to stack each other; an organic electroluminescent pixel array provided on the substrate, the organic electroluminescent pixel array may emitting visible light capable of passing through the substrate; and a package substrate or a package thin film cladding outside of the organic electroluminescent pixel array. The first phase difference film layer is located at a side of the polarizing film layer near the organic electroluminescent pixel array. The substrate may have the function of anti-reflection as well as the good performance of water-proof and oxygen-proof. In this way, the OLED device of bottom-emission type provided on the substrate may leave out double film-applying process for applying the circular polarizing filter and the water and oxygen-proof film layer, and avoid the problems of thickening the thickness of a flexible device and bending brought about by the double film-applying. | 11-19-2015 |
20150336937 | CARBAZOLE COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCUDING THE SAME - A carbazole compound represented by Formula 1 | 11-26-2015 |
20150336959 | Electronic Device - The present application relates to an electronic device comprising a heteroaromatic compound of a formula (I) as functional material, in particular as electron-transport material and as matrix material for emitter compounds. | 11-26-2015 |
20150337065 | PHOTOCURED COMPOSITION, BLOCKING LAYER COMPRISING SAME AND ENCAPSULATED DEVICE COMPRISING SAME - The present invention relates to a photocured composition comprising (A) a photocurable monomer and (B) a monomer of chemical formula 1, a blocking layer comprising the same, and an encapsulated device comprising the same. | 11-26-2015 |
20150340410 | Top Emission AMOLED Displays using Two Emissive Layers - Full-color pixel arrangements for use in devices such as OLED displays are provided, in which multiple sub-pixels are configured to emit different colors of light, with each sub-pixel having a different optical path length than some or all of the other sub-pixels within the pixel. | 11-26-2015 |
20150340413 | ORGANIC LIGHT EMITTING DEVICE - Disclosed is an organic light emitting device, (OLED) comprising a substrate on which a driving transistor is formed, a bank formed on the substrate providing a boundary for a pixel region, a first electrode formed on the substrate and electrically connected with the driving transistor, the first electrode comprising a first and second cross sectional area both oriented in a direction perpendicular to a vertical direction of the substrate, the first area adjacent to the bank, the second area surrounded by the first area, an organic layer formed on the first electrode within the boundary provided by the bank, and a second electrode formed on the organic layer, wherein during operation of the OLED a first electric field between the first area of the first electrode and the second electrode is greater than a second electric field between the second area of the first electrode and the second electrode. | 11-26-2015 |
20150340414 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus in which damages or defects are decreased when forming an emission layer, and a method of manufacturing the organic light-emitting display apparatus includes: a pixel electrode; an emission layer disposed on the pixel electrode and is capable of emitting light; a pixel defining layer that covers at least a portion of an edge of the emission layer such that a center portion of the emission layer is exposed; and an opposite electrode continuously formed over and across the pixel defining layer and the emission layer. | 11-26-2015 |
20150340415 | METHOD FOR FABRICATING COA ARRAY SUBSTRATE, ARRAY SUBSTRATE AND DISPLAY DEVICE - A method for fabricating a COA array substrate, an array substrate and a display device are provided. The fabrication method comprises the following steps: forming a protection layer ( | 11-26-2015 |
20150340416 | ARRAY SUBSTRATE, METHOD FOR PRODUCING THE SAME AND DISPLAY APPARATUS - An embodiment of the present invention relates to a technical field of display and provides an array substrate and a method for producing the same and a display apparatus that may simplify the layer arrangements and producing process of the array substrate, reduce the difficulty for producing the array substrate and improve the product yield of the array substrate. The array substrate comprises: a substrate base; and a thin film transistor unit and a color film on the substrate base, wherein the color film is conductive and electrically connected with a drain electrode of the thin film transistor unit. | 11-26-2015 |
20150340418 | DISPLAY DEVICE SUBSTRATE, DISPLAY DEVICE SUBSTRATE MANUFACTURING METHOD, DISPLAY DEVICE, LIQUID CRYSTAL DISPLAY DEVICE, LIQUID CRYSTAL DISPLAY DEVICE MANUFACTURING METHOD AND ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - The present invention provides a display device substrate, a display device substrate manufacturing method, a display device, a liquid crystal display device, a liquid crystal display device manufacturing method and an organic electroluminescent display device that allow suppressing faults derived from occurrence of gas and/or bubbles in a pixel region. The present invention is a display device substrate that comprises: a photosensitive resin film; and a pixel electrode, in this order, from a side of an insulating substrate. The display device substrate has a gas-barrier insulating film, at a layer higher than the photosensitive resin film, for preventing advance of a gas generated from the photosensitive resin film, or has a gas-barrier insulating film, between the photosensitive resin film and the pixel electrode, for preventing advance of gas generated from the photosensitive resin film. | 11-26-2015 |
20150340419 | ARRAY SUBSTRATE AND MANUFACTURING METHOD THEREOF, AND DISPLAY PANEL - The present invention provides an array substrate, a manufacturing method thereof and a display panel. The array substrate comprises a base, the base comprises a display region for displaying and a periphery region outside the display region, a plurality of lead wires extending from the display region to the periphery region are provided on a first side of the base, a plurality of conductive via-holes are provided in the periphery region of the base, the conductive via-holes are electrically connected with the respective lead wires and provided with conductive material therein, the lead wires are electrically connected to a second side of the base through the respective conductive via-holes; a back-side structure electrically connected with the conductive via-holes is provided on the second side of the base, and the back-side structure comprises a plurality of lead wire pads electrically connected with the respective conductive via-holes. | 11-26-2015 |
20150340420 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device includes a plurality of organic light-emitting diodes which shares a cathode, a plurality of switching elements which is connected to the cathode, a plurality of capacitors, each comprising a first electrode which is connected to each of the switching elements, respectively, and a second electrode and a power bus line which is connected to the second electrode, wherein each of the plurality of switching elements controls a connection between the cathode and the first electrode. | 11-26-2015 |
20150340421 | ELECTRO LUMINESCENT DISPLAY PANEL AND ELECTRONIC APPARATUS - An EL display panel having a pixel structure corresponding to an active-matrix drive system, the EL display panel including a current supply line configured to be connected to a plurality of pixel circuits in common, line width of an intersection part of the current supply line with a signal line being smaller than line width of the other part of the current supply line. | 11-26-2015 |
20150340615 | DONOR MASK AND METHOD OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY APPARATUS USING THE SAME - A method of manufacturing an organic light emitting display apparatus using a donor mask. The donor mask includes a base substrate, a light to heat conversion layer provided on the base substrate, and a reflection layer disposed between the base substrate and the light to heat conversion layer and comprising a through hole corresponding to a first transfer region, a non-transfer region having a first thickness and a second transfer region having a second thickness smaller than the first thickness, and the organic light emitting display apparatus using the same. | 11-26-2015 |
20150340618 | LUMINESCENT MATERIAL FOR ORGANIC OPTOELECTRIC DEVICE AND ORGANIC OPTOELECTRIC DEVICE AND DISPLAY DEVICE - Disclosed are a luminescent material for an organic optoelectric device represented by Chemical Formula 1, an organic optoelectric device including the luminescent material for an organic optoelectric device, and a display device including the organic optoelectric device. | 11-26-2015 |
20150340619 | Electronic Device - The present application relates to an electronic device having defined composition of the emitting layer. The application furthermore relates to the use of the device in displays or in lighting applications. | 11-26-2015 |
20150340620 | NOVEL COMPOUND AND ORGANIC ELECTRONIC ELEMENT USING SAME - The present specification relates to an organic electronic device in which a new compound, which can improve the life span, the efficiency, the electrochemical stability and the thermal stability of the organic electronic device, is included in an organic material layer. | 11-26-2015 |
20150340621 | ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to organic electroluminescent devices which comprise mixtures of at least two electron-conducting materials, in particular as matrix for phosphorescent emitters. | 11-26-2015 |
20150340622 | Heterocyclic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - Provided is a novel heterocyclic compound, a novel heterocyclic compound that can be used in a light-emitting element, or a highly reliable light-emitting device, electronic device, and lighting device in each of which the light-emitting element using the novel heterocyclic compound is used. One embodiment of the present invention is a heterocyclic compound represented by General Formula (G1). | 11-26-2015 |
20150340623 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescence device includes an anode, a cathode and an emitting layer, in which the emitting layer includes a first compound and a second compound and each of the first compound and the second compound is a compound emitting thermally activated delayed fluorescence. | 11-26-2015 |
20150340626 | ORGANIC COMPOUND, COMPOSITION, ORGANIC OPTOELECTRIC DEVICE, AND DISPLAY DEVICE - An organic compound, a composition for an organic optoelectric device, and a display device, the compound being represented by the following Chemical Formula 1: | 11-26-2015 |
20150340627 | MATERIALS FOR ELECTRONIC DEVICES - The present application relates to a compound of a formula (I), (II) or (III). The compound can be used in an electronic device, preferably an organic electronic device. | 11-26-2015 |
20150340628 | METAL COMPLEX COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME - Disclosed is a metal complex compound represented by the following Chemical Formula 1, and an organic light emitting diode device including the same. | 11-26-2015 |
20150340629 | HOLE TRANSPORT COMPOSITION - There is provided a hole transport composition including (a) an inert matrix polymer and (b) at least 10% by weight, based on the total weight of the composition, of a cyclometalated iridium complex. | 11-26-2015 |
20150340630 | FLEXIBLE ORGANIC THIN-FILM TRANSISTOR AND SENSOR HAVING THE SAME - A flexible organic thin-film transistor according to an exemplary embodiment of the present disclosure includes an active layer formed on a flexible substrate from a material having a smaller grain size than 100 nanometers (nm) and arrangement in a herringbone structure. | 11-26-2015 |
20150340631 | AMBIPOLAR VERTICAL FIELD EFFECT TRANSISTOR - Various examples are provided for ambipolar vertical field effect transistors (VFETs). In one example, among others, an ambipolar VFET includes a gate layer; a source layer that is electrically percolating and perforated; a dielectric layer; a drain layer; and a semiconducting channel layer. The semiconducting channel layer is in contact with at least a portion of the source layer and at least a portion of the dielectric layer and the source layer and the semiconducting channel layer form a gate voltage tunable charge injection barrier. Another example includes an ambipolar vertical field effect transistor including a dielectric surface treatment layer. The semiconducting channel layer is in contact with at least a portion of the source layer and at least a portion of the dielectric surface treatment layer and where the source layer and the semiconducting channel layer form a gate voltage tunable charge injection barrier. | 11-26-2015 |
20150340635 | White Organic Light Emitting Device - Disclosed is a white organic light emitting device. The white organic light emitting device includes a first emission part between a first electrode and a second electrode, a second emission part on the first emission part, and a third emission part on the second emission part. At least one of the first to third emission parts includes at least two emission layers including a red emission layer, and a position of the red emission layer is set to enhance a color reproduction rate and at least one of red efficiency, green efficiency, and blue efficiency. | 11-26-2015 |
20150340636 | Electronic Device - The application relates to an electronic device comprising an emitting layer which comprises at least one emitter compound and at least two host materials. The application furthermore relates to the use of the device in displays or in lighting applications. | 11-26-2015 |
20150340640 | ORGANIC LIGHT EMITTING DIODE - Disclosed is an organic light emitting diode (OLED) that includes an OLED panel; a back cover supporting the OLED panel and including a reinforcing bent portion; and a heat dissipation member between the OLED panel and the back cover. | 11-26-2015 |
20150340641 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes an internal light extraction layer including a scattering layer and a smooth layer; and a transparent electrode including an underlying layer and an electrode layer, wherein the transparent electrode is provided on the smooth layer side of the internal light extraction layer, the internal light extraction layer has a refractive index in the range of 1.7 to less than 2.5, and the electrode layer includes silver or an alloy including silver as a main component. | 11-26-2015 |
20150340642 | ORGANIC ELECTROLUMINESCENT DEVICE, ILLUMINATION APPARATUS, AND ILLUMINATION SYSTEM - An organic electroluminescent device includes first and second substrates, first and second electrodes, an insulating layer, an organic light emitting layer, and an intermediate layer. The first electrode is provided on the first substrate. The insulating layer is provided on the first electrode. The insulating layer includes first and second openings. The second electrode includes first and second conductive parts. The first conductive part covers the first opening. The second conductive part covers the second opening. The organic light emitting layer includes first and second light emitting parts. The first light emitting part is provided between the first electrode and the first conductive part. The second light emitting part is provided between the first electrode and the second conductive part. The second substrate is provided on a stacked body including above. The intermediate layer is provided between the stacked body and the second substrate. | 11-26-2015 |
20150340644 | TRANSPARENT CONDUCTIVE FILM, AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING SAME - The present invention relates to a transparent conducting film and an organic light emitting device comprising the same. The transparent conducting film according to the present invention has a low surface resistance value, a high front surface transmittance and a low light absorptance. The light emission efficiency of the organic light emitting device according to the present invention may be enhanced by comprising a transparent conducting film having low light absorptance. In particular, the organic light emitting device according to the present invention may additionally comprise an internal light extraction layer to improve the light extraction efficiency, and the loss of light generated by the difference between refractive indices of a transparent electrode and a substrate may be minimized. | 11-26-2015 |
20150340645 | OLED DISPLAY PANEL AND PRODUCTION PROCESS THEREOF - The invention provides an OLED display panel and the production process thereof, which relates to the technical field of display, may improve the surface flatness and the water-oxygen permeation resistance of the flexible base substrate, improve the light output ratio of the display panel, and may control the center wavelength of the electroluminescence spectrum. The display panel comprises an anode and a cathode provided on a flexible base substrate, and an organic material functional layer situated between the anode and the cathode, and it further comprises a reticular light output coupling layer provided on the flexible base substrate and contacting the flexible base substrate; the anode, the cathode, the organic material functional layer are all provided on the reticular light output coupling layer; the reticular light output coupling layer, the anode and the cathode, and the organic material functional layer compose a micro-cavity; the micro-cavity is used for controlling the center wavelength of the electroluminescence spectrum and the light output ratio. The material of the reticular light output coupling layer is a reticular high molecular material having a high refractive index and a low absorptivity in the visible light range; and it is used for the production of the flexible OLED display panel. | 11-26-2015 |
20150340646 | ORGANIC LIGHT EMITTING DIODE - An organic light emitting diode (OLED) according to an embodiment can include an OLED panel; a printed circuit board adhered onto a rear surface of the OLED panel; and a back cover including first and second metal layers, an inorganic substance layer between the first and second metal layers, and a pocket groove in a horizontal surface on which the OLED panel is placed and corresponding to the printed circuit board, wherein the pocket groove is formed by removing the first metal layer corresponding thereto to expose the inorganic substance layer. | 11-26-2015 |
20150340647 | PACKAGING METHOD AND DISPLAY DEVICE - A packaging method and a display device are provided. The packaging method comprise: providing a first substrate and a second substrate having a packaging region, wherein a region surrounding by the packaging region of the second substrate is provided with a device to be packaged; forming a pattern layer of sealant on the packaging region of the first substrate; bonding the first substrate having the sealant with the second substrate having a device to be packaged so that the packaging region of the first substrate is aligned with the packaging region of the second substrate; and sintering the sealant and applying uniformly a compression force to the packaging region when using a laser to radiate the packaging region. | 11-26-2015 |
20150340648 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a reduced dead space, and a method of manufacturing provides the same. The organic light-emitting display apparatus includes a lower substrate comprising a display area and a peripheral area surrounding the display area; first thin film transistors (TFTs) disposed in the display area of the lower substrate; a first insulating layer that is disposed in the display area and at least a portion of the peripheral area of the lower substrate and that covers the first TFTs; organic light-emitting diodes (OLEDs) electrically connected to the first TFTs; a sealant disposed such that at least a portion thereof overlaps the first insulating layer; a barrier layer disposed between the first insulating layer and the sealant; and an upper substrate sealed with the lower substrate by the sealant. | 11-26-2015 |
20150340649 | Sealed Body, Light-Emitting Module, and Method of Manufacturing Sealed Body - A sealed body in which sealing is uniformly performed is provided. A light-emitting module in which sealing is uniformly performed is provided. A method of manufacturing the sealed body in which sealing is uniformly performed is provided. The sealed body comprises a first substrate alternately provided with a high-reflectivity region with respect to the energy ray and a low-reflectivity region with respect to the energy ray so as to overlap with a sealant surrounding a sealed object, and a second substrate capable of transmitting the energy ray. The sealed object is sealed between the first substrate and the second substrate by heating the sealant with irradiation with the energy ray through the second substrate. | 11-26-2015 |
20150340651 | Light Emitting Device - An objective is to increase the reliability of a light emitting device structured by combining TFTs and organic light emitting elements. A TFT ( | 11-26-2015 |
20150340652 | ORGANIC LIGHT-EMITTING DEVICE (OLED) DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting device (OLED) display is disclosed. In one aspect, the display includes a substrate, a plurality of first electrodes separated from each other over the substrate and a second electrode facing and formed across the first electrodes. The display also includes an intermediate layer interposed between the first electrodes and the second electrode, wherein the intermediate layer comprises an emission layer. The display further includes a plurality of encapsulation layer portions patterned to be separated from each other in an island form over the second electrode. | 11-26-2015 |
20150340653 | OLED DISPLAY PANEL - An OLED display panel is disclosed, which comprises: a substrate; an OLED unit disposed on the substrate; and an inorganic-DLC composite layer comprising a first inorganic layer and a first DLC layer, wherein the first inorganic layer and the first DLC layer sequentially laminate on the OLED unit, and the first inorganic layer locates between the OLED unit and the first DLC layer. Herein, a ratio of a thickness of the first inorganic layer to that of the first DLC layer is in a range from 50 to 500. | 11-26-2015 |
20150340654 | ORGANIC SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, an organic semiconductor device includes a supporting substrate, a plurality of organic EL light emitting elements, a first barrier layer, a flattening layer, and a second barrier layer. The flattening layer exists sporadically and makes gentle in inclination steep elevation change present in the surface of the first barrier layer. The first barrier layer and the second barrier layer are made of moisture penetration preventive material. | 11-26-2015 |
20150340655 | ORGANIC LIGHT EMITTING DISPLAY AND METHOD OF MANUFACTURING THE SAME - There are provided an organic light emitting display and a method of manufacturing the organic light emitting display. The organic light emitting display includes a lower substrate including a plurality of subpixel regions, a thin film transistor formed on the lower substrate, an organic light emitting element formed on the thin film transistor, an encapsulation unit for covering the organic light emitting element, a spacer formed on the encapsulation unit, an upper substrate disposed to face the lower substrate, and a desiccant between the lower substrate and the upper substrate. Various embodiments of the invention provide an organic light emitting display that enhances a viewing angle by minimizing a cell gap and minimizing a distortion of light, minimizes penetration of water or oxygen from the outside, and realizes a high resolution display by enhancing an aperture ratio, and a method of manufacturing the organic light emitting display. | 11-26-2015 |
20150340656 | BUCKLED ORGANIC LIGHT EMITTING DIODE FOR LIGHT EXTRACTION - Embodiments of the invention are directed to a layered organic light emitting diode (OLED) device comprising a buckled structure that provides an improved light output relative to flat OLED devices. The buckled structure has a fine buckling with a quasi-periodicity of 100 to 700 nm and a gross buckling of 10 to 20 μm. Embodiments of the invention are directed to a method of producing the OLED device comprising a buckled structure, where a transparent substrate is coated with a transparent elastomeric layer, upon which a thin metal layer of 20 to 100 nm is deposited at an elevated temperature. Upon cooling to ambient temperature, the metal layer buckles with the formation of a fine buckling with a quasi-periodicity of 100 to 700 nm and a gross buckling of 10 to 20 μm. The metal layer is oxidized to a transparent metal oxide layer with the retention of the buckling. Subsequent steps comprising deposition of at least an anode layer, an electroluminescence layer, and a cathode layer forms an OLED that has a buckling structure resulting from the buckled metal structure formed upon cooling. | 11-26-2015 |
20150340658 | LIGHT-EMITTING DEVICE - A first electrode having light transmissivity is formed on a first surface of a first light transmissive substrate and. An organic functional layer includes a light-emitting layer and is located on an opposite side to the first light transmissive substrate with the first electrode interposed therebetween. A second electrode is located on an opposite side to the first electrode with the organic functional layer interposed therebetween. A second surface which is a surface of the first light transmissive substrate on an opposite side to the above-mentioned first surface is fixed to the second light transmissive substrate, which has a bending rigidity higher than that of the first light transmissive substrate. First irregularities are formed in the second surface of the first light transmissive substrate, and second irregularities are formed in a surface of the second light transmissive substrate which faces the first light transmissive substrate. | 11-26-2015 |
20150340659 | ORGANIC LIGHT EMITTING DIODE AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light emitting diodes (OLED) and method of manufacturing the OLED. The OLED includes: a substrate; a light scattering layer having an uneven shape on the substrate; a transparent electrode film provided directly on and in contact with the light scattering layer; an organic light emitting layer on the transparent electrode film; and an electrode on the organic light emitting layer. | 11-26-2015 |
20150349027 | Organic Optoelectronic Component - An organic optoelectronic component includes a substrate embodied in a light-transmissive fashion, an organic light-emitting element having an organic light-emitting layer between two electrodes, and an organic light-detecting element having an organic light-detecting layer. The organic light-emitting element and the organic light-detecting element are arranged on the substrate. Part of the light generated by the organic light-emitting element during operation enters into the substrate, emerges from the substrate and is detected by the organic light-detecting element. | 12-03-2015 |
20150349029 | DISPLAY PANEL AND METHOD FOR MANUFACTURING THEREOF - A display panel includes a substrate with a plurality of color sub pixel regions and a white sub pixel region constituting a unit pixel; a color filter layer with a color filter provided in each of the plurality of color sub pixel regions; and a reflection reduction layer provided in the white sub pixel region. The reflection reduction layer includes at least one color filter selected from the color filter layer, and a thickness of the reflection reduction layer is smaller than a thickness of the selected color filter. | 12-03-2015 |
20150349030 | COLOR FILTER FORMING SUBSTRATE AND ORGANIC EL DISPLAY DEVICE - Provided is an organic EL display device capable of preventing or restraining color shift or color mixing in an image displayed in each of its pixels, this inconvenience being caused by the entry of light into the pixel from an organic EL element of a pixel adjacent to the pixel; and provided is a color filter forming substrate making it possible to produce such an organic EL display device. The color filter forming substrate is a substrate for an organic EL display device, in which: a pixel-dividing light-shielding region is arranged over one surface of a base material comprising a transparent substrate to make plural pixel regions into a region-divided form; and plural color-filter-forming coloring layers for multiple colors are arranged to the predetermined pixel regions in accordance with the respective colors, characterized in that a light-shielding layer is arranged in the pixel-dividing light-shielding region, and a surface of the light-shielding layer farthest from the one surface of the base material is positioned farther from the one surface of the base material than respective surfaces of the color-filter-forming coloring layers in the respective colors, these surfaces not being respective base material side surfaces of the coloring layers, are positioned. | 12-03-2015 |
20150349032 | Low Power Consumption OLED Display - This disclosure relates to reduced power consumption OLED displays at reduced cost for reduced information content applications, such as wearable displays. Image quality for wearable displays can be different than for high information content smart phone displays and TVs, where the wearable display has an architecture that in includes, for example, an all phosphorescent device and/or material system that may be fabricated at reduced cost. The reduced power consumption can facilitate wireless and solar charging. | 12-03-2015 |
20150349034 | HIGH RESOLUTION LOW POWER CONSUMPTION OLED DISPLAY WITH EXTENDED LIFETIME - Systems and techniques are provided that allow for fabrication of full-color OLED displays that include only two colors of emissive regions and four or more sub-pixels within pixels of the device. Mask arrangements for fabricating such devices are also provided. | 12-03-2015 |
20150349036 | Organic Optoelectronic Component - An organic optoelectronic component includes an organic light-emitting element and an organic protective diode element. The organic light-emitting element includes an organic functional layer stack having at least one organic light-emitting layer between two electrodes. The organic protective diode element includes an organic functional layer stack having an organic pn-junction between two electrodes and is arranged on a shared substrate in laterally adjacent area regions with the organic light-emitting element. | 12-03-2015 |
20150349037 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided is a method of manufacturing an organic light-emitting display apparatus which may reduce white angular dependency (WAD). The method includes forming a common layer on each of subpixel areas at the same time without discretion within one pixel area, the common layer not being formed on connection areas between pixel areas. | 12-03-2015 |
20150349039 | DISPLAY DEVICE - In one example embodiment, a display device for suppressing reflected light includes a driving circuit and a display region which includes a plurality of pixels. In one example embodiment, the plurality of pixels includes a first pixel having a first light emitting element which includes a first light emitting portion having a first layer surface. In one example embodiment, first pixel includes a second light emitting element which includes a second light emitting portion having a second, different layer surface. In one example embodiment, the first pixel includes a third light emitting element which includes a third light emitting portion having a third, different layer surface. | 12-03-2015 |
20150349040 | Organic Electroluminescence Device and Fabrication Method Thereof - An organic electroluminescence device is disclosed which includes: a substrate; a thin film transistor formed on the substrate; a first electrode formed on the substrate provided with the thin film transistor; an organic light emission layer and a second electrode sequentially formed on the first electrode; and a first light absorption layer formed over the thin film transistor and configured to shield light emitted from the organic light emission layer. As such, the organic electroluminescence device employing the oxide thin film transistor can secure reliability against light. | 12-03-2015 |
20150349041 | Input Device - To provide a novel input device that can be manufactured at low cost or has high reliability. The input device includes a first flexible base material, a second flexible base material, and a sensor circuit that can sense an object such as a finger that is close to or in contact with a surface of the second flexible base material. The sensor circuit includes a transistor portion including a first transistor and a light-emitting element including a second transistor. The first transistor and the second transistor are provided on the first flexible base material side. Connection defects can be less likely to occur, which leads to an increase in the reliability of the input device. | 12-03-2015 |
20150349044 | PIXEL CIRCUIT AND DISPLAY DEVICE, AND A METHOD OF MANUFACTURING PIXEL CIRCUIT - The display device including a pixel circuit has a first line, a transistor, a light emitting element, and a second line. The transistor is located between the second line and an electrode of the light emitting element. Either the first line or the second line is wired in a region that overlaps a light emitting region of the light emitting element in a lamination direction of layers. The second line intersects the first line outside of the light emitting region and overlaps a non-light emitting region of the light emitting element. | 12-03-2015 |
20150349206 | Diode for a Printable Composition - An exemplary printable composition of a liquid or gel suspension of diodes comprises a plurality of diodes, a first solvent and/or a viscosity modifier. An exemplary diode comprises: a light emitting or absorbing region having a diameter between about 20 and 30 microns and a height between 2.5 to 7 microns; a plurality of first terminals spaced apart and coupled to the light emitting region peripherally on a first side, each first terminal of the plurality of first terminals having a height between about 0.5 to 2 microns; and one second terminal coupled centrally to a mesa region of the light emitting region on the first side, the second terminal having a height between 1 to 8 microns. | 12-03-2015 |
20150349265 | AMINE-BASED COMPOUND AND ORGANIC LIGHT- EMITTING DEVICE INCLUDING THE SAME - A compound and an organic light-emitting device including the same, the compound being represented by Formula 1 below: | 12-03-2015 |
20150349266 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode; a second electrode; and an organic layer between the first electrode and the first electrode and including an emission layer (EML); a hole transport region between including an electron blocking layer (EBL) and at least one selected from a hole injection layer (HIL), a hole transport layer (HTL), and a buffer layer; and an electron transport region and including a hole blocking layer (HBL) and at least one selected from an electron transport layer and electron injection layer (EIL). A triplet energy of a material for the electron blocking layer (EBL T1), a triplet energy of a material for the hole blocking layer (HBL T1), and a triplet energy of a host in the emission layer (Host T1) satisfy Equation (1) and Equation (2): | 12-03-2015 |
20150349267 | BLUE LUMINESCENT COMPOUNDS - There is provided a compound having Formula II | 12-03-2015 |
20150349268 | Organic Electroluminescent Materials and Devices - Novel organic compounds containing triphenylene and triazine moieties are described. These compounds are expected to improve device performance when they are used in organic electroluminescent devices. | 12-03-2015 |
20150349269 | LUMINESCENT MATERIAL FOR ORGANIC OPTOELECTRIC DEVICE AND ORGANIC OPTOELECTRIC DEVICE AND DISPLAY DEVICE - Disclosed are an organic compound represented by the Chemical Formula 1, an organic optoelectric device including the organic compound, and a display device including the organic optoelectric device. | 12-03-2015 |
20150349270 | ORGANIC COMPOUND, ORGANIC OPTOELECTRONIC DEVICE AND DISPLAY DEVICE - An organic compound represented by Chemical Formula 1, an organic optoelectric device including the organic compound, and a display device are disclosed. | 12-03-2015 |
20150349271 | Novel Compound, Light-Emitting Element Comprising same and Electronic Device Comprising the Light-Emitting Element - The present invention relates to a compound, and a light-emitting element and an electronic device including the same, and, more particularly, to a compound for an organic light-emitting element, and a light-emitting element and an electronic device including the same. The compound according to the present invention can improve hole injection and/or transport abilities. | 12-03-2015 |
20150349272 | COMPOUND FOR ORGANIC ELECTRIC ELEMENT, ORGANIC ELECTRIC ELEMENT COMPRISING THE SAME AND ELECTRONIC DEVICE THEREOF - A compound represented by Formula 1. An organic electric element includes a first electrode, a second electrode, and an organic material layer between the first electrode and the second electrode. The organic material layer includes the compound represented by Formula 1. When the organic electric element includes the compound in the organic material layer, driving voltage, luminous efficiency, color purity, stability, and life span can be improved. | 12-03-2015 |
20150349273 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A luminescent materials including donor-acceptor compounds with a nitrogen containing donor connected to the 1-position of a carbazole and triazene acceptor connected at the 9-position is disclosed. | 12-03-2015 |
20150349274 | BICARBAZOLE DERIVATIVE, PREPARATION PROCESS AND USE THEREOF, AND ORGANIC LUMINESCENT DEVICE - The invention provides a bicarbazole derivative represented by formula (I), wherein A is a group represented by formula (II), and wherein X, Y and Z represent a carbon atom or a nitrogen atom, and at least one of X, Y and Z represent a nitrogen atom. The invention further provides a process for preparing the compound. The invention further provides an organic electroluminescent device comprising the compound. This compound can be used as a phosphorescence host material, a hole-injecting material or a hole-transporting material in an organic electroluminescent device. | 12-03-2015 |
20150349275 | CONDENSED CYCLIC COMPOUNDS AND ORGANIC LIGHT-EMITTING DEVICES INCLUDING THE SAME - A condensed cyclic compound and an organic light-emitting device including the same, the condensed cyclic compound being represented by Formula 1 below: | 12-03-2015 |
20150349278 | Organometallic Iridium Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - To provide a long-lifetime organometallic iridium complex exhibiting yellow light emission with high emission efficiency as a novel substance. The organometallic iridium complex includes a ligand in which an unsubstituted phenyl group is bonded to each of the 2-position and the 5-position of pyrimidine. The organometallic iridium complex has a structure represented by General Formula (G1). | 12-03-2015 |
20150349281 | ORGANIC SCHOTTKY DIODES - An organic diode has a substrate, a first conductor layer on the substrate, an organic semiconductor layer on the first conductor layer, and a second conductor layer on the organic substrate layer, wherein one of the conductor layers has an injection enhancement. | 12-03-2015 |
20150349283 | HYBRID PLANAR-MIXED HETEROJUNCTION FOR ORGANIC PHOTOVOLTAICS - Disclosed herein are organic photosensitive optoelectronic devices comprising two electrodes in superposed relation; a mixed photoactive layer located between the two electrodes, wherein the mixed photoactive layer comprises at least one donor material having a HOMO energy and at least one acceptor material having a LUMO energy, wherein the at least one donor material and the at least one acceptor material form a mixed donor-acceptor heterojunction; a photoactive layer adjacent to and interfacing with the mixed photoactive layer, wherein the photoactive layer comprises a material having a LUMO energy within 0.3 eV of the LUMO energy of the at least one acceptor material or a HOMO energy within 0.3 eV of the HOMO energy of the at least one donor material; and a buffer layer adjacent to and interfacing with the mixed photoactive layer. | 12-03-2015 |
20150349284 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - Emission efficiency of a light-emitting element is improved. The light-emitting element has a pair of electrodes and an EL layer between the pair of electrodes. The EL layer includes a first light-emitting layer and a second light-emitting layer. The first light-emitting layer includes a fluorescent material and a host material. The second light-emitting layer includes a phosphorescent material, a first organic compound, and a second organic compound. An emission spectrum of the second light-emitting layer has a peak in a yellow wavelength region. The first organic compound and the second organic compound form an exciplex. | 12-03-2015 |
20150349285 | LIGHT-EMITTING DEVICE, DISPLAY DEVICE, AND ELECTRONIC DEVICE - A light-emitting device, an electronic device, and a display device each consume less power are provided. The light-emitting device includes a first light-emitting element, a second light-emitting element, and a third light-emitting element that share an EL layer. The EL layer includes a layer containing a light-emitting material that emits blue fluorescence and a layer containing a light-emitting material that emits yellow or green phosphorescence. Light emitted from the second light-emitting element enters a color filter layer or a second color conversion layer, and light emitted from the third light-emitting element enters a first color conversion layer. | 12-03-2015 |
20150349287 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a transistor on a substrate. The transistor includes a gate electrode, a first electrode, and a second electrode. The apparatus also includes a protective layer over the first electrode and including a first portion that contacts the second electrode, and an organic light-emitting device having a pixel electrode electrically connected to the second electrode. | 12-03-2015 |
20150349291 | ORGANIC ELECTROLUMINESCENT DISPLAY PANEL AND DISPLAY APPARATUS - The present invention discloses an organic electroluminescent display panel, comprising: a base substrate; a top-emitting type organic electroluminescent structure located on the base substrate, the organic electroluminescent structure comprising an anode, a light emitting layer and a cathode arranged in this order from the base substrate; and a packaging film covering the organic electroluminescent structure; the organic electroluminescent display panel further comprises a secondary electrode provided on the packaging film and electrically connected with the cathode through a via hole penetrating through the packaging film. Since the secondary electrode is additionally provided on the packaging film and is electrically connected with the cathode through the via hole penetrating through the packaging film, the secondary electrode electrically connected with the cathode can increase an equivalent thickness of the cathode and thus reduce the resistance of the cathode, which can avoid the problem of large voltage drop due to large resistance of the cathode when a thinner metal is used as the cathode, thereby can avoid damage of the organic electroluminescent display panel due to the larger voltage drop. | 12-03-2015 |
20150349292 | IMPACT RESISTANT OLED DEVICES - A system and method for the fabrication of high efficiency OLED devices and more specifically, the fabrication of OLED panels optically coupled with impact resistant, transparent structures which permit operation of the OLED panel while providing impact resistance. The OLED device can be built directly on an impact resistant transparent structure, or attached to an impact resistant transparent structure after it is built on other types of substrate. The impact resistant transparent structure can be a toughened layer, such as a glass layer, an energy absorption layer, such as Polycarbonate (PC), or a combination of both. The OLED device is configured to transmit light through the impact resistant transparent structure to the viewer, and the impact resistant transparent structure provides impact resistance for the OLED from the force of any impacting object. | 12-03-2015 |
20150349293 | ORGANIC LIGHT EMITTING DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - An apparatus can include a first electrode on a planarization layer, an organic emission layer on the first electrode, a first bank and a second bank on the planarization layer and configured to surround the organic emission layer, and an anti-moisture unit on a portion of the planarization layer and a portion of the second bank, wherein the anti-moisture unit is configured to suppress moisture permeation through the second bank and the planarization layer. | 12-03-2015 |
20150349294 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A flexible organic light emitting display apparatus comprising a flexible encapsulation layer comprising at least one aluminum oxide layer configured to cover an area having a plurality of pixels, a flexible barrier film comprising a flexible barrier film body and a pressure sensitive adhesive layer on the flexible encapsulation layer and an adhesion supporter directly contacting the aluminum oxide layer and the pressure sensitive adhesive layer. | 12-03-2015 |
20150349296 | Optical-Member Adhesive Composition, Optical-Member Adhesive Layer, and Surface Light Source Device - An optical-member adhesive composition, including an adhesive material, reactive modified metal oxide particles having a volume average particle diameter of 1 to 500 nm, and organic light diffusing particles, and optionally including a silane coupling agent and a plasticizer; an optical-member adhesive layer formed from the optical-member adhesive composition; and a surface light source device including the specific light diffusion layer. | 12-03-2015 |
20150352521 | GETTERING AGENT, ABSORPTIVE FILM COMPRISING THE SAME AND ORGANIC ELECTRONIC DEVICE (As Amended) - Provided are a gettering agent, an absorptive film including the same, and an organic electronic device. In detail, the absorptive film including the gettering agent of the present application is provided on the front side and/or back side of the organic electronic device, and effectively absorbs and blocks moisture, thereby improving the lifespan and durability of the organic electronic device. In addition, the gettering agent of the present application uses a moisture absorbent particle having a nano size to secure transparency of the absorptive film, thereby implementing a top emitting device and also absorbing moisture in the atmosphere during the manufacturing process. Therefore, it is possible to solve the problem of losing the total amount of moisture absorption. | 12-10-2015 |
20150357305 | ORGANIC EL LUMINESCENT DEVICE - An organic EL luminescent device ( | 12-10-2015 |
20150357382 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device including: a first emission area including a first organic light emitting diode; a second emission area arranged adjacent to the first emission area and not overlapping with the first emission area, the second emission area including a second organic light emitting diode; a pixel circuit unit electrically connected to the first organic light emitting diode and the second organic light emitting diode; and a transmissive area adjacent to the first and second emission areas and not overlapping with the first and second emission areas, the transmissive area configured to transmit external light therethrough. | 12-10-2015 |
20150357383 | ORGANIC LIGHT EMITTING DISPLAY DEVICES - An organic light emitting display device may include a substrate and a plurality of pixels. The plurality of pixels may be arranged on the substrate, and each of the pixels may include an opaque region and a transparent region. Here, the opaque region may include a first sub-pixel region in which a first sub-pixel is disposed, a second sub-pixel region in which a second sub-pixel is disposed, a third sub-pixel region in which a third sub-pixel is disposed, and a wiring region in which a plurality of wirings is arranged. A sub-pixel region among the first sub-pixel region, the second sub-pixel region, and the third sub-pixel region is overlapped with the wiring region. | 12-10-2015 |
20150357384 | OLED DISPLAY PANEL AND METHOD FOR MANUFACTURING THE SAME - Provided are OLED display panel and method for manufacturing the same. The OLED display panel includes a plurality of pixel units, each of the plurality of pixel units includes a light emitting region and a light transmitting region, the light emitting region is provided with a white organic light emitting device and a color filter; the light transmitting region is not provided with the color filter. According to embodiments of the present disclosure, a light transmitting region is particular added on the color filter, so that the transmittance can be increased, so as to obtain a better transparent display effect without an extra process, which can be achieved only by appropriately adjusting design. | 12-10-2015 |
20150357385 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a substrate with a first emitting region adjacent a second emitting region, a first anode in the first emitting region, a first organic light emitting layer on the first anode, a second anode in the second emitting region, and a second organic light emitting layer on a part of the first anode and the second anode. The second organic light emitting layer includes a material different from the first organic light emitting layer. | 12-10-2015 |
20150357386 | HYBRID BIPOLAR JUNCTION TRANSISTOR - Bipolar junction transistors including inorganic channels and organic emitter junctions are used in some applications for forming high resolution active matrix displays. Arrays of such bipolar junction transistors are electrically connected to thin film switching transistors and provide high drive currents for passive devices such as organic light emitting diodes. | 12-10-2015 |
20150357387 | FLEXIBLE DISPLAY DEVICE - Provided is a flexible display device, including at least one flexible substrate on which a display unit is formed and a filling portion at a curved portion of the at least one flexible substrate. | 12-10-2015 |
20150357388 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - Disclosed is an organic light emitting display device including an anode, a cathode, a plurality of organic layers and a partition member. The plurality of organic layers is disposed between the anode and the cathode, where at least one layer is separated to minimize current leakage into neighboring pixels. The partition member is disposed between the neighboring pixels and configured to separate the plurality of organic layers. The least one separated layer includes a charge generation layer. Because at least one layer is separated, current leakage into neighboring pixels can be minimized. Accordingly, defects resulting from light leakage and the mixing of colors of light from neighboring pixels may be reduced and display quality is enhanced. | 12-10-2015 |
20150357392 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes a base substrate and a display unit formed on a first surface of the base substrate, and including i) an active region having an OLED configured to emit light and ii) a dummy region formed in an outer portion of the active region. The OLED display further includes an encapsulation substrate encapsulating the display unit and a photo sensor mounted in an outer portion of the dummy region and configured to measure intensity of the light emitted from the OLED. The OLED includes a pixel electrode, an opposite electrode facing the pixel electrode and extending over the dummy region, and an emission layer interposed between the pixel electrode and the opposite electrode. The dummy region includes a light path configured to guide light reflected from the opposite electrode to the photo sensor. | 12-10-2015 |
20150357393 | BACKPLANE FOR FLAT PANEL DISPLAY APPARATUS, METHOD OF MANUFACTURING THE BACKPLANE, AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS INCLUDING THE BACKPLANE - A backplane for a flat panel display apparatus, includes: a thin film transistor (TFT) on a substrate and including an active layer, a gate electrode, a source electrode, and a drain electrode; a light-blocking layer between the substrate and the TFT; a first insulating layer between the light-blocking layer and the TFT; a capacitor including a first electrode on the same plane as the light-blocking layer, and a second electrode on the first electrode, wherein the first insulating layer is between the first electrode and the second electrode; and a pixel electrode on the same plane as the light-blocking layer. | 12-10-2015 |
20150357394 | ACTIVE MATRIX ORGANIC LIGHT-EMITTING-DIODE DISPLAY BACKBOARD AND MANUFACTURING METHOD THEREOF, DISPLAY DEVICE - An AMOLED display backboard, a display device and a manufacturing method of an AMOLED display backboard are provided. In the AMOLED display backboard, the number of VDD lines ( | 12-10-2015 |
20150357395 | FLEXIBLE DISPLAY DEVICE INCLUDING FOLDING SECTION - A flexible display device includes a folding section formed on a flexible substrate, a flat section connected to the folding section, and a display area for displaying an image, wherein the display area is formed on the folding section and the flat section, wherein each of the display areas of the folding section and the flat section includes a plurality of pixels and a plurality of wires for supplying electrical signals to the pixels, and wherein the wires in the display area of the folding section include a winding wire extending in a winding configuration on the flexible substrate. | 12-10-2015 |
20150357396 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING DISCONTINUOUS INSULATING LAYER - An organic light-emitting display apparatus includes a substrate including a display area, a peripheral area surrounding the display area, and an outermost area surrounding the peripheral area, a first insulating layer on the substrate across the display area, the peripheral area, and the outermost area, the first insulating layer being discontinuous in the peripheral area, a second insulating layer on the substrate across the display area and the peripheral area, is the second insulating layer being positioned on the first insulating layer in the display area and on a layer under the first insulating layer in a discontinuous portion of the first insulating layer in the peripheral area, and a pixel electrode on the second insulating layer in the display area. | 12-10-2015 |
20150357570 | ENCAPSULATION COMPOSITION (AS AMENDED) - An encapsulation composition, an encapsulation film including the same, an encapsulation product for organic electronic devices, and a method of manufacturing an organic electronic device are provided. The encapsulation composition can be useful in effectively preventing moisture or oxygen from flowing into the organic electronic device from external environments while realizing transparency when the organic electronic device is encapsulated by the encapsulation composition. Also, the encapsulation film formed of the encapsulation composition can be useful in ensuring mechanical properties such as handling properties and processability, and the organic electronic device whose encapsulation structure is formed by means of the encapsulation film may have improved lifespan and durability, thereby providing an encapsulation product for organic electronic devices showing high reliability. | 12-10-2015 |
20150357574 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode facing the first electrode; and an organic layer between the first electrode and the second electrode, wherein the organic layer includes at least one first material represented by Formula 1 and at least one second material represented by Formula 2: | 12-10-2015 |
20150357575 | AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Provided are an amine-based compound and an organic light-emitting device (OLED) including the same. | 12-10-2015 |
20150357576 | CONDENSED FLUORANTHENE COMPOUND, ORGANIC ELECTROLUMINESCENCE ELEMENT MATERIAL INCLUDING SAME, ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME, AND ELECTRONIC DEVICE - A fused fluoranthene compound including a specific ring structure at a specific position of a fluoranthene skeleton is a novel material useful as a material for organic electroluminescence devices. | 12-10-2015 |
20150357577 | PYRENE COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME - A pyrene compound and an organic light emitting diode device including the same are disclosed. The organic light emitting diode device includes at least two stacks provided between a first electrode and a second electrode, and a charge generation layer provided between the stacks and including an N type charge generation layer and a P type charge generation layer, wherein the N type charge generation layer is made of the pyrene compound. | 12-10-2015 |
20150357578 | ANTIAROMATIC COMPOUNDS AND ORGANIC LIGHT-EMITTING DEVICES COMPRISING THE SAME - An antiaromatic compound and an organic light-emitting device including the same. The antiaromatic compound is represented by Formula 1: | 12-10-2015 |
20150357579 | CARBAZOLE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE - The carbazole derivative is represented by the following General Formula (1). | 12-10-2015 |
20150357580 | CARBAZOLE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE - A carbazole derivative is represented by the following Formula (1): | 12-10-2015 |
20150357581 | TRANSPARENT ELECTRODE, ELECTRONIC DEVICE, AND ORGANIC ELECTROLUMINESCENT ELEMENT - A transparent electrode includes a nitrogen-containing layer constituted by using a compound containing a nitrogen atom (N), an electrode layer containing silver (Ag) as a main component, which is disposed adjacent to the nitrogen-containing layer, and two high-refractive index layers each having a higher refractive index than that of the nitrogen-containing layer, which are disposed so that the electrode layer and the nitrogen-containing layer are sandwiched between the high-refractive index layers. | 12-10-2015 |
20150357582 | LIGHT EMITTING MATERIAL AND ORGANIC LIGHT EMITTING DEVICE USING SAME - An organic light emitting device containing a compound represented by the following general formula in a light emitting layer have a high light emission efficiency. In the general formula (1), R | 12-10-2015 |
20150357583 | Novel Organic Electroluminescent Compounds, Layers and Organic Electroluminescent Device Using The Same - The present invention relates to a novel organic electroluminescent compound, layer and an organic electroluminescent device using the same. Said organic luminescent compound provides an organic light emitting layer and/or device which has high luminous efficiency and a long, operation lifetime and requires a low driving voltage improving power efficiency and power consumption. | 12-10-2015 |
20150357584 | A Sulfone Group-Containing Compound, An Organic Light Emitting Diode (OLED) Device Using The Same, and A Method of Fabricating the OLED Device - The present invention provides a sulfone group-containing compound, an organic light emitting diode (OLED) device using the sulfone group-containing compound, and a method of fabricating the OLED device. The sulfone group-containing compound has formula as | 12-10-2015 |
20150357586 | ORGANIC LIGHT-EMITTING ELEMENT - Provided is an organic light-emitting element having high light-emitting efficiency and a long element lifetime. Specifically, provided is an organic light-emitting element, including: an anode; a cathode; and an organic compound layer placed between the anode and the cathode, in which: the organic compound layer includes an emission layer; the emission layer includes at least a host and a guest; the guest is an iridium complex of a specific structure; the host is a heterocycle-containing compound; and a content of the host is 50 wt % or more with reference to a total amount of constituent materials for the emission layer. | 12-10-2015 |
20150357587 | ORGANIC LIGHT-EMITTING ELEMENT - Provided is an organic light-emitting element having high light-emitting efficiency and a long element lifetime. The organic light-emitting element includes an anode, a cathode, and an organic compound layer placed between the anode and the cathode, and the organic compound layer includes an iridium complex represented by the following general formula [1] and a metal complex represented by the following general formula [9]. | 12-10-2015 |
20150357588 | PHOSPHORESCENT MATERIALS - Phosphorescent materials and devices with high device efficiency, stability, and processibility. | 12-10-2015 |
20150357592 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display is provided. The OLED display includes a first electrode layer, a second electrode layer, a first light emitting layer, a second light emitting layer, a first n-type charge generation layer, a second n-type charge generation layer, and a metal layer. The first light emitting layer and the second light emitting layer are formed between the first electrode layer and the second electrode layer. The first n-type charge generation layer and the second n-type charge generation layer are formed between the first light emitting layer and the second light emitting layer. The metal layer is formed between the first n-type charge generation layer and the second n-type charge generation layer, wherein the metal layer has a first thickness. | 12-10-2015 |
20150357593 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic EL display device includes an anode electrode made of a conductive material, a cathode electrode made of a conductive material, an anode-side electron injection layer that is an electron injection layer on the anode electrode and between the anode electrode and the cathode electrode, and an anode-side charge generation layer that is a charge generation layer on the anode-side electron injection layer. | 12-10-2015 |
20150357594 | ORGANIC ELECTROLUMINESCENCE PANEL AND METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE PANEL - An organic electroluminescence panel | 12-10-2015 |
20150357595 | ORGANIC LIGHT EMITTING DISPLAY APPARATUSES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY APPARATUSES - An organic light emitting display apparatus includes a first substrate, a second substrate, an organic light emitting device, a thin film transistor, a wiring pattern and a seal. The first substrate includes a first region, a second region and a third region. The third region surrounds the first region. The second region is between the first region and the third region, and is partially overlapped with the third region. The second substrate faces the first substrate. The organic light emitting device is disposed on the first substrate in the first region. The thin film transistor is disposed on the first substrate in a region where the second region and the third region overlap. The wiring pattern is disposed on the first substrate in the second region. The seal is disposed in the third region, between the first substrate and the second substrate in the third region. | 12-10-2015 |
20150357596 | Organic electroluminescent device - The invention relates to the field of organic electronic devices ( | 12-10-2015 |
20150357597 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND DISPLAY APPARATUS - The present invention discloses an organic electroluminescent display device and a display apparatus comprising a substrate, an organic electroluminescent pixel array disposed on the substrate, and a package film coated on an outside of the organic electroluminescent pixel array. The organic electroluminescent display device further comprises an optical film laminate body at a light output side thereof; and the optical film laminate body is a preformed integral component including a plurality of functional film layers. Since the optical film laminate body including the plurality of functional film layers can be bonded on organic electroluminescent display device by a single film-bonding process, it simplifies the manufacturing process, decreases the cost, reduces the thickness, and improves the flexibility of the organic electroluminescent display device. In addition, the present invention also discloses a display apparatus comprising the organic electroluminescent display device. | 12-10-2015 |
20150357598 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic EL device | 12-10-2015 |
20150357602 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display is provided. The OLED display has a plurality of pixel regions and comprises a substrate, a first electrode layer formed on the substrate, a second electrode layer formed on the first electrode layer, a pixel defining layer, and a light absorption composite layer. The pixel regions are separated by the pixel defining layer. The light absorption composite layer is formed on the substrate, and absorbs a light with wavelength of 380˜780 nm. The light absorption composite layer comprises a first light absorption layer and a second light absorption layer stacked together. The first light absorption layer absorbs a light with shorter wavelength. The second light absorption layer absorbs a light with longer wavelength different from that of the light absorbed by the first light absorption layer in the region of 380˜780 nm. | 12-10-2015 |
20150357603 | ORGANIC LIGHT-EMITTING TRANSISTOR - An organic light-emitting transistor (OLET) is provided. The OLET includes: a substrate; at least one first electrode on the substrate; a first semiconductor layer having a first conductive type on the first electrode; a second semiconductor layer having a second conductive type on the first semiconductor layer; a gate electrode disposed on a side surface of the second semiconductor layer; a gate insulating layer disposed between the gate electrode and the second semiconductor layer; an organic emission layer on the second semiconductor layer. | 12-10-2015 |
20150357604 | ELECTROLUMINESCENT ORGANIC DOUBLE GATE TRANSISTOR - An organic electroluminescent transistor is described. The organic electroluminescent transistor has a first and a second dielectric layer, a first and a second control electrode and an assembly having a source electrode, a drain electrode and an ambipolar channel. The ambipolar channel has a first layer of semiconductor material, a second layer of semiconductor material and a layer of emissive material arranged between the first layer of semiconductor material and the second layer of semiconductor material. The source electrode and the drain electrode are both in contact with only one of the two layers of semiconductor material. | 12-10-2015 |
20150362449 | DISPLAY DEVICE - A display device includes a first substrate provided with a pixel circuit in a display region, a second substrate provided facing the first substrate, a dam agent bonding the first substrate and the second substrate and sealing the display region, and a moisture detection part provided between a first region provided with the dam agent and the display region. | 12-17-2015 |
20150364525 | AMOLED DISPLAY DEVICES AND METHODS FOR PRODUCING THE SUB-PIXEL STRUCTURE THEREOF - One embodiment of the present invention discloses an AMOLED display device and a method for producing the sub-pixels thereof. To change the metal masks used for forming the resonator adjustment layer in sub-pixels to form the sub-pixels with resonator adjustment layers in different thicknesses. On other hands, a light blue sub-pixel and an orange sub-pixel are added into a pixel unit to form the pixel unit consisting of a blue sub-pixel, a red sub-pixel, a green sub-pixel, a light blue sub-pixel and an orange sub-pixel. The AMOLED with the above features can reduce the power consumption in the display screen greatly, and can maintain the high color saturation. | 12-17-2015 |
20150364526 | Pixel Structure for OLED Display and Metal Mask Thereof - A pixel structure for OLED display is disclosed. The pixel structure includes multi-row pixel unit groups, each pixel unit group includes a plurality of pixel units arranged repeatedly in sequence, and each pixel unit includes a first sub pixel, a second sub pixel and a third sub pixel, wherein the same sub pixels of the pixel units in adjacent two rows are arranged in dislocation in a horizontal direction. The pixel structure can widen the distance between the corresponding openings of the sub pixels when making the corresponding metal mask to enhance the strength of the metal mask that a pixel unit of a smaller size can be produced under the consideration of process condition, so as to improve the resolution of the OLED display. | 12-17-2015 |
20150364527 | ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING SENSORS - An organic light emitting diode display including a pixel that includes a light-emitting device and a first thin film transistor connected to the light-emitting device; and a sensor that includes a light sensing element, wherein the light sensing element includes a gate electrode; an active layer on the gate electrode; a filter layer on the active layer; and source and drain electrodes on the active layer, the source and drain electrodes being connected to the active layer, the light sensing element and the first thin film transistor are formed on a same substrate, and one of the gate electrode and the active layer of the light sensing element and a gate electrode of the first thin film transistor are aligned on a same layer. | 12-17-2015 |
20150364529 | Light-Emitting Element and Display Device Using Same - A display device includes a plurality of light-emitting elements aligned on a TFT substrate in a formation of a matrix. The plurality of light-emitting elements each have a flat surface portion and including a light-emitting layer, an anode, and a cathode, an insulating layer formed on the TFT substrate and under the light emitting element, and a tilted metal surface provided on a peripheral area surrounding the flat surface portion of the light-emitting element and having a tilt angle with respect to the flat surface portion of the light-emitting element. The tilted metal surface is provided on a surface of a slope of a bank that is provided on the insulation layer, and a width of a cross-section of the bank becomes smaller as the cross section comes farther away from a surface of the TFT substrate. A counter substrate is placed on the TFT substrate. | 12-17-2015 |
20150364530 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the display includes a substrate and a plurality of pixels formed over the substrate. Each of the pixels comprises a first region configured to emit light and a second region configured to pass external light therethrough. The second regions of at least three adjacent ones of the pixels have different areas. | 12-17-2015 |
20150364531 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF REPAIRING THE SAME - An organic light-emitting display apparatus includes: a plurality of dummy pixels including a dummy pixel circuit; a plurality of pixels including a first pixel including: a light-emitting element configured to emit light in response to a driving current supplied from the dummy pixel circuit; and a pixel circuit separated from the light-emitting element; a plurality of voltage lines configured to apply a power voltage to a power node of a second pixel; and a plurality of repair lines including: a first repair line coupling the dummy pixel circuit and the light-emitting element and configured to transfer to the light-emitting element the driving current supplied from the dummy pixel circuit; and a second repair line coupling the dummy pixel circuit and the power node of the second pixel and configured to apply to the dummy pixel circuit the power voltage that is applied to the power node. | 12-17-2015 |
20150364684 | SELF-ASSEMBLED MONOLAYERS OF PHOSPHONIC ACIDS AS DIELECTRIC SURFACES FOR HIGH-PERFORMANCE ORGANIC THIN FILM TRANSISTORS - Cycloalkylalkylphosphonic acids are presented that are useful for forming a self-assembled monolayer (SAM) on a surface of a metal oxide layer. The combined SAM and metal oxide layer form the dielectric layer of an organic thin film transistor (OTFT). The OTFT can be formed with p-type and n-type organic semiconductor layers on the SAM. The OTFT display superior field effect mobilities and air stabilities to other SAMs and the SAMS of cycloalkylalkylphosphonic acids allow deposition of the organic semiconductors by either vapor deposition or solution processing techniques. | 12-17-2015 |
20150364687 | DEVICES HAVING DIELECTRIC LAYERS WITH THIOSULFATE-CONTAINING POLYMERS - A semiconductor device can be prepared with a gate dielectric layer that comprises: (1) a photochemically or thermally crosslinked product of a photocurable or thermally curable thiosulfate-containing polymer that has a T | 12-17-2015 |
20150364689 | FORMULATIONS AND ELECTRONIC DEVICES - A formulation comprising at least one solvent and at least two different functional compounds of formula (I) | 12-17-2015 |
20150364690 | COMPOSITION FOR ENCAPSULATING ORGANIC LIGHT EMITTING DIODE DEVICE AND ORGANIC LIGHT EMITTING DIODE DISPLAY USING THE SAME - A composition for encapsulating an organic light emitting diode device and an organic light emitting diode display, the composition including a di(meth)acrylate including an unsubstituted alkylene group, a tri(meth)acrylate including a substituted or unsubstituted alkylene group or a substituted or unsubstituted alkyl group, and a photo-initiator, wherein the composition for encapsulating the organic light emitting diode device has a chloride content of about 200 ppm or less. | 12-17-2015 |
20150364692 | COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, ORGANIC ELECTROLUMINESCENT ELEMENT, AND ELECTRONIC DEVICE - The present invention provides an organic electroluminescence device with a high emission efficiency and a long lifetime, an electronic equipment including the organic electroluminescence device, and a compound which realizes them. The compound includes a carbazole ring having a specific structure and a fluoranthene skeleton, the organic electroluminescence device includes this compound, and the electronic equipment includes such an organic electroluminescence device. | 12-17-2015 |
20150364693 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode; a second electrode facing the first electrode; and an organic layer between the first electrode and the second electrode, wherein the organic layer includes at least one first material represented by Formula 1 below, and at least one second material represented by Formula 2 below: | 12-17-2015 |
20150364694 | ORGANIC COMPOUND, ORGANIC OPTOELECTRONIC ELEMENT, AND DISPLAY DEVICE - An organic compound represented by Chemical Formula 1, an organic optoelectronic device including the organic compound, and a display device including the organic optoelectronic device are disclosed. | 12-17-2015 |
20150364695 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organic light-emitting device includes a first electrode, a second electrode, and an organic layer between the first electrode and the second electrode and including at least one condensed cyclic compound represented by Formula 1: | 12-17-2015 |
20150364696 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting device includes a first electrode, a second electrode, and an organic layer between the first and second electrodes and including an emission layer, wherein the emission layer comprises a first host represented by Formula 1 and a second host represented by Formula 2: | 12-17-2015 |
20150364697 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes: a first electrode; a second electrode; an emission layer between the first electrode and the second electrode; a hole transport region between the first electrode and the emission layer; and an electron transport region between the second electrode and the emission layer, wherein the hole transport region includes a first compound represented by Formula 1. | 12-17-2015 |
20150364698 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes: a first electrode; a second electrode facing the first electrode; an organic layer between the first electrode and the second electrode, the organic layer including an emission layer; and an electron transport region between the emission layer and the second electrode, the electron transport region including an electron transport material represented by Formula 1: | 12-17-2015 |
20150364699 | Novel Electron Transport Material for Organic Emitting Diodes - Some embodiments provide a compound represented by Formula 1, wherein ET | 12-17-2015 |
20150364700 | ANTIAROMATIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Provided are an antiaromatic compound and an organic light-emitting device including the same. The antiaromatic compound is represented by Formula 1, where the substituents of Formula 1 are described herein. The organic light-emitting device light includes a first electrode, a second electrode, and an organic layer between the first electrode and the second electrode. The organic layer includes the antiaromatic compound represented by Formula 1. | 12-17-2015 |
20150364702 | IRIDIUM COMPLEX AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - The present invention provides a novel iridium complex and an organic light-emitting device including the novel iridium complex. The novel iridium complex includes three ligands, and two of them have a phenyl-naphtho[2,1-f]isoquinoline skeleton. The present invention also provides a display apparatus including the organic light-emitting device and an electrophotographic image-forming apparatus including a light source including the organic light-emitting device and including a photosensitive member. | 12-17-2015 |
20150364705 | AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An amine-based compound is represented by Formula 1. | 12-17-2015 |
20150364710 | LIGHT EMITTING MICROCAPSULE, METHOD OF PREPARING THE SAME AND OLED DISPLAY DEVICE COMPRISING THE SAME - The present invention, which belongs to the technical field of display technology, provides a microcapsule, a method of preparing the same, and an OLED (organic light emitting diode) display device comprising the same. The OLED display device comprises a microcapsule having a phosphorescent material as a core material, which reduces the probability of the phosphorescence self-quenching and is isolated from water and oxygen, thereby improving the display quality and extending the service life of the OLED display device. Therefore, the OLED display device can solve the problem that the phosphorescence OLED display device in the prior art has a low brightness and short service life. | 12-17-2015 |
20150364711 | ORGANIC ELECTROLUMINESCENCE GENERATING DEVICES - An electroluminescence generating device comprising e. a channel of organic semiconductor material, said channel being able to carry both types of charge carriers, said charge carriers being electrons and holes; f. an electron electrode, said electron electrode being in contact with said channel and positioned on top of a first side of said channel layer or within said channel layer, said electron electrode being able to inject electrons in said channel layer; g. a hole electrode, said hole electrode being spaced apart from said electron electrode, said hole electrode being in contact with said channel and positioned on top of said first side of said channel layer or within said channel layer, said hole electrode being able to inject holes into said channel; h. a control electrode positioned on said first side or on a second side of said channel; whereby light emission of said electroluminescence generating device can be acquired by applying an electrical potential difference between said electron electrode and said hole electrode. | 12-17-2015 |
20150364712 | Organic Light-Emitting Diode Display With Split Anodes - An organic light-emitting diode display may have thin-film transistor circuitry formed on a substrate. A pixel definition layer may be formed on the thin-film transistor circuitry. Openings in the pixel definition layer may be provided with emissive material overlapping split anodes that are separated by anode gaps. The anode gaps may extend vertically and horizontally or may extend diagonally. The pixel definition layer openings may have edges that extend vertically and horizontally or that extend diagonally. A display may have three different pixel colors or may have four different pixel colors. Each pixel definition layer opening may have a pair of split anodes that are overlapped by a common layer of emissive material or may have four split anodes that are overlapped by a common layer of emissive material. | 12-17-2015 |
20150364713 | COMPOSITION FOR FORMING TRANSPARENT ELECTRODE, TRANSPARENT ELECTRODE, ORGANIC ELECTRONIC ELEMENT, AND METHOD FOR MANUFACTURING TRANSPARENT ELECTRODE - A composition for forming a transparent electrode is to form a conductive polymer layer on a transparent substrate. The composition includes: a conductive polymer; a self-dispersing polymer dispersible in an aqueous solvent; water; a polar solvent other than water; and a glycol ether. The self-dispersing polymer is a self-dispersing polymer containing a dissociable group and having a glass transition temperature of 25° C. or more and 80° C. or less. | 12-17-2015 |
20150364714 | ORGANIC LIGHT EMITTING DEVICE MANUFACTURING METHOD USING SHADOW MASK AND ORGANIC LIGHT EMITTING DEVICE MANUFACTURED THEREBY - The present inventions relates to an organic light emitting device capable of decreasing a leakage current, and more particularly, to an organic light emitting device manufacturing method and an organic light emitting device using the same, which can decrease a leakage current, by flattening a lower electrode in order to decrease a leakage current of the lower electrode deposited through a shadow mask. | 12-17-2015 |
20150364715 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device with a plurality of subpixels, each subpixel including an emission region and a non-emission region, the organic light-emitting device including a substrate; an anode on the substrate, the anode including patterns that separately correspond to respective ones of the plurality of subpixels; an organic layer on the anode, the organic layer being common to the plurality of subpixels; and a cathode on the organic layer, the cathode including a plurality of subcathodes that each correspond to at least one of the subpixels and that allow light to pass through in emission regions, wherein adjacent two of the subcathodes overlap with each other in non-emission regions. | 12-17-2015 |
20150364717 | DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A display apparatus includes: a substrate; a display unit disposed on the substrate; a barrier unit disposed between the substrate and the display unit; and a buffer unit disposed between the barrier unit and the display unit, wherein a sum of a thickness of the barrier unit and a thickness of the buffer unit is in the range from 0.9 μm to 3 μm. | 12-17-2015 |
20150364718 | PACKAGE STRUCTURE FOR FLEXIBLE ORGANIC LIGHT EMITTING DIODE DEVICE, METHOD FOR PACKAGING THE SAME AND FLEXIBLE DISPLAY DEVICE - According to the present disclosure, there is provided a package structure for a flexible organic light emitting diode (OLED) device, which may include: a flexible substrate, arranged to support the OLED device; a packaging layer, provided on the flexible substrate; the OLED device, provided on the packaging layer; and a waterproof and oxygenproof transparent thin film, covering the OLED device. | 12-17-2015 |
20150364722 | DISPLAY DEVICE - A display device includes a first substrate, a second substrate, a connecting element and a display medium. The first and second substrates are disposed opposite to each other, and the connecting element is disposed between the first and second substrates. An accommodating space is formed between the first substrate, the second substrate and the connecting element, and the display medium is disposed in the accommodating space. The connecting element has a first sealing layer, a second sealing layer and an adhesive layer. The first and second sealing layers are departed or partially connected. The second sealing layer is disposed adjacent to the accommodating space. The adhesive layer is disposed between the first and second sealing layers. The adhesive layer includes a water-resisting material. | 12-17-2015 |
20150364724 | Method for Producing an Organic Light-Emitting Component and Organic Light-Emitting Component - The invention relates to a method for producing an organic component having a layered arrangement, wherein the method includes the following steps: Preparing a substrate and producing a layer stack having an electrode, a counter electrode and organic layers with a light-emitting zone on the substrate, wherein the organic layers are produced between the electrode and the counter electrode, and in electrical contact with the electrode and the counter electrode, wherein the layer arrangement is produced with a light scattering functional layer containing metal oxide particles distributed randomly in two dimensions. The invention further relates to an organic light-emitting component having a layer arrangement. | 12-17-2015 |
20150364725 | ORGANIC LIGHT-EMITTING DIODE DISPLAY PANEL - An organic light-emitting diode display panel includes a substrate on which an OLED (organic light-emitting diode) light-emitting element is mounted. The OLED light-emitting element includes an OLED organic layer. A black silicon structure is disposed on the substrate and reveals the OLED organic layer. The black silicon structure absorbs ambient light to reduce the reflectance of the ambient light. By disposing the black silicon structure around the OLED organic layer or by directly forming the OLED organic layer in the black silicon structure, the extremely high light absorbing capability of the black silicon structure is used to significantly reduce the reflectance of the ambient light. A clear display effect in a bright environment can be achieved under smaller luminance, reducing the luminance of the display panel and saving the power consumption. Furthermore, the number of films and the overall thickness of the panel can be reduced. | 12-17-2015 |
20150368279 | COMPOUND, DRYING AGENT, SEALING STRUCTURE, AND ORGANIC EL ELEMENT - A compound represented by formula (1) is provided: | 12-24-2015 |
20150368473 | COLORANT DISPERSION LIQUID, METHOD FOR PRODUCING COLORANT DISPERSION LIQUID, COLOR RESIN COMPOSITION FOR COLOR FILTERS, COLOR FILTER, LIQUID CRYSTAL DISPLAY DEVICE, AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE - The present invention is to provide a colorant dispersion liquid which is able to form a high-luminance coating film having better heat resistance compared to the case of using conventional dyes. Disclosed is a colorant dispersion liquid containing (A) a colorant, (B) a dispersant, and (C) a solvent, wherein the colorant (A) contains a color material represented by the following general formula (I) and a xanthene-based dye; a solubility of the color material in the solvent (C) and that of the xanthene-based dye in the solvent (C) are each 0.2 (g/100 g solvent) or less at 23° C.; and the color material and the xanthene-based dye are dispersed in the solvent (C) by the dispersant (B): | 12-24-2015 |
20150368528 | CURABLE COMPOSITION - The present invention relates to a curable composition and an adhesive film including the same, and provides a curable composition and an adhesive film which may prevent damage to an element from moisture contained in the composition, ionic substances, and other foreign substances, and effectively block electrochemical corrosion, thereby improving a lifespan and durability of an organic electronic device. | 12-24-2015 |
20150372062 | METHOD FOR PRODUCING AN OPTOELECTRONIC ASSEMBLY, AND OPTOELECTRONIC ASSEMBLY - A method for producing an optoelectronic assembly having a first and at least a second optoelectronic components may include forming a first electrically conductive layer on a substrate, forming a second electrically conductive layer on the first electrically conductive layer, applying an insulator material on the second electrically conductive layer and the substrate, such that at least a first insulator region, which insulates a first component region from a second component region, a second insulator region, which insulates the second component region from a first contact region, a third insulator region arranged on a side of the first component region, and a fourth insulator region arranged between the first and second insulator regions on a side of the second component region are formed by the insulator material, forming a first and second optically functional layers in the first and second component regions, respectively, and applying an electrically conductive electrode layer. | 12-24-2015 |
20150372063 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting display (OLED) device, and a method of manufacturing the OLED device are discussed. The OLED device according to one embodiment includes a substrate; a first pixel electrode, a second pixel electrode, and a third pixel electrode formed on the substrate; a first bank layer and a second bank layer formed on the substrate; and a first organic light emitting layer, a second organic light emitting layer, and a third organic light emitting layer formed on the first pixel electrode, the second pixel electrode, and the third pixel electrode, respectively. The first organic light emitting layer overlaps the second organic light emitting layer on the first bank layer. | 12-24-2015 |
20150372066 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate, an optical layer formed over the substrate and a light emitting pixel formed over the optical layer. The optical layer includes a first refractive index layer portion having a first refractive index, a second refractive index layer portion having a second refractive index greater than the first refractive index. The second portion is disposed next to the first portion and contacts the first portion. The light emitting pixel includes a pixel electrode overlapping the first portion and comprising a first reflective layer, a pixel-defining film overlapping the second portion, an intermediate layer formed over the pixel electrode and comprising an organic light emission layer, and an opposite electrode formed over and overlapping the intermediate layer and the pixel-defining film and comprising a second reflective layer. | 12-24-2015 |
20150372067 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device includes a substrate having an emission area and a dummy area that surrounds the emission area, a plurality of sub-pixels disposed on the emission area of the substrate, and a plurality of dummy pixels disposed on the dummy area of the substrate, each dummy pixel including a plurality of fine patterns. | 12-24-2015 |
20150372068 | DISPLAY DEVICE - A display device includes a substrate, a thin film transistor unit disposed on the substrate, and a shielding unit disposed between the substrate and the thin film transistor unit. The thin film transistor unit includes a gate, an insulating layer, a semiconductor layer, a source, and a drain. The shielding unit includes a shielding layer and a first buffer layer. The first buffer layer is disposed between the shielding layer and the thin film transistor. Light with a wavelength of 200 nm to 510 nm has a transmittance between 0 to 15% when passing through the shielding layer. | 12-24-2015 |
20150372069 | LIGHT EMITTING DISPLAY APPARATUS - There is provided a light emitting display apparatus including at least a light emitting element and a thin film transistor (TFT) for driving the light emitting element, characterized in that a mechanism is provided in which a semiconductor constituting the TFT is irradiated with at least a part of light whose wavelength is longer than a predetermined wavelength among the light emitted by the light emitting element. | 12-24-2015 |
20150372070 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes a plurality of pixels. Each of the pixels includes a first insulating layer and first and second signal lines spaced apart from each other. At least a portion of the first and second signal lines is formed over the first insulating layer. Each pixel also includes a second insulating layer interposed between the first and second signal lines. The second insulating layer has a lower permittivity that the first insulating layer. | 12-24-2015 |
20150372071 | DISPLAY MODULE - Organic EL display module including a pixel disposed in respective intersections between a plurality of scanning lines and a plurality of data lines, which lines are aligned in a matrix, and a current supply line that supplies electric current to the pixel, wherein the pixel includes an active device selected by the scanning line, a data storage device that stores a data signal that is supplied from the data line by control of the active device, and an organic light emitting device that emits light by the electric current supplied by the current supply line according to the data signal stored in the data storage device, wherein the data storage device provides a lower electrode, an insulating layer and an upper electrode, and wherein the lower electrode has a same layer with a channel layer of the active device and the upper electrode is made of a metal material. | 12-24-2015 |
20150372072 | ORGANIC LIGHT EMITTING DIODE DISPLAY PANEL, ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE, AND METHOD FOR FABRICATING ORGANIC LIGHT EMITTING DIODE DISPLAY PANEL - An organic light emitting diode (OLED) display panel is disclosed. The display panel includes a substrate, and a Thin-film Transistor (TFT) disposed on the substrate. The TFT includes a source electrode, and a drain electrode. The display panel also includes a power line disposed above the substrate, an auxiliary electrode electrically connected to the power line, and a signal input terminal, electrically connected to the power line and providing an input signal. A first collective portion of the auxiliary electrode and the power line has a first length and a first resistance. A second collective portion of the auxiliary electrode and the power line has a second length and a second resistance. The first collective portion is between the second collective portion and the signal input terminal. The first length is equal to the second length, and the first resistance is greater than the second resistance. | 12-24-2015 |
20150372147 | THIN FILM TRANSISTOR, METHOD FOR MANUFACTURING SAME, AND DISPLAY DEVICE - According to one embodiment, a thin film transistor includes a substrate, a gate electrode, a first insulating film, an oxide semiconductor film, a second insulating film, a source electrode, and a drain electrode. The gate electrode is provided on a part of the substrate. The first insulating film covers the gate electrode. The oxide semiconductor film is provided on the gate electrode via the first insulating film. The second insulating film is provided on a part of the oxide semiconductor film. The source and drain electrodes are respectively connected to first and second portions of the oxide semiconductor film not covered with the second insulating film. The oxide semiconductor film includes an oxide semiconductor. Concentrations of hydrogen contained in the first and second insulating films are not less than 5×10 | 12-24-2015 |
20150372235 | ORGANIC THIN FILM TRANSISTOR USING LOCAL ETCHING AND MANUFACTURING METHOD THEREOF - Disclosed herein is a method of manufacturing an organic thin film transistor, including the steps of: forming a first insulation layer on a semiconductor layer; locally etching the first insulation layer; and forming a second insulation layer on the first insulation layer including the etched region thereof, wherein the etching of the first insulation layer is conducted by inkjet printing. | 12-24-2015 |
20150372236 | WELL-ORIENTED 6,13-BIS(TRIISOPROPYLSILYLETHYNYL) PENTACENE CRYSTALS AND A TEMPERATURE-GRADIENT METHOD FOR PRODUCING THE SAME - Disclosed herein are temperature-gradient methods of producing well-oriented TIPS pentacene crystals and films comprising establishing a temperature gradient on a substrate to produce a heated substrate having a lower temperature portion at a first temperature and a higher temperature portion at a second temperature and applying a solution comprising 6,13-bis(triisopropylsilylethynyl)pentacene to the heated substrate, driving crystallization from the lower temperature portion of the substrate to the higher temperature portion of the substrate. | 12-24-2015 |
20150372237 | ANTHRACENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING THE SAME - An anthracene derivative represented by the following formula (1): | 12-24-2015 |
20150372239 | AROMATIC AMINE DERIVATIVE AND ELECTROLUMINESCENCE DEVICE USING THE SAME - Provided are a novel aromatic amine derivative having a specific structure and an organic electroluminescence device in which an organic thin layer comprising a single layer or plural layers including a light emitting layer is interposed between a cathode and an anode, wherein at leas one layer of the above organic thin layer contains the aromatic amine derivative described above in the form of a single component or a mixed component. Thus, the organic electroluminescence device is less liable to be crystallized in molecules, improved in a yield in producing the organic electroluminescence device and extended in a lifetime. | 12-24-2015 |
20150372241 | ORGANIC COMPOUND, AND ORGANIC THIN FILM AND ELECTRONIC DEVICE - An organic compound is represented by Chemical Formula 1, and an organic thin film, an organic thin film transistor, and an electronic device include the organic compound. | 12-24-2015 |
20150372243 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Triphenylene containing benzo-fused thiophene compounds are provided. Additionally, triphenylene containing benzo-fused furan compounds are provided. The compounds may be useful in organic light emitting devices, particularly as hosts in the emissive layer of such devices, or as materials for enhancement layers in such devices, or both. | 12-24-2015 |
20150372244 | ORGANIC LIGHT-EMITTING DEVICE - Provided is an organic light-emitting device having high efficiency and improved driving durability performance. The organic light-emitting device includes a pair of electrodes and an organic compound layer placed between the pair of electrodes, in which the organic compound layer includes an iridium complex having a specific skeleton and a heterocycle-containing compound as a host. | 12-24-2015 |
20150372246 | GATE INSULATOR LAYER FOR ORGANIC ELECTRONIC DEVICES - Embodiments in accordance with the present invention provide for the use of polycycloolefins in electronic devices and more specifically to the use of such polycycloolefins as gate insulator layers used in the fabrication of electronic devices, the electronic devices that encompass such polycycloolefin gate insulator and processes for preparing such polycycloolefin gate insulator layers and electronic devices encompassing such layers. | 12-24-2015 |
20150372250 | WHITE ORGANIC LIGHT EMITTING DEVICE - Provided is a white organic light emitting device which can improve abnormal light emission and efficiency and reliability of the device. | 12-24-2015 |
20150372251 | ELECTRIC ELEMENT PACKAGE - An electric element package is provided including an electrode formed on an element substrate and made of alloy whose main component is Al or Ag, an ITO layer formed on the electrode, an electric element formed on the electrode, a sealing substrate arranged so as to face the element substrate, and a glass frit formed between the sealing substrate and the ITO layer, the glass fit having a portion that contacts the ITO layer and a portion that contacts the sealing substrate, where the portion of the glass frit that contacts the ITO layer has width that is 50 to 80 percent of the portion of the glass frit that contacts the sealing substrate. | 12-24-2015 |
20150372252 | BUCKLED ORGANIC LIGHT EMITTING DIODE FOR LIGHT EXTRACTION WITHOUT BLURRING - A layered organic light emitting diode (OLED) device comprises a buckled structure over a portion of the light emitting face to provide improved light output relative to flat OLED devices. The buckled structure has a fine buckling and a gross buckling, which are quasi-periodic. Embodiments of the invention are directed to a method of producing the OLED device comprising a buckled structure, where a transparent substrate coated with a transparent elastomeric layer has a thin metal layer deposited on a portion of the elastomeric layer at an elevated temperature. Upon cooling the metal layer buckles with the formation of the quasi-periodic buckling. Subsequently the metal layer is oxidized to a metal oxide layer that retains the buckling. An OLED with a buckling structure over a portion of the emitting face is constructed on the metal oxide layer and retains the buckling of the metal oxide layer. | 12-24-2015 |
20150372253 | DISPLAY DEVICE - A display device including a display substrate, the display substrate including an active area including a display unit that displays an image, a circuit area extending from the active area toward an exterior of the display device, and a cell seal area extending from the circuit area toward an exterior of the display device; an encapsulation substrate covering the display substrate; and a sealing portion between the display substrate and the encapsulation substrate, wherein the sealing portion includes a first sealing portion on the cell seal area, and a second sealing portion on the circuit area and extending from the first sealing portion. | 12-24-2015 |
20150372254 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light-emitting display device and method of manufacturing the same. An organic light-emitting display device includes: an organic light-emitting unit between two substrates, and an adhesive unit configured to fix the two substrates, the adhesive unit including: a plurality of additives, and at least two regions configured to: suppress infiltration of external moisture into the organic light-emitting unit, and decrease separation of at least one of the two substrates from the adhesive unit caused by the external moisture, and wherein the at least two regions have respective concentrations of the plurality of additives different from each other. | 12-24-2015 |
20150372255 | METHOD FOR MANUFACTURING ELECTRONIC DEVICE AND ELECTRONIC DEVICE MANUFACTURED THEREBY - On a support substrate, an adhesive layer is formed from a material which decreases an adhesion force thereof to the support substrate when absorbing moisture. In addition, a resin substrate is formed on the adhesive layer, and a sealing layer is formed which seals at least a portion of a laminate structure including the adhesive layer and the resin substrate at which the adhesive layer is exposed. An electronic element is formed above the resin substrate. After a part of a sealing structure including the sealing layer and the support substrate is removed so as to expose the adhesive layer, moisture is supplied to the adhesive layer. An electronic device including the electronic element and the resin substrate is peeled away from the support substrate. | 12-24-2015 |
20150372256 | DISPLAY BACK PLATE AND MANUFACTURING METHOD THEREFOR, AND DISPLAY DEVICE - Embodiments of the present invention disclose a display back plate. The display back plate comprises: an array substrate; and a pixel define layer formed on the array substrate and for defining an organic light emitting unit. An accommodation space is provided in the pixel define layer and a water absorbent material is provided within the accommodation space; the accommodation space has an opening formed in an upper surface and/or a lower surface of the pixel define layer; and the accommodation space is separated from the organic light emitting unit such that the water absorbent material within the accommodation space is spaced away from the organic light emitting unit. Embodiments of the present invention enable absorption of water vapour inside the organic light emitting display device, to prevent the adverse affection of water vapour on performance of the organic light emitting display device, so as to prolong service life of the organic light emitting display device. | 12-24-2015 |
20150372257 | ORGANIC LIGHT-EMITTING DIODE DISPLAY PANEL AND MANUFACTURING METHOD THEREOF - An organic light-emitting diode (OLED) display panel and manufacturing method thereof. The method of manufacturing the OLED display panel comprises forming an anode ( | 12-24-2015 |
20150372258 | ORGANIC ELECTROLUMINESCENCE ELEMENT, IMAGE-FORMING APPARATUS, DISPLAY APPARATUS, AND IMAGING APPARATUS - Provided is an organic electroluminescence element improved in emission efficiency. The organic electroluminescence element includes: a reflective electrode; a light exiting side electrode; an emission layer provided between the reflective electrode and the light exiting side electrode; and at least one low-refractive index layer provided between the reflective electrode and the emission layer, the low-refractive index layer having a refractive index lower than the refractive index of the emission layer. An optical path L | 12-24-2015 |
20150375551 | HEAT TRANSFER FILM AND ORGANIC ELECTROLUMINESCENT ELEMENT MANUFACTURED USING SAME - The present invention relates to a heat transfer film and an organic electroluminescent element manufactured using the same. More specifically, the present invention relates to: a heat transfer film comprising a base film and an outermost layer formed on the base film, wherein a ratio of an elastic modulus of the outermost layer to an elastic modulus of the base film at 25° C. and relative humidity of 45% is approximately 1 or more; and an organic electroluminescent element manufactured using the same. | 12-31-2015 |
20150376085 | ANTHRACENE COMPOUND, METHOD FOR PREPARING THE SAME, USE THEREOF AND ORGANIC LIGHT EMITTING DEVICE - Provided are anthracene compound, method for preparing the same and use thereof, as well as organic electroluminescent device containing the same. The anthracene compound represented by a formula: | 12-31-2015 |
20150376356 | ORGANIC INSULATING MATERIAL AND FLEXIBLE DISPLAY INCLUDING THE SAME - An organic insulating material and a flexible display device are disclosed. The organic insulating material comprises an acrylic polymer having a cinnamoyl moiety. | 12-31-2015 |
20150376468 | PRESSURE-SENSITIVE ADHESIVE COMPOSITION, PRESSURE-SENSITIVE ADHESIVE FILM, AND METHOD OF MANUFACTURING ORGANIC ELECTRONIC DEVICE USING THE SAME - Provided are a pressure-sensitive adhesive composition, a pressure-sensitive adhesive film, and a method of manufacturing an organic electronic device using the same. The pressure-sensitive adhesive composition that may effectively block moisture or oxygen penetrated into an organic electronic device from an external environment, and exhibit reliability under harsh conditions such as high temperature and high humidity and excellent optical characteristics, and a pressure-sensitive adhesive film including the same are provided. | 12-31-2015 |
20150376469 | PRESSURE-SENSITIVE ADHESIVE COMPOSITION, PRESSURE-SENSITIVE ADHESIVE FILM, AND METHOD OF MANUFACTURING ORGANIC ELECTRONIC DEVICE USING THE SAME (As amended) - Provided are a pressure-sensitive adhesive composition, a pressure-sensitive adhesive film, and a method of manufacturing an organic electronic device using the same. The pressure-sensitive adhesive composition that may effectively block moisture or oxygen penetrated into an organic electronic device from an external environment, and exhibit reliability under harsh conditions such as high temperature and high humidity and excellent optical characteristics, and a pressure-sensitive adhesive film including the same are provided. | 12-31-2015 |
20150376495 | POLYMER AND ORGANIC LIGHT-EMITTING DEVICE - A co-polymer comprising a repeat unit of formula (I): | 12-31-2015 |
20150378182 | LIGHT SHIELDING MATERIAL AND DISPLAY DEVICE INCLUDING THE SAME - Disclosed is a display device that may include a plurality of pixels on a substrate; and a light shielding layer on the substrate that defines or partitions the plurality of pixels, wherein the light shielding layer includes a plurality of nanoparticles, each comprising a core and a shell outside the core, and wherein the core includes a metal oxide, and the shell includes an insulating material. | 12-31-2015 |
20150380466 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - Provided are an organic light emitting display device and a method for manufacturing the same. A color filter is disposed on a substrate. An overcoating layer is disposed on the color filter and includes a plurality of protrusions or a plurality of recesses. The plurality of protrusions and the plurality of recesses are disposed on the color filter to be overlapped with the color filter. A buffer layer for reducing step difference is disposed on the overcoating layer. The buffer layer has a higher refractive index than the overcoating layer and reduces a step difference caused by the plurality of protrusions and the plurality of recesses. An organic light emitting element including an anode, an organic light emitting layer, and a cathode is disposed on the buffer layer. Since the buffer layer has a higher refractive index than the overcoating layer, light extraction efficiency can be increased. | 12-31-2015 |
20150380467 | TOUCH DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - A touch display device and a method for manufacturing the same are provided. The touch display device includes a first substrate, a second substrate disposed opposite to the first substrate, and at least one touch signal transmission unit. The touch signal transmission unit includes: a first conductive layer located above a side of the first substrate facing the second substrate; a second conductive layer located above a side of the second substrate facing the first substrate; a touch signal transmission layer, located between the second conductive layer and the second substrate and electrically connected to the first conductive layer via the second conductive layer; and a spacer located between the first substrate and the second substrate, where a vertical projection of the spacer onto the first substrate at least partially overlaps vertical projections of the first conductive layer and the second conductive layer onto the first substrate. | 12-31-2015 |
20150380468 | WHITE ORGANIC LIGHT EMITTING DEVICE - A white organic light emitting device is disclosed. The device includes a first light emitting unit, which has first, second, and third light emitting element. The first light emitting element includes a blue light emitting material, the second light emitting element includes a yellow light emitting material, and the third light emitting element includes a yellow light emitting material. In addition, the first light emitting unit, the second light emitting unit and the third light emitting unit are arranged in parallel. | 12-31-2015 |
20150380470 | Organic Light-Emitting Diode Display With White and Blue Diodes - An organic light-emitting diode display may have an array of pixels with sets of pixels arranged in rows and columns. Each set of pixels includes a red pixel, a green pixel, a blue pixel, and a white pixel. The red pixels each have a white diode and a red color filter element to impart a red color to white light from that white diode. The green pixels each have a white diode and a green color filter element to impart a green color to white light from that white diode. The white pixels each have an unfiltered white diode. The blue pixels each have an unfiltered blue diode. The unfiltered white and blue diodes do not have color filters and emit white and blue light for the white and blue pixels, respectively. The white and blue diodes may be tandem diodes having two or more emissive layers. | 12-31-2015 |
20150380472 | AREA SENSOR AND DISPLAY APPARATUS PROVIDED WITH AN AREA SENSOR - An area sensor of the present invention has a function of displaying an image in a sensor portion by using light-emitting elements and a reading function using photoelectric conversion devices. Therefore, an image read in the sensor portion can be displayed thereon without separately providing an electronic display on the area sensor. Furthermore, a photoelectric conversion layer of a photodiode according to the present invention is made of an amorphous silicon film and an N-type semiconductor layer and a P-type semiconductor layer are made of a polycrystalline silicon film. The amorphous silicon film is formed to be thicker than the polycrystalline silicon film. As a result, the photodiode according to the present invention can receive more light. | 12-31-2015 |
20150380474 | DISPLAY DEVICE - A display device includes a display panel having an encapsulation substrate over a display substrate, at least one film on the display panel, a black matrix on the at least one functional film, and a viewing angle controller on the display panel and overlapping at least the black matrix. | 12-31-2015 |
20150380476 | ARRAY SUBSTRATE, MANUFACTURE METHOD THEREOF, AND DISPLAY PANEL - An array substrate, a manufacture method of the array substrate, and a display panel are configured to achieve a combination of solar energy technology and the OLED display technology. The array substrate includes substrate, scanning lines, data lines, a thin film transistor (TFT), a common electrode and a pixel electrode. The array substrate further includes a light-emitting structure configured to provide a backlight source, a solar cell structure and a power output line. The light-emitting structure is provided between the common electrode and the pixel electrode. The solar cell structure is provided between the substrate and the common electrode. The power output line is provided in a same layer as the common electrode and is electrically connected to the solar cell structure so as to transmit electric energy generated by the solar cell structure to an external circuit. | 12-31-2015 |
20150380648 | SYSTEMS AND METHODS OF MODULATING FLOW DURING VAPOR JET DEPOSITION OF ORGANIC MATERIALS - Embodiments of the disclosed subject matter provide methods and systems including a nozzle, a source of material to be deposited on a substrate in fluid communication with the nozzle, a delivery gas source in fluid communication with the source of material to be deposited with the nozzle, an exhaust channel disposed adjacent to the nozzle, a confinement gas source in fluid communication with the nozzle and the exhaust channel, and disposed adjacent to the exhaust channel, and an actuator to adjust a fly height separation between a deposition nozzle aperture of the nozzle and a deposition target. The adjustment of the fly height separation may stop and/or start the deposition of the material from the nozzle. | 12-31-2015 |
20150380651 | PATTERNING DEVICES USING FLUORINATED COMPOUNDS - A method for producing a spatially patterned structure includes forming a layer of a material on at least a portion of a substructure of the spatially patterned structure, forming a barrier layer of a fluorinated material on the layer of material to provide an intermediate structure, and exposing the intermediate structure to at least one of a second material or radiation to cause at least one of a chemical change or a structural change to at least a portion of the intermediate structure. The barrier layer substantially protects the layer of the material from chemical and structural changes during the exposing. Substructures are produced according to this method. | 12-31-2015 |
20150380652 | PATTERNING OF OLED MATERIALS - A method of making a patterned OLED layer or layers. The method uses a shadow mask having, for example, a free-standing silicon nitride membrane to pattern color emitter material with a feature size of less than 10 microns. The methods can be used, for example, in the manufacture of OLED microdisplays. | 12-31-2015 |
20150380655 | ANTHRACENE DERIVATIVE, METHOD FOR PREPARING THE SAME, USE THEREOF AND ORGANIC LIGHT EMITTING DEVICE - Provided are anthracene derivative, method for preparing the same, use thereof, and an organic light emitting device. The anthracene derivative represented by a formula: | 12-31-2015 |
20150380657 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic EL device having high efficiency, low driving voltage and a long lifetime is provided by combining various materials for an organic EL device, which are excellent, as materials for an organic EL device having high efficiency and high durability, in hole and electron injection/transport performances, electron blocking ability, stability in a thin-film state and durability, so as to allow the respective materials to effectively reveal their characteristics. | 12-31-2015 |
20150380659 | COMPOUND, ORGANIC LIGHT EMITTING ELEMENT COMPRISING SAME, AND DISPLAY DEVICE COMPRISING ORGANIC LIGHT EMITTING ELEMENT - A compound, an organic light emitting element including the same, and a display device including the organic light emitting element are disclosed, and the compound for an organic optoelectric device represented by Chemical Formula 1 is provided. | 12-31-2015 |
20150380661 | COMPOUND FOR ORGANIC ELECTRIC ELEMENT, ORGANIC ELECTRIC ELEMENT COMPRISING THE SAME AND ELECTRONIC DEVICE THEREOF - The present invention provides a novel compound capable of improving light emitting efficiency, stability, and lifespan of the element, an organic element using the same, and an electric device for the same. | 12-31-2015 |
20150380662 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode, wherein the organic layer includes at least one first material and at least one second material, the first material being represented by one of Formulae 1-1 and 1-2, below, and the second material being represented by Formula 2, below: | 12-31-2015 |
20150380663 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A heterocyclic compound is represented by Formula 1. In Formulae 1, 1a, and 1b, two adjacent groups among A | 12-31-2015 |
20150380664 | ELECTRONIC DEVICE INCLUDING A DIAZACHRYSENE DERIVATIVE - There is provided a compound having Formula I | 12-31-2015 |
20150380666 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Compounds having the structure of Formula M(L | 12-31-2015 |
20150380669 | PROTECTIVE LAYER(S) IN ORGANIC IMAGE SENSORS - The present disclosure relates to an organic image sensor and an associated method. By inserting an inorganic protective layer between an electrode and an organic photo active region of the image sensor, the organic photo active region is protected from moisture, oxygen or following process damage. The inorganic protective layers also help to suppress the leakage in the dark. In some embodiments, the organic image sensor comprises a first electrode, an organic photoelectrical conversion structure disposed over the first electrode and a second electrode disposed over the organic photoelectrical conversion structure. The organic image sensor further comprises a first protective structure covering a top surface and a sidewall of the organic photoelectrical conversion structure. | 12-31-2015 |
20150380670 | ORGANIC THIN FILM PHOTOVOLTAIC DEVICE, FABRICATION METHOD THEREOF, AND ELECTRONIC APPARATUS - An organic thin film photovoltaic device ( | 12-31-2015 |
20150380672 | SYSTEM AND METHOD FOR MATCHING ELECTRODE RESISTANCES IN OLED LIGHT PANELS - Provided are an OLED device and a method of manufacturing the OLED device that may provide improved luminance uniformity. The disclosed OLED may have a first electrode that has a first sheet resistance Rs, and a second electrode that has a second sheet resistance, wherein the second sheet resistance may be in the range of 0.3 Rs-1.3 Rs. In addition, the disclosed OLED may have a plurality of equal potential difference between points on a first electrode and a second electrode. The equal potential difference may be provided by a gradient resistance formed on at least one of the electrodes. | 12-31-2015 |
20150380674 | ORGANIC EL ELEMENT - An organic EL element including: first and second electrode layers; an organic EL layer located therebetween and including a light-emitting layer; an insulating layer extending between the electrode layers at least in a region where the organic EL layer is not present; and a sealing layer located on the other side of the second electrode layer from the organic EL layer, the sealing layer covering at least the organic EL layer. The insulating layer includes first and second sections provided between the electrode layers. The second section is thicker than the first section and not closer to the organic EL layer. At least a part of the second section is not covered by the sealing layer. The first section is entirely covered by the sealing layer. The insulating layer located between the electrode layers and not covered by the sealing layer is entirely included in the second section. | 12-31-2015 |
20150380677 | LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF, AND DISPLAY DEVICE - The invention provides a light emitting device and a manufacturing method thereof, and a display device. The light emitting device comprises a base substrate and a laminated structure formed on the base substrate, the laminated structure including a first electrode, an organic layer and a second electrode which are laminated, the organic layer is provided between the first electrode and the second electrode, wherein the second electrode is provided with light extraction particles therein. In solutions of the light emitting device and the manufacturing method thereof, and the display device, the metal electrode is provided with light extraction particles therein, the light extraction particles destroy the surface plasma waves generated by the metal electrode, so that light fallen into the surface plasma waves can be extracted, the light extraction efficiency of the metal electrode is increased, and thus the light extraction efficiency of the light emitting device is increased. | 12-31-2015 |
20150380678 | ORGANIC LIGHT EMITTING FILM PACKAGE STRUCTURE, DEVICE, APPARATUS, AND FABRICATION THEREOF - An organic light emitting film package structure includes an organic light emitting unit and a film structure covering the organic light emitting unit. The film structure includes a first film as a bottom layer, a second film as a top layer, and a transition layer disposed between the first second films. The first film is SiX or SiXY, the second film is SiY or SiXY, and the transition layer includes multiple SiX | 12-31-2015 |
20150380681 | ORGANIC ELECTROLUMINESCENT ELEMENT AND LIGHTING DEVICE - An object of the present invention is to provide an organic electroluminescent element, which has a light emitting efficiency improved by suppressing the deterioration of storage property under a high temperature-high humidity atmosphere due to the recess-projection state of a surface of a gas barrier layer or a light scattering layer, or the like that is in contact with a light emitting unit, and by suppressing the occurrence of a short-circuit. The organic electroluminescent elements | 12-31-2015 |
20150380682 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE AND METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - The organic electroluminescence display device of an embodiment of the present invention includes a substrate, a plurality of pixels formed on the substrate, and a sealing film that covers the plurality of pixels. The sealing film includes a first barrier layer, a base layer covering the top surface of the first barrier layer, an inter layer locally formed on the top surface of the base layer, and a second barrier layer covering the top surface of the base layer and the top surface of the inter layer. The inter layer is formed so as to cover a step on the top surface of the base layer. | 12-31-2015 |
20150380683 | ENCAPSULATION STRUCTURES, ENCAPSULATION METHODS, AND DISPLAY DEVICES OF ORGANIC ELECTROLUMINESCENT DEVICES - Disclosed are encapsulation structures, encapsulation methods and display devices of organic electroluminescent devices. The encapsulation structure comprises: a substrate ( | 12-31-2015 |
20150380684 | PACKAGING STRUCTURE AND PACKAGING METHOD OF ORGANIC ELECTROLUMINESCENT DEVICE, AND DISPLAY DEVICE - A packaging structure and a packaging method of an organic electroluminescent device, and a display device are provided. The packaging structure of the organic electroluminescent device comprises: a base substrate ( | 12-31-2015 |
20150380685 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS - An organic light-emitting display (OLED) device includes: a pixel area defined by a plurality of pixels on a flexible substrate; a non-pixel area around the pixel area; a gate driver in the non-pixel area; a structure in the non-pixel area configured to surround the pixel area; a first encapsulation layer covering the plurality of pixels, the gate driver and the structure; and a particle cover layer covering the pixel area and suppressed from being excessively spread by the structure. | 12-31-2015 |
20150380687 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS - An organic light emitting display apparatus includes a substrate, an encapsulation member facing the substrate, a plurality of pixels between the substrate and the encapsulation member, each pixel including a light emission area and a non-emission area, a first electrode overlapping at least the light emission area, an intermediate layer on the first electrode and including an organic emission layer, a second electrode on the intermediate layer, and a reflective member on a bottom surface of the encapsulation member, the bottom surface of the encapsulation member facing the substrate, and the reflective member including an opening corresponding to the light emission area, and a reflective surface around the opening and corresponding to the non-emission area. | 12-31-2015 |
20150380688 | ADVANCED LIGHT EXTRACTION STRUCTURE - Preparation of semiconductor nanocrystals and their dispersions in solvents and other media is described. The nanocrystals described herein have small (1-10 nm) particle size with minimal aggregation and can be synthesized with high yield. The capping agents on the as-synthesized nanocrystals as well as nanocrystals which have undergone cap exchange reactions result in the formation of stable suspensions in polar and nonpolar solvents which may then result in the formation of high quality nanocomposite films. | 12-31-2015 |
20150380689 | POLARIZATION FILM, ANTIREFLECTION FILM, AND DISPLAY DEVICE - A polarization film includes a polarization layer including a polymer and a dichroic dye having a maximum absorption wavelength (λ | 12-31-2015 |
20160002407 | POLYIMIDE PRECURSOR, POLYIMIDE, FLEXIBLE SUBSTRATE PREPARED THEREWITH, COLOR FILTER AND PRODUCTION METHOD THEREOF, AND FLEXIBLE DISPLAY DEVICE - The present invention is based on a polyimide precursor having unit structures represented by general formula (1) and unit structures represented by general formula (2), provides a polyimide precursor for which film whitening, cracking and bubbling do not occur regardless of film baking conditions, and provides a flexible TFT array, a flexible color filter, and a flexible substrate with a gas barrier layer using the polyimide precursor, and a flexible display device, etc. using same. (In general formulas (1) and (2), X | 01-07-2016 |
20160005796 | ILLUMINATING DEVICE AND MANUFACTURING METHOD THEREOF - An illuminating device is provided, which includes: a substrate ( | 01-07-2016 |
20160005797 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL - An organic electroluminescence display panel that includes a plurality of first pixel areas, a plurality of second pixel areas, and a plurality of third pixel areas is provided. The organic electroluminescence display panel includes a first electrode layer, an organic layer including a light-emitting layer made of organic light-emitting material and a second electrode layer. The first electrode layer includes a reflective material. The organic layer is located on the first electrode layer. The second electrode layer is located on the organic layer. The material of the second electrode layer includes a transparent metal oxide conductive material. The thickness of the second electrode layer is a single thickness and is greater than 300 nm. | 01-07-2016 |
20160005799 | THIN FILM TRANSISTOR, TFT ARRAY SUBSTRATE, MANUFACTURING METHOD THEREOF AND DISPLAY DEVICE - A TFT, a TFT array substrate, a manufacturing method thereof and a display device are disclosed. A source of the TFT includes a first source portion ( | 01-07-2016 |
20160005800 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND FABRICATING METHOD THEREOF - An OLED display device is discussed which can include: a first substrate defined into an emission region and a non-emission region; a first electrode formed on the first substrate; a bank pattern configured to expose a part of the first electrode corresponding to the emission region; an organic emission layer formed on the exposed part of the first electrode corresponding to the emission region; a second electrode which includes a first conductive layer formed on the organic emission layer and a second conductive layer formed on the second conductive layer. The first conductive layer is formed from an alloy of magnesium (Mg) and silver (Ag), and the second conductive layer is formed from silver (Ag). Such an OLED display device allows the second electrode to include the first conductive layer and the second conductive layer. As such, a large-sized display device with low resistance and high transmittance can be realized. | 01-07-2016 |
20160005801 | DISPLAY DEVICE, ELECTRONIC APPARATUS, AND METHOD OF FABRICATING THE DISPLAY DEVICE - It is an object of the invention to provide a technique to manufacture a display device with high image quality and high reliability at low cost with high yield. The invention has spacers over a pixel electrode layer in a pixel region and over an insulating layer functioning as a partition which covers the periphery of the pixel electrode layer. When forming a light emitting material over a pixel electrode layer, a mask for selective formation is supported by the spacers, thereby preventing the mask from contacting the pixel electrode layer due to a twist and deflection thereof. Accordingly, such damage as a crack by the mask does not occur in the pixel electrode layer. Thus, the pixel electrode layer does not have a defect in shapes, thereby a display device which performs a high resolution display with high reliability can be manufactured. | 01-07-2016 |
20160005802 | ORGANIC LIGHT EMITTING DISPLAY DEVICES - An organic light emitting display device may have a pixel region and a transparent region, and may include a substrate, at least one semiconductor device disposed on the substrate in the pixel region, an organic light emitting structure disposed on the at least one semiconductor device, and a capacitor disposed on the substrate in the transparent region. The capacitor may have a sufficient capacitance without substantially reducing a transmittance of the organic light emitting display device. Additionally, the transparent region of the organic light emitting display device may serve as a mirror in accordance with the material included in a lower electrode of the capacitor and/or an upper electrode of the capacitor. | 01-07-2016 |
20160005803 | ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is an organic electroluminescent device (OELD) includes a first substrate including a pixel region that includes an element region and a light emission region; a storage capacitor disposed at the element region, and including a first storage electrode, a first buffer layer on the first storage electrode, and a second storage electrode on the first buffer layer; a second buffer layer on the storage capacitor; a plurality of TFTs on the second buffer layer at the element region; and a passivation layer on the plurality of TFTs, wherein the storage capacitor overlaps at least one of the plurality of TFTs. | 01-07-2016 |
20160005971 | ORGANIC ELECTROLUMINESCENT DEVICE AND MANUFACTURING METHOD THEREOF, AND DISPLAY APPARATUS - The invention provides an organic electroluminescent device and a manufacturing method thereof, and a display apparatus. The method for manufacturing the organic electroluminescent device of the invention includes using the following to form at least one function layer: preparing a solution of a material of the function layer, and forming a liquid material layer for the function layer using the solution of the material of the function layer; performing a vacuum drying on the liquid material layer for the function layer to form function layer. In the invention, a relatively dense film is formed by performing a vacuum drying on the function layer, and the residual organic solvent is effectively removed to avoid the formation of defects, so that the film becomes smooth and dense, which increases the carrier mobility in the film and is advantageous to the transport and recombination of electrons and holes. | 01-07-2016 |
20160005972 | CHARGE-TRANSPORTING VARNISH - Provided is a charge-transporting varnish which includes a charge-transporting material including fluorine atoms, a charge-transporting material not including fluorine atoms, a dopant material comprising heteropoly acid, and an organic solvent, said charge-transporting material including fluorine atoms being a polymer of weight-average 1,000 to 200,000 molecular weight obtained by condensing a triarylamine compound, an aryl aldehyde compound including fluorine atoms, and a fluorine derivative having a carbonyl group, and said charge-transporting material not including fluorine atoms being an oligoaniline compound. The charge-transporting varnish provides a thin film which, even in a case of being used as a single layer in contact with and in between an anode and a luminescent layer, is capable of achieving an organic EL element having superior luminance characteristics and durability. | 01-07-2016 |
20160005973 | TRANSPARENT RESIN COMPOSITION FOR ORGANIC ELECTROLUMINESCENT ELEMENT SEALING, RESIN SHEET FOR ORGANIC ELECTROLUMINESCENT ELEMENT SEALING, AND IMAGE DIPLAY DEVICE - A transparent resin composition for organic EL element sealing and other things having a sufficient moisture blocking effect and excellent flexibility is provided. A thermoplastic resin, a tackifying resin, and an organometallic compound having at least an ester bond and represented by the following formula are included, and in which the light transmittance for light is 85% or higher, the relationship: AM/Y<162 is satisfied, and the thermoplastic resin includes a hydride of a styrene-based A-B-A type triblock body. | 01-07-2016 |
20160005976 | AROMATIC AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT COMPRISING THE SAME - An aromatic amine derivative represented by the following formula (1) | 01-07-2016 |
20160005977 | HETEROCYCLIC COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS USING SAME, ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME, AND ELECTRONIC DEVICE - A heterocyclic compound in which three 5-membered rings are fused to a benzene ring and a saturated or unsaturated ring is further fused to each of the 5-membered rings is a novel material, which is useful as a material for organic electroluminescence devices for the production of organic electroluminescence devices and electronic equipment. | 01-07-2016 |
20160005978 | COMPOUND, LIGHT EMITTER, AND ORGANIC LIGHT EMITTING DEVICE - A compound represented by D-A-D is useful as a light emitter for an organic electroluminescent device: | 01-07-2016 |
20160005979 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode, wherein the organic layer includes at least one first material and at least one second material, the first material being represented by one of Formulae 1-1 and 1-2, below, and the second material being represented by Formula 2, below: | 01-07-2016 |
20160005980 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode, wherein the organic layer includes at least one first material and at least one second material, the first material being represented by Formula 1 and the second material being represented by Formula 2: | 01-07-2016 |
20160005981 | COMPOUND FOR ORGANIC ELECTRONIC ELEMENT, ORGANIC ELECTRONIC ELEMENT USING THE SAME, AND ELECTRONIC DEVICE THEREOF - The present invention provides a novel compound which is capable of improving light-emitting efficiency, stability and lifespan of an element, an organic electronic element using the same, and an electronic device thereof. | 01-07-2016 |
20160005982 | NOVEL NAPHTHOTRIAZOLE DERIVATIVES AND ORGANIC ELECTROLUMINESCENCE DEVICES - Naphthotriazole derivatives represented by the following general formula (1), | 01-07-2016 |
20160005984 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound is represented by Formula 1: | 01-07-2016 |
20160005985 | ORGANIC SEMICONDUCTOR THIN FILM TRANSISTOR AND METHOD OF FABRICATING THE SAME - A substrate having a thin film transistor includes a buffer layer on a substrate, source and drain electrodes on the buffer layer, a portion of the buffer layer exposed between the source and drain electrodes, a small organic semiconductor layer on the source electrode and the drain electrode, the organic semiconductor layer contacting the exposed portion of the buffer layer, a gate insulating layer on the organic semiconductor layer, the gate insulating layer having substantially the same size as the organic semiconductor layer, a gate electrode on the gate insulating layer, a passivation layer over the surface of the substrate including the gate electrode; and a pixel electrode on the passivation layer, the pixel electrode electrically connected to the drain electrode. | 01-07-2016 |
20160005990 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device comprises a first electrode, a second electrode, and an organic light-emitting layer disposed between the first electrode and the second electrode, and comprising at least a host material, a first dopant for emitting light of a first color and a second dopant for emitting light of a second color, which is different from the first color. The organic light-emitting layer is divided into a first region adjacent to the first electrode, a second region adjacent to the second electrode, and a third region between the first region and the second region. Only the second dopant is provided in at least one of the first region and the second region. | 01-07-2016 |
20160005991 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device comprises a first electrode, a second electrode disposed on the first electrode, a first organic light-emitting layer disposed between the first electrode and the second electrode, a second organic light-emitting layer disposed between the first organic light-emitting layer and the second electrode, a first charge generation layer(CGL) disposed between the first organic light-emitting layer and the second organic light-emitting layer, a second CGL disposed between the first CGL and the second organic light-emitting layer, and a first buffer layer disposed between the first CGL and the second CGL and including fullerene. | 01-07-2016 |
20160005992 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode display device according to an embodiment includes: a first substrate having a pixel region; a first electrode in the pixel region on the first substrate; an emitting layer on the first electrode; and a second electrode on the emitting layer, the second electrode including a metal layer having a thickness smaller than about 300 Å. | 01-07-2016 |
20160005993 | ORGANIC LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - Disclosed is an organic light emitting device (OLED) that may include a first electrode including at least two conductive units, each of the at least two conductive units connected to a conductive connector of the first electrode; a second electrode facing the first electrode; a current carrying electrode electrically connected to the at least two conductive units, wherein the current carrying electrode includes a current carrying portion of the first electrode connected to the conductive connector of each of the at least two conductive units or an auxiliary electrode formed of a material different from that of the first electrode; and an organic layer between the first electrode and the second electrode; wherein the conductive connector includes an area in which a length of a direction, in which a current substantially flows, is at least ten times longer than a width of a direction vertical to the length of the direction, and wherein a resistance of the conductive connector is 400 Ω or more and 300,000 Ω or less. | 01-07-2016 |
20160005994 | ORGANIC ELECTROLUMINESCENCE DEVICE - The present invention aims to provide an organic electroluminescence device that operates successfully without strict sealing. Provided is an organic electroluminescence device having a structure in which a plurality of layers is stacked between an anode and a cathode formed on a substrate, wherein the organic electroluminescence device is sealed to provide a water vapor transmission rate of 10 | 01-07-2016 |
20160005997 | ORGANIC ELECTROLUMINESCENT ELEMENT AND METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT - This organic electroluminescent element is provided with the following: a barrier layer that is provided on a flexible substrate and comprises a modified-polysilazane layer; a laminate that is laid out on top of the barrier layer and is provided with an organic functional layer that has at least one light-emitting layer between a pair of electrodes; a covering intermediate layer formed on top of the barrier layer at least at the periphery of the laminate; and a sealing member joined to the top of the covering intermediate layer with a sealing resin layer interposed therebetween. | 01-07-2016 |
20160005998 | AFLUORINE-CONTAINING POLYMERIZED HMDSO APPLICATIONS FOR OLED THIN FILM ENCAPSULATION - Methods for forming an OLED device are described. An encapsulation structure having organic buffer layer and an interface layer disposed on the organic buffer layer sandwiched between barrier layers is deposited over an OLED structure. In one example, the method includes depositing a first barrier layer on a region of a substrate having an OLED structure disposed thereon, depositing a buffer layer with a fluorine-containing plasma formed from a first gas mixture containing a polymer gas precursor and a fluorine containing gas on the first barrier layer, depositing an interface layer on the buffer layer with a second gas mixture containing the polymer gas precursor, and depositing a second barrier layer on the interface layer. | 01-07-2016 |
20160005999 | Adhesive Film for Organic Electronic Device and Encapsulant Comprising the Same - Disclosed are an adhesive film for an organic electronic device and an encapsulant including the same, wherein the adhesive film can function to remove or block defect causes such as moisture and impurities so that the defect causes do not approach the organic electronic device, and also to minimize problems due to separation of the organic electronic device and the film and/or interfacial film delamination upon moisture removal. | 01-07-2016 |
20160006000 | ORGANIC EL DISPLAY DEVICE - An organic EL display device includes lower electrodes each provided for each of pixels, a bank layer formed so as to cover the peripheries of the lower electrodes and including bank openings through each of which a portion of the lower electrode is exposed, a light-emitting layer, an organic layer including portions each formed in the bank opening, a first barrier layer covering the organic layer, a second barrier layer covering the first barrier layer, an intermediate layer located at the edges of the bank openings, and light reflection films each provided under the lower electrode for each of the pixels . A first region where the intermediate layer is present when the pixel is viewed in a plan view includes, above or under the intermediate layer, a different layer structure from that of a second region inside the first region. | 01-07-2016 |
20160013247 | SOLID-STATE IMAGING DEVICE AND IMAGING APPARATUS | 01-14-2016 |
20160013248 | PHOTOELECTRIC CONVERSION ELEMENT AND IMAGING DEVICE USING THE SAME | 01-14-2016 |
20160013249 | ORGANIC LIGHT EMITTING DISPLAY PANEL | 01-14-2016 |
20160013250 | DISPLAY SUBSTRATE AND METHOD OF MANUFACTURING THE SAME | 01-14-2016 |
20160013251 | EL DISPLAY DEVICE | 01-14-2016 |
20160013253 | DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 01-14-2016 |
20160013255 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 01-14-2016 |
20160013256 | ACTIVE MATRIX ORGANIC LIGHT-EMITTING DIODE ARRAY SUBSTRATE, MANUFACTURING METHOD THEREOF AND DISPLAY DEVICE INCLUDING THE SAME | 01-14-2016 |
20160013258 | ELECTRO-OPTICAL DEVICE AND ELECTRONIC APPARATUS | 01-14-2016 |
20160013259 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 01-14-2016 |
20160013260 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES | 01-14-2016 |
20160013261 | Light-Emitting Device And Driving Method | 01-14-2016 |
20160013411 | METHOD OF MANUFACTURING MULTI-LAYERED THIN FILMS, MULTI-LAYERED THIN FILMS FORMED BY THE SAME, METHOD OF MANUFACTURING ORGANIC THIN FILM TRANSISTOR INCLUDING THE SAME, AND ORGANIC THIN FILM TRANSISTOR MANUFACTURED BY THE SAME | 01-14-2016 |
20160013413 | DEUTERATED COMPOUNDS FOR LUMINESCENT APPLICATIONS | 01-14-2016 |
20160013415 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE, METHOD FOR MANUFACTURING THE SAME AND DISPLAY APPARATUS | 01-14-2016 |
20160013417 | ORGANIC ELECTROLUMINESCENCE DEVICE AND MANUFACTURING METHOD THEREOF | 01-14-2016 |
20160013419 | AMINE COMPOUND HAVING HETERO-FUSED RING AND ORGANIC ELECTROLUMINESCENT ELEMENT USING AMINE COMPOUND | 01-14-2016 |
20160013420 | ORGANIC COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME | 01-14-2016 |
20160013421 | Light-Emitting Element, Compound, Display Module, Lighting Module, Light-Emitting Device, Display Device, Lighting Device, and Electronic Device | 01-14-2016 |
20160013422 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES | 01-14-2016 |
20160013423 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 01-14-2016 |
20160013424 | PHOTOELECTRIC CONVERSION ELEMENT AND METHOD OF USING SAME, OPTICAL SENSOR AND IMAGE SENSOR | 01-14-2016 |
20160013425 | A CHALCOGEN-CONTAINING ORGANIC COMPOUND AND A USE THEREOF | 01-14-2016 |
20160013427 | ORGANIC LIGHT-EMITTING DEVICE | 01-14-2016 |
20160013430 | ORGANIC LIGHT-EMITTING DEVICE | 01-14-2016 |
20160013431 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 01-14-2016 |
20160013432 | SUBSTRATE FOR DEVICE HAVING AN ORGANIC LIGHT-EMITTING DIODE | 01-14-2016 |
20160013435 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device | 01-14-2016 |
20160013436 | ORGANIC LIGHT-EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME | 01-14-2016 |
20160013438 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 01-14-2016 |
20160013440 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND ITS PACKAGING METHOD | 01-14-2016 |
20160013441 | DISPLAY DEVICE | 01-14-2016 |
20160013443 | FUNCTIONAL FILM, METHOD FOR PRODUCING THE SAME, AND ELECTRONIC DEVICE INCLUDING FUNCTIONAL FILM | 01-14-2016 |
20160013444 | ORGANIC ELECTROLUMINESCENT DEVICE PACKAGING STRUCTURE | 01-14-2016 |
20160013445 | BARRIER FILM, ORGANIC EL DEVICE, FLEXIBLE SUBSTRATE, AND METHOD FOR MANUFACTURING BARRIER FILM | 01-14-2016 |
20160013447 | RADIATION-EMITTING DEVICE | 01-14-2016 |
20160013449 | Combined Internal and External Extraction Layers for Enhanced Light Outcoupling for Organic Light Emitting Device | 01-14-2016 |
20160013450 | ENCAPSULATED WHITE OLEDS HAVING ENHANCED OPTICAL OUTPUT | 01-14-2016 |
20160013451 | ORGANIC ELECTROLUMINESCENT DISPLAY PANEL, METHOD FOR MANUFACTURING THE SAME AND DISPLAY APPARATUS | 01-14-2016 |
20160013453 | OLED BACKPLANE AND FABRICATION METHOD THEREOF | 01-14-2016 |
20160017170 | PHOTOCURABLE COMPOSITION AND ENVELOPED DEVICE INCLUDING SAME - The present invention relates to a photocurable composition including (A) a photocurable monomer, (B) a monomer of chemical formula 1 or an oligomer thereof and (C) an initiator, a barrier layer including the same and an enveloped device including the same. | 01-21-2016 |
20160017186 | SEALANT COMPOSITION AND SEALING SHEET OBTAINED FROM THE COMPOSITION - A sealant composition being adhesive for used in electronic devices, the sealant composition including an olefin-based polymer and a tackifier, wherein the olefin-based polymer is at least one selected from an ethylene/α-olefin copolymer and an ethylene/α-olefin/non-conjugated diene copolymer, and the content of the tackifier is 10% by mass or more and 70% by mass or less in the resin composition that constitutes the sealant composition. | 01-21-2016 |
20160017197 | ELEMENT SEALING RESIN COMPOSITION FOR ORGANIC ELECTRONIC DEVICE, ELEMENT SEALING RESIN SHEET FOR ORGANIC ELECTRONIC DEVICE, ORGANIC ELECTROLUMINESCENCE ELEMENT, AND IMAGE DISPLAY - Provided are an element sealing resin composition for organic electronic devices, which promotes a balance between the water vapor barrier properties and adhesiveness, decreases the water content, and sufficiently suppresses the generation of outgases, so that consequently the service life of an element for organic electronic devices can be lengthened, and which gives a satisfactory external appearance when used to seal an organic electronic device; an element sealing resin sheet for organic electronic devices; an organic electroluminescent element; and an image display apparatus. Disclosed is an element sealing resin composition for organic electronic devices, comprising a polyisobutylene resin (A) having a weight average molecular weight (Mw) of 10,000 to 300,000 and a hydrogenated cyclic olefin-based polymer (B), and having a water content according to the Karl-Fischer method of 500 ppm or less and an amount of outgas generation of 500 ppm or less when heated at 85° C. for 1 hour. | 01-21-2016 |
20160020196 | CHIP-ON-FILM PACKAGE AND DEVICE ASSEMBLY INCLUDING THE SAME - Chip-on-film packages and device assemblies including the same may be provided. The device assembly includes a film package including a semiconductor chip, a panel substrate connected to one end of the film package, a display panel disposed on the panel substrate, and a controlling part connected to another end of the film package. The film package includes a film substrate, a first wire disposed on a top surface of the film substrate, and a second wire disposed on a bottom surface of the film substrate. | 01-21-2016 |
20160020257 | ORGANIC PHOTOELECTRONIC DEVICE AND IMAGE SENSOR - An organic photoelectronic device includes a first electrode having a plurality of nanopatterns arranged at a regular interval, a second electrode facing the first electrode and an active layer between the first electrode and the second electrode, the active layer absorbing light in at least one wavelength of a visible ray region. | 01-21-2016 |
20160020258 | ORGANIC PHOTOELECTRONIC DEVICE AND IMAGE SENSOR - Example embodiments relate to an organic photoelectronic device that includes a first electrode, a light-absorption layer on the first electrode and including a first p-type light-absorption material and a first n-type light-absorption material, a light-absorption auxiliary layer on the light-absorption layer and including a second p-type light-absorption material or a second n-type light-absorption material that have a smaller full width at half maximum (FWHM) than the FWHM of the light absorption layer, a charge auxiliary layer on the light-absorption auxiliary layer, and a second electrode on the charge auxiliary layer, and an image sensor including the same. | 01-21-2016 |
20160020259 | ELECTROLUMINESCENT DEVICE AND ITS MANUFACTURE METHOD - An electroluminescent device and its manufacture method are disclosed. The electroluminescent device comprises a color film substrate ( | 01-21-2016 |
20160020260 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device includes a substrate, a plurality of pixel electrodes arranged in a matrix on the substrate, and an organic common layer covering the pixel electrodes. The pixel electrodes include a plurality of first pixel electrodes, a plurality of second pixel electrodes, and a plurality of third pixel electrodes. An n-th pixel column includes the second pixel electrodes; and the third pixel electrodes arranged alternately, an (n+1)-th pixel column which is adjacent to the n-th pixel column includes the first pixel electrodes, and an (n+2)-th pixel column which is adjacent to the (n+1)-th pixel column includes the second pixel electrodes and the third pixel electrodes arranged alternately, wherein n is a natural number. One of the second and third pixel electrodes is disposed in the n-th pixel column in a row and the other one of the second and third pixel electrodes is disposed in the (n+2)th pixel column in the same row. | 01-21-2016 |
20160020262 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus including a substrate; a thin-film transistor (TFT) arranged on the substrate; a black matrix located between the substrate and the TFT; a pixel electrode, which is located between the substrate and the TFT and having edge portions covered by the black matrix; an insulation layer, which covers the TFT and opens the top surface of the pixel electrode; an organic emission layer, which is arranged on the pixel electrode; and a counter electrode, which is arranged on the organic emission layer. | 01-21-2016 |
20160020263 | DOUBLE-SIDED DISPLAY OLED ARRAY SUBSTRATE, ITS MANUFACTURING METHOD, AND DISPLAY DEVICE - The present disclosure provides a double-sided display OLED array substrate, its manufacturing method, and a display device. The double-sided display OLED array substrate includes a first base substrate, a second base substrate, a first OLED and a second OLED arranged between the first base substrate and the second base substrate, and a first TFT and a second TFT sharing an identical gate electrode and arranged between the first OLED and the second OLED, the first TFT being configured to drive the first OLED, and the second TFT being configured to drive the second OLED. According to the present disclosure, it is able to reduce a thickness of the double-sided display OLED array substrate, thereby to reduce the production cost thereof. | 01-21-2016 |
20160020264 | DISPLAY DEVICE - Discussed is a display device, that may include a substrate divided into a display area and a non-display area except the display area, a first light shielding film formed in the display area, a second light shielding film formed in the non-display area, and oxide thin film transistors and organic light emitting diodes, which are formed on the first light shielding film, wherein the first light shielding film and the second light shielding film are spaced apart from each other. | 01-21-2016 |
20160020265 | ORGANIC LIGHT-EMITTING DEVICE INCLUDING BARRIER LAYER INCLUDING SILICON OXIDE LAYER AND SILICON NITRIDE LAYER - An organic light-emitting device including a harrier layer that includes a silicon oxide layer and a silicon-rich silicon nitride layer. The organic light-emitting device includes a flexible substrate that includes as barrier layer and plastic films disposed under and over the barrier layer. The barrier layer includes a silicon-rich silicon nitride layer and a silicon oxide layer. The order in which the silicon-rich silicon nitride layer and the silicon oxide layer are stacked is not limited and the silicon oxide layer in be first formed and then the silicon-rich silicon nitride layer may be stacked on the silicon oxide layer. The silicon-rich silicon nitride layer has refractive index of 1.81 to 1.85. | 01-21-2016 |
20160020266 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES - An organic light emitting display device includes a substrate, a first transistor disposed on the substrate in the opaque region, a second transistor disposed on the substrate in the opaque region, the second transistor being adjacent to the first transistor along a first direction, and a capacitor disposed on the substrate in the opaque region, the capacitor being adjacent to the first transistor along a second direction different from the first direction. Here, the capacitor may include a first capacitor electrode, a dielectric structure including silicon oxynitride and a second capacitor electrode. | 01-21-2016 |
20160020395 | TREATMENT LIQUID CONTAINING IONIC COMPOUND, ORGANIC ELECTRONIC ELEMENT, AND METHOD FOR PRODUCING ORGANIC ELECTRONIC ELEMENT - An embodiment of the present invention relates to a treatment liquid which contains an ionic compound and a solvent, and is used for adhering the ionic compound to at least one surface selected from the group consisting of a surface on which a layer having hole transport properties is to be formed, and a surface of a layer having hole transport properties. | 01-21-2016 |
20160020396 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound is represented by Formula 1 below: | 01-21-2016 |
20160020399 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display and a method of manufacturing the same are disclosed. In one aspect, the OLED display includes a substrate, a plurality of pixel electrodes formed on the substrate and separated from each other. The OLED display also includes an opposite electrode formed as one body and corresponding to the pixel electrodes, wherein the thickness of the opposite electrode varies depending on a position. The OLED display further includes an intermediate layer formed between the pixel electrodes and the opposite electrode, wherein the intermediate layer includes at least an emission layer. | 01-21-2016 |
20160020400 | COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A compound, an organic light-emitting device, and a flat display apparatus, the compound being represented by Formula 1, below: | 01-21-2016 |
20160020401 | COMPOUND, ORGANIC PHOTOELECTRONIC DEVICE AND IMAGE SENSOR - A compound is represented by Chemical Formula 1: | 01-21-2016 |
20160020402 | COMPOUND, LIGHT EMITTING MATERIAL, AND ORGANIC LIGHT EMITTING DEVICE - The compound represented by the following general formula is useful as a light emitting material. Ar | 01-21-2016 |
20160020403 | ORGANIC ELECTROLUMINESCENT DEVICE USING ARYL AMINE DERIVATIVE CONTAINING HETEROCYCLE - An organic electroluminescent device including: an anode, a cathode, an emitting layer formed of an organic compound and interposed between the cathode and the anode, and two or more layers provided in a hole-injecting/hole-transporting region between the anode and the emitting layer; of the layers which are provided in the hole-injecting/hole-transporting region, a layer which is in contact with the emitting layer containing a compound represented by the formula (1); and of the layers which are provided in the hole-injecting/hole-transporting region, a layer which is interposed between the anode and the layer which is in contact with the emitting layer containing an amine derivative represented by the formula (2). | 01-21-2016 |
20160020404 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting element and an organic light emitting device, the organic light emitting element including a first compound represented by Chemical Formula 1 and a second compound represented by Chemical Formula 2: | 01-21-2016 |
20160020405 | ORGANIC LIGHT EMITTING ELEMENT AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting element and an organic light emitting device, the organic light emitting element including a first compound represented by the following Chemical Formula 1 and a second compound represented by the following Chemical Formula 2: | 01-21-2016 |
20160020406 | ORGANIC ELECTROLUMINESCENT ELEMENT - To provide an organic electroluminescent element that can attain efficiency improvement by forming an electron injection layer by a film that is stable and excellent in uniformity, and forming a charge generation layer capable of effectively exhibiting the function. In an organic electroluminescent element provided with at least one organic layer between a pair of electrodes, an electron injection layer comprising a ZnO-containing layer, and a charge generation layer containing an electron acceptor-containing film in contact with the cathode side thereof and an electron donor-containing film adjacent thereto are formed. | 01-21-2016 |
20160020407 | ORGANIC LIGHT EMITTING DEVICE AND DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting device and a display device, the organic light emitting device including an anode; an emission layer on the anode, the emission layer including a first compound represented by the following Formula 1; an electron transport layer on the emission layer, the electron transport layer including a second compound and the second compound being an indenofluorene derivative; and a cathode on the electron transport layer, | 01-21-2016 |
20160020408 | COMPOUND FOR ORGANIC ELECTRIC ELEMENT, ORGANIC ELECTRIC ELEMENT COMPRISING THE SAME AND ELECTRONIC DEVICE THEREOF - The present invention provides a novel compound capable of improving light emitting efficiency, stability, and lifespan of the element, an organic element using the same, and an electric device for the same. | 01-21-2016 |
20160020410 | COMPOUND FOR ORGANIC ELECTRIC ELEMENT, ORGANIC ELECTRIC ELEMENT COMPRISING THE SAME AND ELECTRONIC DEVICE THEREOF - The present invention provides a novel compound capable of improving light emitting efficiency, stability, and lifespan of the element, an organic electric element using the same, and an electronic device for the same. | 01-21-2016 |
20160020412 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode; and an organic layer, the organic layer including an emission layer, a hole transport region including a hole transport layer, a hole injection layer, or a buffer layer, and an electron transport region including a hole blocking layer, an electron transport layer, or an electron injection layer, wherein a triplet energy of a hole transport material of the hole transport layer is from about 2.4 to about 3.2 eV, an electron affinity of the hole transport material is from about 2.2 to about 2.6 eV; the triplet energy of the hole transport material is greater than a triplet energy of a dopant of the emission layer and a triplet energy of a host material of the emission layer, the host material of the emission layer includes the compound represented by Formula 1. | 01-21-2016 |
20160020415 | ORGANIC PHOTOELECTRONIC DEVICE AND IMAGE SENSOR - Example embodiments relate to an organic photoelectronic device including a first electrode and a second electrode facing each other, and an active layer between the first electrode and the second electrode, wherein the active layer includes a first compound represented by the following Chemical Formula 1, and an image sensor including the organic photoelectronic device. | 01-21-2016 |
20160020416 | ELECTRICALLY CONDUCTIVE OLED CARRIER, OLED INCORPORATING SAID CARRIER, AND ITS MANUFACTURE - An electrically conductive OLED carrier including in this order:
| 01-21-2016 |
20160020418 | STABLE ORGANIC PHOTOSENSITIVE DEVICES WITH EXCITON-BLOCKING CHARGE CARRIER FILTERS UTILIZING HIGH GLASS TRANSITION TEMPERATURE MATERIALS - Disclosed herein are stable organic photosensitive devices including at least one exciton-blocking charge carrier filter. The filters comprise a mixture of at least one wide energy gap material having a sufficiently high glass transition temperature, e.g., higher than the temperature or temperature range at which the device typically operates, higher than a highest operating temperature of the device, higher than a threshold temperature value, etc. and at least one electron or hole conducting material. As described herein, the novel filters simultaneously block excitons and conduct the desired charge carrier (electrons or holes). | 01-21-2016 |
20160020421 | ORGANIC LIGHT EMITTING DIODE - The present invention relates to an organic light emitting device, and comprises a first cathode, a second cathode, and an anode provided between the first cathode and the second cathode, in which a first light emitting unit is provided between the first cathode and the anode, a second light emitting unit is provided between the second cathode and the anode, and the first light emitting unit and the second emitting unit are connected in parallel with each other, the first light emitting unit comprises a first light emitting layer, and an organic material layer comprising the compound represented by Formula 1 is provided between the first light emitting layer and the anode, and the second light emitting unit comprises a second light emitting layer, and an organic material layer comprising the compound represented by Formula 1 is provided between the second light emitting layer and the anode. | 01-21-2016 |
20160020422 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light emitting display device and a method of manufacturing the organic light emitting display device according to an exemplary embodiment of the present disclosure. The organic light emitting display device includes: a substrate including a display area and a pad area; a pad electrode structure on the substrate in the pad area and including a first pad electrode and a second pad electrode on the first pad electrode; and a protection conductive layer covering a lateral surface of the second pad electrode so as to reduce corrosion of the second pad electrode. | 01-21-2016 |
20160020423 | RESIN COMPOSITION FOR ELEMENT ENCAPSULATION FOR ORGANIC ELECTRONIC DEVICES, RESIN SHEET FOR ELEMENT ENCAPSULATION FOR ORGANIC ELECTRONIC DEVICES, ORGANIC ELECTROLUMINESCENT ELEMENT, AND IMAGE DISPLAY DEVICE - Provided are a resin composition for element encapsulation for organic electronic devices and other things, which have excellent long-term reliability and excellent visibility by capturing not only the moisture on the front surface or lateral surfaces of the resin composition for element encapsulation for organic electronic devices, but also the moisture permeating through the interior of the resin composition for element encapsulation for organic electronic devices. The resin composition includes a polyisobutylene resin (A) containing a polyisobutylene skeleton in a main chain or in a side chain and having a weight average molecular weight (Mw) of 300,000 or more; and a tackifying agent (B) as main components, includes an organometallic compound (C) having hygroscopic properties, and has a water content of 1000 ppm or less. | 01-21-2016 |
20160020424 | ORGANIC ELECTRO-LUMINESCENT DISPLAY AND METHOD OF FABRICATING THE SAME - An organic electro-luminescent display includes an anode electrode disposed in a pixel region, on a substrate, a bank adjacent to an edge of the anode electrode, on the substrate, to define the pixel region, a hole injection layer on the anode electrode, to be separated from a sidewall of the bank by a first distance, a hole transport layer and an emissive layer sequentially disposed on the hole injection layer, in the pixel region, and a cathode electrode disposed on the emissive layer. | 01-21-2016 |
20160020427 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display apparatus and a method of manufacturing the same. The organic light emitting display apparatus includes a substrate, a display unit disposed on the substrate, a dam unit disposed on the outside of the display unit on the substrate, and an encapsulation layer sealing the display unit, wherein the encapsulation layer includes an organic film covering the display unit and a first inorganic film covering the organic film and the dam unit, and a material of the dam unit is identical with that of the organic film. | 01-21-2016 |
20160020429 | METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING ELEMENT, AND ORGANIC LIGHT EMITTING ELEMENT - A method of manufacturing an organic light emitting element equipped with a transparent substrate, an internal light extracting layer, and a transparent metal electrode includes: forming the internal light extracting layer on the transparent substrate, and forming the transparent metal electrode on the internal light extracting layer. The step of forming the internal light extracting layer includes: applying a coating solution onto the transparent substrate into a predetermined pattern, the coating solution containing a light scattering particle having an average particle size of 0.2 μm or more and less than 1 μm and a refractive index of 1.7 or more and less than 3.0 and a hydroxy-containing solvent, and drying the applied patterned coating solution through irradiation with infrared light having a proportion of 5% or less of a spectral radiance at a wavelength of 5.8 μm to a spectral radiance at a wavelength of 3.0 μm. | 01-21-2016 |
20160020430 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus that has high-resolution and high-brightness includes a substrate comprising a major surface; an insulating layer disposed over the substrate and comprising a first inclined surface which is inclined with respect to the major surface and faces away from the substrate; a reflective first pixel electrode disposed over the first inclined surface and configured to cover a portion of the first inclined surface; a first intermediate layer disposed over the first pixel electrode and comprises a light emission layer; and a reflective opposite electrode disposed over the first intermediate layer. | 01-21-2016 |
20160020431 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND LIGHTING DEVICE USING SAME - The present disclosure relates to an organic electroluminescence element including: a substrate having a light transmissive property; a light diffusion layer; a light transmissive electrode; a light reflective electrode; and a light emitting layer. With regard to the first light emitting layer being the first closest light emitting layer to the light reflective electrode, the relation defined by following expression (2) is satisfied, | 01-21-2016 |
20160020432 | DISPLAY PANEL AND METHOD FOR MANUFACTURING THE SAME - A display panel and a method for manufacturing the same are provided. The display panel comprises a substrate, an OLED device, a cover, at least one shielding element, and a sealant. The substrate has a first surface, and the OLED device is disposed on the first surface. The cover has a second surface, and the substrate and the cover are assembled into one piece, and the first surface and the second surface face each other. The shielding element is disposed on the second surface, and the sealant is disposed between the substrate and the cover. | 01-21-2016 |
20160025900 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND METHOD FOR MANUFACTURING SAME - The objective of the present invention is to provide: an organic electroluminescent display device which is provided with a polarizing plate in the form of a thin film, said polarizing plate having excellent curling resistance and excellent planarity in the cases where the polarizing plate is formed in a low moisture environment or in a high moisture environment, and which has excellent resistance to display unevenness; and a method for manufacturing the organic electroluminescent display device. An organic electroluminescent display device of the present invention comprises a polarizing plate on an organic electroluminescent element unit; and the polarizing plate comprises a retardation film, a polarizer, a protective film and a hard coat layer sequentially in this order from the organic electroluminescent element unit side. The protective film contains a cellulose acetate having a specific average degree of substitution of acetyl groups, and has a water swelling ratio within a specific range and a film thickness within the range of 10-50 μm. | 01-28-2016 |
20160027848 | Color Display Device Structure - The invention provides a color display device structure, including a substrate ( | 01-28-2016 |
20160027849 | Display Device - To provide a display device with low power consumption. The display device includes a plurality of pixels each having a light-emitting element having a structure in which light emitted from a light-emitting layer is resonated between a reflective electrode and a light-transmitting electrode, wherein no color filter layers are provided or color filter layers with high transmittance are provided in pixels for light with relatively short wavelengths (e.g., pixels for blue and/or green), and a color filter layer is selectively provided in pixels for light with a long wavelength (e.g., pixels for red), and thereby maintaining color reproducibility and consuming less power. | 01-28-2016 |
20160027850 | PIXEL ELEMENT STRUCTURE, ARRAY STRUCTURE AND DISPLAY DEVICE - A pixel element structure is disclosed. The pixel element structure includes first, second, and third sub-pixel elements, each including a light-emitting region. At least one of the first, second, and third sub-pixel elements includes a light-transmitting region, where the light-emitting region includes an organic light-emitting diode light-emitting structure, and where the organic light-emitting diode light-emitting structure includes a first substrate, and a nontransparent anode, a pixel defining layer, an organic layer and a cathode, sequentially arranged above the first substrate. | 01-28-2016 |
20160027852 | ARRAY SUBSTRATE, MANUFACTURING METHOD THEREOF AND DISPLAY DEVICE - An array substrate, a manufacturing method thereof and a display device are disclosed. The array substrate comprises a base substrate and a thin-film transistor (TFT) unit, a color filter and a planarization protective layer disposed on the base substrate. The planarization protective layer is electrically connected with a drain electrode of the TFT unit and is conductive. The array substrate has the advantages of simplifying the layer structures of the array substrate, reducing the manufacturing difficulty of the array substrate, and improving the production yield of the array substrate. | 01-28-2016 |
20160027853 | DISPLAY PANELS, METHODS OF MANUFACTURING THE SAME AND ORGANIC LIGHT EMITTING DISPLAY DEVICES HAVING THE SAME - A display device includes a substrate and first, second and third thin film transistor. The first thin film transistor is disposed over the substrate, and includes a first gate electrode which has a first transmittance. The second thin film transistor is disposed over the substrate, and includes a second gate electrode which has a second transmittance substantially different from the first transmittance. The third thin film transistor is disposed over the substrate, and includes a third gate electrode which has a third transmittance substantially different from the first transmittance. | 01-28-2016 |
20160027858 | CAPACITOR DEVICE, ORGANIC LIGHT EMITTING DISPLAY APPARATUS INCLUDING THE CAPACITOR DEVICE, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT EMITTING DISPLAY APPARATUS - A capacitor device includes two top capacitor electrodes separated from each other and symmetrical to each other, two intermediate capacitor electrodes symmetrical to each other and respectively overlapping the top capacitor electrodes, a bridge coupling the intermediate capacitor electrodes without overlapping the top capacitor electrodes, and a driving voltage line coupled to the bridge and configured to apply a common voltage to the intermediate capacitor electrodes. | 01-28-2016 |
20160027860 | ORGANIC ELECTROLUMINESCENT MODULE AND METHOD OF MANUFACTURING THE SAME - An organic electroluminescent module of the present invention includes an organic EL panel and an electrical connection unit. The electrical connection unit includes a flexible substrate including a body disposed adjacent to a light-emitting surface of the organic EL panel, and a contact piece defined by a cutout in the body and disposed remote from the light-emitting surface of the organic EL panel; a capacitance sensing circuit and drive circuits formed on a surface of the body; lands formed on a surface of the contact piece; and metal layers formed on a surface of the contact piece in portions overlapping with the lands. | 01-28-2016 |
20160027862 | ORGANIC LIGHT EMITTING DEVICE AND METHOD FOR MANUFACTURING THE SAME - Disclosed is an organic light emitting device (OLED) that may include a first electrode including at least two conductive units that are immediately adjacent to each other; a second electrode facing the first electrode; an organic layer between the first electrode and the second electrode; and an auxiliary electrode electrically connected to the first electrode, the auxiliary electrode including at least two branch points that are immediately adjacent to each other, each branch point having at least three branches, wherein a resistance between the at least two branch points is 35Ω or less, and wherein a resistance between the at least two conductive units is 2,000Ω or more and 600,000Ω or less. | 01-28-2016 |
20160028014 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes: a first electrode; a second electrode; an emission layer between the first electrode and the second electrode; and a hole transport region between the first electrode and the emission layer, wherein the hole transport region includes an auxiliary layer, and the auxiliary layer includes an amine-based compound represented by Formula 1: | 01-28-2016 |
20160028015 | AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - An amine-based compound and an organic light-emitting diode including the amine-based compound. | 01-28-2016 |
20160028016 | BORON-NITROGEN POLYAROMATIC COMPOUNDS AND THEIR USE IN OLEDS - Boron-nitrogen polyaromatic compounds having a fused aromatic ring system are provided, where the compounds include a [1,2]azaborino[1,2-a][1,2]azaborine | 01-28-2016 |
20160028017 | ORGANIC LIGHT EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode opposite to the first electrode; and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer, wherein the organic layer includes a first compound represented by the following Formula 1 and a second compound represented by the following Formula 2: | 01-28-2016 |
20160028018 | ORGANIC COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME - A compound, an organic light emitting diode device, and a display device, the compound being represented by the following Chemical Formula 1: | 01-28-2016 |
20160028019 | ORGANIC LIGHT EMITTING DEVICE AND DISPLAY APPARATUS INCLUDING THE SAME - An organic light emitting device includes an anode, a hole auxiliary layer on the anode, the hole auxiliary layer including an arylamine derivative, an emission layer on the hole auxiliary layer, an electron auxiliary layer on the emission layer, the electron auxiliary layer including a 9,10-diarylanthracene derivative and a bifluorene derivative, and a cathode on the electron auxiliary layer. | 01-28-2016 |
20160028020 | ORGANIC ELECTRONIC ELEMENT AND AN ELECTRONIC DEVICE COMPRISING IT - Disclosed is an organic electric element comprising a first electrode, a second electrode, and an organic material layer between the first electrode and the second electrode, wherein the organic material layer comprises the compound of Formula 1 and Formula 2 to improve driving voltage, luminous efficiency, color purity, and life span. | 01-28-2016 |
20160028021 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A composition comprising a first compound and a second compound is described. The composition can be a mixture of a first compound having a structure according to Formula I, | 01-28-2016 |
20160028022 | Light-Emitting Element, Light-Emitting Device, Electronic Device, Lighting Device, and Organic Compound - To provide a light-emitting element with an improved reliability, a light-emitting element with a high current efficiency (or a high quantum efficiency), and a novel dibenzo[f,h]quinoxaline derivative that is favorably used in a light-emitting element which is one embodiment of the present invention. A light-emitting element includes an EL layer between an anode and a cathode. The EL layer includes a light-emitting layer; the light-emitting layer contains a first organic compound having an electron-transport property and a hole-transport property, a second organic compound having a hole-transport property, and a light-emitting substance; the combination of the first organic compound and the second organic compound forms an exciplex; the HOMO level of the first organic compound is lower than the HOMO level of the second organic compound; and a difference between the HOMO level of the first organic compound and the HOMO level of the second organic compound is less than or equal to 0.4 eV. | 01-28-2016 |
20160028023 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having a formula M(L | 01-28-2016 |
20160028024 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formula 1: | 01-28-2016 |
20160028025 | LIGHT-EMITTING DEVICE - A light-emitting apparatus includes an organic electroluminescence device, a first color conversion portion that transmits a first color light, and a second color conversion portion that transmits a second color light. The organic electroluminescence device includes an anode, a cathode, and one or more organic layers interposed between the anode and the cathode. At least one of the organic layer(s) is an emitting layer including a host material and a dopant material that emits light including the first color light and the second color light. A difference ΔST(D) between singlet energy EgS(D) of the dopant material and an energy gap Eg | 01-28-2016 |
20160028026 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formula 1: | 01-28-2016 |
20160028027 | Organometallic Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - An object is to provide a novel organometallic complex. Another object is to provide an organometallic complex exhibiting green to blue phosphorescence. Another object is to provide an organometallic complex having deep HOMO and exhibiting green to blue phosphorescence. Another object is to provide a light-emitting element with high emission efficiency. Another object is to provide a light-emitting element exhibiting green to blue phosphorescence and having low drive voltage. To provide an organometallic complex which includes a 1,2,4-triazole skeleton and in which an N-carbazolyl group is bonded to the 3-position of the 1,2,4-triazole skeleton via a phenylene group, a phenyl group is bonded to the 4-position of the 1,2,4-triazole skeleton, the 2-position of the 1,2,4-triazole skeleton coordinates to iridium, and the phenylene group is bonded to the iridium. To provide a light-emitting element including the organometallic complex as an emission center. | 01-28-2016 |
20160028030 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by one of Formulae 1A to 1D, wherein the Formulae 1A to 1D are described in the specification. | 01-28-2016 |
20160028032 | LIGHT EMITTING ELEMENT - A light emitting element includes a flexible plate-like portion having a glass substrate, and an organic functional layer formed on one surface side of the glass substrate. The organic functional layer includes a light emitting layer. When the plate-like portion is curved in a prescribed curving direction, and one surface of the plate-like portion is a concave surface, and the other surface thereof is a convex surface, a surface which is positioned on the concave surface side among both surfaces of the glass substrate is referred to as a first surface. When the plate-like portion is curved in a curving direction, and the one surface of the plate-like portion is a concave surface, and the other surface thereof is a convex surface, a compressive stress is applied to a portion whose distance from the first surface of the glass substrate is less than or equal to L (L>T/2). | 01-28-2016 |
20160028035 | DISPLAY PANEL AND DISPLAY DEVICE - The invention belongs to the field of display technology, and particularly to a display panel and a display device. The display panel includes a driving substrate and an OLED provided on the driving substrate, the OLED includes a first electrode and a second electrode, and further includes an electron transporting layer, a light-emitting layer and a hole transporting layer provided between the first electrode and the second electrode, and a plurality of color conversion units are uniformly distributed in the electron transporting layer, the light-emitting layer or the hole transporting layer. Advantageous effects of the invention are as follows: with the configuration that the color conversion units are directly doped into any one of the hole transporting layer, the light-emitting layer, and the electron transporting layer, structure of display panel and corresponding manufacturing procedure are simplified, and color purity of the display panel and carrier mobility are efficiently increased. | 01-28-2016 |
20160028036 | Quantum-Dot Based Hybrid LED Lighting Devices - A white light source is a hybrid organic light emitting diode (OLED) device having an electroluminescent layer including a blue emitting organic phosphor or a combination of a green emitting organic phosphor with a blue emitting phosphor and a conversion layer including photoluminescent quantum dots (QDs) at or near the light exiting face of the hybrid OLED. The | 01-28-2016 |
20160028037 | TANDEM ORGANIC LIGHT EMITTING DEODE DEVICE AND DISPLAY DEVICE - A tandem OLED device and a display device are disclosed. The tandem OLED device includes a reflective electrode and a transmissive electrode; n organic emissive layers disposed between the reflective electrode and the transmissive electrode, wherein n is an integer equal to or greater than 2; and a connecting unit disposed between every two neighboring organic light emitting layers. A distance h between the two neighboring organic light emitting layers satisfies the following equation: (λ2−λ1)≦h≦(λ2−λ1). | 01-28-2016 |
20160028038 | TANDEM ORGANIC LIGHT EMITTING DIODE AND PREPARATION METHOD THEREOF - A tandem organic light emitting diode and a preparation method thereof are provided. The tandem organic light emitting diode includes: at least two light-emitting units ( | 01-28-2016 |
20160028039 | DISPLAY DEVICE - A display device includes a first substrate, a light emitting element located on the first substrate and including a pair of electrodes and one organic layer or a plurality of organic layers located between the pair of electrodes, a second substrate located to face the first substrate, a third substrate located on a surface of the second substrate opposite to a surface thereof facing the light emitting element, and a tacky layer located between the second substrate and the third substrate, a tack strength between the tacky layer and the second substrate or the third substrate being weaker than an adhesive strength between one of the pair of electrodes and the one organic layer or an adhesive strength between the plurality of organic layers. | 01-28-2016 |
20160028040 | ELECTRODE STRUCTURE AND OLED DISPLAY - The embodiments of the present disclosure relate to an electrode structure and OLED display. The electrode structure includes a first electrode layer, a second electrode layer and a third electrode layer. The first electrode layer includes at least one layer from Ti layer, Ti alloy layer, Zr layer and Zr alloy layer. The second electrode layer is formed on the first electrode layer. The third electrode layer is formed on the second electrode layer and includes at least one layer from Ti layer, Ti alloy layer, Zr layer and Zr alloy layer. The present disclosure saves massive rare metal indium, which can help to reduce the manufacture cost of OLED display. Meanwhile, it is not prone to delamination, rupture, exfoliation and so on at the first and third electrode layers, and thusly reduced light scattering due to Ti, Ti alloy, Zr or Zr alloy with good corrosion resistance. | 01-28-2016 |
20160028041 | ORGANIC ELECTRONIC ELEMENT AND METHOD FOR MANUFACTURING ORGANIC ELECTRONIC ELEMENT - Provided are an organic electronic element equipped with a sealing layer having excellent gas barrier properties, transparency and the like, and a method for efficiently manufacturing such an organic electronic element. | 01-28-2016 |
20160028043 | DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - A display device includes: a substrate; a plurality of pixels on the substrate; an organic encapsulation layer covering a pixel area including at least one pixel; a first inorganic encapsulation layer on the organic encapsulation layer and having a first crack at a pixel area gap; and a second inorganic encapsulation layer on the first inorganic encapsulation layer and filling the first crack. | 01-28-2016 |
20160028044 | DISPLAY APPARATUS CAPABLE OF CONTROLLING LIGHT TRANSMITTANCE - A display apparatus capable of controlling light transmittance includes: a transparent organic light emitting device comprising a first region including an emission region capable of emitting light and a second region adjacent to the first region in a horizontal direction and including a transmission region capable of transmitting external light therethrough; and a light transmission control device coupled to and facing the transparent organic light emitting device, the light transmission control device comprising a third region formed at a location corresponding to the first region and a fourth region adjacent to the third region in the horizontal direction and positioned to correspond to the second region, wherein the fourth region comprises a sealed cavity having a transmission control material layer therein, and the transmission control material layer is configured to be selectively driven by the light transmission control device. | 01-28-2016 |
20160028045 | ORGANIC ELECTROLUMINISCENT DISPLAY AND METHOD FOR PREPARING THE SAME, AND DISPLAY DEVICE - Disclosed are an organic electroluminescent display and a method for preparing the same, and a display device. The organic electroluminescent display comprises: a base substrate; an organic electroluminescent pixel array, which is set on the base substrate; a packaging coverplate or a packaging film, which is covered outside the organic electroluminescent pixel array; and a phase difference film and a polarization functional film located inside the packaging coverplate or the packaging film and attached to the organic electroluminescent pixel array in turn. In the organic electroluminescent display according to the invention, the unnecessary films, such as a TAC films that need to be attached to the two sides of a polarization functional film in an existing circular sheet polarizer and a binding agent layer, etc., can be omitted, thereby the transmittance of a display can be improved, and the contrast of a display can be increased; moreover, the overall thickness of a display may be reduced, and the problem of being difficult to roll up may be avoided; and there exists no interference of oxygen and aqueous vapor, thus the durability of the circular sheet polarizer can be increased. | 01-28-2016 |
20160028046 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - The present invention discloses a display device and a method of manufacturing the display device to solve a problem in the prior art that the light transmittance of the displaying light in the display device is very low. In the present invention, the display device comprises a first substrate formed with at least one metal pattern thereon, a second substrate disposed opposite to the first substrate, and a polarizer provided on an outer side of the second substrate far away from the first substrate. An area of an overlapping region between the metal pattern and a pixel display zone is less than an area of the pixel display zone. The polarizer comprises a light absorption layer fully covering the at least one metal pattern. An area of an overlapping region between the light absorption layer of the polarizer and the pixel display zone is less than the area of the pixel display zone. In the present invention, it increases the light transmittance of the displaying light in the OLED display device. | 01-28-2016 |
20160035754 | SEMICONDUCTOR DEVICE, MANUFACTURING METHOD THEREOF, AND DISPLAY APPARATUS - Provided is a semiconductor device including a buffer layer that is on a substrate and includes an inclined surface; a crystalline silicon layer that is on the buffer layer; a gate electrode that is on the crystalline silicon layer while being insulated from the crystalline silicon layer; and a source electrode and a drain electrode that are each electrically connected to the crystalline silicon layer, the angle between the substrate and the inclined surface being in a range of about 17.5 degrees to less than about 70 degrees. | 02-04-2016 |
20160035755 | ARRAY SUBSTRATE AND MANUFACTURING METHOD THEREOF, DISPLAY DEVICE - The present invention provides array substrate and manufacturing method thereof and display device. The manufacturing method comprises: forming patterns including active regions of first and second TFTs by patterning process on substrate; forming gate insulation layer on the substrate; forming patterns including gates of the TFTs by patterning process on the substrate; forming isolation layer on the substrate; forming, on the substrate, second contacting vias for connecting sources and drains of the TFTs to respective active regions and first contacting via for connecting gate of the second TFT to source of the first TFT; and on the substrate, forming patterns of corresponding sources and drains on the second contacting vias above active regions of the TFTs, and meanwhile forming connection line for connecting gate of the second TFT to source of the first TFT above the first contacting via above gate of the second TFT. | 02-04-2016 |
20160035759 | FLEXIBLE DISPLAY DEVICE WITH WIRE HAVING REINFORCED PORTION AND MANUFACTURING METHOD FOR THE SAME - There is provided a flexible display having a plurality of innovations configured to allow bending of a portion or portions to reduce apparent border size and/or utilize the side surface of an assembled flexible display. | 02-04-2016 |
20160035793 | IMAGE SENSORS AND ELECTRONIC DEVICES INCLUDING THE SAME - Image sensors, and electronic devices including the image sensors, include a first photoelectronic device including at least one of a blue photoelectronic device sensing light in a blue wavelength region, a red photoelectronic device sensing light in a red wavelength region, and a green photoelectronic device sensing light in a green wavelength region, and a second photoelectronic device stacked on one side of the first photoelectronic device without being interposed by a color filter, wherein the second photoelectronic device senses light in an infrared region. | 02-04-2016 |
20160035795 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS - An organic light emitting display apparatus wherein a shift of white light caused by a viewing angle is reduced by adjusting an offset distance between one end of a corresponding emission region and one end of the black matrix adjacent to the one end of the corresponding emission region, thereby preventing a white color shift phenomenon at various viewing angles. Accordingly, a certain image is produced regardless of a use environment of a user's viewing angle. | 02-04-2016 |
20160035796 | Light-Emitting Element and Display Device - There has been a problem that difference in refractive index between an opposite substrate or a moisture barrier layer provided thereover, and air is maintained large, and light extraction efficiency is low. Further, there has been a problem that peeling or cracking due to the moisture barrier layer is easily generated, which leads to deteriorate the reliability and lifetime of a light-emitting element. A light-emitting element comprises a pixel electrode, an electroluminescent layer, a transparent electrode, a passivation film, a stress relieving layer, and a low refractive index layer, all of which are stacked sequentially. The stress relieving layer serves to prevent peeling of the passivation film. The low refractive index layer serves to reduce reflectivity of light generated in the electroluminescent layer in emitting to air. Therefore, a light-emitting element with high reliability and long lifetime and a display device using the light-emitting element can be provided. | 02-04-2016 |
20160035798 | LIGHT EMITTING DEVICE - A light emitting device includes a substrate, a coupling unit and an organic light emitting unit. The coupling unit includes a first conductive layer, a first light emitting layer and a second conductive layer. The first conductive layer is located on the substrate. The first light emitting layer is located between the first conductive layer and the second conductive layer. The organic light emitting unit is located adjacent to the second conductive layer. | 02-04-2016 |
20160035800 | FLEXIBLE DISPLAY SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME - Disclosed is a flexible display substrate and a method for manufacturing the same which can avoid break and peeling of film layers disposed on a flexible base and further reduce degree of a warpage occurred in the flexible base when separating the support substrate from the flexible base located above the support substrate. The flexible display substrate comprises the flexible base, a first buffer layer and a second buffer layer disposed on an upper surface and a lower surface of the flexible base, respectively, and a plurality of display modules disposed on the first buffer layer, each display module includes at least one thin film transistor and at least one electrode corresponding to the thin film transistor. | 02-04-2016 |
20160035801 | FLEXIBLE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - A flexible display device including a substrate; a driving element layer including a plurality of thin film transistors on the substrate; a display element layer including organic light-emitting diodes electrically connected to the thin film transistors on the driving element layer; a light transmissive layer on the display element layer and configured to adjust a neutral plane of the flexible display device to lie at the driving element layer and the display element layer when the flexible display device is bent; and a back plate film attached to a back side of the substrate and having a cut portion formed in a center region where the flexible display device is bent. | 02-04-2016 |
20160035802 | LIGHT-EMITTING DEVICE, ARRAY SUBSTRATE, DISPLAY DEVICE AND MANUFACTURING METHOD OF LIGHT-EMITTING DEVICE - The present invention discloses a light-emitting device, array substrate, display device and manufacturing method of light-emitting device. The light-emitting device comprises a substrate and a pixel define layer provided on the substrate, the pixel define layer defines at least one pixel unit, each of which comprises a plurality of first electrodes, an organic layer provided on the plurality of first electrodes, and a second electrode provided on the organic layer. The light-emitting device, array substrate, display device and manufacturing method provided by the present invention can allow the formed film of the organic layer on the first electrodes to have good flatness and allow portions of the organic layer on different first electrodes to have substantially the same thickness, thus flatness and uniformity of the formed film of the organic layer in the light-emitting device is improved and further display quality of the light-emitting device is improved. | 02-04-2016 |
20160035803 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting display device according to an embodiment includes a lower substrate; a bank layer disposed on the lower substrate; a connection assistance unit disposed on the bank layer; a cathode disposed on the lower substrate so as to cover the bank layer; an auxiliary electrode disposed on the bank layer and electrically connected with the cathode; and an upper substrate provided to face the lower substrate. | 02-04-2016 |
20160035804 | ELECTROLUMINESCENCE DISPLAY DEVICE AND FABRICATION METHOD THEREOF - Embodiments of the disclosure disclose an electroluminescence display device and a fabrication method thereof The electroluminescence display device comprises an opposed substrate ( | 02-04-2016 |
20160035806 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display includes a substrate including a penetrated portion positioned in a display area for displaying an image and a light emission region neighboring the penetrated portion. The OLED display also includes an OLED positioned on the light emission region of the substrate. | 02-04-2016 |
20160035807 | OLED PIXEL STRUCTURE AND OLED DISPLAY DEVICE - The present invention belongs to the technical field of display, and specifically relates to an OLED pixel structure and an OLED display device. The OLED pixel structure comprises a thin film transistor and an OLED device, the thin film transistor being provided with a driving electrode for controlling whether the OLED device emits light or not, wherein the pixel structure comprises a transmission region and a reflection region in which a reflection layer formed by extending the driving electrode is provided. The beneficial advantages are that the OLED pixel structure can effectively improve the utilization of the light source and the utilization of the display panel. | 02-04-2016 |
20160035808 | ORGANIC LIGHT EMITTING DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light emitting display panel and a method of manufacturing the same. The organic light emitting display panel includes: a pixel defined by an intersection of one of a plurality of data lines and one of a plurality of gate lines, the pixel including: a transistor, a storage capacitor including: a first electrode, and a second electrode, and a semiconductor layer, a first plate partially overlapping the semiconductor layer in the pixel, the first plate including: a gate portion of the transistor, and a capacitor-forming portion including the first electrode of the storage capacitor, and a second plate on the first plate in the pixel, the second plate including the second electrode of the storage capacitor, the second plate not overlapping the semiconductor layer. | 02-04-2016 |
20160035809 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a substrate, a first insulating layer, a power supply electrode, a second insulating layer, a first electrode, an emission layer, and a second electrode. The substrate has a display region and a transparent region. The first insulating layer is disposed on the substrate. The power supply electrode is disposed on the first insulating layer. The second insulating layer is disposed on the power supply electrode such that an edge portion of the power supply electrode is free from overlap with the second insulating layer. The first electrode is disposed on the second insulating layer and in contact with the edge portion of the power supply electrode. The emission layer is disposed on the first electrode. The second electrode is disposed on the emission layer. | 02-04-2016 |
20160035810 | ORGANIC LIGHT EMITTING DISPLAY - An organic light emitting display can include a substrate, a first capacitor formed on the substrate, the first capacitor including a first capacitor lower electrode, a first capacitor upper electrode, and a gate insulating layer between the first capacitor lower upper electrodes, a first passivation layer over the first capacitor, a second capacitor on the first passivation layer, the second capacitor including a second capacitor lower electrode, a second capacitor upper electrode, and a second passivation layer interposed between the second capacitor lower upper electrodes, an organic insulating layer over the second capacitor, a pixel electrode on the organic insulating layer, an organic layer on the pixel electrode, the organic layer including at least a light emitting layer, and an opposite electrode on the organic layer, and the width of the second capacitor lower electrode is greater than that of the second capacitor upper electrode. | 02-04-2016 |
20160035812 | FLEXIBLE DISPLAY DEVICE WITH WIRE HAVING REINFORCED PORTION AND MANUFACTURING METHOD FOR THE SAME - There is provided a flexible display having a plurality of innovations configured to allow bending of a portion or portions to reduce apparent border size and/or utilize the side surface of an assembled flexible display. | 02-04-2016 |
20160035813 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes: a substrate; a thin film transistor formed on the substrate; a pixel electrode connected to at least one of the source or drain electrodes; a pixel-defining layer having a first opening exposing at least a portion of the pixel electrode and a second opening adjacent to the first opening; an intermediate layer formed on the pixel electrode, including an organic emission layer, and having a first hole corresponding to the second opening; an opposite electrode formed on the intermediate layer; and first and second auxiliary electrodes formed below the pixel-defining layer, at least portions of the first and second auxiliary electrodes are exposed through the second opening, where ends of the first and second auxiliary electrodes are spaced apart from each other, and where the opposite electrode contacts the ends of the and second first auxiliary electrodes which are exposed through the first hole. | 02-04-2016 |
20160035814 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting device includes a switching transistor and a driving transistor. A semiconductor layer is commonly used by the switching and driving transistors. The portion of semiconductor layer corresponding to the driving transistor is curved. A gate insulating layer is located between a channel region and gate electrode of the switching transistor, and between the channel region and the gate electrode of the driving transistor. The gate insulating layer has substantially a same plane shape as the switching gate electrode and the driving gate electrode. An edge of the gate insulating layer and an edge of the switching and driving gate electrodes at least partially overlap. | 02-04-2016 |
20160035815 | DISPLAY UNIT, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A display unit includes: a display layer including a pixel electrode; a semiconductor layer provided in a layer below the display layer, the semiconductor layer including a wiring layer that includes a material removable by an etchant by which the pixel electrode is also removable; and a terminal section configured to electrically connect the semiconductor layer to an external circuit, the terminal section including a first electrically-conductive layer made of a material same as a material of the wiring layer. | 02-04-2016 |
20160035855 | Organic-Inorganic Hybrid Multilayer Gate Dielectrics for Thin-Film Transistors - Disclosed are organic-inorganic hybrid self-assembled multilayers that can be used as electrically insulating (or dielectric) materials. These multilayers generally include an inorganic primer layer and one or more bilayers deposited thereon. Each bilayer includes a chromophore or “π-polarizable” layer and an inorganic capping layer composed of zirconia. Because of the regularity of the bilayer structure and the aligned orientation of the chromophore resulting from the self-assembly process, the present multilayers have applications in electronic devices such as thin film transistors, as well as in nonlinear optics and nonvolatile memories. | 02-04-2016 |
20160035979 | INVERSE-STRUCTURE ORGANIC LIGHT EMITTING DIODE AND MANUFACTURING METHOD THEREFOR - An organic light emitting diode comprises: a first electrode; an electronic injection layer disposed on the first electrode and containing a metallic oxide; an electronic injection interface layer disposed on the electronic injection layer and including a polymer containing a nitrogen atom; a light emitting layer disposed on the electronic injection interface layer; and a second electrode disposed on the light emitting layer. Accordingly, the electronic injection interface layer is formed between the electronic injection layer and the light emitting layer, so that an element efficiency can be improved, and as the thickness of the electronic injection interface layer becomes thicker, the work function of the electronic injection layer below the electronic injection interface layer increases, and an efficiency of injection of an electron to the light emitting layer is lowered. | 02-04-2016 |
20160035980 | DISPLAY PANEL AND MANUFACTURING METHOD THEREOF, AND DISPLAY DEVICE - The invention provides a display panel and a manufacturing method thereof, and a display device, belongs to the field of display device manufacturing technology, which can solve the following problem in the existing display device: when light transmits the cathode layer which is thin, has high resistance and thus poor conductivity, the display effect is nonuniform. The display panel of the invention comprises a first substrate and a second substrate which are assembled, wherein the second substrate is provided with an organic electroluminescent device thereon, an anode layer of the organic electroluminescent device is far away from the first substrate and an cathode layer thereof is close to the first substrate; and the cathode layer is electrically connected to an auxiliary electrode on a light entering surface of the first substrate through a plurality of conductive spacers spaced at certain intervals, wherein the cathode layer is a transparent electrode layer. | 02-04-2016 |
20160035983 | HETEROCYCLIC COMPOUNDS AND THEIR USE IN ELECTRO-OPTICAL OR OPTO-ELECTRONIC DEVICES - Compounds exhibiting high hole mobility and/or high glass transition temperatures are provided which are of the formula [Ar | 02-04-2016 |
20160035985 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME - The present invention provides a material for an organic electroluminescence device having a specific structure in which a dibennzofuranyl group or a dibenzothiophenyl group is bonded at an N-position (9-position) of a carbazolyl group and an organic electroluminescence device which is provided with one or more organic thin film layers including a light emitting layer between a cathode and an anode and in which at least one layer of the organic thin film layers described above contains the material for an organic electroluminescence device according to the present invention. | 02-04-2016 |
20160035986 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by Formula 1: | 02-04-2016 |
20160035988 | METHOD OF PREPARING ORGANIC ELECTRONIC DEVICE (Amended) - A method of preparing an organic electronic device, an organic electronic device prepared using the same, and a use thereof are provided. For example, the method of effectively preparing an organic electronic device using a flexible substrate, the organic electronic device prepared using the same, and the use thereof may be provided. | 02-04-2016 |
20160035989 | HYBRID JUNCTION FIELD-EFFECT TRANSISTOR AND ACTIVE MATRIX STRUCTURE - Junction field-effect transistors including inorganic channels and organic gate junctions are used in some applications for forming high resolution active matrix displays. Arrays of such junction field-effect transistors are electrically connected to thin film switching transistors and provide high drive currents for passive devices such as organic light emitting diodes. | 02-04-2016 |
20160035991 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND LIGHTING DEVICE USING SAME - The present disclosure relates to an organic electroluminescence element including: a substrate having a light transmissive property; a light diffusion layer; a light transmissive electrode; a light reflective electrode; and multiple light emitting layers spaced from each other. With regard to the m-th light emitting layer being the m-th closest light emitting layer to the light reflective electrode, relations defined by following expressions (2) and (3) are satisfied. In the following expressions, λ | 02-04-2016 |
20160035992 | ORGANIC LIGHT-EMITTING DEVICE HAVING DELAYED FLUORESCENCE - The present application relates to an organic electroluminescent device (OLED) which has an emitting layer comprising a compound having a small difference between the energies of the S | 02-04-2016 |
20160035993 | ORGANIC ELECTROLUMINESCENT DEVICE AND DISPLAY DEVICE - The present invention provides an organic electroluminescent device and a display device. The organic electroluminescent device comprises an anode layer, a cathode layer and an organic function layer provided between the anode layer and the cathode layer, an injection barrier from the anode layer to the organic function layer and an injection barrier from the cathode layer to the organic function layer are both not larger than 1 ev. A light emitting layer comprises a hole carrier transport region at a side of the anode layer, an electron carrier transport region at a side of the cathode layer and a light emitting region provided between the hole carrier transport region and the electron carrier transport region, there is no barrier for the hole carriers from the hole carrier transport region to the light emitting region and for the electron carriers from the electron carrier transport region to the light emitting region. | 02-04-2016 |
20160035994 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device (OLED) is provided, may comprise a first organic electroluminescent cell, a second organic electroluminescent cell, a charge generation layer, disposed between the first and second organic electroluminescent cells, a first electrode and a second electrode formed at the first and second organic electroluminescent cells. The first organic electroluminescent cell comprises a fluorescent light emitting layer having a fluorescent emitting element and a phosphorescent light emitting layer having a phosphorescent emitting element. The second organic electroluminescent cell comprises at least one light emitting layer. | 02-04-2016 |
20160035995 | ORGANIC LIGHT EMITTING DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting device includes an anode, the anode including a conductive polymer, a fluorine-containing organic material, and metal nanoparticles, a cathode facing the anode, and an emission layer between the anode and the cathode. | 02-04-2016 |
20160035996 | OLED DISPLAY PANEL AND MANUFACTURING METHOD THEREOF, DISPLAY DEVICE AND FILLING METHOD OF FILLING ADHESIVE - An OLED display panel and a manufacturing method thereof, a display device and a filling method of a filling adhesive are provided. The OLED display panel comprises: a first substrate and a second substrate disposed opposite to each other; a first adhesive dam connected with and located between the first substrate and the second substrate, and provided with at least one opening; a second adhesive dam connected with and located between the first substrate and the second substrate, located outside the first adhesive dam, and forming a sealed cell together with the first substrate and the second substrate; an OLED device located on an inner side of the first adhesive dam and on the first substrate; and a filling adhesive filled in the inner side of the first adhesive dam. With the OLED display panel, the adjusting difficulty of production engineering of the OLED display panel can be reduced. | 02-04-2016 |
20160035997 | DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A display apparatus and a method for manufacturing the same are disclosed. The display apparatus includes: a display substrate, a display portion disposed on the display substrate, a sealing substrate bonded with the display substrate, a sealing portion disposed between the display substrate and the sealing substrate, the sealing portion surrounding a periphery of the display portion, an inner filling layer disposed between the display portion and the sealing substrate, and a filling dam disposed between the sealing portion and the inner filling layer. | 02-04-2016 |
20160035998 | METHOD OF MANUFACTURING ORGANIC ELECTRONIC DEVICE(As Amended) - Provided are a method of manufacturing an organic electronic device (OED), an OED manufactured thereby, and a use of the OED. During a process of manufacturing an OED, exposure of a pad region may be efficiently performed in a simple process, thereby preventing permeation of a contaminant, and an OED manufactured by the method and a use of the OED may be provided. | 02-04-2016 |
20160035999 | GAS BARRIER FILM, METHOD FOR PRODUCING GAS BARRIER FILM, AND ORGANIC ELECTROLUMINESCENT ELEMENT - An organic electroluminescent element provided with a gas barrier film includes a pair of electrodes and an organic functional layer having at least one light-emitting layer between the electrodes. The gas barrier film includes a base material; a vapor deposition layer that is of a surface-treated silicon compound including at least one element selected from carbon (C), nitrogen (N), and oxygen (O), and has a continuous change in composition from a surface toward a direction of thickness; and a polysilazane modified layer. | 02-04-2016 |
20160036000 | Organic Light Emitting Diode Display and Method of Manufacturing the Same - An organic light-emitting diode (OLED) display includes: a substrate on which an organic light-emitting element is formed; an organic light-emitting element on a substrate; a first inorganic layer on the organic light-emitting element and made substantially of hydrogenated silicon nitride (SiN | 02-04-2016 |
20160036001 | COMPOSITE FILM AND MANUFACTURING METHOD THEREOF, AND ENCAPSULATION STRUCTURE INCLUDING THE COMPOSITE FILM - The present invention discloses a composite film, a manufacturing method thereof and an encapsulation structure comprising the composite film. The composite film comprises at least one matrix membrane, each of which comprises at least one waterproof film. In the technical solutions provided by the present invention, the composite film comprises a matrix membrane comprising at least one waterproof film, and therefore, the composite film can be directly disposed on an OLED when the OLED is encapsulated by using the composite film. Accordingly, during an encapsulation process, the used process is simple, the process steps become less, the process time is short, and the required equipment is simpler, so that the production cost is reduced, and the production efficiency is improved. | 02-04-2016 |
20160036002 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes a capping layer above an organic emission layer, an encapsulating layer encapsulating the capping layer and the organic emission layer, and a deposition layer above the capping layer and below the encapsulating layer, the deposition layer including a surface on which a plurality of cylinders are located. | 02-04-2016 |
20160036003 | DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - In an organic EL display device, an improvement in the performance of a barrier film that blocks entry of a substance that causes degradation, such as moisture, into an organic electroluminescent element is achieved. The organic EL display device includes the barrier film, which is a stacked film including a barrier base material layer made of silicon oxide or silicon nitride and a base material coating layer in contact with an impregnated barrier base material layer. The barrier film blocks transmission of a substance that degrades the organic electroluminescent element. Nano-ink is applied on a surface of the barrier base material layer, and the barrier base material layer is impregnated with the nano-ink. The barrier base material layer subjected to the impregnation treatment serves as the impregnated barrier base material layer, while the nano-ink after impregnation serves as the base material coating layer. | 02-04-2016 |
20160036004 | ELECTROLUMINESCENCE DISPLAY DEVICE AND FABRICATION METHOD THEREOF - Embodiments of the disclosure disclose an electroluminescence display device and a fabrication method thereof. The device comprises a color filter substrate. The color filter substrate comprises: a first substrate, and a first electrode, an organic electroluminescence layer and a second electrode sequentially provided on the first substrate. The color filter substrate further comprises: a first protective layer, provided on the second electrode and covering the second electrode and the organic electroluminescence layer below the second electrode; and a first connection electrode, provided on the first protective layer and connected to the second electrode. | 02-04-2016 |
20160036005 | DISPLAY PANEL AND DISPLAY DEVICE - The present invention relates to the field of display technology, and particularly to a display panel and a display device comprising the display panel. The display panel comprises a substrate, which is divided into a plurality of sub-pixel areas, each of which comprises a thin film transistor and an organic light-emitting diode device provided above the thin film transistor, wherein, a pixel define layer and a conductive layer are provided above the thin film transistor and below the organic light-emitting diode device, the pixel define layer is used for defining a light-transmissive region and a non-light-transmissive region of the sub-pixel area, an upper surface of the conductive layer and an upper surface of the pixel define layer are in the same plane, and the conductive layer is electrically connected to a drain of the thin film transistor. | 02-04-2016 |
20160036006 | ORGANIC LIGHT-EMITTING MODULE - An organic light-emitting module including a light-transmissive substrate, a light extracting structure, a first electrode, an organic light-emitting stack, a second electrode, and a transparent carrying board is provided. The light-transmissive substrate has an index of refraction greater than 1.5 and has a first surface and a second surface opposite to the first surface. The light extracting structure is disposed at the first surface. The first electrode is disposed on the second surface of the light-transmissive substrate. The organic light-emitting stack is disposed on the first electrode. The second electrode is disposed on the organic light-emitting stack. The transparent carrying board is connected with the light extracting structure. A minimum distance between the light extracting structure and the transparent carrying board is less than or equal to 125 μm. | 02-04-2016 |
20160036007 | Light-Emitting Transistors with Improved Performance - Disclosed are light-emitting transistors having novel structures that can lead to enhanced device brightness, specifically, via incorporation of additional electrically insulating components that can favor charge localization and in turn, carrier recombination and exciton formation. | 02-04-2016 |
20160037098 | Image Sensors Including Semiconductor Channel Patterns - The inventive concepts relate to image sensors. The image sensor includes a substrate including a floating diffusion region and a pixel circuit, an interlayer insulating layer on the substrate, a contact node and a first electrode on the interlayer insulating layer, a dielectric layer on a top surface of the first electrode, a channel semiconductor pattern on the dielectric layer and connected to the contact node, and a photoelectric conversion layer on the channel semiconductor pattern. The channel semiconductor pattern includes a semiconductor material having an electron mobility that is higher than an electron mobility of the photoelectric conversion layer. | 02-04-2016 |
20160041493 | LIGHT EMITTING APPARATUS AND IMAGE FORMING APPARATUS - A light emitting apparatus includes: a pixel circuit formed over a substrate; a partition including a plurality of openings formed over the substrate with the pixel circuit; and a plurality of pixels defined by the plurality of the openings, wherein the pixel includes a light emitting element including a lower electrode connected to the pixel circuit and an organic compound layer formed over the lower electrode, the plurality of the pixels is arranged in a line in a longitudinal direction of the substrate, the pixel circuit includes: a transistor including a gate electrode and source/drain electrodes; a first interconnection including the gate electrode; and a second interconnection including the source/drain electrodes, and the second interconnection and an interconnection formed of a same layer as the second interconnection are separated from the organic compound layer in planar view from a direction perpendicular to a main surface of the substrate. | 02-11-2016 |
20160043125 | SOLID-STATE IMAGE PICKUP UNIT, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A solid-state image pickup unit includes: a first member including a photoelectric conversion section; and a second member including a reflective plate with a concave surface section, the second member being bonded to a surface opposite to a light incident surface of the first member to allow the concave surface section of the reflective plate to face the photoelectric conversion section. | 02-11-2016 |
20160043145 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes an OLED comprising a plurality of pixels configured to emit different colors of light and an encapsulation layer formed over the OLED. The OLED display also includes a color filter layer formed over the encapsulation layer, wherein the color filter layer comprises a plurality of color filters formed on regions corresponding to the pixels and a plurality of light block units respectively formed at least between the color filters. The OLED display further includes an edge lens unit formed over a lower surface of the color filter layer and formed between a lower surface of each of the color filters and a lower surface of each of the light block units. | 02-11-2016 |
20160043146 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, LIGHTING DEVICE, DISPLAY DEVICE, DISPLAY PANEL, AND ELECTRONIC APPLIANCE - A light-emitting device in which different electrodes in a work function are used in a first light-emitting element and a second light-emitting element are provided. A light-emitting device includes a first light-emitting element and a second light-emitting element. The first light-emitting element includes a first electrode, an EL layer, and a second electrode in this order. The second light-emitting element includes a third electrode, the EL layer, and the second electrode in this order. The EL layer includes a first light-emitting layer, a layer, and a second light-emitting layer in this order. The structure of the first light-emitting layer is different from the structure of the second light-emitting layer. The first light-emitting element and the second light-emitting element are different in a carrier-injection property. | 02-11-2016 |
20160043147 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device comprising a substrate; an insulating layer disposed on the substrate; a plurality of bottom electrodes arranged on the insulating layer in a matrix pattern defining a plurality of intersecting rows and columns; an organic layer disposed on each of the bottom electrodes; a top electrode disposed on the organic layer; and a plurality of wiring lines adjacent to the first bottom electrode, the wiring lines being formed on the insulating layer placed between the rows of the bottom electrodes. | 02-11-2016 |
20160043150 | OLED PIXEL DEFINING STRUCTURE, MANUFACTURING METHOD THEREOF AND ARRAY SUBSTRATE - There are provided an OLED pixel defining structure, a manufacturing method thereof and an array substrate. The OLED pixel defining structure includes a pixel defining layer, with a plurality of openings corresponding to sub-pixels of different colors being included in the pixel defining layer, each of the openings forming a sub-pixel defining zone of a corresponding color, wherein at least two sub-pixel defining zones of the same color are intercommunicated. | 02-11-2016 |
20160043151 | ORGANIC EL DISPLAY DEVICE - A bank partitions a plurality of pixels and has an opening in each of the plurality of pixels. An organic layer includes a light emitting layer, and covers the bank opening. A first inorganic barrier layer is formed of an inorganic material, and covers the bank and the organic layer. A plurality of organic barrier portions are formed of organic materials, and are disposed on the first inorganic barrier layer. A second inorganic barrier layer is formed of the inorganic material, and covers the first inorganic barrier layer and the plurality of organic barrier portions. A recessed portion is formed on the bank and the first inorganic barrier layer (for example, the recessed portion is formed in an area which covers a contact hole), and a portion of the organic barrier portion is formed in the recessed portion. | 02-11-2016 |
20160043153 | FLEXIBLE DISPLAY APPARATUS - A flexible display apparatus includes a plurality of pixels on a display area of a flexible substrate. A pad area is on a non-display area of the flexible substrate. A driving integrated circuit is electrically connected to the pad area. A support layer is on a surface of the flexible substrate opposite to a surface facing the driving integrated circuit. An adhesion layer attaches the support layer to the substrate. The adhesion layer has a first thickness in an area corresponding to the driving integrated circuit, and a second thickness in another area. The second thickness is less than the first thickness. | 02-11-2016 |
20160043154 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING THE SAME - An OLED device and a method of manufacturing the same, the OLED device including a substrate having a pixel area and a transmission area; a pixel circuit on the pixel area; a first electrode on the pixel area and being electrically connected to the pixel circuit; a first organic layer extending continuously on the pixel area and the transmission area and covering the first electrode; an emitting layer selectively on a portion of the first organic layer on the pixel area; a second organic layer extending continuously on the pixel and transmission areas and covering the emitting layer; and a third organic layer selectively on the transmission area, the third organic layer including a non-emitting material that has a different transmittance from that of the emitting layer; and a second electrode extending continuously on the pixel area and the transmission area and covering the second and third organic layers. | 02-11-2016 |
20160043155 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes: a first substrate; an insulating layer on the first substrate; a signal wiring on the insulating layer; an organic light-emitting device on the first substrate, the organic light-emitting device defining an active area and including a first electrode, a second electrode, and an intermediate layer between the first and second electrodes; a passivation layer on the insulating layer; and a metal layer on the passivation layer at an outer region adjacent to the active area, separated from the first electrode, and contacting the second electrode and the signal wiring, wherein a first opening is in the passivation layer at the outer region, and the metal layer contacts the insulating layer at the first opening. | 02-11-2016 |
20160043156 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device includes a first substrate having transmitting regions and pixel regions separated from each other by the transmitting regions, a plurality of thin film transistors on the first substrate in the pixel regions, a passivation layer covering the plurality of thin film transistors, a plurality of pixel electrodes on the passivation layer and electrically connected to the thin film transistors, the pixel electrodes being in the pixel regions and overlapping the thin film transistors, an opposite electrode in the transmitting regions and the pixel regions, the opposite electrode facing the plurality of pixel electrodes and being configured to transmit light, an organic emission layer interposed between the pixel electrodes and the opposite electrode, and a color filter in corresponding pixel regions. | 02-11-2016 |
20160043160 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode (OLED) display device can include a gate line including a gate electrode; an active layer over the gate line with a gate insulating layer interposed therebetween and including an amorphous zinc oxide semiconductor; a first protective layer over color filters on an insulating layer over first source and drain electrodes; second source and drain electrodes on the first protective layer and connected to the first source and drain electrodes; a second protective layer having a third contact hole; a pixel electrode on the second protective layer and connected to the second drain electrode through the third contact hole; a partition partitioning the pixel region; and an organic light emitting layer over the partition. Also, the gate electrode is located to cover a lower portion of the active layer and the second source electrode is extended to cover the channel region of the active layer. | 02-11-2016 |
20160043161 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic electroluminescence display device including pixels, a first bank provided between the pixels and covering a periphery edge part of a pixel electrode, a second bank provided on a first upper surface of the first bank and including a second upper surface and a first side surface, an auxiliary wiring provided on the second upper surface and including a third upper surface and a second side surface, an organic electroluminescence layer in contact with the pixel electrode, the first and second banks, and the auxiliary wiring, a common pixel electrode bridging the pixels, the organic electroluminescence layer includes a first region in contact with the pixel electrode, the first upper surface and the first side surface, and a second region in contact with the auxiliary wiring and separated from the first region, and the common pixel electrode is in contact with the second side surface. | 02-11-2016 |
20160043315 | ORGANIC THIN FILM TRANSISTORS AND METHODS FOR THEIR MANUFACTURING AND USE - Methods of forming an organic thin film transistor are provided. The methods include providing a substrate and depositing and patterning a gate electrode on a first surface of the substrate. The methods include dispensing a first droplet of an insulating material on the gate electrode on the substrate and dispensing a second droplet of a semiconductor material on a first surface of the first droplet. The second droplet forms a hydrophobic structure having a central cavity. The methods also include dispensing a third droplet of a conductor material on a first surface of the second droplet such that the conductor material substantially fills the central cavity of the hydrophobic structure and forms a conductor material layer around the central cavity to define a source electrode and a drain electrode of the organic thin film transistor. | 02-11-2016 |
20160043316 | MONOAMINE MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - A compound for an organic electroluminescence device and an organic electroluminescence device, the compound being represented by the following Chemical Formula 1: | 02-11-2016 |
20160043317 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device may include an anode, a cathode, an emission layer between the anode and the cathode, and a laminate structure between the anode and the emission layer, the laminate structure including at least three layers. The at least three layers may include a first layer including a hole transport compound doped with an electron accepting compound having a lowest unoccupied molecular orbital (LUMO) level from about −9.0 eV to about −4.0 eV and a second layer between the first layer and the emission layer. The second layer may be adjacent to the emission layer and may include a compound represented by Formula (1). Formula (1) | 02-11-2016 |
20160043318 | ORGANIC PHOTOELECTRONIC DEVICE AND IMAGE SENSOR AND ELECTRONIC DEVICE - An organic photoelectronic device includes a first electrode and a second electrode facing each other, and an active layer between the first electrode and the second electrode and including a first compound represented by Chemical Formula 1 or 2, and a ratio between a FWHM of a light absorption curve depending on a wavelength of the first compound in a solution state and in a thin film state satisfies the following Relationship Equation 1: FWHM2/FWHM1<2.5. In the Relationship Equation 1, FWHM1 is a FWHM of the light absorption curve depending on a wavelength in a solution state, and FWHM2 is a FWHM of the light absorption curve depending on a wavelength in a thin film state. | 02-11-2016 |
20160043324 | ORGANIC LIGHT EMITTING DEVICES - The present invention relates to monochromatic organic light emitting devices. The organic light emitting device includes a substrate, an anode, a cathode and an organic electroluminescent medium disposed between the anode and the cathode, wherein the organic electroluminescent medium includes compound monochromatic luminescent layer; and the compound monochromatic luminescent layer includes host A doped with monochromatic dopant and host B doped with monochromatic dopant, wherein the host A is consisted of two kinds of materials with different transporting characteristics, one is hole-transporting material, and the other is electron-transporting material. In addition, the present invention further relates to white organic light emitting devices, wherein the organic electroluminescent medium is consisted of at least one compound monochromatic luminescent layer, which includes host A doped with monochromatic dopant and host B doped with monochromatic dopant. The present invention provides a design to improve the lifetime of the organic light emitting device markedly. | 02-11-2016 |
20160043325 | COMPOSITION FOR ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY AND LIGHTING - The invention relates to a composition for organic electroluminescent element, which comprises: a solvent; and a compound group α for organic electroluminescent element that is constituted of at least two kinds of compounds which each have a molecular weight of 3,000 or less and have a structure comprising a plurality of aromatic ring groups linked to each other, wherein the compound group α comprises: a compound α1; and another compound αn satisfying a specific condition. | 02-11-2016 |
20160043326 | Light-Emitting Diode Having Novel Structure and Electronic Apparatus Comprising Same - A light-emitting diode includes: a first electrode; a second electrode; a light-emitting layer disposed between the first electrode and the second electrode; a hole transportable layer disposed between the first electrode and the light-emitting layer; and a blocking layer, which is disposed between the hole transportable layer and the light-emitting layer and includes a compound represented by the following Chemical Formula 1. | 02-11-2016 |
20160043327 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device is disclosed. The organic light emitting display device includes at least two light emitting parts each including a light emitting layer and an electron transport layers, and at least one charge generation layer between the light emitting parts, wherein at least one among the charge generation layer or the electron transport layer includes a compound having nitrogen atoms and a substituent for enhancing electron mobility. | 02-11-2016 |
20160043329 | ORGANIC COMPOUND, AND ORGANIC THIN FILM AND ELECTRONIC DEVICE - An organic compound is represented by Chemical Formula 1, and an organic thin film, an organic thin film transistor, and an electronic device include the organic compound. | 02-11-2016 |
20160043330 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode facing the first electrode; and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer, wherein the organic layer includes at least one organometallic compound represented by Formula 1, below, and at least one condensed cyclic compound represented by Formula 40, below: | 02-11-2016 |
20160043333 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound and an organic light-emitting device, the condensed cyclic compound being represented by Formula 1: | 02-11-2016 |
20160043334 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, ORGANIC ELECTROLUMINESCENT ELEMENT, DISPLAY DEVICE AND LIGHTING DEVICE - A material for organic electroluminescent elements includes a structure represented by General Formula (1). In General Formula (1), a ring α and a ring β respectively represent aromatic heterocyclic groups each derived from pyrrole, furan, thiophene, pyrazole, 1,2,3-triazole, 1,2,4-triazole, tetrazole, oxazole, isoxazole, oxadiazole, thiazole, isothiazole or thiadiazole, and are linked with each other through arbitrary positions; R represents a hydrogen atom or a substituent substituted at an arbitrary position of at least one of the ring α and the ring β; and n represents an integer of 1 to 8. | 02-11-2016 |
20160043336 | FLEXIBLE DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF - A method of manufacturing a flexible display apparatus includes forming a first flexible substrate on a first sacrificial layer on a first support substrate, forming a display layer on the first flexible substrate, forming an encapsulation layer on the display layer, forming a second flexible substrate on a second sacrificial layer on a second support substrate, forming a touch screen layer on the second flexible substrate, forming a color filter layer on the touch screen layer, bonding the first support substrate and the second support substrate based on an adhesive layer between the encapsulation layer and the color filter layer, and detaching the first support substrate and the second support substrate by performing a delaminating operation between the first sacrificial layer and the first flexible substrate and between the second sacrificial layer and the second flexible substrate. | 02-11-2016 |
20160043339 | Method for producing an electronic component and electronic component - A method for producing an electronic component with at least one first electrode zone ( | 02-11-2016 |
20160043340 | DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - Provide is a display device that prevents adverse effects on pixel circuits, resulting from a process related to a sealing film, and a manufacturing method of the display device. A display device includes pixel circuits on a substrate and a sealing film having a multilayer structure on the pixel circuits. The sealing film includes a first layer being formed in contact with the pixel circuits and being made of a silicon-containing inorganic material. The first layer is a mixed film containing at least one component changing seamlessly in a stacking direction. | 02-11-2016 |
20160043341 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided is an organic light emitting display device including: a substrate; a first anode and a second anode formed on the substrate; a first auxiliary electrode formed between the first anode and the second anode; a first organic light emitting layer and a second organic light emitting layer; a first bank including an undercut formed on an upper part of a first edge of the first auxiliary electrode; a second bank including an undercut formed on an upper part of a second edge of the first auxiliary electrode; a second auxiliary electrode disposed between the undercut of the first bank and the first auxiliary electrode; a third auxiliary electrode disposed between the undercut of the second bank and the first auxiliary electrode; a first cathode electrically connected with the second auxiliary electrode; and a second cathode electrically connected with the third auxiliary electrode. | 02-11-2016 |
20160043342 | FLEXIBLE DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Disclosed are a flexible display apparatus and a method of manufacturing the same. The method includes preparing an organic layer material for a thin film encapsulation layer, coating an edge area and a central area of a substrate with the organic layer material by using a plurality of nozzles, and finishing an organic layer for the thin film encapsulation layer on the substrate. An amount of the organic layer material is adjusted by selectively driving a variable adjustment unit of the substrate. | 02-11-2016 |
20160043343 | OLED DISPLAY DEVICE AND FABRICATION METHOD THEREOF - An OLED display device and a fabrication method thereof are provided. The OLED display device, includes: an array substrate and a package substrate cell-assembled, wherein, the array substrate includes a first base substrate, an anode layer, a cathode layer and an electroluminescent layer disposed between the anode layer and the cathode layer, the anode layer or the cathode layer is disposed as an upper most layer of the array substrate; the package substrate includes a second base substrate and a graphene layer, the graphene layer is disposed as an upper most layer of the package substrate; a conductive filler is provided between the array substrate and package substrate, the graphene layer is electrically connected with the anode layer or the cathode layer disposed as the upper most layer of the array substrate via the conductive filler. | 02-11-2016 |
20160043344 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic light-emitting display device includes an organic light-emitting element on a substrate, a metal substrate, and an encapsulation unit configured to seal the organic light-emitting element, and a structure in which a driving film is connected so as not to be protruded further than the substrate. A portion of the encapsulation unit is between the metal substrate and the driving film, and the portion of the encapsulation unit is configured to reduce damage to the driving film caused by the metal substrate. Thus, the organic light-emitting display device may realize a narrow bezel and also reduce a driving defect caused by damage to the driving film. | 02-11-2016 |
20160043345 | LIGHT-EMITTING DEVICE - The present invention provides a light-emitting device capable of effectively suppressing characteristic deterioration of an organic EL element caused by water. The light-emitting device includes a plurality of pixels arranged on a long substrate along a longitudinal direction of the substrate, each pixel including a light-emitting element including a lower electrode, an organic compound layer, and an upper electrode in a stated order from the substrate, a partition layer arranged between the lower electrode and the organic compound layer of the light-emitting element, having an opening which defines a light-emitting region of the light-emitting element, and made of an inorganic material, and a planarization layer arranged above the partition layer space from the organic compound layer, and made of a resin material. | 02-11-2016 |
20160043346 | ORGANIC EL DISPLAY DEVICE - A bank layer includes projecting portions swollen toward in a thickness direction of a first substrate in an adhesion area. A multi-barrier includes a portion, in which a organic barrier is not disposed, on an upper side of the projecting portions. Therefore, it is possible to obtain, in the adhesion area, a portion in which a first inorganic barrier layer and a second inorganic barrier layer directly come in contact with each other. In an organic EL display device including the multi-barrier formed of an organic material and an inorganic material, it is possible to prevent two facing substrates from being separated from each other. | 02-11-2016 |
20160043347 | FILM PACKAGING STRUCTURE FOR OLED, OLED DEVICE AND DISPLAY APPARATUS - The present invention provides a film packaging structure for an OLED, an OLED device, and a display apparatus. The film packaging structure for an OLED comprises a flexible film for packaging an OLED unit. The flexible film comprises at least one layer of inorganic film, and at least one layer of organic film which is alternately stacked with the at least one layer of inorganic film. Each layer of organic film in the at least one layer of organic film is an integral film, and each layer of inorganic film in the at least one layer of inorganic film comprises a plurality of non-connected inorganic film segments. The OLED film packaging structure, the OLED device and the display apparatus utilize inorganic films and organic films which are alternately stacked with the inorganic films. The inorganic films use a non-connected structure, and achieve better water resistance performance. | 02-11-2016 |
20160043348 | OLED DISPLAY DEVICE AND ENCAPSULATION METHOD THEREOF - Embodiments of the disclosure provide an Organic Light-Emitting Diode (OLED) display device and an encapsulation method thereof. The encapsulation method of the OLED display device comprises: providing a display substrate, the display substrate having a display region and a peripheral region provided outside the display region; forming a dam in the peripheral region of the display substrate; and forming a plurality of thin film encapsulation layers on the display substrate by using a single mask plate, wherein the plurality of thin film encapsulation layers envelop the dam therein. | 02-11-2016 |
20160043349 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a substrate, a light emitting structure, and a reflective metal layer. The substrate includes a pixel region and a peripheral region. The light emitting structure is disposed on the substrate. The reflective metal layer is disposed between the substrate and the light emitting structure. The reflective metal layer includes a plurality of nanowires and a plurality of openings that is defined by the nanowires. | 02-11-2016 |
20160043350 | DISPLAY PANEL AND MANUFACTURING METHOD THEREOF - A display panel includes a first substrate, a second substrate, a quarter wavelength phase retarder, and a linear polarizer. The first substrate has a light emitting element disposed on the first substrate. The opposite panel is disposed opposite to the first substrate. The quarter wavelength phase retarder is disposed between the first substrate and the second substrate. The linear polarize is disposed at a side of the second substrate opposite to the quarter wavelength phase retarder. | 02-11-2016 |
20160046621 | INDOLOACRIDINE-CONTAINING DERIVATIVE, PREPARATION PROCESS AND USE THEREOF, AND ORGANIC LUMINESCENT DEVICE - The disclosure provides an indoloacridine-containing derivative represented by formula (I), wherein A is a group represented by formula (II), and wherein X, Y, Z and W represent a carbon atom or a nitrogen atom, and at least one of W, X, Y and Z represent a nitrogen atom; R represents a phenyl group, a biphenylyl group, a naphthyl group or a phenanthryl group. The disclosure further provides a process for preparing the compound. The disclosure further provides an organic electroluminescent device comprising the compound. This compound can be used as a phosphorescence host material, a hole-injecting material or a hole-transporting material in an organic electroluminescent device. | 02-18-2016 |
20160046652 | Organoboron Compounds and Methods of Making Same - The invention provides organoboron precursors and facile photoirradiation and/or heating methods of making corresponding elimination products. Some elimination products are polycyclic aromatic molecules wherein a number of aromatic C—C moieties have been replaced by a B—N moiety to form azaborine compounds with interesting properties such as electronic, photophysical, luminescent, as well as chemical properties. Examples of polymer films that were doped with such compounds are shown wherein irradiated portions of the polymer film luminesce. The invention further provides methods of producing photoluminescence and electroluminescence, and uses of the compounds of the invention in luminescent probes, sensors, electroluminescent devices, hydrogen storage materials, optoelectronic materials, and bioactive molecules. | 02-18-2016 |
20160046841 | PRESSURE-SENSITIVE ADHESIVE FILM AND METHOD OF MANUFACTURING ORGANIC ELECTRONIC DEVICE USING THE SAME - Provided are a pressure-sensitive adhesive film and a method of manufacturing an organic electronic device using the same. The pressure-sensitive adhesive film that may effectively block moisture or oxygen penetrated into an organic electronic device from an external environment, and exhibit reliability under harsh conditions such as high temperature and high humidity and excellent optical characteristics is provided. | 02-18-2016 |
20160049448 | IMAGE SENSOR AND ELECTRONIC DEVICE INCLUDING THE SAME - Example embodiments relate to an image sensor that includes a semiconductor substrate integrated with at least one photo-sensing device, an impurity-doped first light-transmitting electrode present in the semiconductor substrate, an organic photoelectric conversion layer positioned on one side of the semiconductor substrate and absorbing light in a different wavelength from the wavelength sensed by the photo-sensing device, and a second light-transmitting electrode positioned on one side of the organic photoelectric conversion layer, and an electronic device including the same. | 02-18-2016 |
20160049449 | IMAGE SENSOR HAVING LIGHT GUIDE MEMBERS - Image sensors include a color photo-sensing photoelectric conversion device, a first color filter and a second color filter disposed under the color photo-sensing photoelectric conversion device, a first photodiode and a second photodiode disposed under the first color filter and the second color filter, respectively, a first light guide member disposed between the first color filter and the first photodiode, and a second light guide member disposed between the second color filter and the second photodiode. | 02-18-2016 |
20160049450 | DONOR MASK, METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS BY USING THE SAME, AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A donor mask and a method of manufacturing an organic light-emitting display apparatus by using the donor mask. The method includes transferring a portion corresponding to a through hole of a transferring layer deposited on a light-to-heat conversion layer of the donor mask onto at least a portion of pixel electrodes on a substrate. | 02-18-2016 |
20160049451 | THIN FILM TRANSISTOR, AND THIN FILM TRANSISTOR ARRAY PANEL AND ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME - A thin film transistor includes a semiconductor which is disposed on a substrate and includes a source region, a drain region and a channel region, a gate insulating layer disposed on the semiconductor, a gate electrode disposed on the gate insulating layer, an interlayer insulating layer disposed on the gate electrode, contact holes defined in the interlayer insulating layer, the contact holes respectively exposing the source region and the drain region of the semiconductor, and a source electrode and a drain electrode which are disposed on the interlayer insulating layer and respectively contact the source region and the drain region through the contact holes, where at least one of the contact holes exposing the source region and the drain region obliquely traverses the semiconductor. | 02-18-2016 |
20160049452 | LIGHT-EMITTING DEVICE - There is provided an EL light-emitting device with less uneven brightness. When a drain current of a plurality of current controlling TFTs is Id, a mobility is μ, a gate capacitance per unit area is Co, a maximum gate voltage is Vgs | 02-18-2016 |
20160049454 | Organic Light Emitting Display Panel - An organic light emitting display panel is provided that comprises a substrate comprising an emission area and a non-emission area; a black matrix disposed on the non-emission area and comprising at least one open area that exposes at least a portion of a pattern formed on the substrate, wherein the pattern or the exposed portion of the pattern comprises a multi-layer structure comprising a conductive layer and at least one low reflective layer. | 02-18-2016 |
20160049455 | Organic Electroluminescence Display - An organic electroluminescence display includes a plurality of scan lines, a plurality of data lines, at least one first pixel circuit, and at least one second pixel circuit. The scan lines and the data lines cross. Each of the first and second pixel circuits is electrically connected to one of the scan lines and one of the data lines. The first pixel circuit includes at least one first driving transistor having a first channel in a first channel direction. The second pixel circuit includes at least one second driving transistor having a second channel in a second channel direction. The second channel direction is different from that of the first channel direction. | 02-18-2016 |
20160049590 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound and an organic light-emitting device, the compound being represented by Formula 1 below: | 02-18-2016 |
20160049593 | ORGANIC LIGHT-EMITTING DEVICE - An OLED device including a first electrode; a second electrode; and an organic layer, the organic layer including an emission layer, a hole transport region between the first electrode and the emission layer, the hole transport region including at least one of a hole transport layer, a hole injection layer, and a buffer layer, and an electron transport region between the emission layer and the second electrode, the electron transport region including at least one of a hole blocking layer, an electron transport layer, and an electron injection layer, wherein the emission layer includes at least one host (H) and at least one phosphorescent dopant (D), an electron affinity (EA) and an ionization potential (IP) simultaneously satisfying the relationships represented by Equation (1) and Equation (2) below: | 02-18-2016 |
20160049595 | PHOTOELECTRIC CONVERSION ELEMENT AND SOLID-STATE IMAGING DEVICE - Provided is a photoelectric conversion element including a photoelectric conversion material layer that is constituted by an organic material having more excellent sensitivity and responsiveness than those of conventional ones. | 02-18-2016 |
20160049596 | ORGANIC THIN FILM, AND ORGANIC SEMICONDUCTOR DEVICE AND ORGANIC TRANSISTOR USING SAME - The present invention provides an organic thin film and an organic transistor having high performance stability and mobility. Specifically, there are provided an organic thin film including a compound containing a charge transporting molecular unit A having a structure of an aromatic fused ring system and a unit B serving as a side chain, the compound having a bilayer structure; an organic semiconductor device including the organic thin film; and an organic transistor including the organic thin film used as an organic semiconductor layer. | 02-18-2016 |
20160049597 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having the formula Ir(L | 02-18-2016 |
20160049598 | BLUE LUMINESCENT COMPOUNDS - There is provided a compound having Formula II | 02-18-2016 |
20160049599 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having the formula Ir(L | 02-18-2016 |
20160049601 | FLEXIBLE DISPLAY SUBSTRATE MOTHER BOARD AND METHOD OF MANUFACTURING FLEXIBLE DISPLAY SUBSTRATE - A flexible display substrate mother board and a method of manufacturing a flexible display substrate are provided. The method includes: forming a heating pattern layer on a support substrate, wherein the heating pattern layer includes a plurality of regional blocks spaced apart from each other; forming a flexible substrate on the substrate provided with the heating pattern layer, and forming display elements on the flexible substrate; and heating the flexible substrate by utilization of the heating pattern layer, cutting the flexible substrate, stripping the flexible substrate corresponding to the regional block from the support substrate, and forming flexible display substrates. The method can avoid the damage of the display elements on the flexible substrate when the flexible substrate and the support substrate are separated from each other, and avoid uneven separation. | 02-18-2016 |
20160049602 | STRETCHABLE SUBSTRATE AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS COMPRISING THE SAME - A stretchable substrate including a plurality of islands that are disposed in a planar lattice pattern and spaced apart from each other, and a plurality of bridges that connect two adjacent islands. An aperture is formed between a pair of bridges, which are adjacent and parallel to each other, and the plurality of bridges are capable of stretching and contraction, and the shapes of the islands remain unchanged during the stretching and contraction of the bridges. | 02-18-2016 |
20160049603 | A Method for Producing an Organic Field Effect Transistor and an Organic Field Effect Transistor - The disclosure relates to a method for producing an organic field effect transistor, including providing a gate electrode and a gate insulator, depositing a first organic semiconducting layer on the gate insulator, generating a first electrode and an electrode insulator assigned to the first electrode for electrical insulation, depositing a second organic semiconducting layer on the first organic semiconducting layer and the electrode insulator, and generating a second electrode. Furthermore, an organic field effect transistor is provided. | 02-18-2016 |
20160049605 | METHOD FOR MANUFACTURING AN ORGANIC ELECTRONIC DEVICE AND ORGANIC ELECTRONIC DEVICE - Organic electronic devices and methods for making organic electronic devices are provided. The organic electronic devices may include a gate electrode, a gate insulator, an organic semiconducting layer, a contact improving layer, a source electrode, and a drain electrode. The source electrode and the drain electrode may be arranged on the contact improving layer, and the contact improving layer may include an organic dopant material which is soluble in Hydrofluorether. | 02-18-2016 |
20160049608 | OLED Panel of Arbitrary Shape - OLED panels and techniques for fabricating OLED panels are provided. Multiple cuts may be made in an OLED panel to define a desired shape, as well as the location and shape of external electrical contacts. The panel may be encapsulated before or after being cut to a desired shape, allowing for greater flexibility and efficiency during manufacture. | 02-18-2016 |
20160049610 | NANOCOMPOSITE, METHOD TO PRODUCE THE SAME, A BARRIER STRUCTURE FOR AN ELECTRONIC DEVICE AND AN OLED COMPRISING THE SAME - The present invention relates to a nanocomposite comprising primary nanoparticles having a particle size of less than 10 nm said primary nanoparticles forming agglomerates with a bimodal size distribution, dispersed in a polymer matrix, wherein the nanocomposite comprises 10-80 wt. % of the agglomerates having a particle size of less than 30 nm and less than 20 wt. % of the agglomerates having a particle size of at least 100 nm, preferably at least 400 nm, based on the total weight of the agglomerates. The surface of the nanoparticles can be modified with a surface modifier. The composition can advantageously be used as an organic layer between two inorganic layers in high-refractive barrier structures for electronic devices such as organic light-emitting diode (OLED). | 02-18-2016 |
20160049611 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescence device | 02-18-2016 |
20160049613 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting display includes: a substrate; a first electrode on the substrate; an organic light emitting layer on the first electrode; a second electrode formed on the organic light emitting layer; a non-resonance reflection inducing layer on the second electrode; and a capping layer on the non-resonance reflection inducing layer. | 02-18-2016 |
20160049614 | OPTICAL THIN FILM LAMINATE FOR ORGANIC ELECTROLUMINESCENT DISPLAY ELEMENT, PRODUCTION METHOD THEREOF, ORGANIC ELECTROLUMINESCENT DISPLAY ELEMENT AND DISPLAY DEVICE - The present invention discloses an organic electroluminescent display element, an optical thin film laminate and a production method thereof. The optical thin film laminate comprises a circular polarizer film layer, a protection film layer provided on the light incidence side of the circular polarizer film layer, an adhesive layer provided on the light output side of the circular polarizer film layer, and a moisture and oxygen resistant film layer; wherein the moisture and oxygen resistant film layer is provided between the light output side of the circular polarizer film layer and the adhesive layer, and/or, between the light incidence side of the circular polarizer film layer and the protection film layer. Because the optical thin film laminate comprises the circular polarizer film layer and the moisture and oxygen resistant film layer, it may have both anti-reflection function and good moisture and oxygen resistant performance. When such dual functional optical thin film laminate is applied to OLED element, it may not only solve the problems of complicated process, increased cost, and the difficulty in rolling the flexible OLED element caused by duple film adhering; but also bring advantages such as more lightweighting and thinness, better display effect, and the like to the OLED element. | 02-18-2016 |
20160049615 | Organic Light Emitting Display Panel - A display device is provided that comprises a pattern positioned on a substrate, the pattern comprising a multi-layered structure comprising a conductive layer and at least one light-blocking layer in whole or in part; and a bank positioned on the pattern, the bank comprising a light-absorbent material. | 02-18-2016 |
20160049616 | LIGHT EMITTING DEVICE - A second electrode ( | 02-18-2016 |
20160049617 | Methods For Fabricating OLEDS on Non-Uniform Substrates and Devices Made Therefrom - Described herein are devices and methods related to fabrication of organic electroluminescent devices and related components. In certain embodiments, devices and methods for fabricating OLED panels on substrates with non-uniform reflection or un-even surfaces require that the non-uniform features are arranged in a way such that they are not presented in the region where photolithography features are needed. In certain embodiments, where precision processing such as photolithography features are needed, the substrate is designed to be flat. | 02-18-2016 |
20160056212 | PROTECTIVE LAYER(S) IN ORGANIC IMAGE SENSORS - The present disclosure relates to an organic image sensor and an associated method. By inserting an inorganic protective layer between an electrode and an organic photo active region of the image sensor, the organic photo active region is protected from moisture, oxygen or following process damage. The inorganic protective layers also help to suppress the leakage in the dark. In some embodiments, the organic image sensor comprises a first electrode, an organic photoelectrical conversion structure disposed over the first electrode and a second electrode disposed over the organic photoelectrical conversion structure. The organic image sensor further comprises a first protective structure covering a top surface and a sidewall of the organic photoelectrical conversion structure. | 02-25-2016 |
20160056214 | DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - A display device includes a substrate having a red pixel region, a blue pixel region, and a green pixel region. An anode is on the substrate, a light-emitting layer is on the anode, and a cathode is on the light-emitting layer, wherein the light-emitting layer includes a red light-emitting layer emitting red light on the red pixel region, a blue light-emitting layer emitting blue light on the blue pixel region, and a green light-emitting layer emitting green light on the red pixel region, the blue pixel region, and the green pixel region. Each of the red light, the blue light, and the green light is resonated between the anode and the cathode. | 02-25-2016 |
20160056215 | ORGANIC LIGHT EMITTING DIODE DISPLAY, OPTICAL UNIT, AND METHOD FOR MANUFACTURING OPTICAL UNIT - An organic light emitting diode display includes: a display module including a first organic light emitting diode to emit light with a first wavelength, a second organic light emitting diode to emit light with a second wavelength, and a third organic light emitting diode to emit light with a third wavelength; a phase difference layer including a first liquid crystal pattern on the first organic light emitting diode, and a second liquid crystal pattern on the second organic light emitting diode and the third organic light emitting diode; and a linear polarization layer on the phase difference layer. | 02-25-2016 |
20160056216 | DUAL-MODE PIXELS INCLUDING EMISSIVE AND REFLECTIVE DEVICES, AND DUAL-MODE DISPLAY USING THE PIXELS - A dual-mode display including a substrate and a multiple sub-pixels on the substrate, in which each sub-pixel includes, a color selection reflector, and an optical shutter disposed on the color selection reflector, and an emissive devised disposed on the shutter, wherein the emissive device includes a cathode and an anode, and the cathode and the anode include a carbon-based material including graphene sheets, graphene flakes, and graphene platelets, and a binary or ternary transparent conductive oxide including indium oxide, tin oxide, and zinc oxide. | 02-25-2016 |
20160056217 | SUBSTRATE-LESS FLEXIBLE DISPLAY AND METHOD OF MANUFACTURING THE SAME - A substrate-less display device is disclosed. The substrate-less display device includes a barrier stack. The barrier stack includes a plurality of inorganic barrier films and a plurality of polymer films. The inorganic barrier films and the polymer films are alternatively disposed. The substrate-less display device further includes a thin-film-transistor (TFT) device layer disposed on the barrier stack, a display medium layer disposed on the TFT device layer, and an encapsulation layer disposed on the display medium layer. | 02-25-2016 |
20160056218 | DISPLAY PANEL WITH PIXEL DEFINING LAYER AND MANUFACTURING METHOD OF PIXEL DEFINING LAYER - Embodiments of the present invention provide a display panel with a pixel defining layer and a manufacturing method of the pixel defining layer, and the display panel with the pixel defining layer comprises: a substrate; a plurality of pixel regions, arranged on the substrate in a matrix form; the pixel defining layer, disposed on the substrate and comprising: a plurality of openings; a pixel divider, surrounding each of the plurality of openings and defining the plurality of pixel regions, wherein a sidewall of the pixel divider for defining each of the pixel regions is formed so that a slope angle of an upper portion is larger than that of a lower portion thereof. | 02-25-2016 |
20160056219 | LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A light-emitting display device includes a substrate having a plurality of pixels. A first electrode is provided on the substrate for each pixel, and a pixel defining layer defines each of the pixels. The pixel defining layer has an opening to expose the first electrode. A charge injection layer is on the first electrode, and a surface processing layer is on the charge injection layer. The surface processing layer extends from inside the opening of the pixel defining layer to a top surface of the pixel defining layer. The surface processing layer including a plurality of grooves in a portion extending on the top surface of the pixel defining layer. A charge transport layer is on the surface processing layer, a light-emitting layer is on the charge transport layer, and a second electrode is on the light-emitting layer. | 02-25-2016 |
20160056220 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate; a thin film transistor (TFT) on the substrate; a pixel-defining layer (PDL) disposed on the TFT and comprising a first area having a first thickness and a second area having a second thickness greater than the first thickness, and a via hole in the first area; a pixel electrode disposed on at least a portion of the first area, and electrically connected to the TFT via the via hole; an intermediate layer on the pixel electrode, the intermediate layer comprising an emission layer (EML); and an opposite electrode on the intermediate layer. According to a method of manufacturing the organic light emitting display apparatus, the PDL is formed on the substrate and then the pixel electrode is formed on the first area | 02-25-2016 |
20160056221 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus, including a substrate, a reflection control layer disposed on the substrate and including a metal layer and dielectric layer, a thin-film transistor disposed on the reflection control layer and including an active layer, a gate electrode, a source electrode, and a drain electrode, a storage capacitor disposed on the reflection control layer and including a first electrode and a second electrode, a pixel electrode connected to one of the source electrode and the drain electrode, an intermediate layer disposed on the pixel electrode and including an organic emission layer, an opposite electrode disposed on the intermediate layer, in which a portion of the metal layer of the reflection control layer comprises the first electrode of the storage capacitor. | 02-25-2016 |
20160056223 | High-Yield Fabrication of Large-Format Substrates with Distributed, Independent Control Elements - A large-format substrate with distributed control elements is formed by providing a substrate and a wafer, the wafer having a plurality of separate, independent chiplets formed thereon; imaging the wafer and analyzing the wafer image to determine which of the chiplets are defective; removing the defective chiplet(s) from the wafer leaving remaining chiplets in place on the wafer; printing the remaining chiplet(s) onto the substrate forming empty chiplet location(s); and printing additional chiplet(s) from the same or a different wafer into the empty chiplet location(s). | 02-25-2016 |
20160056225 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display and a method of manufacturing the same are disclosed. In one aspect, the OLED display includes a plurality of pixels, each of the pixels including at least one wiring configured to receive an electrical signal and a storage capacitor formed on the same layer as the wiring. The wiring includes a first conductive pattern layer, an intermediate insulation pattern layer, and a second conductive pattern layer that are sequentially stacked. The first and second conductive pattern layers are electrically connected to each other through a first via hole. | 02-25-2016 |
20160056378 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY PANEL, MANUFACTURING METHOD THEREOF AND DISPLAY DEVICE - An organic light-emitting diode (OLED) display panel, a manufacturing method thereof and a display device are provided. In the manufacturing method, pixel electrodes, required to be deposited with a material, on a base substrate are charged, and electrodes at an evaporation source are charged to form an electric field; evaporation material corresponding to the material required to be deposited are placed into the evaporation source and ionized, and the ionized evaporation material are deposited on the base substrate under the action of the electric field; deposited material in other pixel units are etched off and removed, so that the evaporation material only on the previously charged pixel electrodes on the base substrate are retained; and patterns of the required material are formed by the processes of deposition and etching in turn. The manufacturing method improves the resolution of finished products and can help to improve the resolution of the OLED. | 02-25-2016 |
20160056379 | SUBSTRATE IMPRINTED WITH A PATTERN FOR FORMING ISOLATED DEVICE REGIONS - An example provides a method for forming an apparatus including a substrate imprinted with a pattern for forming isolated device regions. A method may include imprinting an unpatterned area of a substrate with a pattern to form a patterned substrate having a plurality of recessed regions at a first level and a plurality of elevated regions at a second level, and depositing a first layer of conductive material over the patterned substrate with a plurality of breaks to form a plurality of bottom electrodes. The method may include depositing a layer of an active stack, with a second layer of conductive material, over the plurality of bottom electrodes to form a plurality of devices on the plurality of recessed regions isolated from each other by the plurality of elevated regions. | 02-25-2016 |
20160056381 | Electronic Package Device and Fabrication Method Thereof, Method for Testing Electronic Package Device - Embodiments of the disclosure provide an electronic package device and a fabrication method thereof, and a method for testing the electronic package device. The electronic package device comprises a base substrate and a package substrate that are provided opposite to each other, and a sealant provided therebetween. The electronic package device further comprises an electronic function layer provided on a side of the base substrate facing the package substrate, and a laminated film layer and a test lead provided on a side of the package substrate facing the base substrate. The laminated film layer comprises a hygroscopic film adjacent to the package substrate and a piezoelectric film coating surfaces of the hygroscopic film. The piezoelectric film contacts one end of the test lead, and the other end of the test lead passes through the sealant and extends to an exterior of the electronic package device. | 02-25-2016 |
20160056382 | FORMATION OF CONJUGATED POLYMERS FOR SOLID-STATE DEVICES - Disclosed herein is a facile process for the formation of conjugated polymers inside or outside assembled solid-state devices. One process generally involves applying a voltage to a device comprising at least two electrodes, a combination of an electrolyte composition and a electroactive monomer disposed between the electrodes, and a potential source in electrical connection with the at least two electrodes; wherein the applying voltage polymerizes the electroactive monomer into a conjugated polymer. Also disclosed are electrochromic articles prepared from the process and solid-state devices comprising a composite of an electrolyte composition and a conjugated polymer. | 02-25-2016 |
20160056386 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting diode and an organic light emitting device, the organic light emitting diode including a first compound represented by the following Formula 1; and a second compound represented by the following Formula 2, | 02-25-2016 |
20160056387 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting diode, including a first electrode and a second electrode facing each other; an emission layer between the first electrode and the second electrode; and a hole injection layer between the first electrode and the emission layer, the hole injection layer including a dipole material including a first component and a second component that have different polarities. | 02-25-2016 |
20160056391 | COMPOUND FOR ORGANIC OPTOELECTRIC DEVICE, ORGANIC LIGHT-EMITTING DIODE INCLUDING SAME, DISPLAY DEVICE INCLUDING ORGANIC LIGHT-EMITTING DIODE - Provided are a compound for an organic optoelectric device represented by Chemical Formula 1, an organic light emitting diode including the same, and a display device including the organic light emitting diode. The structure of the compound for an organic optoelectric device represented by Chemical Formula 1 is described in the specification. | 02-25-2016 |
20160056392 | ORGANIC ELECTROLUMINESCENT ELEMENT, AND LIGHTING DEVICE AND DISPLAY DEVICE WHICH ARE PROVIDED WITH SAME - One objective of the present invention is to provide an organic EL element which is suppressed in change in the resistance of a light emitting layer after passing a current therethrough for a long period of time, thereby having good emission spectrum chromaticity, and which is suppressed in change in the emission characteristics over time. Another objective of the present invention is to provide a lighting device and a display device, each of which uses the organic EL element. An organic electroluminescent element of the present invention is provided with a pair of electrodes and one or more organic layers that are arranged between the pair of electrodes, and is characterized in that one or more layers among the organic layers contain a compound that has a structure represented by general formula (1). | 02-25-2016 |
20160056393 | COATING LIQUID FOR FORMING LIGHT EMITTING LAYER, ORGANIC ELECTROLUMINESCENT ELEMENT, METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT, AND LIGHTING/DISPLAY DEVICE - One objective of the present invention is to provide a coating liquid for forming a light emitting layer, which improves quantum efficiency. Another objective of the present invention is to provide: an organic electroluminescent element which is formed by means of this coating liquid for forming a light emitting layer; a lighting device, a display device and a white electroluminescent device, each of which is provided with this organic electroluminescent element; and a method for manufacturing an organic electroluminescent element. A coating liquid for forming a light emitting layer according to the present invention is used for the purpose of forming a light emitting layer, which is one of one or more organic layers held between a positive electrode and a negative electrode, and this coating liquid for forming a light emitting layer is characterized by containing a thermally activated delayed fluorescent compound. | 02-25-2016 |
20160056394 | COMPOUND, ORGANIC OPTOELECTRONIC ELEMENT COMPRISING SAME, AND DISPLAY DEVICE - A compound represented by Chemical Formula 1, an organic optoelectronic device including the same and a display device including the organic optoelectronic device are disclosed. A structure of the compound represented by Chemical Formula 1 is described in the specification. | 02-25-2016 |
20160056395 | TRANSISTOR AND METHOD FOR MANUFACTURING THE SAME - The present invention relates to a transistor and a method for manufacturing the same. The transistor according to an embodiment of the present invention includes a substrate, a drain electrode formed on the substrate, a source electrode formed on the substrate and spaced apart from the drain electrode, a channel layer formed on the substrate and including a channel region electrically connecting the drain electrode and the source electrode to each other, a gate electrode formed on the substrate and spaced apart from the channel region, and a liquid crystal layer formed on the substrate to connect the channel layer and the gate electrode to each other. | 02-25-2016 |
20160056396 | ISOMER-MIXTURE METAL COMPLEX COMPOSITION, ORGANIC ELECTROLUMINESCENT ELEMENT, ILLUMINATOR, AND DISPLAY DEVICE - An isomer-mixture metal complex composition that includes a plurality of atropisomers is described. This isomer-mixture metal complex composition is a metal complex composition which is a mixture of isomers each comprising a metal atom and a plurality of ligands, and is characterized by containing a plurality of atropisomers because at least one of the ligands has an aromatic ring as a substituent and the free rotation of the axis of the bonding between the aromatic ring and the ligand is inhibited by the formation of a complex of the metal atom with other ligand(s). An organic EL element using the isomer-mixture metal complex composition as a material for organic EL element is also described. Further, an illuminator and a display device which are obtained using the organic EL element are described. | 02-25-2016 |
20160056398 | ORGANIC PHOTOSENSITIVE DEVICES WITH EXCITON-BLOCKING CHARGE CARRIER FILTERES - Disclosed herein are organic photosensitive devices including at least one exciton-blocking charge carrier filter. The filters comprise a mixture of at least one wide energy gap material and at least one electron or hole conducting material. As described herein, the novel filters simultaneously block excitons and conduct the desired charge carrier (electrons or holes). | 02-25-2016 |
20160056400 | ORGANIC LIGHT EMITTING DIODE - An organic light emitting diode, including a first electrode; a second electrode facing the first electrode, the second electrode including magnesium; an emission layer between the first electrode and the second electrode; and an electron injection layer between the second electrode and the emission layer, the electron injection layer including a dipole material including a first component and a second component having different polarities, the dipole material including halide, and a content of the magnesium included in the second electrode being in a range of from 10 to 40 volume %. | 02-25-2016 |
20160056401 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - A light emitting device is discussed, and includes a first electrode; a hole transporting layer (HTL) on the first electrode; an organic light-emission layer (EML) having a red emission layer (EML) formed in a red sub pixel area Rp, a green emission layer formed in a green sub pixel area Gp, and a blue emission layer formed in a blue sub pixel area Bp; an electron transporting layer (ETL) on the red, green and blue emission layers; and a second electrode on the electron transporting layer, wherein the green emission layer includes a phosphor host material, a second phosphor host material, and a dopant material. | 02-25-2016 |
20160056402 | NOVEL COMPOUND, AND LIGHT-EMITTING DEVICE AND ELECTRONIC DEVICE INCLUDING SAME - A novel compound according to the present invention may improve the capability of transporting electrons to a light-emitting layer in a light-emitting device, and may improve the light-emitting efficiency and increase the lifespan of the light-emitting device by using the compound. | 02-25-2016 |
20160056403 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting diode, including a first electrode and a second electrode facing each other; an emission layer between the first electrode and the second electrode; and an electron injection layer between the second electrode and the emission layer, the electron injection layer including a metal having a work function of 4.0 eV or less and a dipole material including a first component and a second component having different polarities. | 02-25-2016 |
20160056404 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting element, includes a first electrode and a second electrode facing each other; an emission layer between the first electrode and the second electrode; and an electron injection layer between the second electrode and the emission layer, the electron injection layer including an oxide having a relative dielectric constant of 10 or more and a metal having a work function of 4.0 eV or less. | 02-25-2016 |
20160056405 | CONNECTION STRUCTURE FOR ELECTRICAL COMPONENT - An electrical component ( | 02-25-2016 |
20160056406 | OPTICAL DEVICE - An optical device ( | 02-25-2016 |
20160056407 | OPTICAL DEVICE - An optical device includes a joining structure in which a first conductive film ( | 02-25-2016 |
20160056408 | OPTICAL DEVICE - An optical device ( | 02-25-2016 |
20160056409 | ORGANIC EL ELEMENT AND METHOD FOR MANUFACTURING SAME - Provided is an organic EL element which has excellent luminous efficiency by improving the cathode. An organic EL element which is configured of a cathode, an anode and one or more organic compound layers provided between the electrodes, and wherein the cathode is formed of a transparent conductive film that is formed on a glass substrate and is configured from an indium oxide compound and an element having a high work function, so that the cathode has a high work function matched to the HOMO of an organic hole transport layer among the organic compound layers. Consequently, holes can be easily injected from the cathode to the organic hole transport layer, and the present invention is therefore suitable for manufacturing an organic EL element having excellent luminous efficiency. | 02-25-2016 |
20160056411 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE - Provided are a substrate for an organic electronic device (OED) and a use thereof. The substrate may have excellent interfacial cohesive property by preventing interlayer delamination between the organic material layer and the inorganic material layer when being applied to manufacture a flexible device including a structure in which an organic material layer and an inorganic material layer are present together. In addition, when the substrate for an OED is used, an OED may have excellent durability and an excellent another required physical property such as light extraction efficiency. | 02-25-2016 |
20160056412 | ORGANIC ELECTROLUMINESCENT ELEMENT - The present invention provides an organic electroluminescent element containing a flexible substrate having thereon: a first gas barrier layer, an insulating layer, a first electrode, a light emitting unit containing an organic functional layer, and a second electrode, in that order, wherein the first gas barrier layer is a polysilazane reforming layer; the insulating layer is a layer containing a metal oxide; and a metal element in the metal oxide has a lower redox potential than silicon. | 02-25-2016 |
20160056413 | ORGANIC ELECTROLUMINESCENT ELEMENT - The present invention provides an organic electroluminescent element containing: a first gas barrier layer; an intermediate layer; a second gas barrier layer; a third gas barrier layer; a first electrode; an organic functional layer; and a second electrode, in that order, wherein the intermediate layer contains a resin and has a thickness of 10 μm to 250 μm; the second gas barrier layer contains silicon, carbon and oxygen, wherein a composition of silicon, carbon and oxygen contained in the second gas barrier layer is continuously changed in a thickness direction of the second gas barrier layer, and distribution curves of silicon, carbon and oxygen each have an extremum point; and the third gas barrier layer is a polysilazane reforming layer. | 02-25-2016 |
20160056414 | Thin film permeation barrier system for substrates and devices and method of making the same - Thin film permeation barrier systems and techniques of fabricating the same are provided. The barrier system includes a hybrid layer, such as a layer containing SiO | 02-25-2016 |
20160060162 | TRANSLUCENT SUBSTRATE, ORGANIC LED ELEMENT AND METHOD OF MANUFACTURING TRANSLUCENT SUBSTRATE - A translucent substrate includes a glass substrate containing at least one element selected from a group consisting of Bi, Ti and Sn; a coating layer formed on the glass substrate; and a transparent conductive film formed on the coating layer, wherein the coating layer is deposited by a dry depositing method. | 03-03-2016 |
20160060512 | COMPOSITIONS FOR ELECTRONIC APPLICATIONS - This invention relates to a composition including (a) a dopant, (b) a first host having at least one unit of Formula I, and (c) a second host compound having Formula II. The formulae have the structures: | 03-03-2016 |
20160064440 | SEMICONDUCTOR DEVICE AND SEMICONDUCTOR UNIT INCLUDING THE SAME - A solid-state image pickup unit including a pixel section having a plurality of unit pixels two-dimensionally arranged in a matrix formation, wherein a unit pixel includes a conductive region of a first conductivity type having a surface adjacent to a multilayer wiring layer, a charge accumulation region of a second conductivity type formed within the first conductive region, wherein the charge accumulation region is separated from the surface of the conductive region adjacent to the multilayer wiring layer by a separation section, and a contact disposed in the conductive region, the contact electrically connecting the charge accumulation region and an external wire of the multilayer wiring layer. | 03-03-2016 |
20160064455 | ORGANIC PHOTOELECTRONIC DEVICE AND IMAGE SENSOR - An organic photoelectronic device includes a first electrode and a second electrode facing each other, and an active layer between the first electrode and the second electrode, the active layer including a heterojunction of a p-type semiconductor and an n-type semiconductor, the p-type semiconductor including a compound represented by Chemical Formula 1. | 03-03-2016 |
20160064456 | PHOTOELECTRIC CONVERSION DEVICE AND IMAGE SENSOR HAVING THE SAME - A photoelectric conversion device of an image sensor includes a first transparent electrode layer, an active layer, and a second transparent electrode layer, which are sequentially stacked. A light having a wavelength of about 440 nm-480 nm is absorbed within a depth of about ⅕ of an entire thickness of the active layer from both the top and bottom surfaces of the active layer. | 03-03-2016 |
20160064457 | ORGANIC PHOTOELECTRONIC DEVICE AND IMAGE SENSOR - An organic photoelectronic device includes a first electrode and a second electrode facing each other, and an active layer between the first electrode and the second electrode, the active layer including a first compound having a maximum absorption wavelength of about 500 nm to about 600 nm in a visible ray region and a transparent second compound in a visible ray region. | 03-03-2016 |
20160064459 | ORGANIC LIGHT-EMITTING DIODE CONTACT IMPEDANCE TESTING DEVICE - An organic light-emitting diode (OLED) contact impedance testing device includes an organic light-emitting diode cathode material layer located in an organic light-emitting diode panel. A plurality of test points is located on an edge of the organic light-emitting diode panel. A plurality of connecting lines connects the organic light-emitting diode cathode material layer to the test points. Each test point is partially superimposed by one of the connecting lines. Each connecting line is partially superimposed by the organic light-emitting diode cathode material layer. The OLED contact impedance testing device can rapidly detect the contact impedances of different components in the OLED panel. Thus, problems in the complicated OLED panel can rapidly be located through measurement of the impedances. | 03-03-2016 |
20160064460 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the display includes a substrate comprising an emission area, a sensor area, and an opening area. The display also includes an OLED formed in the emission area of the substrate, wherein the OLED comprises an organic light emitting layer interposed between pixel and opposite electrodes, wherein the opposite electrode is configured to firstly reflect light emitted from the intermediate layer. The display further includes a photo sensor formed in the sensor area of the substrate and a partition wall located adjacent to the photo sensor and at least partially surrounding the photo sensor. The partition wall is configured to secondly reflect at least a portion of the first reflected light, and wherein the photo sensor is configured to at least partially absorb the second reflected light. | 03-03-2016 |
20160064461 | Flexible Organic Light Emitting Diode Display Panel - Provided is a flexible organic light emitting diode display panel including: a substrate in which an opening region and a non-opening region are defined; an organic light emitting diode disposed on the substrate; a bank layer disposed in the non-opening region; and a peeling reduction layer having a reverse-tapered shape disposed in the non-opening region. | 03-03-2016 |
20160064462 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND ORGANIC LIGHT-EMITTING DIODE DISPLAY EMPLOYING THE SAME - A thin film transistor (TFT) array substrate and an organic light-emitting diode display employing the same are disclosed. In one aspect, the substrate includes at least one TFT, the TFT including a substrate and a semiconductor pattern comprising a source region, a channel region, and a drain region. The TFT also includes a gate insulating layer covering the semiconductor pattern, a side gate electrode electrically insulated from the semiconductor pattern and formed over at least one side of the channel region, and a top gate electrode formed over the gate insulating layer so as to partially overlap the semiconductor pattern, the side gate electrode and the top gate electrode electrically connected to each other. | 03-03-2016 |
20160064463 | ORGANIC ELECTROLUMINESCENT DEVICE AND MANUFACTURING METHOD THEREOF, AND DISPLAY DEVICE - An organic electroluminescent device and its manufacturing method, and a display device are provided. The manufacturing method of the organic electroluminescent device includes: forming a first electrode on a predetermined region of an insulating base; conducting a surface treatment to an upper surface of the first electrode and an upper surface of the insulating base which is not covered by the first electrode, so that the upper surface of the first electrode is lyophilic and the upper surface of the insulating base which is not covered by the first electrode is lyophobic; forming an electroluminescent layer on the first electrode; and forming a second electrode on the electroluminescent layer. In the organic electroluminescent device formed by the manufacturing method, the electroluminescent layer has a relatively uniform thickness. | 03-03-2016 |
20160064464 | FLEXIBLE DISPLAY PANEL - A flexible display panel includes a bending area and a surrounding area adjacent to the bending area. The barrier layer includes first silicon nitride layers and first silicon oxide layers which are overlapped with the bending area. The first silicon nitride layers and the first silicon oxide layers are stacked alternately. Each of the first silicon nitride layers may have a thickness less than or equal to about 400 Å, and each of the first silicon oxide layers may have a thickness less than or equal to about 650 Å. | 03-03-2016 |
20160064466 | Display Device with Micro Cover Layer and Manufacturing Method for the Same - There is provided a flexible display having a plurality of innovations configured to allow bending of a portion or portions to reduce apparent border size and/or utilize the side surface of an assembled flexible display. | 03-03-2016 |
20160064467 | ORGANIC ELECTROLUMINESCENT DEVICE AND ELECTRONIC APPARATUS - An organic electroluminescent device includes a first transistor, a power supply line layer connected to one current terminal of the first transistor, a capacitive element including a first capacitive electrode connected to a gate of the first transistor, and a second capacitive electrode, a signal line, and a pixel electrode connected to the other current terminal of the first transistor, the first capacitive electrode is provided on a layer over the gate of the first transistor, and the power supply line layer is provided on a layer between the first capacitive electrode and the signal line. | 03-03-2016 |
20160064468 | SEMICONDUCTOR UNIT, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - There are provided a semiconductor unit that prevents connection failure caused by a wiring substrate to improve reliability, a method of manufacturing the semiconductor unit, and an electronic apparatus including the semiconductor unit. The semiconductor unit includes: a device substrate including a functional device and an electrode; a first wiring substrate electrically connected to the functional device through the electrode; and a second wiring substrate electrically connected to the functional device through the first wiring substrate. | 03-03-2016 |
20160064499 | TRANSISTOR AND MANUFACTURING METHOD THEREOF - A transistor including a substrate, a gate layer, a first insulating layer, an active layer, a source and a drain is provided. The gate layer is disposed on the first insulating layer, and has a plurality of first through holes. The first insulating layer covers the gate layer and a part of the substrate exposed by the first through holes, and forms a plurality of recesses respectively corresponding to the first through holes. The active layer is disposed on the first insulating layer, and has a plurality of second through holes. The second through holes communicate with the recesses, respectively. The source is disposed on a part of the active layer. The drain is disposed on another part of the active layer. A manufacturing method of the transistor is also provided. | 03-03-2016 |
20160064503 | ELECTRONIC DEVICES AND METHOD OF FABRICATING THE SAME - An electronic device includes a substrate. A lower electrode is disposed on the substrate and has a flat portion and protrusions. An intermediate layer is on the lower electrode. An upper electrode is on the intermediate layer. The lower electrode includes an alloy of a first metal and a second metal. The protrusions have a content ratio of the second metal higher than that of the flat portion. | 03-03-2016 |
20160064668 | ANTHRACENE-CONTAINING DERIVATIVE, PRODUCTION PROCESS THEREOF AND ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - The invention discloses an anthracene-containing derivative, the production process thereof and an organic electroluminescent display device, wherein the anthracene-containing derivative has a general molecular structural formula of Formula I, wherein, R | 03-03-2016 |
20160064669 | AROMATIC AMINE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT ELEMENTS USING SAME - Provided are an organic EL device material that reduce the driving voltage of an organic EL device and increase the lifetime of the device as compared with a conventional organic EL device material. Also provided are organic electroluminescence devices containing the organic EL device material. | 03-03-2016 |
20160064671 | METHOD FOR MANUFACTURING AN ORGANIC ELECTRONIC DEVICE AND ORGANIC ELECTRONIC DEVICE - The disclosure provides a method of manufacturing an organic electronic device, including providing a layered device structure, the layered device structure including a plurality of electrodes and an electronically active region, said providing of the layered device structure including steps of providing an organic semiconducting layer, applying a structuring layer to the organic semiconducting layer, the structuring layer having a first region and a second region, the first region being covered by a layer material, applying a contact improving layer to the structuring layer by depositing at least one of an organic dopant material and an organic dopant-matrix material at least in the first region, depositing a layer material on the contact improving layer at least in the first region, and removing the structuring layer at least in the second region. Furthermore, an organic electronic device is provided. | 03-03-2016 |
20160064672 | PEDOT:PSS BASED ELECTRODE AND METHOD FOR MANUFACTURING THE SAME - The present disclosure provides a method for fabricating a PEDOT:PSS-based electrode, comprising the steps of: preparing a PEDOT:PSS thin film formed on a substrate; treating the thin film with a solution containing 75-100 vol % of sulfuric acid or a sulfuric acid derivative; separating the thin film from the solution and rinsing the separated thin film; and drying the rinsed thin film at a temperature between 60° C. and 160° C. The present disclosure also provides a PEDOT:PSS-based electrode fabricated by the method, and an organic electronic device including the electrode. | 03-03-2016 |
20160064673 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting diode (OLED) display includes an OLED display panel having a substrate; a plurality of scan lines formed on the substrate; a plurality of data lines crossing the plurality of scan lines; cover lines covering the data lines; a plurality of switching elements coupled to the plurality of scan lines and the plurality of data lines; and a plurality of organic light emitting diodes coupled to the plurality of switching elements; and upper and lower data drivers respectively located at upper and lower parts of the OLED display panel, wherein the data lines include an upper data line and a lower data line that are separated from each other, and the cover lines include upper and lower cover lines that are separated from each other. | 03-03-2016 |
20160064674 | ORGANIC SEMICONDUCTOR COMOSITION, ORGANIC THIN-FILM TRANSISTOR, ELECTRONIC PAPER, AND DISPLAY DEVICE - An object of the present invention is to provide an organic semiconductor composition, which improves the insulation reliability of an organic thin-film transistor without greatly reducing the mobility of the organic thin-film transistor, an organic thin-film transistor which is prepared by using the organic semiconductor composition, and electronic paper and a display device which use the organic thin-film transistor. The organic semiconductor composition of the present invention contains an organic semiconductor material (A) and a polymer compound (B) containing a repeating unit represented by the following Formula (B). | 03-03-2016 |
20160064675 | LIGHT-EMITTING MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE, ORGANIC ELECTROLUMINESCENT DEVICE USING SAME, AND MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE - Disclosed is a light-emitting material for organic electroluminescent (EL) devices which is composed of an asymmetric anthracene derivative of a specific structure. Also disclosed are a material for organic EL devices and an organic EL device wherein an organic thin film layer composed of one or more layers including at least a light-emitting layer is interposed between a cathode and an anode. At least one layer composed of the organic thin film layer contains the material for organic EL devices by itself or as a component of a mixture. Consequently, the organic EL device has a high efficiency and a long life. Also disclosed are a light-emitting material for organic EL devices and material for organic devices which enable to realize such an organic EL device. | 03-03-2016 |
20160064676 | COMPOUND HAVING DIAZATRIPHENYLENE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE - A compound that emits fluorescence and delayed fluorescence is provided as a material for an organic electroluminescent device of high efficiency, and an organic photoluminescent device and an organic electroluminescent device of high efficiency and high luminance are provided using this compound. The compound of a general formula (1) having a diazatriphenylene ring structure is used as a constituent material of at least one organic layer in the organic electroluminescent device that includes a pair of electrodes, and one or more organic layers sandwiched between the pair of electrodes. | 03-03-2016 |
20160064677 | ORGANIC ELECTROLUMINESCENT ELEMENT - An organic EL device includes a pair of electrodes and an organic compound layer between pair of electrodes. The organic compound layer includes an emitting layer including a first material, a second material and a third material, in which singlet energy EgS(H) of the first material, singlet energy EgS(H2) of the second material, and singlet energy EgS(D) of the third material satisfy a specific relationship. | 03-03-2016 |
20160064678 | Organometallic Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device and Lighting Device - Provided is a novel substance that can emit phosphorescence. Alternatively, provided is a novel substance with high emission efficiency. An organometallic complex in which a 4-arylpyrimidine derivative is a ligand and iridium is a central metal is provided. Specifically, an organometallic complex having a structure represented by a general formula (G1) is provided. In the general formula (G1), R | 03-03-2016 |
20160064679 | PHOTODETECTOR - Provided is a photodetector including: an organic semiconductor ( | 03-03-2016 |
20160064682 | ORGANIC ELECTROLUMINESCENT ELEMENT AND LIGHTING DEVICE - An organic electroluminescent element including at least three light-emitting units. The at least three light-emitting units include one or more short-wavelength light-emitting units having a weighted average emission wavelength λ | 03-03-2016 |
20160064683 | DISPLAY DEVICE - The display device includes a first organic electroluminescence element which includes an anode and a cathode which form a pair, and a light emitting layer which is formed between the anode and the cathode on a substrate. The light emitting layer includes a plurality of sub-light emitting layers which perform light emitting of colors which are respectively different. Each of the plurality of sub-light emitting layers is doped with a quantum dot light emitting material corresponding to a color to be emitted. A current with current density corresponding to a position of a sub-light emitting layer of a desired color among the plurality of sub-light emitting layers is injected to the light emitting layer, and the sub-light emitting layer of the desired color performs light emitting. | 03-03-2016 |
20160064684 | LIGHT-EMITTING ELEMENT, DISPLAY DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - A light-emitting element having high emission efficiency which includes a fluorescent material as a light-emitting substance is provided. A light-emitting element includes a pair of electrodes and an EL layer between the pair of electrodes. The EL layer includes a light-emitting layer. The light-emitting layer includes a host material and a guest material. The host material has a difference of more than 0 eV and less than or equal to 0.2 eV between a singlet excitation energy level and a triplet excitation energy level. The guest material is capable of emitting fluorescence. The triplet excitation energy level of the host material is higher than a triplet excitation energy level of the guest material. | 03-03-2016 |
20160064685 | PROTECTION STRUCTURE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE PROTECTION STRUCTURE - A protection structure including a first elastic layer, a supporting layer, and a second elastic layer. The supporting layer is disposed on the first elastic layer. The supporting layer includes a plurality of openings. The second elastic layer fills the openings. The second elastic layer is combined with the first elastic layer. | 03-03-2016 |
20160064688 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD FOR PRODUCING THE SAME - An organic electroluminescence device | 03-03-2016 |
20160064690 | ORGANIC LIGHT EMITTING DIODE DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode display device and a method for manufacturing the same are disclosed where permeation of moisture and oxygen may be prevented. The organic light emitting diode display device includes a protective members including an first inorganic film formed on a substrate to completely cover an organic light emitting diode, an organic film formed on the first inorganic film, and a second inorganic film formed on the first inorganic film and the organic film, wherein the organic film includes a first organic pattern corresponding to upper and side parts of the organic light emitting diode, and at least one second organic pattern being spaced from the first organic pattern and surrounding the first organic pattern, and the second organic pattern has an upper surface having the same height as an upper surface of the first organic pattern. | 03-03-2016 |
20160064691 | DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A display apparatus includes a substrate on which a central area having a display area and a peripheral area disposed around the central area are defined. The display apparatus includes a display area inorganic layer on the display area and extending to a portion of the peripheral area; and an encapsulation inorganic layer covering the display area, on the display area inorganic layer, and having an edge that is in parallel with or extending over an edge of the display area inorganic layer. | 03-03-2016 |
20160064692 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a first substrate, a light emitting structure, a light transmitting member, and a second substrate. The first substrate includes a pixel region and a transparent region. The light emitting structure is positioned in the pixel region of the first substrate. The light transmitting member is positioned in the transparent region. The second substrate is disposed on the light emitting structure and the light transmitting member. The light is not refracted in interfaces between the light transmitting member and the first substrate and between the light transmitting member and the second substrate. | 03-03-2016 |
20160064693 | METHOD OF FABRICATING LIGHT SCATTERING LAYER, AND ORGANIC LIGHT EMITTING DIODE INCLUDING THE SAME - Provided is a method of fabricating a light scattering layer. The method includes: coating a first surface of a substrate with a nano structure; and etching the substrate exposed to the nano structure by using the nano structure as an etching mask to allow the first surface of the substrate to have a recess to form first partitions protruding from the first surface of the substrate. | 03-03-2016 |
20160064694 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the display includes an OLED layer including a plurality of OLEDs that respectively form a plurality of sub-pixels and an encapsulation layer disposed over the OLED layer. The OLED display also includes an optical film disposed over the encapsulation layer and comprising a reflection control layer, a first lens disposed below the reflection control layer, and a second lens disposed over the reflection control layer, wherein the reflection control layer comprises i) a plurality of color filters respectively corresponding to the sub-pixels, and ii) a light shielding portion disposed between the color filters. The OLED display further includes an intermediate layer disposed between the encapsulation layer and the optical film, wherein the first lens is disposed over sides of at least one sub-pixels and wherein the second lens is disposed over center portions of selected sub-pixels. | 03-03-2016 |
20160064695 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND METHOD OF MANUFACTURING THE SAME - The disclosure relates to an organic electroluminescence element including: a first substrate on a light extraction side thereof; a second substrate opposite the first substrate; and an organic light-emitting laminate between the first substrate and the second substrate. The first substrate includes a doped region in a surface close to the organic light-emitting laminate, the doped region being doped with a dopant for causing change in a refractive index of the first substrate to enhance a light-outcoupling efficiency. | 03-03-2016 |
20160064700 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display device includes a first driving voltage line including a first portion extending in a first direction and a second portion having a larger width than the first portion in a second direction perpendicular to the first direction. The second portion overlaps a gate electrode of a driving thin film transistor, an interlayer insulating layer is between the second portion and the gate electrode of the driving thin film transistor. | 03-03-2016 |
20160071852 | FERROELECTRIC MEMORY DEVICE - The invention relates to a ferroelectric memory device comprising at least one layer comprising a ferroelectric polymer, and at least two electrodes either side thereof, the ferroelectric polymer being of general formula P(VDF-X-Y), wherein VDF is vinylidene fluoride motifs, X is trifluoroethylene or tetrafluoroethylene motifs, and Y is motifs from a third monomer, the molar proportion of Y motifs in the polymer being less than or equal to 6.5%. | 03-10-2016 |
20160071911 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display apparatus and a method of manufacturing an organic light emitting display apparatus, the apparatus including a first substrate; an organic light emitting diode on the first substrate, the organic light emitting diode including an emitting area that generates light, and a non-emitting area which generates no light; a second substrate facing the organic light emitting diode; a black matrix layer on a surface of the second substrate that faces the organic light emitting diode, the black matrix layer including an open area aligned with the emitting area of the organic light emitting diode, and a closed area aligned with the non-emitting area of the organic light emitting diode; and a photoresist member, at least a portion of the photoresist member being on the open area of the black matrix layer and protruding toward the organic light emitting diode. | 03-10-2016 |
20160071912 | DRIVE CIRCUIT, ORGANIC LIGHT-EMITTING DIODE DISPLAY, AND METHODS FOR FABRICATING THE SAME - A drive circuit, an organic light-emitting diode display, and methods for fabricating the same are provided. The drive circuit includes: a driving transistor, including a first gate, a first semiconductor layer disposed above the first gate, an etch stopping layer disposed on the first semiconductor layer, and a first source and a first drain which are disposed on the two sides of the first semiconductor layer, the first semiconductor layer being made of oxide semiconductor material; and a switching transistor, including a second gate, a second semiconductor layer disposed above the second gate, and a second source and a second drain which are disposed on two sides of the second semiconductor layer, the second semiconductor layer being made of oxide semiconductor material. In the drive circuit, reliability and uniformity of the drive transistors are improved, and parasitic capacitance of the switching transistor decreases. | 03-10-2016 |
20160071913 | ORGANIC LIGHT EMITTING DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display panel according to an aspect of the present invention includes a planarization layer positioned on a substrate including a plurality of pixels, and a first opening portion formed in a non-emission area of the pixel, a first electrode formed on a portion exposed through the first opening portion and the planarization layer, a bank including a portion overlapping an edge of the first electrode, and exposing a portion corresponding to an emission area of the pixel on the first electrode, an organic layer formed on the portion corresponding to the emission area of the pixel on the first electrode, and a second electrode formed on the bank and the organic layer. | 03-10-2016 |
20160071914 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR FABRICATING THE SAME - An organic light emitting display device, and a method for manufacturing the organic light emitting display device are discussed. The organic light emitting display device according to one embodiment includes a substrate; a first auxiliary electrode formed on the substrate and in a non-light emitting region; a bank layer formed on the substrate and having an opening exposing the first auxiliary electrode; an organic light emitting layer formed on the bank layer; an upper electrode formed on the organic light emitting layer and the first auxiliary electrode; and a second auxiliary electrode formed on the upper electrode. The first auxiliary electrode and the second auxiliary electrode come into contact with the upper electrode in the opening exposing the first auxiliary electrode. | 03-10-2016 |
20160071916 | ORGANIC LIGHT EMITTING DIODE DISPLAY HAVING HIGH APERTURE RATIO AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting diode (OLED) display includes a substrate in which an emission area and a non-emission area are defined, an OLED disposed in the emission area. The OLED display further includes a thin film transistor disposed in the non-emission area, a first insulation layer overlapping the thin film transistor in the non-emission area, a first storage capacitance electrode disposed in the emission area on the first insulation layer, a second insulation layer disposed to cover the first storage capacitance electrode and the thin film transistor except a portion of the thin film transistor, said portion of the thin film transistor being exposed through the second insulation later. The OLED display further includes an organic protective layer disposed on the second insulation layer, and an anode electrode of the OLED disposed on the second insulation layer, the anode electrode electrically connected to the thin film transistor. | 03-10-2016 |
20160071917 | DISPLAY UNIT - A display unit with which lowering of long-term reliability of a transistor is decreased is provided. The display unit includes a display section having a plurality of organic EL devices with light emitting color different from each other and a plurality of pixel circuits that are singly provided for every said organic EL device for every pixel. The pixel circuit has a first transistor for writing a video signal, a second transistor for driving the organic EL device based on the video signal written by the first transistor, and a retentive capacity, and out of the first transistor and the second transistor, a third transistor provided correspondingly to a second organic EL device adjacent to a first organic EL device is arranged farther from the first organic EL device than a first retentive capacity provided correspondingly to the second organic EL device out of the retentive capacity. | 03-10-2016 |
20160071919 | Active Matrix Organic Light-Emitting Diode Display Substrate and Display Device - The present invention provides an active matrix organic light-emitting diode display substrate and a display device. The active matrix organic light-emitting diode display substrate comprises a substrate and a plurality of pixel structures arranged in a matrix on the substrate, and further comprises a power signal structure for providing a power signal to each pixel structure, wherein the power signal structure comprises one layer of power signal electrode having a planar structure. By designing the power signal structure to include a power signal electrode having a planar structure, resistance of the power signal structure is reduced, and accordingly voltage drop of VDD decreases, thus the difference in driving voltage among organic light-emitting diodes is relatively small, and uniformity of display brightness of a display panel is ensured. | 03-10-2016 |
20160072068 | METHOD OF MANUFACTURING THIN FILM TRANSISTOR, THIN FILM TRANSISTOR, AND TRANSISTOR ARRAY - Provided is a method of manufacturing a thin film transistor satisfying the relation of L<5 μm. The method includes a process of forming a streak portion by performing transfer printing on a support using a member to be transferred which is provided with an ink streak portion for forming source and drain electrodes and has mold releasability, and baking the streak portion to thereby form the source electrode constituted by a conductor and the drain electrode constituted by a conductor. In the method manufacturing a thin film transistor in which the source and drain electrodes obtained above, a semiconductor layer, an insulator layer, and a gate electrode constituted by a conductor are laminated, after the baking, in a laminated cross section of the thin film transistor to be manufactured is set to A and a channel length thereof is set to L, the ink streak portion is provided so as to satisfy the condition of L/A≧0.05. | 03-10-2016 |
20160072069 | ORGANIC ELECTROLUMINESCENT ELEMENT - The objective of the present invention is to provide an organic electroluminescent element which exhibits excellent light transmitting properties by having an intermediate electrode that is formed as a thin film, and which is suppressed in disconnection or resistance increase of the intermediate electrode, thereby being ensured with respect to electrical conductivity. This organic EL element ( | 03-10-2016 |
20160072072 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound is represented by Formula 1: | 03-10-2016 |
20160072073 | ORGANIC COMPOSITION, AND ORGANIC OPTOELECTRONIC ELEMENT AND DISPLAY DEVICE - Disclosed are an organic compound represented by a combination of a moiety represented by Chemical Formula 1 and a moiety represented by Chemical Formula 2, an organic optoelectronic device and a display device including the organic compound. | 03-10-2016 |
20160072074 | Novel Compound, and Light Emitting Diode and Electronic Apparatus Comprising Same - In a novel compound, and a light emitting diode and an electronic apparatus including the same, the novel compound is represented by the following Chemical Formula 1. | 03-10-2016 |
20160072075 | ORGANIC LIGHT EMITTING DEVICE AND DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting device and a display device including the same, the organic light emitting device including a first electrode; a light emitting layer on the first electrode; an electron transport layer on the light emitting layer; and a second electrode on the electron transport layer, wherein the electron transport layer includes a buffer layer, the buffer layer a buffer compound represented by the following Formula 1, | 03-10-2016 |
20160072076 | MATERIALS FOR ELECTRONIC DEVICES - The present application relates to a compound of a formula (I) which comprises a benzene group that is substituted with a group selected from carbazole derivatives and bridged amines and with an electron attracting group, wherein the two groups are located in the ortho-position in relation to one another. The present application further relates to the use of the compound of the formula (I) in an electronic device, and to a method of producing the compound of the formula (I). | 03-10-2016 |
20160072077 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting element and an organic light emitting display, the organic light emitting element including a first compound represented by one of Chemical Formula 1-A to Chemical Formula 1-G, and a second compound represented by Chemical Formula 2: | 03-10-2016 |
20160072078 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device (OLED) includes a first electrode, a second electrode, an emission layer between the first electrode and the second electrode and including an electron-transporting host and a hole-transporting host, a hole transport region between the first electrode and the emission layer and including a hole transport layer, and an electron transport region between the emission layer and the second electrode and including an electron transport layer, wherein the OLED satisfies Equations 1 and 2 below: | 03-10-2016 |
20160072079 | HETERO-CYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - The present specification provides a hetero-cyclic compound and an organic light emitting device including the same. | 03-10-2016 |
20160072080 | AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An amine-based compound and an organic light-emitting device including the same, the amine-based compound being represented by Formula 1, below: | 03-10-2016 |
20160072082 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Imidazophenanthridine ligands and metal complexes are provided. The compounds exhibit improved stability through a linking substitution that links a nitrogen bonded carbon of an imidizole ring to a carbon on the adjacent fused aryl ring. The compounds may be used in organic light emitting devices, particularly as emissive dopants, providing devices with improved efficiency, stability, and manufacturing. In particular, the compounds provided herein may be used in blue devices having high efficiency. | 03-10-2016 |
20160072084 | SEMICONDUCTOR STRUCTURE AND METHOD FOR ITS PRODUCTION - The present invention relates to a semiconductor structure and a method for its production, the semiconductor structure comprising at least one conductor region and at least two semiconductor regions, which semiconductor regions are partly separated by the at least one conductor region. The at least one conductor region comprises openings extending between the semiconductor regions which are partly separated by the respective conductor region. The semiconductor regions comprise at least one organic semiconductor material having a specific HOMO energy level, in particular a DPP polymer. The conductor region comprises a conductive material having a specific work function, said combination of specific energy level and work function allowing for a simple preparation of the conductive region. The invention further relates to a method for providing such a semiconductor structure. | 03-10-2016 |
20160072086 | THIN FILM TRANSISTOR, TRANSISTOR ARRAY, METHOD OF MANUFACTURING THIN FILM TRANSISTOR, AND METHOD OF MANUFACTURING TRANSISTOR ARRAY - Provided is a thin film transistor in which at least a support, source and drain electrodes constituted by a conductor, a semiconductor layer, an insulator layer, and a gate electrode constituted by a conductor are laminated in this order. In a laminated cross section of the thin film transistor, a difference between an electrode width of an electrode on a face coming into contact with the support and an electrode width thereof on a face which is opposite to the face coming into contact with the support and comes into contact with the semiconductor layer falls within a range of ±1 μm. When an arithmetic average roughness in the electrode width of the electrode on the face which is opposite to the face coming into contact with the support and comes into contact with the semiconductor layer is set to Ra, the relation of Ra≦10 nm is satisfied. | 03-10-2016 |
20160072087 | ORGANIC ELECTROCHEMICAL TRANSISTOR - A method of making a structure having a patterned a base layer and useful in the fabrication of optical and electronic devices including bioelectronic devices includes, in one embodiment, the steps of: a) providing a layer of a radiation-sensitive resin; b) exposing the layer of radiation-sensitive resin to patterned radiation to form a base layer precursor having a first pattern of exposed radiation-sensitive resin and a second pattern of unexposed radiation-sensitive resin; c) providing a layer of fluoropolymer in a third pattern over the base layer precursor to form a first intermediate structure; d) treating the first intermediate structure to form a second intermediate structure; and e) selectively removing either the first or second pattern of resin by contacting the second intermediate structure with a resin developing agent, thereby forming the patterned base layer. The method is capable of providing multilayer articles having almost any shape at high resolution without the need for expensive or damaging mechanical or laser cutting. | 03-10-2016 |
20160072088 | IMAGE PICKUP DEVICE AND ELECTRONIC APPARATUS - An image pickup device includes: a first electrode film; an organic photoelectric conversion film; a second electrode film; and a metal wiring film electrically connected to the second electrode film, the first electrode film, the organic photoelectric conversion film, and the second electrode film all provided on a substrate in this order, and the metal wiring film coating an entire side of the organic photoelectric conversion film. | 03-10-2016 |
20160072090 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - A method of fabricating an organic light-emitting device, including: providing a substrate; forming a control electrode on the substrate; forming an insulating layer covering at least a top surface of the control electrode; forming a hole transport layer pattern through printing on at least a part of the insulating layer; forming an organic light-emitting layer to be in contact with at least a part of a surface of the hole transport layer pattern; forming an electron transport layer pattern through printing to be in contact with at least a part of a surface of the organic light-emitting layer; and forming a first electrode and a second electrode respectively on the hole transport layer pattern and the electron transport layer pattern. | 03-10-2016 |
20160072091 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device includes an anode, an emission layer, an anode-side hole transport layer on the anode and the emission layer, the anode-side hole transport layer including an anode-side hole transport material and the anode-side hole transport layer being doped with an electron accepting material, an intermediate hole transport material layer between the anode-side hole transport layer and the emission layer, the intermediate hole transport layer including an intermediate hole transport material, and an emission layer-side hole transport material between the intermediate hole transport material layer and the emission layer and adjacent to the emission layer, the emission layer-side hole transport material layer including an emission layer-side hole transport material represented by the following General Formula (1): | 03-10-2016 |
20160072092 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD OF MANUFACTURING SAME - Disclosed is an organic light emitting device (OLED) that may include a first electrode including at least two conductive units, each of the at least conductive unit connected to a conductive connector of the first electrode; a second electrode facing the first electrode; an organic layer between the first electrode and the second electrode; an auxiliary electrode electrically connected to the conductive connector; and an insulating layer between the conductive connector and the auxiliary electrode, wherein the conductive connector overlaps the auxiliary electrode, with the insulating layer therebetween. | 03-10-2016 |
20160072093 | ORGANIC LED ELEMENT, METHOD OF MANUFACTURING ORGANIC LED ELEMENT - An organic LED element has a plurality of light emitting regions that are connected in series is provided, and the light emitting regions include a first electrode and a second electrode, between two adjacent light emitting regions. The first electrode of one light emitting region and the second electrode of another light emitting region are connected via a barrier layer, and a C concentration in an interface region between the first electrode and the barrier layer, or an interface region between the second electrode and the barrier layer, is 10 atomic % or lower. | 03-10-2016 |
20160072095 | LIGHT EMITTING DEVICE - A light emitting device ( | 03-10-2016 |
20160072097 | Organic Optoelectronic Component - An organic optoelectronic component includes a first electrode which is made of an electrically conductive material, an active region which is made of an organic material, a second electrode which is made of an electrically conductive material, an encapsulating layer sequence which is made of a dielectric material, and a third electrode which is made of an electrically conductive material. The first electrode and the second electrode are arranged on different sides of the active region. The encapsulating layer sequence is arranged between the first electrode and the third electrode. The first electrode, the second electrode, and the third electrode can be contacted from outside the component. | 03-10-2016 |
20160072098 | PHOTO-CURING COMPOSITION AND ENCAPSULATED DEVICE COMPRISING SAME - The present invention relates to a photo-curing composition comprising (A) a photo-curable monomer, (B) a light-emitting substance, and (C) an initiator, wherein the light-emitting substance has a maximum light-emitting wavelength of about 400 to 500 nm during irradiation at a wavelength of 300-480 nm, and an encapsulated device comprising the same. | 03-10-2016 |
20160072099 | ELECTROLUMINESCENT APPARATUS, AND APPARATUS AND METHOD FOR MANUFACTURING SAME - In an organic EL display device (electroluminescent device) including an organic EL element (electroluminescent element), a first sealing film covers the organic El element, a second sealing film is formed on the first sealing film, and a third sealing film covers the first sealing film and the second sealing film. | 03-10-2016 |
20160072100 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting diode display device and a manufacturing method thereof are provided. The organic light emitting diode display device includes a first flexible substrate, a second flexible substrate, a first barrier layer, a second barrier layer, an organic light emitting diode element, and a metal enclosing wall. The first barrier layer is disposed on the first flexible substrate, and the second barrier layer is disposed on the second flexible substrate. The organic light emitting diode element is disposed between the first barrier layer and the second barrier layer. The metal enclosing wall connects the first flexible substrate to the second flexible substrate and surrounds the organic light emitting diode element. | 03-10-2016 |
20160072101 | ORGANIC ELECTRONIC DEVICE - Provided are an organic electronic device (OED) and a use thereof. The OED, for example, a flexible device, may have excellent light extraction efficiency and durability. The OED may be applied to a lighting device or a light source for a display. | 03-10-2016 |
20160075718 | Organic Compound, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - An organic compound represented by a general formula (G1). | 03-17-2016 |
20160077248 | OPTICAL FILMS FOR REDUCING COLOR SHIFT AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUSES EMPLOYING THE SAME - Optical films, and organic light-emitting display apparatuses employing the same, include a high refractive index pattern layer including a first surface and a second surface facing each other, wherein the first surface includes a pattern having a plurality of grooves. The plurality of grooves each have a curved surface and a depth greater than a width thereof. The high refractive index pattern layer is formed of a material having a refractive index greater than 1. The optical films, and the organic light-emitting display apparatuses, further include a low refractive index pattern layer formed of a material having a refractive index smaller than the refractive index of the material constituting the high refractive index pattern layer. The low refractive index pattern layer includes a filling material for filling the plurality of grooves. | 03-17-2016 |
20160077249 | OPTICAL FILMS FOR REDUCING COLOR SHIFT AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUSES EMPLOYING THE SAME - Optical films, and organic-light-emitting display apparatuses, include a high refractive index pattern layer including a first surface and a second surface facing each other. The first surface includes a pattern having grooves. The grooves each have a curved surface and a depth greater than a width. The high refractive index pattern layer is formed of a material having a refractive index greater than 1. Further included is a low refractive index pattern layer formed of a material having a refractive index smaller than that of the material constituting the high refractive index pattern layer. The low refractive index pattern layer includes a filling material for filling grooves. A tilt angle, θ, of each groove satisfies the following condition, 15°≦θ≦75°. | 03-17-2016 |
20160079311 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes: an organic light-emitting device including a plurality of sub-pixels respectively emitting lights of different colors; a color filter formed on the organic light-emitting device in a region corresponding to each of the sub-pixels; a spacer color filter formed in the color filter between red, green, and blue color filters at locations corresponding to non-emitting areas; and a substrate provided on the color filter to encapsulate the organic light-emitting device. | 03-17-2016 |
20160079312 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a substrate and a plurality of pixels defined in the substrate. A pixel includes red subpixel, green subpixel, blue subpixel, and white subpixel. The organic light emitting display device includes an anode electrode formed on the substrate, a cathode electrode opposing the anode electrode, and a red common emission layer, a green common emission layer, and a blue common emission layer formed across each of the red, green, blue and white subpixel areas. The blue common emission layer is disposed above and adjacent to the anode electrode, the green common emission layer is disposed above the blue common emission layer, and the red common emission layer is disposed above the green common emission layer and adjacent to the cathode electrode. | 03-17-2016 |
20160079313 | OLED LIGHTING DEVICE WITH SHORT TOLERANT STRUCTURE - A first device that may include a short tolerant structure, and methods for fabricating embodiments of the first device, are provided. A first device may include a substrate and a plurality of OLED circuit elements disposed on the substrate. Each OLED circuit element may include a fuse that is adapted to open an electrical connection in response to an electrical short in the pixel. Each OLED circuit element may comprise a pixel that may include a first electrode, a second electrode, and an organic electroluminescent (EL) material disposed between the first and the second electrodes. Each of the OLED circuit elements may not be electrically connected in series with any other of the OLED circuit elements. | 03-17-2016 |
20160079314 | Light-Emitting Device, Electronic Device, and Lighting Device - A light-emitting device, an electronic device, or a lighting device with low power consumption and high reliability is provided. The light-emitting device includes a first light-emitting element, a second light-emitting element, a third light-emitting element, and a fourth light-emitting element. The first to fourth light-emitting elements include the same EL layer between an anode and a cathode. The EL layer includes a first light-emitting layer and a second light-emitting layer. The first light-emitting layer contains a fluorescent substance. The peak wavelength of an emission spectrum of the fluorescent substance in a toluene solution of the fluorescent substance is 440 nm to 460 nm, preferably 440 nm to 455 nm. The second light-emitting layer contains a phosphorescent substance. The first light-emitting element exhibits blue emission. The second light-emitting element exhibits green emission. The third light-emitting element exhibits red emission. The fourth light-emitting element exhibits yellow emission. | 03-17-2016 |
20160079315 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus, including a substrate; a first reflective layer, a second reflective layer, and a third reflective layer that are separately disposed on the substrate; a first insulating layer on the first reflective layer, but not on the second reflective layer and the third reflective layer; a second insulating layer on the first insulating layer and the second reflective layer, but not on the third reflective layer; and a first pixel electrode for red emission on the second insulating layer and corresponding to the first reflective layer, a second pixel electrode for green emission on the second insulating layer and corresponding to the second reflective layer, and a third pixel electrode for blue emission on the third reflective layer. | 03-17-2016 |
20160079319 | DUAL-MODE PIXELS INCLUDING EMISSIVE AND REFLECTIVE DEVICES, AND DUAL-MODE DISPLAY USING THE PIXELS - A dual-mode display including a substrate and a plurality of sub-pixels on the substrate, in which each sub-pixel includes, a reflective device having an optical filter function which reflects different color according to electrical signals applied from outside the display, and an emissive device disposed on the reflective device, wherein the emissive device includes a cathode and an anode, and the cathode and the anode include a carbon-based material including graphene sheets, graphene flakes, and graphene platelets, and a binary or ternary transparent conductive oxide including indium oxide, tin oxide, and zinc oxide. | 03-17-2016 |
20160079322 | ORGANIC LIGHT EMITTING DISPLAY DEVICE, ORGANIC LIGHT EMITTING DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display panel and an organic light emitting display device according to the present invention may include a substrate, a pixel electrode positioned in each of a plurality of pixel areas on the substrate, a bank positioned in a non-emission area on the substrate, having a portion overlapping an edge of each pixel electrode and exposing a portion of each pixel electrode, and an organic layer positioned on each exposed pixel electrode. A plurality of grooves or holes may be positioned in the bank, and a material the same as that of the organic layer may be positioned in the groove or hole. | 03-17-2016 |
20160079323 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An OLED device is disclosed. The device includes a substrate defined to have a first active area and a dummy area. First electrodes are formed on the substrate, and a first bank pattern is formed to overlap with edges of each first electrode and to expose a part of an upper surface of each first electrode. A second bank pattern is formed on the first bank pattern within the first active area, and a third bank pattern is formed on the first bank pattern within the dummy area in the same layer as the second bank pattern. The second bank pattern is formed to have a larger width than that of the third bank pattern. As such, an organic emission layer can be evenly formed in the active area. | 03-17-2016 |
20160079325 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device comprises a partition wall formed on a bank that covers a portion of an auxiliary electrode. The organic light emitting display device includes a first electrode, an auxiliary electrode, a first bank, and a partition wall. The first electrode may be connected to a driving transistor, and the auxiliary electrode may be disposed on the same layer as the first electrode. The first bank may cover a portion of the first electrode and a portion of the auxiliary electrode. A portion of a bottom surface of the partition wall may contact a top surface of the first bank, and the other portion except the portion of the bottom surface may be disposed on the auxiliary electrode. | 03-17-2016 |
20160079326 | PIXEL STRUCTURE OF ELECTROLUMINESCENT DISPLAY PANEL AND METHOD OF FABRICATING THE SAME - A pixel structure of electroluminescent display panel includes a substrate, a display driving structure, a planarization structure and an electroluminescent device. The display driving structure is disposed on the substrate. The display driving structure includes a driving device. The planarization structure is disposed on the substrate. The planarization structure covers the top surface and the sidewall of the driving device, and the planarization structure has a contact hole partially exposing the driving device. The electroluminescent device is disposed on the planarization structure. The electroluminescent device includes an anode, a light-emitting layer and a cathode. The anode covers the top surface of the planarization structure and surrounds the sidewall of the planarization structure, and the anode is filled into the contact hole and electrically connected to the driving device. The light-emitting layer is disposed on the anode. The cathode is disposed on the light-emitting layer. | 03-17-2016 |
20160079327 | ORGANIC EL DISPLAY UNIT - There is provided an organic EL display unit having superior light emission efficiency and superior display performance. This display unit includes two or more kinds of organic light-emitting devices, each of the organic light-emitting devices having a laminated configuration in which a first electrode layer, an organic layer, and a second electrode layer are laminated in order on a base, and the organic light-emitting devices configured to emit light of different colors. The organic layer includes a common light-emitting layer and an individual light-emitting layer, the common light-emitting layer shared by all of the kinds of organic light-emitting devices, and the individual light-emitting layer provided in only a kind configured to emit specific color light of the kinds of organic light-emitting devices. Some of the kinds of organic light-emitting devices each include a transparent conductive layer between the first electrode layer and the organic layer. | 03-17-2016 |
20160079328 | THIN FILM TRANSISTOR SUBSTRATE AND DISPLAY APPARATUS - Provided is a thin film transistor substrate including a substrate; a source electrode and a drain electrode that are disposed on the substrate; an active layer that is formed on the source electrode and the drain electrode; a gate electrode that is formed on and is insulated from the active layer; and a pixel electrode that extends from one of the source electrode and the drain electrode. | 03-17-2016 |
20160079329 | ROLLABLE DISPLAY DEVICE - A rollable display device including a roll frame and a flexible display unit windable in the roll frame, the flexible display unit includes a flexible substrate, a display layer disposed on the flexible substrate, an encapsulation layer respectively disposed on and configured to seal the display layer, a polarization layer, a touch screen layer, and a protection layer sequentially disposed on the encapsulation layer, and adhesive layers disposed between the encapsulation layer and the polarization layer, the polarization layer and the touch screen layer, and the touch screen layer and the protection layer, in which each of the adhesive layers comprise first regions and second regions alternately disposed between a first end and a second end of the flexible display unit, and a modulus of the first regions is different from a modulus of the second regions. | 03-17-2016 |
20160079330 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device includes: a substrate; a semiconductor on the substrate and including a switching channel of a switching transistor and a driving channel of a driving transistor, wherein the switching transistor and the driving transistor are spaced; a first insulating layer covering the semiconductor; a switching gate electrode on the first insulating layer and overlapped with the switching channel and a driving gate electrode on the first insulating layer and overlapped with the driving channel; a second insulating layer covering the switching gate electrode and the driving gate electrode; a data line on the second insulating layer and configured to transmit a data signal, a driving voltage line on the second insulating layer and configured to transmit a driving voltage; a passivation layer; a pixel electrode on the passivation layer; and a pixel connecting member on the passivation layer. | 03-17-2016 |
20160079331 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device according to the present disclosure includes: a semiconductor on a substrate including a switching channel of a switching transistor and a driving channel of a driving transistor, the driving transistor being spaced from the switching transistor; a first insulating layer covering the semiconductor; a switching gate electrode on the first insulating layer and overlapping the switching channel and a driving gate electrode on the first gate insulating layer and overlapping the driving channel; a second insulating layer covering the switching gate electrode and the driving gate electrode; a data line on the second insulating layer comprising: an upper data line; and a lower data line; a driving voltage line on the second insulating layer; a passivation layer covering the data line and the driving voltage line; a pixel electrode on the passivation layer; and a first pixel connecting member on the passivation layer. | 03-17-2016 |
20160079332 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a first substrate, a plurality of common lines, an optical member, and a second substrate. The first substrate includes a pixel region and a transparent region. The light emitting structure is disposed on the first substrate of the pixel region. The common lines are disposed adjacent to a boundary of the pixel region and the transparent region. The optical member prevents a light diffraction generated adjacent to the common lines. The second substrate is disposed on the light emitting structure and the optical member. | 03-17-2016 |
20160079334 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Discussed is an organic light emitting display device in which an auxiliary electrode is disposed on an overcoating layer, and thus, an aperture ratio is enhanced. The organic light emitting display device can include a first overcoating layer disposed on a driving transistor and a supply electrode, a connection electrode disposed on the first overcoating layer and connected to the supply electrode through the second contact hole, a first electrode disposed on the first overcoating layer and connected to the driving transistor through the first contact hole, a second overcoating layer disposed on the first overcoating layer, and an auxiliary electrode disposed on the second overcoating layer and connected to the connection electrode. The first overcoating layer may include a first contact hole and a second contact hole. The second overcoating layer may cover the first and second contact holes and may not cover a portion of the first electrode. | 03-17-2016 |
20160079335 | DISPLAY MODULE - An organic display device includes a pixel driving circuit having a thin film transistor connected to a current supply line and a capacitor. A first insulation layer, with a first electrode thereon, covers a source electrode of the transistor. The first electrode is connected to the transistor through a contact hole in the insulation layer. A second insulation layer including an aperture is formed on the first insulation layer and electrode layers. An organic light emitting layer, with a second electrode thereon is formed in the aperture and connected to the first electrode. The second insulation layer includes an inner wall at the aperture, said inner wall having a surface of a convex plane on an edge of the recessed part of the first electrode. The convex plane is located between the organic light emitting layer and the edge of the first electrode, and the second electrode is formed over plurality of pixels. | 03-17-2016 |
20160079336 | FLEXIBLE DISPLAY SUBSTRATE, FLEXIBLE ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - A flexible display substrate, a flexible organic light emitting display device, and a method of manufacturing the same are provided. The flexible display substrate comprises a flexible substrate including a display area and a non-display area extending from the display area, a first wire formed on the display area of the flexible substrate, and a second wire formed on the non-display area of the flexible substrate, wherein at least a part of the non-display area of the flexible substrate is curved in a bending direction, and the second wire formed on at least a part of the non-display area of the flexible substrate includes a first portion formed to extend in a first direction and a second portion formed to extend in a second direction. | 03-17-2016 |
20160079337 | NARROW BORDER ORGANIC LIGHT-EMITTING DIODE DISPLAY - An electronic device may be provided having an organic light-emitting diode display and control circuitry for operating the display. The display may include one or more display layers interposed between the control circuitry and a display layer having thin-film transistors. The electronic device may include a coupling structure interposed between the layer of thin-film transistors and the control circuitry that electrically couples the layer of thin-film transistors to the control circuitry. The coupling structure may include a dielectric member having a conductive via, a flexible printed circuit having a bent portion, or a conductive via formed in an encapsulation layer of the display. The display may include a layer of opaque masking material. The layer of opaque masking material may be formed on an encapsulation layer, an organic emissive layer, a thin-film transistor layer, or a glass layer of the organic light-emitting diode display. | 03-17-2016 |
20160079338 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes: a substrate including a first and a second gate electrode formed over a first and a second region, respectively, a first and a second gate insulator formed on the first and the second gate electrode, respectively, a first and a second semiconductor layer formed on the first and the second gate insulator, respectively, the first semiconductor layer including a first channel region, the second semiconductor layer including a second channel region, an interlayer insulator formed over the substrate and over at least part of the first and second semiconductor layers, a first and a second etching stop layer formed over the first and second channel regions, respectively, and surrounded by the interlayer insulator, and a first and a second source electrode and a first and a second drain electrode contacting the first and the second semiconductor layer, respectively, through the interlayer insulator. | 03-17-2016 |
20160079535 | COMPOSITION FOR SURFACE-MODIFYING INSULATOR, METHOD FOR SURFACE-MODIFYING INSULATOR, INSULATOR, AND THIN FILM TRANSISTOR - A composition includes a compound including a structural unit represented by the above Chemical Formula 1, and a structural unit represented by the above Chemical Formula 2 on at least one terminal end. | 03-17-2016 |
20160079536 | Radiation-Emitting Organic-Electronic Device and Method for Producing a Radiation-Emitting Organic-Electronic Device - A radiation-emitting organic-electronic device is specified. The radiation-emitting organic-electronic device includes a substrate, a first electrode arranged above the substrate, a light-emitting layer arranged above the first electrode, and a second electrode arranged above the light-emitting layer. The light-emitting layer includes a fluorescent compound of a specified formula A. The spacer comprises a linear molecular chain to which two substituents R and R′ are terminally bonded, and at least one group E bonded to the linear molecular chain, wherein E denotes hydrogen and/or an organic radical. The linear molecular chain of the molecules of the fluorescent compound is aligned parallel to the plane of extent of the substrate. | 03-17-2016 |
20160079539 | FLEXIBLE DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a flexible display apparatus and a flexible display apparatus, the method including preparing a flexible substrate such that the flexible substrate includes a display area and a peripheral area at an outer portion of the display area; forming an inorganic layer portion on the display area and the peripheral area of the flexible substrate; forming a plurality of organic light emitting devices on the display area of the flexible substrate; detecting a crack of the inorganic layer portion formed on the peripheral area of the flexible substrate; and forming a crack guide hole around the crack. | 03-17-2016 |
20160079542 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescent (EL) device including an anode; an emission layer for obtaining luminescence via a singlet excited state; and a laminated structure between the anode and the emission layer, the laminated structure including at least three layers having different components, wherein the laminated structure includes a first layer including a hole transport compound, the hole transport compound being obtained by doping an electron accepting compound having a lowest unoccupied molecular orbital (LUMO) level of about −9.0 eV to about −4.0 eV; and a second layer between the first layer and the emission layer, the second layer being closer to the emission layer than the first layer and including a compound represented by the following Formula 1: | 03-17-2016 |
20160079543 | COMPOUND FOR ORGANIC LIGHT-EMITTING DEVICE AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A compound for an organic light-emitting device, the compound represented by Chemical Formula 1 and satisfying Mathematical Formula 1: | 03-17-2016 |
20160079544 | ORGANIC LIGHT EMITTING ELEMENT - Provided is an organic light emitting element having stable performance in the air. The organic light emitting element includes: an anode; a cathode; and a first organic compound layer placed between the anode and the cathode, in which: the organic light emitting element further includes a first organic compound layer placed between the cathode and the emission layer, and a second organic compound layer placed between the emission layer and the first organic compound layer, and brought into contact with the first organic compound layer; the first organic compound layer contains a first organic compound; the second organic compound layer contains a second organic compound; and the first organic compound includes an organic compound represented by the following general formula [1], and the second organic compound includes an organic compound different from the first organic compound | 03-17-2016 |
20160079545 | Organic Electroluminescence Device - An object of the present invention is to provide an organic electroluminescence device having excellent light emission efficiency and durability, in particular, durability when driving at a high temperature. Provided is an organic electroluminescence device including on a substrate a pair of electrodes, and at least one layer of an organic layer including a light emitting layer containing a light emitting material disposed between the electrodes, wherein the light emitting layer includes at least each one of specific indolocarbazole derivatives and specific condensed ring metal complexes. | 03-17-2016 |
20160079546 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound and an organic light-emitting device, the condensed cyclic compound being represented by Formula 1 below: | 03-17-2016 |
20160079547 | BLUE LUMINESCENT COMPOUNDS - There is provided a compound having Formula I | 03-17-2016 |
20160079549 | ORGANOMETALLIC COMPLEX AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic complex represented by Formula 1-1 or Formula 1-2 is provided: | 03-17-2016 |
20160079551 | PLANARIZATION LAYER FOR ORGANIC ELECTRONIC DEVICES - The invention relates to organic electronic devices containing polycycloolefin planarization layers between the substrate and a functional layer such as a semiconducting layer, dielectric layer, or electrode; to the use of polycycloolefins as a planarization layer on the substrate of an organic electronic device; and to processes for preparing such polycycloolefin planarization layers and organic electronic devices. | 03-17-2016 |
20160079554 | ORGANIC ELECTROLUMINESCENT DEVICE AND DISPLAY HAVING THE SAME - The present disclosure provides an organic electroluminescent device including: a first electrode layer; an organic functional layer, disposed on the first electrode layer; a second electrode layer, disposed on the organic functional layer; and an inorganic layer, disposed on the second electrode layer, wherein the inorganic layer is a titanium dioxide layer or a silicon carbide layer. There also provides a display including the above organic electroluminescent device. The organic electroluminescent device of the present disclosure may effectively suppress blue and violet lights that do harm to human eyes, and prevents human bodies from damages caused by high-energy blue lights. Meanwhile, the inorganic layer may function as a protection on the cover layer and the second electrode layer, so as to prolong the lifetime of the OLED device, and the micro-cavity structures of the inorganic layer and the organic material together may improve the color purity of the OLED device. | 03-17-2016 |
20160079555 | Organic Light Emitting Element and Display Device Using the Element - A hole transporting region made of a hole transporting material, an electron transporting region made of an electron transporting material, and a mixed region (light emitting region) in which both the hole transporting material and the electron transporting material are mixed and which is doped with a triplet light emitting material for red color are provided in an organic compound film, whereby interfaces between respective lavers which exist in a conventional lamination structure are eliminated, and respective functions of hole transportation, electron transportation, and light emission are exhibited. In accordance with the above-mentioned method, the organic light emitting element for red color can be obtained in which power consumption is low and a life thereof is long. Thus, the display device and the electric device are manufactured by using the organic light emitting element. | 03-17-2016 |
20160079556 | WHITE ORGANIC LIGHT EMITTING DEVICE - A white organic light emitting device can include first and second electrodes on a substrate; a first stack configured with a hole injection layer, a first hole transportation layer, a first light emission layer and a first electron transportation layer which are stacked on the first electrode; a second stack configured with a second hole transportation layer, a second light emission layer, a third light emission layer, and a second electron transportation layer which are stacked on the first stack; a third stack interposed between the second stack and the second electrode and configured with a third hole transportation layer, a fourth light emission layer, a third electron transportation layer and an electron injection layer which are stacked on the second stack; and charge generation layers interposed between the first and second stacks and between the second and third stacks and configured to adjust a charge balance between the stacks. | 03-17-2016 |
20160079557 | ORGANIC LIGHT-EMITTING DEVICE - Provided is an organic light-emitting device including a first electrode; a second electrode facing the first electrode; and an organic layer between the first electrode and the second electrode and including an emission layer, the organic layer including a low work function metal compound. | 03-17-2016 |
20160079558 | ORGANIC LIGHT EMITTING DEVICE AND ORGANIC LIGHT EMITTING DISPLAY INCLUDING THE SAME - An organic light emitting device includes an anode, a hole function layer disposed on the anode, a light emitting layer disposed on the anode, and a cathode disposed on the light emitting layer. The hole function layer includes a main layer that does not include an n-type dopant and a p-type dopant. The hole function layer also includes a n-doped layer disposed between the main layer and the light emitting layer, and the n-doped layer includes an n-type dopant. | 03-17-2016 |
20160079560 | Electroconductive Support, OLED Incorporating It, and Manufacture of Same - An electroconductive support can include a layer that defines a cavity, and a conductive strand within the cavity of the layer. The conductive strand can have an upper surface within a central zone and a side zone, wherein the upper surface has a surface roughness within the central zone that is rougher than a surface roughness within the side zone. The electroconductive can further include an electroconductive layer and a passivation layer overlying the conductive strand. The relatively smoother upper surface within the side zone can allow the width of the passivation layer to be relatively narrow and still achieve acceptable leakage current. A method of forming the electroconductive support can be performed using a wet chemical technique to form the conductive strand while suppressing roughness along a side zone of the upper surface of the conductive strand. | 03-17-2016 |
20160079562 | PROTECTIVE SHEET AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS INCLUDING THE SAME - A protective sheet, including a base substrate; and a plurality of protective units on a first surface of the base substrate and spaced apart from each other, each of the plurality of protective units including a pillar protruding from the first surface of the base substrate perpendicularly to the first surface, and a hard coating layer on lateral surfaces of the pillar, the plurality of protective units being elastically bendable and hard coating layers of neighboring protective units overlapping each other when the plurality of protective units are bent. | 03-17-2016 |
20160079563 | Optoelectronic Component - An optoelectronic component includes a substrate, a first electrode on the substrate, a radiation-emitting or radiation-absorbing layer sequence on the first electrode, a second electrode on the layer sequence, an encapsulation layer on the second electrode, and a covering layer on the encapsulation layer. The covering layer has a first main surface and second main surface. At least one intended rupture surface is provided between the first and the second main surface of the covering layer. | 03-17-2016 |
20160079564 | ORGANIC LIGHT-EMITING DISPLAY APPARATUS - An organic light-emitting display apparatus, includes a substrate including display area and a non-display area; a display device in the display area on the substrate; and a thin film encapsulating layer that covers the display device and includes an encapsulating inorganic layer and an encapsulating organic layer, the encapsulating inorganic layer including a plurality of inorganic layers and the encapsulating organic layer including a plurality of organic layers, at least one organic layer among the plurality of organic layers including a plurality of holes, and the plurality of holes being filled with an inorganic layer on the at least one organic layer. | 03-17-2016 |
20160079567 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS - An organic light emitting display apparatus includes: a substrate divided into an emission area and a non-emission area; a pixel electrode disposed in the emission area; an intermediate layer disposed on the pixel electrode, including an organic emission layer; a counter electrode covering the intermediate layer; an external light reflection layer disposed on the counter electrode, the external light reflection layer being configured to reflect a portion of incident visible rays; and absorb and transmit another portion of the incident visible rays; a phase control layer disposed between the counter electrode and the external light reflection layer, being configured to control a phase of a light reflected by the counter electrode to destructive interfere with light reflected by the external light reflection layer; a thin-film encapsulating layer disposed on the external light reflection layer; and a black matrix disposed on the thin-film encapsulating layer in the non-emission area. | 03-17-2016 |
20160083845 | MICRO-NOZZLE AND MICRO-NOZZLE ARRAY FOR OVJP AND METHOD OF MANUFACTURING THE SAME - Embodiments of the disclosed subject matter provide a nozzle assembly and method of making the same, the nozzle assembly including a first aperture formed on a first aperture plate to eject a carrier gas flow having organic vapor onto a substrate in a deposition chamber, second apertures formed on a second aperture plate disposed adjacent to the first aperture to form a vacuum aperture, where the first aperture plate and the second aperture plate are separated by a first separator plate, third apertures formed on a third aperture plate to eject purge gas that are disposed adjacent to the second aperture plate, where the second aperture plate and the third aperture plate are separated by second separator plate, and a third separator plate is disposed adjacent to the one or more third aperture plates to form a gas channel in the one or more third aperture plates. | 03-24-2016 |
20160087012 | IMAGE SENSOR AND ELECTRONIC DEVICE INCLUDING THE SAME - An image sensor includes a semiconductor substrate integrated with at least a photo-sensing device, a plurality of first electrodes disposed on the semiconductor substrate, an organic photoelectric conversion layer disposed on the first electrodes, and a second electrode disposed on the organic photoelectric conversion layer. The first electrodes include a light-transmitting electrode and a metal layer interposed between the semiconductor substrate and the light-transmitting electrode. The organic photoelectric conversion layer disposed on the first electrodes and the photo-sensing device absorb and/or sense light in different wavelength regions from each other. An electronic device including the image sensor is also provided. | 03-24-2016 |
20160087014 | DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME - A display panel includes a plurality of unit pixels, where each of the unit pixels has a hexagonal-shape and includes: a first sub-pixel configured to emit a first color light, where the first sub-pixel has a rhombus-shape; a second sub-pixel configured to emit a second color light, where the second sub-pixel has the rhombus-shape; and a third sub-pixel configured to emit a third color light, where the third sub-pixel has the rhombus-shape, where first sub-pixels, second sub-pixels or third sub-pixels of neighboring unit pixels in a same row are arranged to adjoin each other. | 03-24-2016 |
20160087016 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display (OLED) device is disclosed. The OLED device includes a substrate configured to include a sub-pixel defined into an emission region and a driving region. A first bank pattern configured to define the emission region of the sub-pixel is formed on the substrate. A second bank pattern configured to include an opening, which exposes the emission region and a part of the driving region, is formed on a part of an upper surface of the first bank pattern. An organic emission layer is formed in the opening. As such, the occupied area of the organic emission layer becomes wider. Therefore, the thickness deviation of the organic emission layer is prevented or minimized. | 03-24-2016 |
20160087017 | ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY DEVICES - An organic light emitting display device including a substrate, a semiconductor device disposed on the substrate, an insulation layer including an inclined structure disposed on the semiconductor device, a first electrode disposed on the insulation layer, a pixel defining layer disposed on the insulation layer and the first electrode, the pixel defining layer having a pixel opening exposing the first electrode positioned on the inclined structure, an organic light emitting layer disposed on the exposed first electrode and the pixel defining layer, and a second electrode disposed on the organic light emitting layer and the pixel defining layer. Light generated from the organic light emitting layer may be directed in different directions by the inclined structure. | 03-24-2016 |
20160087018 | ORGANIC LIGHT EMITTING DIODE DEVICE - An OLED display includes a first substrate, a first electrode on the first substrate, a pixel defining layer having a first aperture exposing the first electrode, an organic light emitting layer on the first electrode, a second electrode on the organic light emitting layer, a second substrate disposed to face the first substrate, a black matrix disposed on the second substrate and having a second aperture, and a lens disposed to cover at least a part of the second aperture and protruding toward the first substrate. | 03-24-2016 |
20160087019 | DISPLAY DEVICE - A display device includes a plurality of pixel electrodes which are provided separately from each other on an insulative surface; a first layer which is provided separately from each other on the respective plurality of pixel electrodes, and includes a plurality of first carrier transport layers or a plurality of first carrier injection layers; a pixel separation film which is provided on the first layer, and includes a plurality of opening portions in each region which overlaps with the respective plurality of pixel electrodes in a planar view; a light emitting layer which is provided so as to cover at least one of the plurality of opening portions; a second layer which is provided on the light emitting layer, and includes a second carrier transport layer or a second carrier injection layer; and a counter electrode which is provided on the second layer. | 03-24-2016 |
20160087022 | Silicon and Semiconducting Oxide Thin-Film Transistor Displays - An electronic device display may have an array of pixel circuits. Each pixel circuit may include an organic light-emitting diode and a drive transistor. Each drive transistor may be adjusted to control how much current flows through the organic light-emitting diode. Each pixel circuit may include one or more additional transistors such as switching transistors and a storage capacitor. Semiconducting oxide transistors and silicon transistors may be used in forming the transistors of the pixel circuits. The storage capacitors and the transistors may be formed using metal layers, semiconductor structures. and dielectric layers. Some of the layers may be removed along the edge of the display to facilitate bending. The dielectric layers may have a stepped profile that allows data lines in the array to be stepped down towards the surface of the substrate as the data lines extend into an inactive edge region. | 03-24-2016 |
20160087023 | DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF, AND AN INSPECTION METHOD OF A DISPLAY DEVICE - A display device including a pixel region provided with a plurality of pixels, and a terminal region provided on an outer side of the pixel region, each of the plurality of pixels including a first electrode, an organic layer including a light emitting layer above the first electrode, and a second electrode having a transparency above the organic layer, the terminal region including a first wiring layer and a second wiring layer above the first wiring layer, and the first electrode and the second wiring layer having a same laminated structure. | 03-24-2016 |
20160087024 | Display Device with Micro Cover Layer and Manufacturing Method for the Same - There is provided a flexible display having a plurality of innovations configured to allow bending of a portion or portions to reduce apparent border size and/or utilize the side surface of an assembled flexible display. | 03-24-2016 |
20160087209 | SPIROFLUORENE DERIVATIVE, MATERIAL FOR LIGHT-EMITTING ELEMENT, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, AND ELECTRONIC DEVICE - It is an object of the present invention to provide a material having a high Tg and a wide energy gap. The present invention provides a spirofluorene derivative represented by General Formula 1. (In the formula, R | 03-24-2016 |
20160087213 | ORGANIC ELECTRONIC ELEMENT COMPRISING FULLERENE DERIVATIVE - The present specification relates to an organic electronic device including a fullerene derivative. | 03-24-2016 |
20160087215 | NOVEL PYRIMIDINE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT DEVICES - Pyrimidine derivatives of the present invention are represented by the following general formula (1), | 03-24-2016 |
20160087216 | POLYCYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - The present specification provides a polycyclic compound and an organic light emitting device including the same. | 03-24-2016 |
20160087217 | ORGANIC LIGHT-EMITTING DEVICES - An organic light-emitting device includes a first electrode, a second electrode, and an organic layer between the first electrode and the second electrode. The organic layer including an emission layer. The emission layer includes a first host represented by Formula 1 and a second host represented by Formula 2. A volume ratio of the first host to the second host is in a range of about 94:3 to about 77:20: | 03-24-2016 |
20160087218 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode; a second electrode facing the first electrode; and an emission layer between the first electrode and the second electrode, the emission layer including a dopant, a first host, and a second host. The dopant is a delayed fluorescence emitting material, and a triplet energy of the first host, E | 03-24-2016 |
20160087221 | AROMATIC AMINE DERIVATIVES AND PREPARATION METHOD, USES AND ORGANIC ELECTROLUMINESCENT DEVICES THEREOF - The present invention relates to the field of organic electroluminescent technology, particularly relates to an aromatic amine derivative, its preparation method, uses and organic electroluminescent devices. The technical aim of the present invention is to improve the film forming ability and the redox repeatability. The aromatic amine derivative has the structure of formula I, wherein, R | 03-24-2016 |
20160087223 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode; an organic layer between the first electrode and the second electrode and including an emission layer; and an electron transport region between the second electrode and the emission layer, the electron transport region including a charge control layer, wherein the charge control layer includes a first compound represented by Formula 1 and a second compound represented by Formula 2: | 03-24-2016 |
20160087224 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode, a second electrode facing the first electrode, and an organic layer disposed between the first electrode and the second electrode and including a dopant, a first host, and a second host. | 03-24-2016 |
20160087225 | ORGANIC LIGHT EMITTING DEVICE - An organic light-emitting device, including a first electrode; a second electrode facing the first electrode; an emission layer between the first electrode and the second electrode; a hole transport region between the first electrode and the emission layer; and an electron transport region between the second electrode and the emission layer, the hole transport region including a compound represented by Formula 1 below and a compound represented by Formula 2 below: | 03-24-2016 |
20160087226 | Light-Emitting Element, Display Module, Lighting Module, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device - A light-emitting element with improved heat resistance is provided without losing its advantages such as thinness, lightness, and low power consumption. A light-emitting element is provided which includes a first electrode, a second electrode, and an EL layer between the first electrode and the second electrode, in which the EL layer includes a layer containing a condensed aromatic compound or a condensed heteroaromatic compound, and a layer containing 2,9-bis(naphthalen-2-yl)-4,7-diphenyl-1,10-phenanthroline (abbreviation: NBPhen) in contact with the layer containing the condensed aromatic compound or the condensed heteroaromatic compound. | 03-24-2016 |
20160087227 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode, a second electrode facing the first electrode, and an emission layer between the first electrode and the second electrode, the emission layer including a dopant, a first host, and a second host. The dopant is a material emitting delayed fluorescence, the first host is a compound represented by Formula 1 below, and the second host is a compound represented by any one of Formulae 2-1, 2-2, and 2-3 below: | 03-24-2016 |
20160087229 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - Disclosed is an organic light emitting diode display including an organic light emitting display panel configured to display an image, and a lower passivation film attached to a bottom of the organic light emitting diode display panel. The lower passivation film includes a support film that is in contact with the organic light emitting diode display panel, and a stress adjustment layer formed beneath the support film and configured to reduce a bending stress to be induced in the organic light emitting display panel when the organic light emitting display panel and the lower passivation film are bent. | 03-24-2016 |
20160087230 | SOLUBLE CYCLIC IMIDES CONTAINING POLYMERS AS DIELECTRICS IN ORGANIC ELECTRONIC APPLICATIONS - The present invention relates to an electronic device comprising a dielectric material, which dielectric material comprises a copolymer comprising styrene and maleimic acid and derivatives thereof as structural units, a process for the preparation of the electronic device and to the use of the copolymer as dielectric material, especially as dielectric layer in printed electronic devices such as capacitors and organic field-effect transistors. | 03-24-2016 |
20160087231 | DRIVING CIRCUIT BOARD, METHOD OF MANUFACTURING THE SAME, DISPLAY UNIT, AND ELECTRONIC APPARATUS - There are provided a driving circuit board and a method of manufacturing the same as well as a display unit and an electronic apparatus in which a number of forming steps is small and usage efficiency of materials is improved. The display unit includes: a pair of source-drain electrodes; an organic semiconductor layer forming a channel region in contact with the source-drain electrodes; an insulating layer f having a through hole penetrating to the source-drain electrodes, and the insulating layer on the organic semiconductor layer and the source-drain electrodes; a gate electrode provided at a position corresponding to the channel region; and a pixel electrode electrically connected to the source-drain electrodes through the through hole, the pixel electrode being made of a same material as the gate electrode with a same film thickness as the gate electrode, and the pixel electrode on the insulating layer. | 03-24-2016 |
20160087235 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - Provided is a novel light-emitting element and a light-emitting element with high light emission efficiency. A light-emitting element at least includes a first electrode, a first light-emitting layer over the first electrode, a second light-emitting layer over and in contact with the first light-emitting layer, a third light-emitting layer over and in contact with the first light-emitting layer, and a second electrode over the third light-emitting layer. One of the first light-emitting layer and the second light-emitting layer contains at least a green-light-emitting phosphorescent compound. The other of the first light-emitting layer and the second light-emitting layer contains at least an orange-light-emitting phosphorescent compound. The third light-emitting layer contains at least a blue-light-emitting hole-trapping fluorescent compound and an organic electron-transport compound that disperses the fluorescent compound. | 03-24-2016 |
20160087237 | ORGANIC LIGHT-EMITTING DIODE AND METHOD FOR MANUFACTURING SAME - Provided are an organic light emitting diode and a method for preparing the same. In more detail, the organic light emitting diode includes a substrate; organic light emitting unit in which a first electrode, an organic material layer, and a second electrode are sequentially laminated on the substrate; and an encapsulation unit encapsulating an outside of the organic light emitting unit, in which the encapsulation unit includes a sealing layer contacting the outside of the organic light emitting unit, an insulating layer provided on the sealing layer, and a metal pattern layer provided between the sealing layer and the insulating layer. | 03-24-2016 |
20160087238 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light emitting display apparatus, including a first electrode; a second electrode on the first electrode, the second electrode including silver and magnesium; an organic emission layer between the first electrode and the second electrode; a metal layer between the organic emission layer and the second electrode; and a barrier layer between the organic emission layer and the second electrode. | 03-24-2016 |
20160087239 | ORGANIC LIGHT EMITTING DIODE LIGHTING DEVICE - An organic light emitting diode lighting device includes a substrate, an organic light emitting structure and at least two electrode terminal units. The substrate has a plurality of sides. The organic light emitting structure is disposed on the substrate, and a portion of the substrate is exposed from the organic light emitting structure. The organic light emitting structure has a first electrode layer, an organic light emitting layer and a second electrode layer sequentially laminated on the substrate. The electrode terminal units are disposed on the portion of the substrate exposed from the organic light emitting structure, and are located between one of the sides and the organic light emitting structure. The electrode terminal units are electrically connected with the first electrode layer and the second electrode layer, respectively. | 03-24-2016 |
20160087240 | ORGANIC LIGHT-EMITTING DIODE AND MANUFACTURING METHOD THEREFOR - Provided are an organic light emitting diode and a method for preparing the same. | 03-24-2016 |
20160087241 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display (OLED) device and a method for manufacturing an OLED device are provided. A thin-film transistor is disposed on a lower substrate. An organic light-emitting element is disposed above the thin-film transistor and includes an anode, an organic light-emitting layer on the anode and a cathode on the organic light-emitting layer. A passivation layer is disposed on the organic light-emitting element and includes hydrogen. The hydrogen absorption layer includes at least one hydrogen absorption layer coming in contact with the top surface and/or the bottom surface of the passivation layer. The OLED device can suppress the performance of the thin-film transistor from degrading by using the hydrogen absorption layer that absorbs residual hydrogen in the passivation layer. | 03-24-2016 |
20160087243 | ELECTROLUMINESCENT APPARATUS - In an organic EL display device (electroluminescence device) including an organic EL element (electroluminescence element), the organic EL element is covered with a desiccant layer. Between a TFT substrate (substrate) and an opposite substrate, a frame-shaped moisture high-permeable layer and a frame-shaped sealing member are disposed in a state successively surrounding the desiccant layer. | 03-24-2016 |
20160087244 | DISPLAY PANEL - A display panel that includes a plurality of pixels, each pixel includes a first area including a display device; a second area including a first reflective member to reflect light received from the display device to an outside of the display panel for viewing, and a third area including a second reflective member reflecting external light to provide a mirror function when the display device is not displaying an image. The display device includes a pair of reflective electrodes to cause the light produced by the display device to propagate towards the second area. One of the two electrodes may further include a transparent conductive material to provide a waveguide function to the display device in the first area to improve coupling efficiency of the display panel. | 03-24-2016 |
20160087245 | ORGANIC LIGHT EMITTING DIODE DEVICE - An organic light emitting diode (OLED) display includes a first substrate, a first electrode on the first substrate, a pixel defining layer having a first aperture exposing the first electrode, an organic light emitting layer on the first electrode, a second electrode on the organic light emitting layer, a second substrate that faces the first substrate, and a first filler and a second filler between the first and second substrates. The first filler is on the first aperture and has a higher refractive index than the second filler. | 03-24-2016 |
20160087247 | DISPLAY DEVICE COMPRISING GRAY COLOR FILTER - A display device may include a substrate, a color filter layer, on a first surface of the substrate, including a red color filter, a green color filter, a blue color filter, and a gray color filter, and an organic light emitting diode on the color filter layer. The gray color filter may have a blue light transmittance higher than red and green light transmittances. | 03-24-2016 |
20160093644 | FLEXIBLE DISPLAY DEVICE WITH DIVIDED POWER LINES AND MANUFACTURING METHOD FOR THE SAME - There is provided a flexible display having a plurality of innovations configured to allow bending of a portion or portions to reduce apparent border size and/or utilize the side surface of an assembled flexible display. | 03-31-2016 |
20160093675 | IMAGE SENSOR AND ELECTRONIC DEVICE INCLUDING THE SAME - An image sensor including a semiconductor substrate integrated with a plurality of photo-sensing devices and a nanopattern layer on the semiconductor substrate, the nanopattern layer having a plurality of nanopatterns, wherein a single nanopattern of the plurality of nanopatterns corresponds to a single photo-sensing device in the plurality of photo-sensing devices. | 03-31-2016 |
20160093676 | DISPLAY PANEL AND METHOD FOR MANUFACTURING THE SAME - A display panel includes an array substrate including a thin film transisitors array, a lighting device formed on a surface of the array substrate where the thin film transistors array is formed to emit a backlight, and a color conversion layer formed on a side of the array substrate opposite to the lighting device. The display panel defines a number of pixel areas, each of the pixel areas includes at least three sub-pixels to correspondingly emit lights with three-primary colors. The color conversion layer includes a number of quantum dot blocks corresponding to the sub-pixels to convert the backlight to the lights with three-primary colors. | 03-31-2016 |
20160093679 | ORGANIC LIGHT-EMITTING DIODE DISPLAY - An organic light-emitting diode display is disclosed. In one aspect, the display includes a substrate and a plurality of pixels formed over the substrate, each pixel including a first region from which light is emitted and a second region through which external light is transmitted. The display also includes a plurality of pixel circuit units each formed in the first region and including at least one thin-film transistor, an inorganic insulating film formed in the second region, a transparent conductive film formed over at least a portion of the inorganic insulating film, and an organic insulating film covering the pixel circuit units and at least a portion of the transparent conductive film. The display further includes a plurality of first electrodes formed over the organic insulating film and in the first regions of the pixels. | 03-31-2016 |
20160093680 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting diode display device, and method of fabricating an organic light emitting diode display device are discussed. The organic light emitting diode display device according to one embodiment includes a first electrode on a thin film transistor and connected to a drain electrode; an auxiliary electrode on a same layer as the first electrode; a bank layer covering edges of the first electrode and edges of the auxiliary electrode and having a transmissive hole corresponding and an auxiliary contact hole; a light emitting layer on the first electrode in the transmissive hole; a residual layer on the auxiliary electrode in the auxiliary contact hole. A central portion of the residual layer has a larger thickness than an edge portion of the residual layer. The organic light emitting diode display device further includes a second electrode on the light emitting layer and the residual layer. | 03-31-2016 |
20160093681 | ACTIVE MATRIX ELECTRO-OPTICAL DEVICE AND METHOD OF MAKING THEREOF - There is provided a method of forming an active matrix electro-optical device, the method comprising providing a backplane comprising: a backplane substrate; a semiconductor particle formed separately from the backplane substrate and then fixed upon the backplane substrate at a predetermined position; the semiconductor particle planarized to remove portions of the semiconductor particle and to expose at a cross-section of the semiconductor particle a planar surface; and a controllable gated electronic component on or directly beneath the planar surface, the controllable gated electronic component configured to control one or more pixels of the electro-optical device. The method also comprises providing an optical portion comprising one or more pixel regions, the optical portion electrically connected to the backplane such that at least one of the pixel regions of the optical portion is electrically connected to the controllable gated electronic component. | 03-31-2016 |
20160093682 | THIN FILM ENCAPSULATION UNIT, ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME AND MANUFACTURING METHOD THEREOF - A thin film encapsulation unit including an inorganic layer, a first organic layer on the inorganic layer and including a light-blocking unit and a light-transmitting unit, and a reflection-preventing layer on the first organic layer. | 03-31-2016 |
20160093683 | FLEXIBLE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A flexible display device and a method of manufacturing the same are provided. The flexible display device comprises a first flexible substrate including a display area including an organic light emitting layer, and a peripheral circuit area, and a second flexible substrate coming in contact with the first flexible substrate and including a pattern for facilitating bending thereof, wherein the second flexible substrate has a certain shape according to the pattern, and the first flexible substrate has a shape corresponding to the certain shape. Various embodiments of the present invention provide a flexible display device capable of realizing a narrow bezel-type or bezel-free display device and simultaneously realizing improved types of design, facilitating bending of a bezel area so as to realize a narrow bezel-type or bezel-free display device, and minimizing damage to an area to be bent. | 03-31-2016 |
20160093684 | DISPLAY DEVICE INCLUDING ALIGNMENT MARK AND LIGHT SHIELDING PATTERN - Disclosed is a display device that includes a substrate having an active area and a dead area around the active area; an alignment mark on the inside surface of the substrate in a part of the dead area; and a light-shielding pattern on a rear surface of the substrate in the dead area, the light-shielding pattern including a mark hole for exposing the alignment mark. By providing the light-shielding pattern on a display surface in the dead area, the display device has expanded active display area and improved visual appreciation. | 03-31-2016 |
20160093685 | FLEXIBLE DISPLAY DEVICE WITH BEND STRESS REDUCTION MEMBER AND MANUFACTURING METHOD FOR THE SAME - There is provided a flexible display having a plurality of innovations configured to allow bending of a portion or portions to reduce apparent border size and/or utilize the side surface of an assembled flexible display. | 03-31-2016 |
20160093808 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A mixture containing three different compounds that is useful as a stable co-evaporation source material for a vacuum deposition tool is disclosed. The mixture comprises a first compound; a second compound; and a third compound that are all organic compounds and have different chemical structures from each other and each has an evaporation temperature T | 03-31-2016 |
20160093809 | ORGANIC LIGHT EMITTING DEVICE - Provided is an organic light emitting device having high emission efficiency and a long continuous driving lifetime. The organic light emitting device includes: an anode; a cathode; and an emitting layer placed between the anode and the cathode, in which: the emitting layer contains an emitting material that emits fluorescence; and in an emission wavelength region of the emitting material, an absorption peak of an absorption spectrum in a minimum excited triplet state of a material having a smallest minimum excited triplet energy out of constituent materials in the emitting layer is absent. | 03-31-2016 |
20160093811 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by Formula 1: | 03-31-2016 |
20160093812 | ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to organic electroluminescent devices which comprise a luminescent material having a small singlet-triplet separation in the emitting layer and a material having an LUMO≦−2.55 eV in the adjacent electron-conducting layer. | 03-31-2016 |
20160093813 | ORGANIC ELECTROLUMINESCENT DEVICE - The present invention relates to organic electroluminescent devices which comprise mixtures of at least one matrix material of the formula (1) and an emitting material which has a small singlet-triplet separation. | 03-31-2016 |
20160093814 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formula 1: | 03-31-2016 |
20160093815 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having a structure according Formula Ir(L | 03-31-2016 |
20160093816 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having a structure according to formula Ir(L | 03-31-2016 |
20160093817 | Organometallic Iridium Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - An organometallic iridium complex having high emission efficiency and high heat resistance and emitting yellow light is provided as a novel substance. The organometallic iridium complex includes iridium and a ligand and includes a structure represented by General Formula (G1). The ligand includes a 5H-indeno[1,2-d]pyrimidine skeleton and an aryl group bonded to the 4-position of the 5H-indeno[1,2-d]pyrimidine skeleton. The 3-position of the 5H-indeno[1,2-d]pyrimidine skeleton and the aryl group are bonded to the iridium. | 03-31-2016 |
20160093818 | Organometallic Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - An organometallic complex emitting light with high color purity. The organometallic complex is represented by General Formula (G1). In General Formula (G1), L represents a monoanionic ligand; R | 03-31-2016 |
20160093820 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display (OLED) device can include a substrate on which first to third light emitting portions are defined, first electrodes respectively positioned on the first to third light emitting portions, a first stack formed on the first electrodes and including first, second and third light emitting layers corresponding to the first, second and third light emitting portions, respectively, an N-type charge generation layer (CGL) positioned on the first stack, a transition metal oxide layer positioned on the N-type CGL, a second stack positioned on the transition metal oxide layer and including fourth, fifth and sixth light emitting layers corresponding to the first, second and third light emitting portions, respectively, and a second electrode positioned on the second stack. | 03-31-2016 |
20160093821 | ORGANIC LIGHT EMITTING DEVICE - An organic light-emitting device comprising an anode ( | 03-31-2016 |
20160093822 | ORGANIC ELECTRONIC DEVICE AND ELECTRIC FIELD-INDUCED CARRIER GENERATION LAYER - An electric field-induced carrier generation layer including a p-type material and an n-type material is provided. The p-type material and the n-type material are alternately distributed in at least one direction different from a thickness direction of the electric field-induced carrier generation layer. An organic electronic device is also provided. | 03-31-2016 |
20160093823 | LIGHT-EMITTING ELEMENT, DISPLAY DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - Provided is a light-emitting element including a fluorescence-emitting material with high emission efficiency. The light-emitting element includes a pair of electrodes and an EL layer between the pair of electrodes. The EL layer includes a first organic compound, a second organic compound, and a guest material. The first organic compound has a function of emitting a thermally activated delayed fluorescence at room temperature. The guest material has a function of emitting fluorescence. A HOMO level of the first organic compound higher than or equal to a HOMO level of the second organic compound. A LUMO level of the first organic compound is lower than or equal to a LUMO level of the second organic compound. | 03-31-2016 |
20160093826 | LOW TEMPERATURE VISCOSITY TRANSITION COMPOSITION, DISPLAY APPARATUS INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE SAME - A low-temperature viscosity transition (LVT) composition, including a tantalum oxide, a display apparatus including the same, and a method of manufacturing the same. | 03-31-2016 |
20160093827 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device is provided. The device can include a display area having an organic light-emitting element on a lower substrate; a bezel area surrounding the display area; a transparent encapsulation unit having first and second encapsulation layers, and a first particle cover; and a first buffer layer. The first encapsulation layer can cover the display area and the bezel area. The first particle cover layer can cover the display area and a portion of the bezel area adjacent to the display area. The first buffer layer, apart from the first particle cover layer, can cover another portion of the bezel area. The second encapsulation layer, which covers the first particle cover layer and the first buffer layer, contacts the first encapsulation layer at a contact surface between the first particle cover layer and the first buffer layer. | 03-31-2016 |
20160093828 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light-emitting diode display includes an organic light-emitting display device including a first electrode, an intermediate layer including an organic emission layer, and a second electrode; a first inorganic encapsulation layer on the second electrode; a second inorganic encapsulation layer on the first inorganic encapsulation layer; and an organic encapsulation layer on the second inorganic encapsulation layer. A refractive index of the first inorganic encapsulation layer is higher than a refractive index of the second inorganic encapsulation layer. The first inorganic encapsulation layer has an extinction coefficient of 0.02 to 0.07 and a refractive index of 2.1 to 2.3 at a blue wavelength. | 03-31-2016 |
20160093829 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - Disclosed is an organic light emitting display device that includes a foreign matter compensation layer on an inorganic layer. A passivation layer and a second inorganic layer are in direct contact with each other at the edge of the substrate. Accordingly, the number of interfaces between the inorganic layers is decreased. Thus, even if the organic light emitting display device is bent, a moisture permeation path, which may be unexpectedly formed, can be minimized. | 03-31-2016 |
20160093832 | ORGANIC LIGHT EMITTING DIODE, METHOD FOR MANUFACTURING SAME, IMAGE DISPLAY DEVICE, AND ILLUMINATING DEVICE - An organic light emitting diode and a method for manufacturing the same. The organic light emitting diode includes an anodic conductive layer, an organic EL layer, and a cathodic conductive layer formed from Ag or an alloy of Ag, or the like, sequentially laminated on a substrate, such that a two-dimensional lattice structure is provided on a surface of the cathodic conductive layer on an organic EL layer side, an extraction wavelength and a distance between centers of concave portions or convex portions in the two-dimensional lattice structure are within a region surrounded by specific coordinates in a graph illustrating a relationship between the light extraction wavelength and the distance, and the depth of the concave portions or a height of the convex portions is 12 nm to 180 nm. | 03-31-2016 |
20160093833 | DISPLAY DEVICE COMPRISING POLARIZING LAYER - A display device includes: a display panel including a display surface; a polarizing layer disposed on the display surface of the display panel, the polarizing layer including a retardation layer and a linear polarizer; an adhesive resin layer disposed on the polarizing layer, the adhesive resin layer including a light transmissive resin and about 0.3 wt % to about 5 wt % of a UV absorber dispersed in the light transmissive resin; and a window disposed on the adhesive resin layer, wherein the UV absorber is selected from the group including triazine-based compounds, triazole-based compounds, anthranilate-based compounds, tinuvin-based compounds, zinc oxides (ZnO), cerium dioxides (CeO | 03-31-2016 |
20160093834 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND DEPOSITION MASK FOR THE APPARATUS - An organic light-emitting display apparatus including: a substrate including a light emission area and a non-emission area disposed in an outer portion adjacent the light emission area; a plurality of subpixels disposed in the light emission area of the substrate and including a first electrode, a emission layer, and a second electrode, wherein the plurality of subpixels respectively emit light of different colors; and a plurality of dummy emission layers that are disposed in a non-emission area of the substrate and are of different colors. A first distance between adjacent dummy emission layers of a first color, from among the plurality of dummy emission layers is smaller than a second distance between adjacent subpixels that emit light of the first color, from among the plurality of subpixels. | 03-31-2016 |
20160097496 | LED APPARATUS EMPLOYING NEODYMIUM-FLUORINE MATERIALS - The specification and drawings present a new apparatus such as a lighting apparatus, the apparatus comprising at least one LED (or OLED) module, configured to generate a visible light such as white light, and at least one component such as optical component comprising a compound consisting essentially of the elements neodymium (Nd) and fluorine (F), and optionally including one or more other elements. The lighting apparatus is configured to provide a desired light spectrum by filtering the generated visible light using the compound. | 04-07-2016 |
20160099293 | Organic Light Emitting Diode Display Device - Disclosed is an organic light emitting diode (OLED) display device for preventing a dark spot (dead pixel). The OLED display device includes a white OLED disposed in each of a plurality of pixels, a driving circuit unit disposed in each of the plurality of pixels, a first color filter disposed between the white OLED and the driving circuit unit, and a second color filter or a third color filter configured to overlap the first color filter between the white OLED and the driving circuit unit. | 04-07-2016 |
20160099294 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a substrate having a first width in a first direction and a second width in a second direction, the second width being perpendicular to and smaller than the first width, and pixel regions on the substrate, each of the pixel regions including a first light emitting portion, a second light emitting portion, a third light emitting portion, and a transmission portion arranged along the second direction, each of the first to third light emitting portions extending in the first direction. | 04-07-2016 |
20160099295 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a plurality of first sub-pixels arranged adjacent to each other along a first direction, each of the first sub-pixels includes a first emission region configured to emit light of a first color and a first transmission region configured to transmit external light, the first emission regions of at least two of the first sub-pixels are adjacent to each other; and a plurality of second sub-pixels arranged adjacent to each other along the first direction and adjacent to corresponding ones of the plurality of first sub-pixels along a second direction crossing the first direction, each of the plurality of second sub-pixels includes a second emission region configured to emit light of a second color and a second transmission region configured to transmit external light, the second emission regions of at least two of the sub-pixels are adjacent to each other. | 04-07-2016 |
20160099296 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes a substrate; a plurality of pixels provided on a first surface of the substrate and each comprising a first area configured to emit light and a second area configured to have external light transmit therethrough; a pixel circuit unit provided in the first area of each of the plurality of pixels and comprising at least one thin-film transistor (TFT); a first electrode provided in the first area of each of the plurality of pixels and electrically connected to the pixel circuit unit; a second electrode facing the plurality of first electrodes, electrically connected throughout the plurality of pixels, and provided in at least in the first area of each of the plurality of pixels; an intermediate layer disposed between the first electrode and the second electrode and comprising an organic emission layer; and an inorganic insulating film provided in the second area of each of the plurality of pixels, and comprising a plurality of layers having different refractive indices, wherein at least one of the plurality of layers has a moth eye structure. | 04-07-2016 |
20160099297 | FLEXIBLE ACTIVE MATRIX DISPLAY - High resolution active matrix structures are fabricated using techniques applicable to flexible substrates. A backplane layer including active semiconductor devices is formed using a semiconductor-on-insulator substrate. The substrate is thinned using a layer transfer technique or chemical/mechanical processing. Driver transistors are formed on the semiconductor layer of the substrate along with additional circuits that provide other functions such as computing or sensing. Contacts to passive devices such as organic light emitting diodes may be provided by heavily doped regions formed in the handle layer of the substrate and then isolated. A gate dielectric layer may be formed on the semiconductor layer, which functions as a channel layer, or the insulator layer of the substrate may be employed as a gate dielectric layer. | 04-07-2016 |
20160099298 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes a thin film transistor including an active layer, gate, source and drain electrodes, a first insulating layer disposed between the active layer and the gate electrode, and a second insulating layer disposed between the gate electrode and the source and drain electrodes; a pad electrode including a first pad layer disposed on the same layer as the source and drain electrodes and a second pad layer disposed on the first pad layer; a third insulating layer covering the source electrode and the drain electrode and an end portion of the pad electrode; a pixel electrode including a semi-transmissive metal layer and disposed in an opening formed in the third insulating layer; and a fourth insulating layer having an opening formed in a location corresponding to an opening formed in the third insulating layer and covering the end portion of the pixel electrode. | 04-07-2016 |
20160099299 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a substrate comprising a major surface; a display region and a peripheral region surrounding the display region when viewed in a viewing direction perpendicular to the major surface; an array of a plurality of pixels disposed in the display region; and a first power line extending from the peripheral region into the display region, the first power line being electrically connected to the array of pixels at a contact point in the display region. When viewed in the viewing direction, the first power line includes: a first extension extending from the peripheral region to the display region; and a second extension connected to the first extension; and a third extension connected to the second extension and extending from a location in the display region toward the peripheral region. | 04-07-2016 |
20160099300 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND ORGANIC LIGHT-EMITTING DIODE DISPLAY INCLUDING THE SAME - A thin film transistor (TFT) array substrate and organic light-emitting diode (OLED) display including the same are disclosed. In one aspect, the array substrate includes a substrate, a driving TFT formed over the substrate and including a driving gate electrode, and a storage capacitor including a first electrode electrically connected to the driving gate electrode and a second electrode formed over and insulated from the first electrode. The array substrate also includes an interlayer insulating film at least partially covering the first electrode and a driving voltage line formed over the interlayer insulating film and configured to supply a voltage to the driving TFT. The driving voltage line is formed on the same layer as the second electrode. | 04-07-2016 |
20160099411 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DIODE INCLUDING THE SAME - A condensed-cyclic compound represented by Formula 1 below, and an organic light-emitting diode including the condensed-cyclic compound. | 04-07-2016 |
20160099412 | N-TYPE ORGANIC SEMICONDUCTOR FORMULATIONS AND DEVICES - The present invention discloses an organic semiconductor formulation comprising an organic semiconductor (OSC) and an organic phosphorous-containing additive (OPA) capable of enhancing the n-type performance of the organic semiconductor. The semiconductor formulation disclosed herein is suitable for producing n-type semiconductor thin films for use in a variety of electronic, optical, or optoelectronic devices such as organic thin film transistors, organic photovoltaics, and organic light emitting devices. | 04-07-2016 |
20160099415 | ORGANIC ELECTROLUMINESCENT DEVICE BASED ON NEUTRAL FREE-RADICAL ELECTROLUMINESCENT MATERIAL - Disclosed is an organic electroluminescent device, wherein the light-emitting layer is composed of a non-doped neutral free-radical electroluminescent material or a neutral free-radical electroluminescent material doped in a matrix material. The luminescence of the device is from the photons emitted from the transition of doublet electrons in the outer molecular orbit of the neutral free-radical electroluminescent material from an excited state to the ground state; since there is no limitation on spin-forbidden, the upper limit of the internal quantum efficiency of the device is 100%. The neutral free-radical electroluminescent material used in the device is 1,3-bis(diphenylene)-2-phenylallyl free radicals and derivatives thereof; tri(2,4,6-trichlorophenyl)methyl free radicals and derivatives thereof; (3,5-dichloro-4-pyridyl)bis(2,4,6-trichlorophenyl)methyl free radicals and derivatives thereof; (2,4,6-trichloro-5-pyrimidinyl)bis(2,4,6-trichlorophenyl)methyl free radicals and derivatives thereof. | 04-07-2016 |
20160099416 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - A compound for an organic electroluminescent device is represented by the following General Formula (1). X in General Formula (1) is selected from the following General Formulae (2), (3) and (4). | 04-07-2016 |
20160099417 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device including an anode; an emission layer; an anode-side hole transport layer between the anode and the emission layer, the anode-side hole transport layer including an anode-side hole transport material, and being doped with an electron accepting material; an intermediate hole transport material layer between the anode-side hole transport layer and the emission layer, the intermediate hole transport material layer including an intermediate hole transport material; and an emission layer-side hole transport layer between the intermediate hole transport material layer and the emission layer and adjacent to the emission layer, the emission layer-side hole transport layer including an emission layer-side hole transport material represented by the following General Formula (1): | 04-07-2016 |
20160099418 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device includes an anode, an emission layer, a first hole transport layer between the anode and the emission layer, the first hole transport layer including a first hole transport material and an electron accepting material doped into the first hole transport material, and a second hole transport layer between the anode and the emission layer, the second hole transport layer including a second hole transport material represented by Formula 2: | 04-07-2016 |
20160099419 | ORGANIC ELECTROLUMINESCENT MATERIAL AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - A material for an organic electroluminescent device according to embodiments of the present disclosure is represented by the following Formula (1). The material for an organic electroluminescent device may have high emission efficiency and the organic electroluminescent device including the material may have improved characteristics. | 04-07-2016 |
20160099420 | ORGANIC ELECTROLUMINESCENT MATERIAL AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - An organic electroluminescent (EL) material and an organic EL device, the material being represented by the following Formula 1: | 04-07-2016 |
20160099421 | COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Provided are a compound represented by Formula 1 and an organic light-emitting device including the same: | 04-07-2016 |
20160099422 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A composition formed of a mixture of a first compound and a second compound wherein the first compound has a different chemical structure than the second compound. The first compound and the second compound are both organic compounds. At least one of the first compound and the second compound contains at least one less abundant stable isotope atom. The first compound has an evaporation temperature T | 04-07-2016 |
20160099423 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - Provided are a condensed cyclic compound of Formula 1 and an organic light-emitting device including the same | 04-07-2016 |
20160099424 | CARBAZOLE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A carbazole-based compound and an organic light-emitting device, the carbazole-based compound being represented by Chemical Formula 1 | 04-07-2016 |
20160099425 | HETEROLEPTIC IRIDIUM COMPLEXES AS DOPANTS - Novel phosphorescent heteroleptic iridium complexes with phenylpyridine and dibenzo-containing ligands are provided. Alkyl substitution at specific positions on the ligands gives rise to compounds with improved OLED properties, including saturated green emission. | 04-07-2016 |
20160099426 | Platinum Complex Compound And Organic Electroluminescence Device Using The Same - A compound is represented by the following formula (I): | 04-07-2016 |
20160099427 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device includes an anode, an emission layer, a first hole transport layer between the anode and the emission layer, the first hole transport layer including an electron accepting material, and a second hole transport layer between the anode and the emission layer, the second hole transport layer including a first hole transport material represented by the following Formula 1: | 04-07-2016 |
20160099428 | Flexible Organic Light-Emitting Display Device and Method for Manufacturing the Same - A flexible organic light-emitting display device and a method of manufacturing the flexible organic light-emitting display device are provided. The flexible organic light-emitting display device comprises a lower flexible substrate assembly and an upper flexible substrate assembly that are bonded by a bonding layer. The lower flexible substrate assembly includes a first flexible substrate, a thin film transistor formed on the first flexible substrate, a white organic light-emitting element formed on the thin film transistor, and an encapsulation layer formed on the white organic light-emitting element. The upper flexible substrate assembly comprises a second flexible substrate, an interlayer and a touch sensing unit formed on the interlayer layer. The interlayer may be at least one of a color filter layer, a transparent resin layer, an insulating film layer and a second flexible substrate. | 04-07-2016 |
20160099430 | ORGANIC PHOTOELECTRONIC DEVICE AND IMAGE SENSOR - Provided is an organic photoelectronic device including a first light-transmitting electrode positioned at a light incidence side, a second light-transmitting electrode facing the first light-transmitting electrode, a photoactive layer positioned between the first light-transmitting electrode and the second light-transmitting electrode and selectively absorbing light in a given (or, alternatively, desired or predetermined) wavelength region, and a selective light transmittance layer positioned between the first light-transmitting electrode and the photoactive layer, between the second light-transmitting electrode and the photoactive layer, or between the first light-transmitting electrode and the photoactive layer and between the second light-transmitting electrode and the photoactive layer and increasing transmittance of the light in a wavelength region other than the given (or, alternatively, desired or predetermined) wavelength region absorbed by the photoactive layer, and an electronic device including the image sensor is also provided. | 04-07-2016 |
20160099431 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting element is provided. An organic light emitting element according to an exemplary embodiment includes: a first electrode and a second electrode that face each other; an emission layer provided between the first electrode and the second electrode; and an electron injection layer provided between the second electrode and the emission layer, wherein the electron injection layer includes Ca, and the second electrode includes a first material including at least one of Ag, Al, and Mg and a second material including at least one of Yb, Ca, Sm, Eu, Tb, Sr, Ba, La, and Ce. | 04-07-2016 |
20160099432 | Organic Light Emitting Device - An organic light emitting device includes a base substrate defining an active area and a pad area that surrounds the active area, an organic light emitting layer formed on the active area, a first protective layer formed to cover the active area, where the organic light emitting layer is formed, and the pad area, a second protective layer formed to cover the first protective layer, and a dam formed between the first protective layer and the second protective layer, wherein the dam is located at a boundary between the active area and the pad area and includes a groove that is positioned separate from an outer portion of the active area. | 04-07-2016 |
20160099433 | FLEXIBLE DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - A flexible display apparatus including: a first film including a first surface and a second surface that are opposite each other, and a first groove formed in the first surface, the first film having a first rigidity; a third film on the second surface of the first film; a fourth film facing the third film; an emission display unit between and encapsulated by the third film and the fourth film; and a second film on the fourth film and facing the first film, the second film having a second rigidity that is less than the first rigidity. | 04-07-2016 |
20160099434 | Sealed Structure, Light-Emitting Device, Electronic Device, and Lighting Device - A sealed structure with high sealing capability, in which a pair of substrates is attached to each other with a glass layer is provided. The sealed structure has a first and second substrates, a first surface of the first substrate facing a first surface of the second substrate, and the glass layer which is in contact with the first and second substrates, defines a space between the first and second substrates, and is provided along the periphery of the first surface of the first substrate. The first substrate has a corner portion. The area of the first surface of the first substrate is smaller than or equal to that of the first surface of the second substrate. In at least one of respective welded regions between the glass layer and the first or second substrate, the width of the corner portion is larger than that of the side portion. | 04-07-2016 |
20160099437 | OPAL GLASSES FOR LIGHT EXTRACTION - Opal glass compositions and devices incorporating opal glass compositions are described herein. The compositions solve problems associated with the use of opal glasses as light-scattering layers in electroluminescent devices, such as organic light-emitting diodes. In particular, embodiments solve the problem of high light absorption within the opal glass layer as well as the problem of an insufficiently high refractive index that results in poor light collection by the layer. Particular devices comprise light-emitting diodes incorporating light scattering layers formed of high-index opal glasses of high light scattering power that exhibit minimal light attenuation through light absorption within the matrix phases of the glasses. | 04-07-2016 |
20160099438 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the OLED display includes a plurality of pixels and each pixel includes a first area configured to emit light and a second area configured to transmit external light therethrough. Each pixel also includes a first electrode formed in the first area and an organic layer formed in the first area and the second area, wherein the organic layer covers the first electrode. Each pixel further includes a second electrode covering at least the organic layer formed in the first area and having a first opening exposing at least a portion of the organic layer formed in the second area. A reflection prevention layer is formed substantially covering the organic layer formed in the second area. The reflection prevention layer has a refractive index lower than that of the organic layer. | 04-07-2016 |
20160103171 | Method for Estimating Life of Organic EL Element, Method for Producing Life Estimation Device, and Light-Emitting Device - A method for estimating a lifetime of an organic EL element comprising a pair of electrodes and an organic layer, comprises: a step of acquiring degradation data of characteristics of the element when a current density applied to the element and/or an atmosphere temperature of the element are/is changed; a step of calculating a fitting function of the degradation data and extracting a degradation parameter characterizing a degradation in the characteristics at the applied current density and/or the atmosphere temperature from the fitting function; a step of calculating a temperature dependence of the degradation parameter based on a temperature rise value of the organic layer upon light emission at the applied current density and/or the atmosphere temperature and setting a lifetime estimation formula of the element; and a step of estimating the lifetime of the organic EL element based on the lifetime estimation formula. | 04-14-2016 |
20160104749 | ORGANIC ELECTROLUMINESCENT ELEMENT - The objective is to provide an organic electroluminescent element with an improved luminous efficiency. The organic electroluminescent element has a structure in which a first light-emitting unit containing a phosphorescent red light-emitting material, a second light-emitting unit containing a phosphorescent yellow light-emitting material, and a third light-emitting unit containing a fluorescent blue light-emitting material are stacked with interlayers in-between. A peak emission wavelength of the phosphorescent yellow light-emitting material is in a range of 530 nm to 570 nm. A peak emission wavelength of the fluorescent blue light-emitting material is in a range of 440 nm to 480 nm. The organic electroluminescent element has a ratio of a yellow emission intensity to a blue emission intensity in a range of 1.0 to 2.0. The organic electroluminescent element further has a ratio of a red emission intensity to the blue emission intensity in a range of 1.5 to 3.0. | 04-14-2016 |
20160104750 | DISPLAY UNIT AND ELECTRONIC APPARATUS - There is included a pixel including a plurality of sub-pixels, each of the sub-pixels including a single first electrode, a single second electrode provided along a laminating direction of the first electrode, and a light-emitting layer inserted between the first electrode and the second electrode. One or more of the plurality of sub-pixels have a plurality of light emission regions including two or more light emission regions different in one or more of shape, size, and orientation from one another. | 04-14-2016 |
20160104752 | TRANSPARENT ORGANIC LIGHT EMITTING DISPLAY DEVICE - A transparent organic light emitting display device having a pixel region on which an image is displayed and a transparent region through which external light passes. The transparent organic light emitting display device includes a first substrate, a second substrate opposing the first substrate, a display unit disposed between the first substrate and the second substrate, the display unit including an organic light emitting diode. A sealing unit is disposed between the first substrate and the second substrate to surround the display unit and to bond the first substrate to the second substrate. A filling unit is disposed in an inner side of the sealing unit to cover the display unit, the filling unit including a silicon filling material and a photochromic material. | 04-14-2016 |
20160104753 | PIXEL STRUCTURE - A pixel structure, including a data line, a scan line, at least one active device, a first auxiliary electrode, and a light emitting device, is provided. The at least one active device is electrically connected with the data line and the scan line, and each active device includes a gate, a channel layer, a source, and a drain. The first auxiliary electrode is electrically insulated from the active device. The light emitting device is disposed above the first auxiliary electrode, wherein the light emitting device includes a first electrode layer, a light emitting layer, and a second electrode layer. The first electrode layer is electrically connected with the first auxiliary electrode. The light emitting layer is disposed on the first electrode layer. The second electrode layer is disposed on the light emitting layer, wherein the second electrode layer is electrically connected with the active device. | 04-14-2016 |
20160104754 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate; a thin film transistor (TFT) on the substrate; a first interlayer insulating layer between a gate electrode and the source electrode and between a drain electrode and the source electrode of the TFT and including an inorganic material; a second interlayer insulating layer between the first interlayer insulating layer and the source electrode and between the first interlayer insulating layer and the drain electrode and including an organic material; a first organic layer on the source electrode and the drain electrode; a capacitor, a second electrode, and the first interlayer insulating layer between the first electrode and the second electrode; a pixel electrode in an aperture in the second interlayer insulating layer adjacent to the thin film transistor and the capacitor and coupled to the source electrode or the drain electrode; an organic emission layer ; and an opposite electrode. | 04-14-2016 |
20160104755 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes: a substrate; a first thin film transistor including a first active region on the substrate; a second thin film transistor connected to the first thin film transistor and including a second active region spaced from the first active region; and a silicon layer on the substrate and including a plurality of polysilicon lines spaced from each other and extending in a first direction and a plurality of amorphous silicon lines between the adjacent polysilicon lines and extending in the first direction, wherein the first active region and the second active region are in different polysilicon lines of the plurality of polysilicon lines. | 04-14-2016 |
20160104756 | DISPLAY APPARATUS - Provided is a display apparatus, including a substrate; a plurality of pixels that are on the substrate and include at least one display device; a separation area that is on the substrate and between two adjacent pixels from among the plurality of pixels; and a penetrating portion that is in the separation area and penetrates the substrate. | 04-14-2016 |
20160104757 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - Provided is an organic light emitting diode display device, including a pixel substrate including a pixel unit displaying an image and a peripheral unit surrounding the pixel unit; a first insulating layer covering the pixel substrate; a fanout line on the first insulating layer of the peripheral unit; a second insulating layer covering the first insulating layer and the fanout line; an etching prevention member on the second insulating layer of the peripheral unit and preventing overetching of the second insulating layer; a third insulating layer covering the second insulating layer; a peripheral potential voltage line on the third insulating layer of the peripheral unit and transferring a potential voltage; a passivation layer covering the third insulating layer; and an organic light emitting diode on the passivation layer of the pixel unit, in which the etching prevention member overlaps with the fanout line and the peripheral potential voltage line. | 04-14-2016 |
20160104758 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting device includes: a substrate; a first thin film transistor including a first active pattern positioned on the substrate and a first gate electrode positioned on the first active pattern; an organic light emitting element connected to the first active pattern; and a capacitor electrode overlapping the first gate electrode on the first gate electrode and having the same edge as the first gate electrode. | 04-14-2016 |
20160104759 | DISPLAY UNIT AND ELECTRONIC APPARATUS - A display unit includes: a drive substrate including a thin film transistor; a pixel section provided on the drive substrate and including a plurality of pixels, each of the pixels including a first electrode, an organic layer including a light-emitting layer, and a second electrode in this order; and a connection section provided in a peripheral region around the pixel section in the drive substrate and configured to be electrically connected to the second electrode, in which the connection section includes an oxide semiconductor layer including, in at least a portion on a surface side thereof, a low-resistance region with lower electrical resistance than that in a portion other than the low-resistance region. | 04-14-2016 |
20160104760 | DISPLAY DEVICE AND MANUFACTURING METHOD OF THE DISPLAY DEVICE - A display device includes a plurality of pixels arranged on a substrate, a plurality of pixel electrodes, wherein each pixel electrode of the plurality of pixel electrodes corresponds to a pixel of the plurality of pixels, a bank between adjacent pixel electrodes of the plurality of pixel electrodes, wherein the bank exposes a part of the pixel electrodes, an electroluminescent layer on each of the plurality of pixel electrodes, a common electrode above the bank and the electroluminescent layer, a plurality of insulators on the exposed regions of the pixel electrodes, wherein the common electrode is between the insulators and the pixel electrodes, and an auxiliary wiring on the common electrode between adjacent insulators of the plurality of insulators, wherein a top surface of each insulator of the plurality of insulators is farther from the substrate than a top surface of the common electrode. | 04-14-2016 |
20160104761 | DISPLAY DEVICE - A display device includes a display region comprising a plurality of pixels, each pixel of the plurality of pixels comprises a light emitting element which includes a pixel electrode, a conductive layer below the pixel electrode and configured to receive a specified electric voltage, and a thin film transistor below the pixel electrode and the conductive layer, wherein the thin film transistor comprises a semiconductor layer which includes a channel region, a gate electrode which is overlapping the channel region, a first electrode electrically connected to the semiconductor layer and the pixel electrode, and a second electrode electrically connectable to a power supply line, wherein the conductive layer includes an overlapped region which overlaps with the channel region, and the first electrode extends so as to cover the gate electrode at the overlapped region. | 04-14-2016 |
20160104842 | ORGANIC SEMICONDUCTOR THIN FILM PRODUCTION METHOD - A raw material solution ( | 04-14-2016 |
20160104844 | ORGANIC LIGHT EMITTING DIODE DEVICE - An organic light emitting diode device is disclosed. There is an organic light emitting diode device that comprises a first light emitting part between an anode and a cathode, the first light emitting part including a first light emitting layer, a second light emitting part comprising a second light emitting layer on the first light emitting part, and a first charge generation layer between the first light emitting part and the second light emitting part, wherein the first charge generation layer comprises at least two hosts, and at least one of the at least two hosts includes a pyrene compound. | 04-14-2016 |
20160104845 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE - A material for organic electroluminescence device including a specific compound having a specific group in the central skeleton and an organic electroluminescence device including an organic thin film layer between an anode and a cathode. The organic thin film layer includes a light emitting layer and at least one layer of the organic thin film layer includes the material for organic electroluminescence device. The organic electroluminescence device has a long lifetime and the material for organic electroluminescence device realizes such a device. | 04-14-2016 |
20160104847 | NOVEL COMPOUNDS AND USES IN DEVICES - This invention discloses a novel multicomponent system or a single compound that is capable of performing triplet-triplet annihilation up conversion process. (TTA-UC) A solution or solid film that comprises this TTA-UC system or compound is provided. This system or compound can be used in an optical or optoelectronic device. | 04-14-2016 |
20160104848 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Novel ligands for metal complex compounds that are useful as a phosphorescent emitter in organic light emitting devices that incorporate fluorinated side chains in the ligands are disclosed. Such metal complex has at least one substituent R selected from the group consisting of partially fluorinated alkyl, partially fluorinated cycloalkyl, and combinations thereof, wherein R is directly bonded to an aromatic ring, In the compound, C having an F attached thereto is separated by at least one carbon atom from the aromatic ring. | 04-14-2016 |
20160104849 | POLYCYCLIC DITHIOPHENES - The present invention relates to novel compounds of the formula | 04-14-2016 |
20160104851 | OLED DEVICES INCLUDING STRUCTURED BACKFILL LAYER AND PLANARIZATION LAYER - Organic light emitting diode (OLED) devices are disclosed that include a first layer; a backfill layer having a structured first side and a second side; a planarization layer having a structured first side and a second side; and a second layer; wherein the second side of the backfill layer is coincident with and adjacent to the first layer, the second side of the planarization layer is coincident with and adjacent to the second layer, the structured first side of the backfill layer and structured first side of the planarization layer form a structured interface, the refractive index of the backfill layer is index matched to the first layer, and the refractive index of the planarization layer is index matched to the second layer. | 04-14-2016 |
20160104854 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device is discussed. The organic light emitting display device in one embodiment includes at least one light emitting layer between an anode and a cathode, and an electron transport layer between the at least one light emitting layer and the cathode. The electron transport layer may include a first electron transport material for blocking holes from the light emitting layer to the electron transport layer, and a second electron transport material for assisting in a transfer of electrons to the light emitting layer, wherein the first electron transport material and the second electron transport material have different triplet exciton energy levels and different electron mobilities. | 04-14-2016 |
20160104855 | Light-Emitting Element, Display Device, Electronic Device, and Lighting Device - A light-emitting element including a fluorescent material as a light-emitting material and having high emission efficiency is provided. The light-emitting element includes a pair of electrodes and an EL layer provided between the pair of electrodes. The EL layer includes a host material and a guest material. The host material is capable of exhibiting thermally activated delayed fluorescence at room temperature. The guest material is capable of exhibiting fluorescence. The second triplet excitation energy level of the guest material is higher than or equal to the lowest singlet excitation energy level of the guest material. | 04-14-2016 |
20160104856 | ORGANIC LIGHT-EMITTING ILLUMINATION APPARATUS - An organic light-emitting illumination apparatus including: a first flexible substrate; a first electrode disposed on the first flexible substrate, the first electrode configured to reflect light in a first region of the first flexible substrate and transmit light in a second region of the first flexible substrate; an intermediate layer disposed on the first electrode, including a light emission layer; a second electrode disposed on the intermediate layer, configured to transmit light; a second flexible substrate disposed on the second electrode; a first heat dissipation layer disposed on the first flexible substrate, configured to transmit light, the first flexible substrate being disposed between the first heat dissipation layer and the first electrode; and a second heat dissipation layer disposed on the second flexible substrate, the second flexible substrate being disposed between the second heat dissipation layer and the second electrode, the second heat dissipation layer being configured to transmit light. | 04-14-2016 |
20160104857 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Disclosed is an organic light-emitting display apparatus. The organic light-emitting display apparatus includes a substrate, a first reflective electrode that is disposed over the substrate, an organic layer that is disposed over the first reflective electrode, and includes a light emission layer, and a second reflective electrode that is disposed over the organic layer. At least one of the first and second reflective electrodes comprises a low refractive layer having a refractive index of about 1.4 or less which is smaller than that of the organic layer. | 04-14-2016 |
20160104858 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An OLED apparatus includes: a substrate; a TFT on the substrate and comprising an active layer, a first interlayer insulating layer between the gate electrode and the source and drain electrodes and comprising an inorganic material; a second interlayer insulating layer between the first interlayer insulating layer and the source and drain electrodes and comprising an organic material; a first organic layer covering the source and drain electrodes; a second organic layer on the first organic layer; a capacitor comprising a first electrode comprising a same material as the gate electrode, and a second electrode comprising a same material as the source and drain electrodes; a pixel electrode in an opening in an area that does not overlap with the TFT and the capacitor, and contacting one of the source and drain electrodes; an emission layer on the pixel electrode; and an opposite electrode on the emission layer. | 04-14-2016 |
20160104859 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a transistor, a first electrode connected to the transistor, a pixel definition layer on the first electrode, and an organic emission layer on the first electrode and corresponding to the emission region. The pixel definition layer exposes an emission region corresponding to a portion of the first electrode. The display also includes an auxiliary conductive pattern, a buffer layer, and a second electrode. The auxiliary conductive pattern does not overlap the emission region and is on the pixel definition layer. The buffer layer covers the organic emission layer and the pixel definition layer and contacts the auxiliary conductive pattern. The second electrode is on the buffer layer. | 04-14-2016 |
20160104860 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic electroluminescent element is formed to have a transparent electrode as a cathode. An ultraviolet-absorbing layer having a higher ultraviolet absorptivity than the transparent electrode is formed on the transparent electrode. A sealing film is formed on the ultraviolet-absorbing layer by a plasma CVD process. | 04-14-2016 |
20160104861 | WHITE OLED DISPLAY DEVICE AND PACKAGING METHOD THEREOF - The present invention provides a white OLED display device and a packaging method thereof. The white OLED display device includes: a glass cover plate, a color filter layer coated on the glass cover plate, a transparent protective layer covering the color filter layer, a hydrophobic layer self-assembled on the transparent protective layer, a desiccant layer disposed on the hydrophobic layer, and a TFT substrate disposed with a white OLED layer. The color filter layer, the transparent protective layer, the hydrophobic layer and the desiccant layer are sandwiched between the glass cover plate and the TFT substrate disposed with the white OLED layer. The white OLED display device provided by the invention disposes the hydrophobic layer on the transparent protective layer, which can avoid the occurrence of mura caused by uneven distribution of desiccant. | 04-14-2016 |
20160104864 | Sealed Structure, Light-Emitting Device, Electronic Device, and Lighting Device - A sealed structure which has high sealing capability and whose border can be slim is provided. The sealed structure includes a pair of substrates whose respective surfaces face each other with a space therebetween, and a glass layer which is in contact with the substrates, defines a space between the substrates, and has at least one corner portion and side portions in continuity with the corner portion. The width of the corner portion of the glass layer is smaller than or equal to that of the side portion of the same. The sealed structure may comprise a highly reliable light-emitting element including a layer containing a light-emitting organic compound provided between a pair of electrodes. | 04-14-2016 |
20160104865 | TRANSPARENT DISPLAY DEVICES AND METHODS OF MANUFACTURING TRANSPARENT DISPLAY DEVICES - A transparent organic light emitting display device may include a transparent base substrate, a semiconductor device disposed on the transparent base substrate, a display structure electrically connected to the semiconductor device, and a protection layer including a blue dye disposed on the display structure. The protection layer may improve the transparency of the transparent base substrate by calibrating discoloration of the transparent base substrate. Thus, the transparent display device including the protection layer may ensure an enhanced transparency. Further, the transparent display device may have an enhanced mechanical strength and an increased heat resistance because of the transparent base substrate. | 04-14-2016 |
20160104866 | PACKAGING STRUCTURE, MANUFACTURING METHOD THEREOF, AND DISPLAY PANEL - The present disclosure discloses a packaging structure and a manufacturing method thereof, and a display panel. The packaging structure includes: a sintering part, formed between the substrate and the cover plate, wherein an encapsulation space is formed by the sintering part, the cover plate and the substrate, and the light emitting display unit is formed in the encapsulation space; and a protection part, formed on the cover plate, wherein the sintering part partially contacts with the protection part and partially contact with the cover plate. The present disclosure provides a protection part between the sintering part and the cover plate, the sintering part is divided into non-sintering regions and sintering regions by the protection part which covers a part of regions of the sintering part, thus reducing high temperature and stress generated in the process of laser sintering. | 04-14-2016 |
20160104867 | ORGANIC LIGHT EMITTING DIODE DISPLAY PANEL AND METHOD OF FABRICATING THE SAME - An organic light-emitting diode (OLED) display panel includes an organic layer positioned on a first electrode and a multilayer thin film positioned on the organic layer. The multilayer thin film is formed of a stack of graphene. The multilayer thin film has an interlayer bonding between two or more upper layers thereof. | 04-14-2016 |
20160104868 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes: a first electrode positioned over the substrate; an organic emission layer positioned over the first electrode; a second electrode positioned over the organic emission layer; a refractive layer positioned over the second electrode; and a light transflective metal layer positioned over the refractive layer. | 04-14-2016 |
20160104869 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a substrate, a display unit on the substrate, the display unit including a plurality of light-emitting areas in a lattice pattern, and an antireflective film on the display unit, the antireflective film including at least two metal layers and at least two dielectric layers that are alternately stacked, and each of the at least two metal layers including a plurality of islands in a lattice pattern overlapping the light-emitting areas of the display unit. | 04-14-2016 |
20160109625 | SUPPORT FOR A FLEXIBLE OLED - A laminated support for flexible optoelectronic devices can include, in the order indicated, the following elements:
| 04-21-2016 |
20160111450 | THIN FILM TRANSISTOR SUBSTRATE AND DISPLAY DEVICE COMPRISING THE SAME - A thin-film transistor substrate is disclosed, which comprises a base layer; a semiconductor layer disposed on the base layer; a source electrode and a drain electrode disposed on the semiconductor layer; and a gate electrode disposed on the base layer and corresponding to the semiconductor layer; wherein the semiconductor layer includes a first region, a second region, and a third region, in which the first region corresponds to the gate electrode layer, the second region corresponds to the source electrode, and the third region corresponds to the drain electrode; and wherein the first region has a first thickness, the second region has a second thickness, and the third region has a third thickness, and the first thickness is greater than the second thickness or the third thickness. | 04-21-2016 |
20160111474 | ELECTROLUMINESCENCE DISPLAY DEVICE - There is provided an EL display device of a color filter system which obtains sufficient brightness and contrast while making it difficult to generate a color mixture even if pixels become fine. An EL display device | 04-21-2016 |
20160111475 | ORGANIC ELECTROLUMINESCENT ELEMENT - Three light-emitting units are included. Two light-emitting units of the three light-emitting units are similar-color light-emitting units that emit light in a similar color, and have mutually different luminance lives. A remaining light-emitting unit of the three light-emitting units is a different-color light-emitting unit that emits light in a color that is different from the similar color, and has a luminance life that is shorter than each luminance life of the two similar-color light-emitting units. | 04-21-2016 |
20160111477 | PIXEL CIRCUIT OF DISPLAY AND COMPENSATION METHOD THEREOF - The present disclosure provides a pixel circuit of a display and a compensation method thereof. The pixel circuit includes: a plurality of gate lines; a plurality of data lines intersecting with and being insulated against the plurality of gate lines; a plurality of common power lines intersecting with and being insulated against the plurality of gate lines; a plurality of pixel units defined in regions encircled by the plurality of gate lines, the plurality of data lines, and the plurality of common power lines; and a dummy gate line, extended in the same direction as the plurality of gate lines, intersecting with and being insulated against the plurality of data lines and the plurality of common power lines, wherein an offset common potential obtained on the dummy gate line is configured to make a common potential compensation for the pixel circuit. | 04-21-2016 |
20160111478 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes a first substrate, a display unit that defines an active area on the first substrate, a second substrate on the display unit, a circuit pattern on outer sides of the active area on the first substrate, a sealant between the first substrate and the second substrate, the sealant adhering the first substrate to the second substrate and overlapping at least a part of the circuit pattern, and a detecting unit that overlaps the at least a part of the circuit pattern and detects whether the circuit pattern is damaged. | 04-21-2016 |
20160111479 | ORGANIC LIGHT-EMITTING DIODE DISPLAY - An organic light-emitting diode display is disclosed. In one aspect, the display includes a substrate including a bending area and a non-bending area and a plurality of thin-film transistors (TFTs) formed in the non-bending area. The display also includes a plurality of first pixel electrodes and a plurality of second pixel electrodes formed over the TFTs and electrically connected to the TFTs, the first pixel electrodes formed in the bending area and the second pixel electrodes formed in the non-bending area. | 04-21-2016 |
20160111481 | ORGANIC LIGHT-EMITTING DIODE DISPLAY - An organic light-emitting diode (OLED) display is disclosed. In one aspect, the display includes a stretchable substrate, a thin film transistor (TFT) formed over the stretchable substrate and including a plurality of electrodes, an OLED electrically connected to the TFT and including a plurality of electrodes, and a plurality of interconnection lines connected to the electrodes of the OLED and the TFT. At least one of the interconnection lines is configured to move in a stretching direction and rotate an electrode selected from the electrodes of the OLED and the TFT connected to the at least one interconnection line. | 04-21-2016 |
20160111482 | THIN FILM TRANSISTOR ARRAY PANEL AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME - A thin film transistor (TFT) circuit panel comprises a substrate and first and second patterned multi-layer structures formed over the substrate. The first patterned multi-layer structure is to provide a driving TFT and a storage capacitor, and comprises: a semiconductor layer, a first electrode over the semiconductor layer, a second electrode disposed over the first electrode and insulated from the first electrode, a storage insulating layer disposed between the first electrode and the second electrode, and a driving gate insulating layer disposed between the semiconductor layer and the first electrode. The second patterned multi-layer structure is spaced from the first multi-layer structure, and comprises: a lower patterned insulating layer, a patterned conductive layer and a top patterned insulating layer. An organic insulating material is filled between the first and second patterned multi-layer structures. | 04-21-2016 |
20160111483 | THIN FILM TRANSISTOR ARRAY SUBSTRATE, METHOD OF MANUFACTURING THE SAME, AND ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY INCLUDING THE SAME - A thin film transistor (TFT) array substrate is disclosed. In one aspect, the substrate includes a buffer layer formed over a substrate, a storage capacitor formed in the buffer layer and including a first electrode and a second electrode surrounding and insulated from the first electrode and a driving TFT formed over the buffer layer. | 04-21-2016 |
20160111485 | Light-Emitting Device, Module, Electronic Device, and Method for Manufacturing Light-Emitting Device - A light-emitting element, a bonding layer, and a frame-like partition are formed over a substrate. The partition is provided to surround the bonding layer and the light-emitting element, with a gap left between the partition and the bonding layer. A pair of substrates overlap with each other under a reduced-pressure atmosphere and then exposed to an air atmosphere or a pressurized atmosphere, whereby the reduced-pressure state of a space surrounded by the pair of substrates and the partition is maintained and atmospheric pressure is applied to the pair of substrates. Alternatively, a light-emitting element and a bonding layer are formed over a substrate. A pair of substrates overlap with each other, and then, pressure is applied to the bonding layer with the use of a member having a projection before or at the same time as curing of the bonding layer. | 04-21-2016 |
20160111486 | DISPLAY DEVICE - A display device includes contact holes opened in an insulating film outside of a display area in which pixels are arranged, and having a conductive film exposed in bottom portions, a first metal film formed to cover the contact holes and come in contact with the conductive film of the bottom portions, and a transparent conductive film formed on the first metal film. | 04-21-2016 |
20160111487 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A display apparatus has pixel area to emit light, a transmission area to transmit external light, and wirings including scan wiring, data wiring, and power wiring. The data and power wiring are adjacent to the pixel area and transmission area. An organic light-emitting device is in the pixel area. At least one of the data wiring or the power wiring includes first wiring and second wiring. The first wiring is adjacent to the pixel area and including a mother wiring having a first width. The second wiring includes a plurality of branched wirings that branch from the mother wiring. The branched wirings are adjacent to the transmission area, and a width of each of the branched wirings is less than the first width. | 04-21-2016 |
20160111644 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes: a first electrode; a second electrode; an emission layer between the first electrode and the second electrode; and a hole transport region between the first electrode and the emission layer, wherein the emission layer includes an organometallic compound represented by Formula 1, and the hole transport region includes an amine-based compound represented by Formula 2: | 04-21-2016 |
20160111646 | ORGANIC ELECTROLUMINESCENT ELEMENT, METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT MODULE - An organic electroluminescent element includes a support substrate having thereon at least two light emitting units each containing one or a plurality of organic functional layers and at least one intermediate metal layer having a light-transmitting property. The intermediate metal layer is arranged between the light emitting units. At least one organic functional layer in each light emitting unit has a region wherein a light emission function is modulated and another region wherein the light emission function is not modulated by being patterned with a mask during the step of forming the organic functional layer, and by being additionally patterned by light irradiation after formation of the organic functional layer. The at least two light emitting units are able to be electrically driven independently or simultaneously. | 04-21-2016 |
20160111647 | COMPOSITION CAPABLE OF CHANGING ITS SOLUBILITY, HOLE TRANSPORT MATERIAL COMPOSITION, AND ORGANIC ELECTRONIC ELEMENT USING THE SAME - An embodiment of the present invention relates to a composition containing a polymer oroligomer (A) having a repeating unit with hole transport properties and also having a thienylgroup which may have a substituent, and an initiator (B), wherein the solubility of the composition is capable of being changed by applying heat, light, or both heat and light. | 04-21-2016 |
20160111650 | SPACE-THROUGH CHARGE TRANSFER COMPOUND, AND ORGANIC LIGHT EMITTING DIODE AND DISPLAY DEVICE USING THE SAME - Discussed is a space-through charge transfer compound including a naphthalene core; an electron donor moiety selected from carbazole and phenylcarbazole; and an electron acceptor moiety selected from pyridine, diazine, triazole, and phenyl benzodiazole, wherein the electron donor moiety and the electron acceptor moiety are combined to first and eighth positions of the naphthalene core with a benzene linker, respectively. | 04-21-2016 |
20160111651 | ORGANIC PHOTOELECTRIC DEVICE, AND IMAGE SENSOR AND ELECTRONIC DEVICE INCLUDING THE SAME - An organic photoelectric device includes a first electrode and a second electrode facing each other and a photoelectric conversion layer between the first electrode and the second electrode, wherein the photoelectric conversion layer includes a p-type semiconductor compound and an n-type semiconductor compound, and the organic photoelectric device satisfies Equation 1, and has external quantum efficiency (EQE) of greater than or equal to about 40% at −3 V. | 04-21-2016 |
20160111652 | ORGANIC LIGHT-EMITTING DEVICE - The OLED in the present invention comprises an anode, a cathode, and one or multiple organic layers, and the organic layer at least contains one kind of material with the following chemical formula I. The OLEDs made by this organic light emitting material have advantages such as good light emitting efficiency, excellent color purity and long lifetime. | 04-21-2016 |
20160111653 | ORGANIC ELECTROLUMINESCENT MATERIAL AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - A material for an organic electroluminescent device is represented by the following General Formula (1). The organic electroluminescent device may be driven at a low voltage and may have high emission efficiency and long life. | 04-21-2016 |
20160111654 | Semiconducting Material Comprising Aza-Substituted Phosphine Oxide Matrix and Metal Salt - The present invention relates to a semiconducting material including at least one salt or complex of a metal cation and an aza-substituted phosphine oxide compound with improved electrical properties, and to a compound suitable for this organic semiconducting material and an electronic device utilizing the improved electrical properties of the semiconducting material. | 04-21-2016 |
20160111655 | AROMATIC HETEROCYCLIC DERIVATIVE, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT ELEMENT - An organic EL device includes an anode, an emitting layer, an electron transporting zone and a cathode in this sequence, in which the electron transporting zone contains an aromatic heterocyclic derivative represented by a formula (1) below. In the formula (1), X | 04-21-2016 |
20160111656 | COMPOUND FOR HOLE-TRANSPORT AND ORGANIC LIGHT-EMITTING DEVICE USING THE SAME - A compound for preparing a hole transport layer, an organic light-emitting device, and a flat display apparatus, the compound including a —N | 04-21-2016 |
20160111657 | CARBAZOLE-BASED COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - A carbazole compound represented by Formula 1: | 04-21-2016 |
20160111658 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting diode including a first compound represented by one of Chemical Formulae 1-A to 1-D and a second compound represented by Chemical Formula 2: | 04-21-2016 |
20160111659 | DELAYED FLUORESCENCE COMPOUND, AND ORGANIC LIGHT EMITTING DIODE AND DISPLAY DEVICE USING THE SAME - Discussed is a delayed fluorescence compound of Formula 1: | 04-21-2016 |
20160111660 | DELAYED FLUORESCENCE COMPOUND, AND ORGANIC LIGHT EMITTING DIODE AND DISPLAY DEVICE USING THE SAME - Discussed is a delayed fluorescence compound including a first electron donor moiety of indolo-[3,2,1-j,k]carbazole; a second electron donor moiety selected from indolo-[3,2,1-j,k]carbazole, carbazole, or triphenylamine; and an electron acceptor moiety selected from dibenzothiophene sulfone or diphenyl sulfone, wherein the first and second electron donor moieties are combined to the electron acceptor moiety, and the electron acceptor moiety is combined to a third position or a sixth position of the first electron donor moiety. | 04-21-2016 |
20160111661 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having a formula M(L | 04-21-2016 |
20160111662 | Optoelectronic Component, Organic Functional Layer, and Method for Producing an Optoelectronic Component - An optoelectronic component includes a substrate, a first electrode, a second electrode, and at least one organic functional layer, which is arranged between the first electrode and the second electrode. The organic functional layer includes a matrix material, a first compound, and a second compound. The first compound interacts with the second compound, and the first compound and/or the second compound interacts with the matrix material. A conductivity of the organic functional layer is produced by the interactions. | 04-21-2016 |
20160111663 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode; a second electrode facing the first electrode; an emission layer between the first electrode and the second electrode; and a hole transport region between the first electrode and the emission layer. The emission layer includes an organometallic compound represented by Formula 1, and the hole transport region includes a first compound represented by Formula 2. | 04-21-2016 |
20160111664 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes: a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer, wherein the emission layer includes a first host and a dopant, the first host is represented by one selected from Formulae 1 and 2, and the dopant is represented by Formula 7: | 04-21-2016 |
20160111665 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes: a first electrode; a second electrode facing the first electrode; an emission layer between the first electrode and the second electrode; and a hole transport region between the first electrode and the emission layer, wherein the emission layer includes an organometallic compound of Formula 1 as described in the specification, and the hole transport region includes a first compound of Formula 2A or Formula 2B as described in the specification. | 04-21-2016 |
20160111666 | TRANSPARENT DISPLAY DEVICES AND METHODS OF MANUFACTURING THE SAME - A transparent display device including a polymer substrate having colored particles distributed therein, a pixel circuit on the polymer substrate, a first electrode electrically connected to the pixel circuit, a display layer on the first electrode, and a second electrode facing the first electrode and covering the display layer. | 04-21-2016 |
20160111669 | ORGANIC PHOTOELECTRIC CONVERSION DEVICE AND PRODUCTION METHOD THEREOF - An organic photoelectric conversion device having an anode, a cathode, an active layer disposed between the anode and the cathode, and a hole injection layer disposed between the anode and the active layer, wherein the cathode is an electrode containing an electrically conductive nano-substance, and the hole injection layer is a layer having a residual film rate of 80% or more in measurement of the residual film rate after water rinse treatment. | 04-21-2016 |
20160111671 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Appliance, and Lighting Device - A multicolor light-emitting element in which light-emitting layers emitting light of different colors are stacked and color adjustment is easily made is provided. A multicolor light-emitting element which is inexpensive and has favorable emission efficiency is provided. A light-emitting element in which at least two light-emitting layers emitting light of different colors are formed in contact with each other and the light emitted from the two light-emitting layers is obtained from exciplexes is provided. In addition, the light-emitting element in which the exciplexes emit delayed fluorescence is provided. | 04-21-2016 |
20160111672 | ORGANIC EL ELEMENT - An organic EL element ( | 04-21-2016 |
20160111673 | ELECTROLUMINESCENT DEVICES WITH IMPROVED OPTICAL OUT-COUPLING EFFICIENCIES - An electroluminescent (EL) device is disclosed, comprising a first electrode, a second electrode, one or more functional layers, and a conducting layer. The first electrode is transparent and with a high refractive index n | 04-21-2016 |
20160111674 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - An organic electroluminescent device includes a substrate having a plurality of pixel regions defined thereon; and, in each pixel region, at least first, second and third light emitting elements formed on the substrate, each of the first, second, and third light emitting elements including a lower first electrode, an upper first electrode, an organic-light emitting layer, and a second electrode. A ratio of a thickness of the upper first electrode to a thickness of the organic light emitting layer in the first light emitting element is 1:3 to 1:4. A ratio of a thickness of the upper first electrode to a thickness of the organic light emitting layer in the second light emitting element is 1:2.5 to 1:3. A ratio of a thickness of the upper first electrode to a thickness of the organic light emitting layer in the third light emitting element is 1:1.5 to 1:2. | 04-21-2016 |
20160111675 | ORGANIC LIGHT-EMITTING DEVICE - The invention relates to an OLED( | 04-21-2016 |
20160111676 | ORGANIC ELECTROLUMINESCENCE ELEMENT - An object of the present invention is to provide a two-sided light emission-type transparent organic electroluminescence element that has flexibility, has a small viewing angle dependence of chromaticity, and can easily adjust the light-emission balance of the two sides. The organic electroluminescence element of the present invention is characterized by being comprised by at least a transparent substrate, a first transparent electrode, an organic light-emitting layer, a second transparent electrode and a transparent sealing substrate, wherein both of the transparent substrate and the transparent sealing substrate have flexibility and are comprised by material(s) selected from an identical group of materials, and the first transparent electrode and the second transparent electrode are comprised by material(s) selected from an identical group of materials. | 04-21-2016 |
20160111677 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes first and second substrates, a display unit on the first substrate, a metal layer on the first substrate and including a plurality of first straight and curved portions arranged to surround the display area, and a sealant bonding the first substrate and the second substrate, the sealant at least partially being on the metal layer and including a plurality of second straight and curved portions arranged to surround the display area, wherein the metal layer includes an inner area facing toward the display unit and an outer area outside the inner area in a width direction, the inner area in the first curved portions being thinner in the width direction than the inner area in the first straight portions. | 04-21-2016 |
20160111678 | LIGHT-TRANSMITTING ADHESIVE FILM AND DISPLAY DEVICE COMPRISING THE SAME - A light-transmissive adhesive film includes an adhesive layer in which an elastic modulus in a second area is higher than that in a first area, and also includes release layers on upper and lower portions of the adhesive layer. | 04-21-2016 |
20160111679 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device including a first substrate; a second substrate facing the first substrate; a display unit, which is formed on a surface of the first substrate facing the second substrate and includes at least one organic light-emitting element; a first sealing unit, which is disposed between the first substrate and the second substrate to surround the display unit; a second sealing unit, which is disposed between the first substrate and the second substrate along the inner edges of the first sealing unit and includes a plurality of dots disposed apart from one another; and a screen, which is formed on a surface of the second substrate opposite a surface facing the first substrate to cover the second sealing unit. | 04-21-2016 |
20160111683 | FLEXIBLE DISPLAY AND METHOD OF MANUFACTURING THE SAME - A flexible display and method of manufacturing the same are disclosed. In one aspect, the method includes forming a metal peroxide layer over a supporting substrate, forming a metal layer over the metal peroxide layer and forming a flexible substrate over the metal layer. The method also includes forming a display layer over the flexible substrate, irradiating the supporting substrate with laser light in a direction from the supporting substrate to the flexible substrate so as to form a metal oxide layer and separating the supporting substrate from the flexible substrate with the metal oxide layer as a boundary between the supporting substrate and the flexible substrate. | 04-21-2016 |
20160111684 | METHOD FOR DEPOSITION OF HIGH-PERFORMANCE COATINGS AND ENCAPSULATED ELECTRONIC DEVICES - A method is disclosed for forming multi-layered structures on polymeric or other materials that provide optical functions or protect underlying layers from exposure to oxygen and water vapor. Novel devices are also disclosed that may include both multi-layered protective structures and AMOLED display, OLED lighting or photovoltaic devices. The protective multi-layer structure itself may be made by depositing successively on a substrate at least three very thin layers of material with different density or composition. In some methods for deposition of such film, the layers are deposited by varying the energy of ion bombardment per unit thickness of the film Any layer of the structure may include one or more of the materials: silicon nitride, silicon oxide, silicon oxynitride, or metallic nitride or oxide. Specific commercial applications that benefit from this include manufacturing of photovoltaic devices or organic light emitting diode devices (OLED) including lighting and displays. | 04-21-2016 |
20160111685 | ORGANIC LIGHT-EMITTING ILLUMINATION APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting illumination apparatus that may be easily manufactured and may provide high-brightness illumination, and a method of manufacturing the same. The organic light-emitting illumination apparatus includes: a first flexible substrate; a first electrode disposed on the first flexible substrate and configured to transmit light; an intermediate layer disposed on the first electrode and including a light emission layer; a second electrode disposed on the intermediate layer and configured to transmit light; a second flexible substrate disposed on the second electrode; and a reflector interposed between the second flexible substrate and the second electrode to correspond to a first region of the second flexible substrate. | 04-21-2016 |
20160111686 | ORGANIC ELECTRO-LUMINESCENCE DISPLAY DEVICE - An organic electro-luminescence display device includes a first substrate, plural pedestals which are provided in a convex shape on the first substrate and have inclined side surfaces, plural first electrodes respectively provided on the respective side surfaces of the pedestals, an organic electro-luminescence film which is provided above the plural pedestals and includes a light-emitting layer laminated on the plural fist electrodes, and a second electrode which is provided above the plural pedestals and is laminated on the organic electro-luminescence film. Light generated in the light-emitting layer is transmitted between a first reflection surface and a second reflection surface. The second electrode includes light transmission parts, through which the light passes, above upper end parts of the pedestals. A surface of the second electrode facing the organic electro-luminescence film is the second reflection surface except for the light transmission parts. | 04-21-2016 |
20160111687 | ORGANIC LIGHT EMITTING DIODE STRUCTURE AND METHOD OF FORMING SAME - There is provided an organic light emitting diode (OLED) comprising an organic electroluminescent layer formed between a first electrode and a second electrode, characterised in that organic electroluminescent layer comprises a nano-structured grating pattern provided therein, wherein the grating is configured to modify the refractive index of the electroluminescent layer. | 04-21-2016 |
20160111688 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display device is provided. An organic light-emitting display device, including: a substrate; a pixel-defining layer on the substrate and defining a light-emitting region; and an organic light-emitting element over the light-emitting region, wherein the pixel-defining layer includes a first pixel-defining layer on the substrate and a second pixel-defining layer on the first pixel-defining layer, the organic light-emitting element includes a first organic layer contacting the first pixel-defining layer at either end thereof, a second organic layer on the first organic layer and contacting the second pixel-defining layer at either end thereof, and an organic light-emitting layer on the second organic layer, and the first pixel-defining layer is lyophilic. | 04-21-2016 |
20160116433 | ORGANIC SEMICONDUCTOR ELEMENT, FABRICATION METHOD THEREOF, WOVEN AND NON-WOVEN FABRIC STRUCTURES THEREWITH, AND SEMICONDUCTOR DEVICE THEREWITH - Disclosed are an organic semiconductor element, a fabrication method thereof, woven and non-woven fabric structures therewith, and a semiconductor device therewith. The organic semiconductor element comprising an organic semiconductor layer; a linear source electrode and a linear drain electrode provided in the organic semiconductor layer and spaced apart from and parallel to each other; a linear gate electrode provided on the organic semiconductor layer to cross the linear source and drain electrodes; and an electrolyte layer in contact with the organic semiconductor layer and the linear gate electrode. | 04-28-2016 |
20160118420 | THIN-FILM TRANSISTOR ARRAY SUBSTRATE AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME - A thin-film transistor (TFT) array substrate includes a driving TFT, a storage capacitor, a first wire, a first interlayer insulating film, a second interlayer insulating film, and a second wire. The storage capacitor has a first electrode connected to a driving gate electrode of the driving TFT and a second electrode on and insulated from the first electrode. The first wire is on a same layer as the driving gate electrode. The first interlayer insulating film covers the first electrode and the first wire. The second interlayer insulating film is on the first interlayer insulating film and includes an opening that exposes part of the first interlayer insulating film. The second wire is on the second interlayer insulating film and at least partially overlaps the first wire. The second electrode is in the opening of the second interlayer insulating film. | 04-28-2016 |
20160118445 | Light Emitting Device, Method of Preparing the Same and Device for Fabricating the Same - A light emitting device having a high definition, a high aperture ratio and a high reliability is provided. The present invention realizes a high definition and a high aperture ratio for a flat panel display of full colors using luminescent colors of red, green and blue without being dependent upon the film formation method and deposition precision of an organic compound layer by forming the laminated sections | 04-28-2016 |
20160118446 | TRANSPARENT ORGANIC LIGHT EMITTING DISPLAY DEVICES AND METHODS OF MANUFACTURING TRANSPARENT ORGANIC LIGHT EMITTING DISPLAY DEVICES - A transparent organic light-emitting display device may include a lower transparent substrate having a pixel region and a boundary region disposed between adjacent pixel regions, a solar cell disposed on the lower transparent substrate, a display structure disposed on the solar cell, and an overcoat layer disposed between the solar cell and the display structure, in which the overcoat layer electrically insulates the display structure from the solar cell. | 04-28-2016 |
20160118448 | ANTIREFLECTIVE OLED CONSTRUCTION - The disclosure relates to emissive displays and, in particular, to emissive displays that include a top surface ( | 04-28-2016 |
20160118450 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND FABRICATION METHOD THEREOF - Provided are an organic light-emitting display apparatus and a method of manufacturing the same. The organic light-emitting display apparatus includes a display substrate; a thin film transistor (TFT) on the display substrate; an organic light-emitting diode (OLED) electrically connected to the TFT and including a first electrode on sub-pixels of the display substrate, an intermediate layer on the first electrode, and a second electrode on the intermediate layer; a pixel-defining layer which includes an opening exposing at least a portion of the first electrode and defines each sub-pixel; and a sealing substrate covering the OLED, the intermediate layer including a plurality of stacked layers, and a cross-sectional width of the intermediate layer gradually decreasing in a direction perpendicular to the display substrate. | 04-28-2016 |
20160118451 | FLEXIBLE THIN FILM TRANSISTOR SUBSTRATE AND FLEXIBLE ORGANIC LIGHT EMITTING DISPLAY DEVICE - Provided are a flexible thin film transistor substrate and a flexible organic light emitting display device. The flexible thin film transistor substrate includes: a flexible substrate including at least one thin film transistor (TFT) area and having flexibility, an active layer disposed in the TFT area on the flexible substrate, a gate insulation layer disposed on the active layer, a gate electrode overlapping with the active layer on the gate insulation layer, an insulating interlayer disposed on the gate electrode, and a source electrode and a drain electrode disposed on the insulating interlayer and connected with the active layer, respectively. The gate insulation layer or the insulating interlayer includes at least one hole pattern configured to reduce bending stress. | 04-28-2016 |
20160118452 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - An organic light emitting diode display device includes: a substrate; a scan line configured to transfer a scan signal; a data line and a driving voltage line configured to transfer a data voltage and a driving voltage, respectively; a switching transistor including a switching drain electrode configured to output the data voltage; a driving transistor including a driving gate electrode connected with the switching drain electrode; a storage capacitor including a first storage electrode connected with the driving gate electrode and a second storage electrode connected with the driving voltage line; and an organic light emitting diode connected with a driving drain electrode of the driving transistor. The storage capacitor includes: a connector in which an edge of the second storage electrode is offset from an edge of the first storage electrode in a direction toward the center of the second storage electrode, and a storage compensator facing the connector. | 04-28-2016 |
20160118453 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes a display that includes an organic emission layer and a thin film transistor that drives the organic emission layer, and a backlight that irradiates light toward the thin film transistor. | 04-28-2016 |
20160118454 | DISPLAY DEVICE WITH POWER SUPPLY IN COVER TYPE - A display device comprises a display panel having a display area, in which a plurality of pixels and at least one power line for supplying power to the pixels are formed, and a non-display area outside the display area; and a cover disposed over the display panel so as to cover the display area of the display panel. The cover comprises at least one electrically conductive portion coupled to the at least one power line and configured to receive at least one power supply voltage via the non-display area and supply the at least one power supply voltage to the at least one power line in the display area. | 04-28-2016 |
20160118455 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE, METHOD OF REPAIRING THE SAME, AND METHOD OF DRIVING THE SAME - An organic light-emitting display device includes a plurality of emission pixels aligned in columns and rows, each of the emission pixels including an emission device and a first pixel circuit coupled to the emission device, a dummy pixel including a second pixel circuit in each column of the emission pixels, and a repair line in each column, wherein a same data signal is provided to one of the emission pixels coupled to the repair line and to the dummy pixel coupled to the repair line, and wherein the emission pixels are configured to simultaneously emit light. | 04-28-2016 |
20160118457 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - Provided is an organic light emitting display (OLED) device that includes, for example, a thin film transistor including an active layer, a gate electrode, a source electrode, and a drain electrode; a planarization layer on the thin film transistor; an anode on the planarization layer; an organic light emitting layer on the anode; a cathode on the organic light emitting layer; a first auxiliary line on the same layer and formed of the same material as the source electrode and the drain electrode; and a second auxiliary line on the same layer and formed of the same material as the anode, wherein the first auxiliary line and the second auxiliary line cross each other with the planarization layer interposed therebetween, and wherein the first auxiliary line is electrically connected with the cathode through the second auxiliary line. | 04-28-2016 |
20160118590 | ORGANIC LIGHT-EMITTING DEVICES - An organic light-emitting device including a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer, wherein the emission layer includes a first host represented by Formula 1 and a second host represented by one of Formulae 2-1 to 2-3: | 04-28-2016 |
20160118591 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device having high efficiency, low driving voltage and a long lifetime is provided by combining various materials for an organic electroluminescent device, which are excellent, as materials for an organic electroluminescent device having high efficiency and high durability, in hole and electron injection/transport performances, electron blocking ability, stability in a thin-film state and durability, so as to allow the respective materials to effectively reveal their characteristics. In the organic electroluminescent device having at least an anode, a hole injection layer, a first hole transport layer, a second hole transport layer, a light emitting layer, an electron transport layer and a cathode in this order, the second hole transport layer includes an arylamine compound represented by the following general formula (1). | 04-28-2016 |
20160118592 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device and a flat panel display apparatus, the device including a first electrode; a second electrode facing the first electrode; and an organic layer between the first electrode and the second electrode and including an emission layer, wherein the organic layer includes a hole transport region between the first electrode and the emission layer, the hole transport region including an auxiliary layer and at least one selected from a hole transport layer and a hole injection layer, and an electron transport region between the emission layer and the second electrode, the electron transport region including at least one selected from a hole blocking layer, an electron transport layer, and an electron injection layer, wherein the auxiliary layer includes a compound represented by Formula 1 and a compound represented by Formula 2: | 04-28-2016 |
20160118593 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by Formula 1: | 04-28-2016 |
20160118594 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Provided are a material for an organic electroluminescent device and an organic electroluminescent device including the same. The material for the organic electroluminescent device is represented by the following Formula (1). The material and the organic electroluminescent device including the material may have long life. The material may include an azadibenzoheterole part at the meta (m) position of a phenylene group combined via a direct linkage or a connecting group L | 04-28-2016 |
20160118595 | MATERIAL FOR USE IN ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - An organic electroluminescent device includes an anode, a cathode, an emission layer between the anode and the cathode, and a plurality of lamination layers between the emission layer and the anode, wherein at least one of the plurality of lamination layers includes a material for an organic electroluminescent device represented by the following Formula 1. The organic electroluminescent device using the material may have improved lifetime. | 04-28-2016 |
20160118596 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - A material for an organic electroluminescent device having high emission efficiency and long life, and an organic electroluminescent device including the same. The material for an organic electroluminescent device may be represented by Formula (1). | 04-28-2016 |
20160118597 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - A material for an organic electroluminescent device having high emission efficiency and long life and an organic electroluminescent device including the same. The material for an organic electroluminescent device is represented by the following Formula 5. | 04-28-2016 |
20160118598 | ELECTRON TRANSPORT MATERIAL AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME - The invention concerns a compound represented by formula (1) below, and an organic EL device using the same. The compound of the invention is useful as an electron transport material that contributes to improvement in service life prolongation, reduction of driving voltage, achievement of high efficiency and so forth, above all, improvement in achieving high efficiency, and can provide an excellent organic EL device: | 04-28-2016 |
20160118599 | COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A compound, an organic light-emitting device, and a display apparatus, the compound being represented by Formula 1 below: | 04-28-2016 |
20160118600 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by Formula 1: | 04-28-2016 |
20160118601 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by Formulae 1A or 1B: | 04-28-2016 |
20160118602 | MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICE AND ORGANIC ELECTROLUMINESCENCE DEVICE USING THE SAME - Provided are an organic electroluminescence device, which shows high luminous efficiency, is free of any pixel defect, and has a long lifetime, and a material for an organic electroluminescence device for realizing the device. The material for an organic electroluminescence device is a compound having a π-conjugated heteroacene skeleton crosslinked with a carbon atom, nitrogen atom, oxygen atom, or sulfur atom. The organic electroluminescence device has one or more organic thin film layers including a light emitting layer between a cathode and an anode, and at least one layer of the organic thin film layers contains the material for an organic electroluminescence device. | 04-28-2016 |
20160118603 | Organic Electronic Device - The present invention relates to organic electronic devices. The devices can include a first electrode, a second electrode, and a substantially organic layer. The substantially organic layer may include a lithium-containing compound, and may be arranged between the first and the second electrode. Also provided herein are organic light emitting diodes, organic solar cells, and organic field effect transistors that include the lithium-containing compound. | 04-28-2016 |
20160118604 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formula 1: | 04-28-2016 |
20160118605 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - A long-life light-emitting element is provided by reducing a specific kind of impurity in the light-emitting element, particularly an impurity originating in an iridium complex. The light-emitting element includes an iridium complex. The iridium complex includes an iridium metal and a ligand coordinated to the iridium metal. In analysis of the light-emitting element by liquid chromatography mass spectrometry using a chromatograph of a photodiode array detector, the proportion of the peak area of a ligand not coordinated to the iridium metal to the peak area of the iridium complex is greater than or equal to 0% and less than or equal to 10%. | 04-28-2016 |
20160118606 | Organometallic Iridium Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, Lighting Device, and Synthesis Method of Organometallic Iridium Complex - A high-purity organometallic iridium complex is provided. The organometallic iridium complex includes iridium and a plurality of ligands cyclometallated to the iridium. Each of the plurality of ligands includes a heteroaromatic ring having a coordinatable nitrogen atom. In LC analysis of the organometallic iridium complex, an impurity which has a monochlorinated ligand among the plurality of ligands is 0.1% or less by quantitating using peak area count with a PDA detector. | 04-28-2016 |
20160118610 | ORGANIC LIGHT-EMITTING DEVICE AND FABRICATION METHOD FOR THE SAME, AND DISPLAY DEVICE - The disclosure provides an organic light-emitting device, a fabrication method thereof, and a display device. The organic light-emitting device comprises an anode layer, a cathode layer, and an organic function layer disposed between the anode layer and the cathode layer. The organic function layer comprises a light-emitting layer. The light-emitting layer comprises a first sub light-emitting layer and a second sub light-emitting layer disposed adjacent to each other, the first sub light-emitting layer is provided on an anode layer side and the second sub light-emitting layer is provided on a cathode layer side. The first sub light-emitting layer and the second sub light-emitting layer have a substantially same energy band difference, and one of the first sub light-emitting layer and the second sub light-emitting layer is capable of absorbing light emitted by the other of the first sub light-emitting layer and the second sub light-emitting layer to achieve stimulated emission. | 04-28-2016 |
20160118611 | WHITE ORGANIC LIGHT EMITTING DEVICE - Disclosed is a white organic light emitting device for enhancing emission efficiency and a color viewing angle or a color reproduction rate. The white organic light emitting device includes a first emission part between a first electrode and a second electrode, the first emission part having a first emission layer, a second emission part on the first emission part, the second emission part having a second emission layer, and a third emission part on the second emission part, the third emission part having a third emission layer. At least two emission layers of the first to third emission layers emit lights having a same color to enhance emission efficiency and a color viewing angle, and the at least two emission layers are adjacent to each other. | 04-28-2016 |
20160118612 | WHITE ORGANIC LIGHT EMITTING DISPLAY DEVICE AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS USING THE SAME - Disclosed is a white organic light emitting display device comprising first and second electrodes, and a first emitting part between the first and second electrodes, the first emitting part including a red emitting layer and a blue emitting layer adjacent to the red emitting layer, wherein the red emitting layer includes a first host material which does not absorb a blue light emitted from the blue emitting layer, and an organic light emitting display apparatus using the same. | 04-28-2016 |
20160118613 | LIGHT-EMITTING DEVICE - A light-emitting device includes a first electrode and a second electrode opposed to each other, a first stack between the first and second electrodes, the first stack being adjacent to the first electrode and including a first light-emitting layer, a second stack between the first and second electrodes, the second stack being adjacent to the second electrode and including a second light-emitting layer, and a charge generation structure between the first and second stacks, the charge generation structure including an n-type charge generation layer, an interlayer organic layer, and a p-type charge generation layer which are sequentially stacked on the first stack. | 04-28-2016 |
20160118614 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - A novel light-emitting element or a highly reliable light-emitting element is provided. The light-emitting element includes an anode, a cathode, and an EL layer between the anode and the cathode. The EL layer includes at least a light-emitting layer. The light-emitting layer includes at least a first organic compound and a second organic compound. The energy for liberating halogen from a halogen-substituted product of the first organic compound in a radical anion state and in a triplet excited state is less than or equal to 1.00 eV. The amount of halogen-substituted product in the second organic compound is not increased with an increase in driving time of the light-emitting element. | 04-28-2016 |
20160118615 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - To provide a novel light-emitting element or a highly reliable light-emitting element. To provide a light-emitting device, a display device, an electronic device, and a lighting device each of which can be manufactured at a low cost. To provide a light-emitting element including an anode, a cathode, and an EL layer between the anode and the cathode. The EL layer includes a light-emitting layer and an electron injection transport layer between the light-emitting layer and the cathode, and the amount of a halogen detected from a material forming the electron injection transport layer is less than or equal to 30 ppm. | 04-28-2016 |
20160118616 | LIGHT-EMITTING DEVICE AND ELECTRONIC DEVICE - A highly portable and highly browsable light-emitting device is provided. A light-emitting device that is less likely to be broken is provided. The light-emitting device has a strip-like region having high flexibility and a strip-like region having low flexibility that are arranged alternately. In the region having high flexibility, a light-emitting panel and a plurality of spacers overlap with each other. In the region having low flexibility, the light-emitting panel and a support overlap with each other. When the region having high flexibility is bent, the angle between normals of facing planes of the two adjacent spacers changes according to the bending of the light-emitting panel; thus, a neutral plane can be formed in the light-emitting panel or in the vicinity of the light-emitting panel. | 04-28-2016 |
20160118617 | ORGANIC EL ELEMENT - An organic EL element ( | 04-28-2016 |
20160118618 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes a first substrate, a display unit defining an active area on the first substrate and including an insulating layer, a second substrate on the display unit, one or more signal lines outside the active area and on the insulating layer, and a sealant between the first substrate and the second substrate. The sealant bonds the first substrate and the second substrate, and covers at least a portion of the signal lines. | 04-28-2016 |
20160118619 | Preparation Method of Glass Film, Photoelectric Device and Packaging Method Thereof, Display Device - A preparation method of a glass film, a photoelectric device and a packaging method thereof, and a display device are provided, and the preparation method of a glass film includes: forming a sacrificial layer on a base substrate; forming a glass frit film on the sacrificial layer; solidifying the glass frit film; and removing the sacrificial layer, so as to obtain a glass film. The method can bring an individual glass film, which is helpful to a narrow-bezel design of a photoelectric device. | 04-28-2016 |
20160118620 | ENCAPSULATION FILM AND METHOD FOR ENCAPSULATING ORGANIC ELECTRONIC DEVICE USING SAME - Provided are an encapsulation film, a product for encapsulating an organic electronic device (OED) using the same, and a method of encapsulating an OED. The encapsulation film may effectively block moisture or oxygen permeating into the OED from an external environment, prevent adhesion failure and damage to an organic film due to volume expansion occurring by a reaction between a moisture adsorbent and moisture, and provide high reliability due to increases in a lifespan and durability of the OED. | 04-28-2016 |
20160118621 | HYBRID BARRIER LAYER FOR SUBSTRATES AND ELECTRONIC DEVICES - Systems and techniques for depositing multiple different organic precursors, with reactive gases, such as by plasma polymerization, are provided. Using multiple precursor materials may provide for a much larger process regime, thus enabling for precise tuning of barrier properties and stress of the films. A barrier film as disclosed herein may be used on variety of substrates and electronic devices to reduce the permeation of moisture and other atmospheric contaminants. | 04-28-2016 |
20160118624 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting display device according to an exemplary embodiment of the present disclosure includes: a first substrate provided with a thin film transistor layer where a plurality of pixels are formed; a second substrate covering the first substrate; and a sealant formed along edges of the first and second substrates to bond both of the first and second substrates, wherein the sealant is formed above the thin film transistor of the first substrate, the sealant comprises an organic sealant and a protection member formed outside of the organic sealant, and the protection member is formed of a dual layer of an inorganic layer and an elastic member. | 04-28-2016 |
20160118625 | Light-Emitting Element - An electrode layer having high reflectance and a light-emitting element having high emission efficiency are provided. The light-emitting element includes a first electrode layer, a second electrode layer, and an EL layer between the first electrode layer and the second electrode layer. The first electrode layer includes a conductive layer and an oxide layer in contact with the conductive layer. The conductive layer has a function of reflecting light. The oxide layer includes In and M (M represents Al, Si, Ti, Ga, Y, Zr, Sn, La, Ce, Nd, or Hf). A content of the M in the oxide layer is higher than or equal to a content of the In. | 04-28-2016 |
20160118627 | THIN-FILM DEVICES WITH LIGHT EXTRACTION LAYERS - The present invention is directed towards a thin-film device. In one embodiment, the thin film device comprises a scattering layer comprising a substrate, the substrate comprising a plurality of voids, and a device stock formed atop the scattering layer, wherein the plurality of voids have a high refractive index as compared to a refractive index of the substrate. Another embodiment of the present invention is directed towards a process for fabricating a thin-film device, the process comprising dissolving a precursor in an organic solvent to form a solution, coating the solution onto a substrate to form a film, immersing the film and the substrate into an antisolvent bath for a first period of time so as to form a plurality of air voids within the film, removing the film and substrate from the anti-solvent bath to dry and cure for a second period of time to create a porous film adhered to the substrate, the porous film and the substrate forming a scattering layer. | 04-28-2016 |
20160118628 | ORGANIC LIGHT EMITTING DIODE DEVICE - An organic light emitting diode device includes: a first substrate; a first electrode on the first substrate; an organic light emitting layer on the first electrode; a second electrode on the organic light emitting layer; and a capping layer on the second electrode. The capping layer may include at least one high refractive-index layer and at least two low refractive-index layers having thicknesses different from each other. | 04-28-2016 |
20160118629 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - An organic light emitting display device and its manufacturing method are provided. The manufacturing method includes: providing a substrate; forming a thin film transistor unit on one side of the substrate; forming an organic light emitting diode unit at a side of the thin film transistor opposite to the substrate; providing a cover plate; attaching a polarizer on the cover plate; and packaging the cover plate having the attached polarizer onto the organic light emitting diode unit, wherein the polarizer is interposed between the organic light emitting diode unit and the cover plate. | 04-28-2016 |
20160126294 | ELECTRO-OPTICAL APPARATUS, MANUFACTURING METHOD FOR ELECTRO-OPTICAL APPARATUS, AND ELECTRONIC DEVICE - An electro-optical apparatus includes a first pixel and a second pixel. The first pixel and the second pixel include a reflective layer, an insulating layer, a functional layer, and an opposing electrode. The insulating layer includes a first insulating layer, a second insulating layer having a first opening, and a third insulating layer having a second opening. A first pixel electrode is provided on the first insulating layer in the first opening. A second pixel electrode is provided on the second insulating layer. | 05-05-2016 |
20160126296 | Pixel Arrangement Structure for Organic Light-Emitting Diode - A pixel arrangement structure for an organic light-emitting diode includes a plurality of red subpixel groups, a plurality of green subpixel groups, and a plurality of blue subpixel groups. Each red subpixel group is comprised of a plurality of red subpixels. Each green subpixel group is comprised of a plurality of green subpixels. Each blue subpixel group is comprised of a plurality of blue subpixels. The red subpixel groups, the green subpixel groups, and the blue subpixel groups are spaced from each other. One of the red subpixels of the red subpixel groups, one of the green subpixels of the green subpixel groups, and one of the blue subpixels of the blue subpixel groups, which are adjacent to each other, together form a pixel. The subpixels of the same color are gathered to form a subpixel group to increase the aperture ratio of metal masks. | 05-05-2016 |
20160126297 | ORGANIC LIGHT EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - An organic light emitting device can include a first electrode and a second electrode, and a red emission layer, a green emission layer and a blue emission layer which are positioned between the first electrode and the second electrode. Each of the red emission layer, the green emission layer and the blue emission layer can be disposed in an entirety of a red sub-pixel area, a green sub-pixel area and a blue sub-pixel area. A distance between the first electrode and the second electrode in at least one of the red sub-pixel area, the green sub-pixel area and the blue sub-pixel area can be a first-order optical distance equal to λ/2n, where λ is a wavelength of light emitted from each of the sub-pixel areas, and n is an average refractive index of a plurality of organic material layers disposed between the first electrode and the second electrode. | 05-05-2016 |
20160126298 | Pixel Arrangement Structure for Organic Light-Emitting Diode Display - A pixel arrangement structure for an organic light-emitting diode display includes at least one first sub-pixel line having alternately disposed first and second sub-pixel units in a first direction. Each first sub-pixel unit includes four diagonally disposed red sub-pixels. Each second sub-pixel unit includes four diagonally disposed green sub-pixels. At least two second sub-pixel lines are respectively located on two sides of the first sub-pixel line. Each second sub-pixel line includes third sub-pixel units arranged in the first direction. Each third sub-pixel unit is located between one of the first sub-pixel units and one of the second sub-pixel units in a second direction perpendicular to the first direction and includes two blue sub-pixels arranged in the second direction. A red sub-pixel, a green sub-pixel, and a blue sub-pixel, which are adjacent to each other and which are respectively of the first sub-pixel line and the second sub-pixel line, form a pixel. | 05-05-2016 |
20160126299 | Semiconductor device and manufacturing method thereof - As a result of miniaturization of a pixel region associated with an improvement in definition and an increase in a substrate size associated with an increase in area, defects due to precision, bending, and the like of a mask used at the time of evaporation have become issues. A partition including portions with different thicknesses over a pixel electrode (also referred to as a first electrode) in a display region and in the vicinity of a pixel electrode layer is formed, without increasing the number of steps, by using a photomask or a reticle provided with an auxiliary pattern having a light intensity reduction function made of a diffraction grating pattern or a semi-transmissive film. | 05-05-2016 |
20160126300 | DISPLAY PANEL AND SYSTEM FOR DISPLAYING IMAGES UTILIZING THE SAME - A display panel is provided. The display panel includes a substrate having a pixel region and a peripheral region, a control element overlying the pixel region of the substrate, a first metal layer overlying the substrate in the peripheral region and in the pixel region, a first insulating layer formed on the first metal layer in the peripheral region, wherein the first insulating layer includes at least an opening, and the opening is disposed on the first metal layer, a second metal layer overlying the first insulating layer and electrically connected to the first metal layer, wherein a portion of the second metal layer is disposed in the opening, a second insulating layer overlying the second metal layer, and an electrode layer disposed on the second insulating layer. | 05-05-2016 |
20160126301 | Organic Light Emitting Device - Disclosed is an organic light emitting device, (OLED) comprising a substrate on which a driving transistor is formed, a bank formed on the substrate providing a boundary for a pixel region, a first electrode formed on the substrate and electrically connected with the driving transistor, the first electrode comprising a first and second cross sectional area both oriented in a direction perpendicular to a vertical direction of the substrate, the first area adjacent to the bank, the second area surrounded by the first area, an organic layer formed on the first electrode within the boundary provided by the bank, and a second electrode formed on the organic layer, wherein during operation of the OLED a first electric field between the first area of the first electrode and the second electrode is greater than a second electric field between the second area of the first electrode and the second electrode. | 05-05-2016 |
20160126302 | ORGANIC LIGHT-EMITTING DIODE (OLED) DEVICE AND DISPLAY DEVICE - The present disclosure relates to an organic light-emitting diode (OLED) device and a display device. The OLED device may include a substrate, thin film transistors (TFTs), an anode, a cathode, and an organic light-emitting layer between the anode and the cathode and configured to emit light. The organic light-emitting layer may be provided with a light-blocking layer which is configured to block ultraviolet (UV) light and arranged at a light-exiting side of the organic light-emitting layer. The display device may include the OLED device. | 05-05-2016 |
20160126303 | DISPLAY DEVICE - A display device is disclosed. In one aspect, the device includes a substrate including a display area displaying an image via a plurality of pixels and a non-display area adjacent to the display area. The device also includes a first line and a second line in the display area. The display device also includes a first connection line and a second connection line in the non-display area, wherein the first and second connection lines are respectively connected to the first and second lines and extend in different directions to cross each other. The display device also includes an insulating layer formed over the substrate and including a first portion and a second portion, the first portion corresponding to the display area and the second portion corresponds to a crossing area where the first and second connection lines cross each other, the thickness of the first and second portions are different. | 05-05-2016 |
20160126304 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light-emitting diode (OLED) display includes pixel electrodes, each pixel electrode corresponding to a pixel region disposed in a display region of a substrate, a first pixel region disposed at a center portion of the display region, a second pixel region disposed at an edge portion of the display region, auxiliary lines, each auxiliary line disposed adjacent to at least one pixel electrode, emission layers disposed on the pixel electrodes, and an opposite electrode disposed on the emission layers, the opposite electrode contacting each of the auxiliary lines through contact holes, wherein a total contact area between the opposite electrode and an auxiliary line in the first pixel region is greater than a total contact area between the opposite electrode and the auxiliary line in the second pixel region. | 05-05-2016 |
20160126417 | Light Emitting, Photovoltaic Or Other Electronic Apparatus and System - The present invention provides an electronic apparatus, such as a lighting device comprised of light emitting diodes (LEDs) or a power generating apparatus comprising photovoltaic diodes, which may be created through a printing process, using a semiconductor or other substrate particle ink or suspension and using a lens particle ink or suspension. An exemplary apparatus comprises a base; at least one first conductor; a plurality of diodes coupled to the at least one first conductor; at least one second conductor coupled to the plurality of diodes; and a plurality of lenses suspended in a polymer deposited or attached over the diodes. The lenses and the suspending polymer have different indices of refraction. In some embodiments, the lenses and diodes are substantially spherical, and have a ratio of mean diameters or lengths between about 10:1 and 2:1. The diodes may be LEDs or photovoltaic diodes, and in some embodiments, have a junction formed at least partially as a hemispherical shell or cap. | 05-05-2016 |
20160126458 | METHOD OF MANUFACTURING ORGANIC ELECTROLUMINESCENCE DISPLAY APPARATUS AND ORGANIC ELECTROLUMINESCENCE DISPLAY APPARATUS - A method includes: (a) preparing the organic electroluminescence display apparatus including: plural elements in which a reflective anode, an organic layer including an emission layer, and a transparent cathode are stacked; and partition walls, in which at least one of the picture elements is a bright spot defective element that always lights or blinks and (b) applying a laser beam to an area of the transparent cathode in the bright spot defective element, to draw a closed line and to have an unirradiated area along a periphery of the area, the area reflecting an emitting part. Step (b) includes (b-1) transforming the transparent cathode and an electron transmission layer by focusing the laser beam on the transparent cathode. In step (b-1), an area transformed in the electron transmission layer is enlarged by application of the laser beam. | 05-05-2016 |
20160126461 | ORGANIC LIGHT EMITTING DEVICE - An OLED comprising a hole-transporting layer and light-emitting layer wherein the hole-transporting layer comprises a hole-transporting polymer wherein no more than 5% of the polystyrene equivalent polymer weight measured by gel permeation chromatography consists of chains with weight of less than 50,000. | 05-05-2016 |
20160126463 | Benzo[a] Anthracene Compound, Light-Emitting Element, Display Device, Electronic Device, and Lighting Device - Provided is a light-emitting element with high emission efficiency including a fluorescent material as a light-emitting substance. In a light-emitting element including a pair of electrodes and an EL layer between the pair of electrodes, a delayed fluorescence component due to triplet-triplet annihilation accounts for 20% or more of light emitted from the EL layer, and the light has at least one emission spectrum peak in the blue wavelength range. The EL layer includes an organic compound in which an energy difference between the lowest singlet excited energy level and the lowest triplet excited energy level is 0.5 eV or more. The EL layer includes a benzo[a]anthracene compound. | 05-05-2016 |
20160126464 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent (EL) device having high efficiency, low driving voltage and a long lifetime is provided by combining various materials for an organic EL device, which are excellent, as materials for an organic EL device having high efficiency and high durability, in hole and electron injection/transport performances, electron blocking ability, stability in a thin-film state and durability, so as to allow the respective materials to effectively reveal their characteristics. In the organic EL device having at least an anode, a hole injection layer, a first hole transport layer, a second hole transport layer, a light emitting layer, an electron transport layer and a cathode in this order, the second hole transport layer includes an arylamine compound represented by the following general formula (1). | 05-05-2016 |
20160126465 | COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING SAME - A compound represented by Formula 1 below, and an organic light-emitting device including the compound: | 05-05-2016 |
20160126466 | ORGANIC LIGHT EMITTING DEVICE AND DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting device and a display device, the organic light emitting device including a first electrode; a hole transport region on the first electrode; an emission layer on the hole transport region; an electron transport region on the emission layer; and a second electrode on the electron transport region, wherein the hole transport region includes a compound represented by the following Formula 1: | 05-05-2016 |
20160126467 | AMINE DERIVATIVES, MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - A material for an organic electroluminescent device having high emission efficiency and an organic electroluminescent device including the same. An amine derivative of an embodiment of the inventive concept is represented by Formula (1). | 05-05-2016 |
20160126468 | INDENOINDOLE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT - According to the present invention, there are provided an indenoindole derivative represented by the following general formula (1); and an organic electroluminescent element including a pair of electrodes and at least one organic layer sandwiched therebetween, wherein the above compound is used as a constituent material for the at least one organic layer. The indenoindole derivative of the present invention provides an organic compound, which is excellent in hole injection/transport performance, has electron blocking capability, is highly stable in a thin film state, and excels in heat resistance, as a material for a high efficiency, high durability organic electroluminescent element. An organic electroluminescent element formed using this compound is highly efficient and highly durable. | 05-05-2016 |
20160126469 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - Provided are a material for an organic electroluminescent device capable of being driven at a low voltage and having high emission efficiency. An organic electroluminescent device includes the same. An embodiment of the material for an organic electroluminescent device according to the present disclosure is represented by Formula 1. The substituents of Formula 1 are as described herein. | 05-05-2016 |
20160126470 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE, IMAGE SENSOR, AND ELECTRONIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device is represented by Chemical Formula 1. An organic photoelectric device includes a first electrode and a second electrode facing each other and an active layer between the first electrode and the second electrode, the active layer including the compound represented by Chemical Formula 1. | 05-05-2016 |
20160126471 | ORGANIC OPTOELECTRIC DEVICE AND DISPLAY DEVICE - An organic optoelectric device including an anode and a cathode facing each other, an emission layer between the anode and the cathode, a hole transport layer between the anode and the emission layer, a hole transport auxiliary layer between the hole transport layer and the emission layer, an electron transport layer between the cathode and the emission layer, and an electron transport auxiliary layer between the electron transport layer and the emission layer, wherein the electron transport auxiliary layer includes a first compound represented by the following Chemical Formula 1, and the hole transport auxiliary layer includes a second compound represented by the following Chemical Formula | 05-05-2016 |
20160126472 | COMPOSITION FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC OPTOELECTRONIC DEVICE, AND DISPLAY DEVICE - Disclosed are a composition for an organic optoelectronic device including at least one kind of a first host compound represented by the Chemical Formula 1 and at least one kind of a second host compound represented by the Chemical Formula 2, and an organic optoelectronic device and a display device including the composition. | 05-05-2016 |
20160126473 | MULTICYCLIC COMPOUND AND ORGANIC ELECTRONIC DEVICE USING THE SAME - The present specification describes a novel polycyclic compound and an organic electroluminescent device using the same. | 05-05-2016 |
20160126474 | CARBAZOLE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A carbazole compound represented by Formula 1: | 05-05-2016 |
20160126475 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer, wherein the organic layer includes a first material represented by Formula 1, and a second material represented by Formula 2, | 05-05-2016 |
20160126476 | NOVEL COMPOUND, LIGHT EMITTING DEVICE COMPRISING SAME, AND ELECTRONIC DEVICE - A novel compound for improving the hole injection and transport properties in a light emitting device, a light emitting device including the compound, and an electronic device including a light emitting device are provided. | 05-05-2016 |
20160126477 | ORGANIC COMPOUND FOR OPTOELECTRIC DEVICE AND COMPOSITION FOR OPTOELECTRIC DEVICE AND ORGANIC OPTOELECTRIC DEVICE AND DISPLAY DEVICE - Disclosed are a first compound for an organic optoelectric device represented by a combination of Chemical Formula I-1 and Chemical Formula I-2 and a composition for an organic optoelectric device including the first compound for an organic optoelectric device and at least one second compound for an organic optoelectric device having a moiety represented by Chemical Formula II, and an organic optoelectric device and a display device including the same. Chemical Formula I-1, Chemical Formula I-2 and Chemical Formula II are the same as described in the detailed description. | 05-05-2016 |
20160126478 | EMISSIVE COMPOUNDS FOR LIGHT EMITTING DEVICES - Disclosed herein are compounds represented by Formula (1), wherein R | 05-05-2016 |
20160126479 | ORGANIC COMPOUND AND ORGANIC LIGHT EMITTING DIODE DEVICE INCLUDING THE SAME - An organic compound represented by Chemical Formula 1 is disclosed. Also a light emitting diode including the organic compound is described. | 05-05-2016 |
20160126484 | Optoelectronic Devices Made Using Layers Detached From Inherently Lamellar Semiconductor Donors - Optoelectronic devices containing functional elements made from layers liberated from natural and/or fabricated inherently lamellar semiconductor donors. In one embodiment, a donor is provided, a layer is detached from the donor, and the layer is incorporated into an optoelectronic device as a functional element thereof. The thickness of the detached layer is tuned as needed to suit the functionality of the functional element. Examples of functional elements that can be made using detached layers include p-n junctions, Schotkey junctions, PIN junctions, and confinement layers, among others. Examples of optoelectronic devices that can incorporate detached layers include LEDs, laser diodes, MOSFET transistors, and MISFET transistors, among others. | 05-05-2016 |
20160126485 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Discussed is an organic light emitting display device. The organic light emitting display device includes a substrate in which red, green, and blue pixel areas are defined, a first electrode and a hole transport layer formed on the substrate, first to third emitting material layers formed in each of the red, green, and blue pixel areas on the hole transport layer, a first electron transport layer formed on the first to third emitting material layers, a first charge generation layer formed on the first electron transport layer, a second charge generation layer formed on the first charge generation layer, fourth to sixth emitting material layers formed in each of the red, green, and blue pixel areas on the second charge generation layer, a second electron transport layer formed on the fourth to sixth emitting material layers, and a second electrode formed on the second electron transport layer. | 05-05-2016 |
20160126486 | PROCESS FOR PRODUCING AN OPTOELECTRONIC COMPONENT AND OPTOELECTRONIC COMPONENT - Various embodiments may relate to a process for producing an optoelectronic component. In the process, a carrier is provided. A first electrode is formed upon the carrier. An optically functional layer structure is formed upon the first electrode. A second electrode is formed upon the optically functional layer structure. At least one of the two electrodes is formed by disposing electrically conductive nanowires on a surface on which the corresponding electrode is to be formed, and by heating the nanowires in such a way that they plastically deform. | 05-05-2016 |
20160126488 | ORGANIC LIGHT EMITTING DIODE STRUCTURE - There is provided an organic light emitting diode (OLED) comprising an organic electroluminescent layer formed between a first electrode and a second electrode, characterised in that one of the first and second electrodes comprises a nano-meter metallic layer having a plasmonic photonic crystal structure formed thereon, and wherein the plasmonic photonic crystal structure is configured to interact with surface plasmon polaritons generated at a surface of the ne electrode thereby providing for transmission of electromagnetic radiation having a wavelength of between 350 nm to 750 nm from the OLED. | 05-05-2016 |
20160126489 | LIGHT-EMITTING ELEMENT, DISPLAY DEVICE, AND METHOD FOR MANUFACTURING LIGHT-EMITTING ELEMENT - An organic EL element includes: a first electrode that is a metal layer; a transparent conductive layer containing indium zinc oxide; and a light-emitting layer, wherein the first electrode, the transparent conductive layer, and the light-emitting layer are stacked, and a ratio of zinc to indium in a vicinity of an interface of the transparent conductive layer is lower than or equal to 0.25, the interface being closer to the light-emitting layer. | 05-05-2016 |
20160126490 | ORGANIC ELECTROLUMINESCENCE ELEMENT, DISPLAY PANEL, AND METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENCE ELEMENT - Disclosed is an organic electroluminescence element that can provide uniform light emission over the entire surface and also can reduce the risk of short circuit and leakage. An organic electroluminescence element | 05-05-2016 |
20160126492 | ENCAPSULATION FILM FOR ORGANIC LIGHT EMITTING DISPLAY DEVICE, METHOD OF MANUFACTURING THE SAME, AND ORGANIC LIGHT EMITTING DISPLAY DEVICE USING THE SAME - Disclosed is an organic light emitting display apparatus. An outer portion of an adhesive layer is set higher in degree of cure than a center portion of the adhesive layer, and thus, a driving defect is prevented from occurring because the adhesive layer stretches in a manufacturing process, and various defects are prevented from being caused by a jig, thereby enhancing reliability and productivity of the organic light emitting display apparatus. | 05-05-2016 |
20160126495 | DEVICE STRUCTURE AND METHOD OF PRODUCING THE SAME - To provide a device structure that is capable of preventing oxygen, water, and the like from entering the device, and a method of producing the same. | 05-05-2016 |
20160126496 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - An organic light-emitting display apparatus includes: a substrate; first electrodes arranged on the substrate at separate positions; a second electrode disposed on the first electrodes to face the first electrodes; an intermediate layer disposed between the first electrodes and the second electrode and including an emission layer; a first encapsulating layer disposed on the second electrode and patterned to have a plurality of islands, the first encapsulating layer including an organic material; and a second encapsulating layer covering the islands of the first encapsulating layer and including an inorganic material. | 05-05-2016 |
20160126497 | ORGANIC ELECTROLUMINESCENCE DEVICE AND METHOD FOR PRODUCING ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device | 05-05-2016 |
20160126498 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display (OLED) device includes: a lower substrate; an organic light-emitting element disposed on the lower substrate; an anti-oxidation layer covering the organic light-emitting element; an inorganic oxide encapsulation layer covering the anti-oxidation layer; a first organic layer covering the inorganic oxide encapsulation layer; and a first inorganic encapsulation layer covering the first organic layer. The anti-oxidation layer is configured to suppress the organic light-emitting element from being oxidized by oxygen (O | 05-05-2016 |
20160126499 | ORGANIC LIGHT-EMITTING DIODE, ARRAY SUBSTRATE AND PREPARATION METHOD THEREOF, AND DISPLAY DEVICE - The present invention relates to an organic light-emitting diode, an array substrate and a preparation method thereof, and a display device. The organic light-emitting diode comprises an anode, a cathode, a light-emitting layer disposed between the anode and the cathode, and a hole injection layer disposed between the anode and the light-emitting layer, wherein the hole injection layer is provided therein with metal nanoparticles, and the frequency of a localized surface plasmon resonance of the metal nanoparticles is matched with the emission wavelength of the light-emitting layer. As the organic light-emitting diode is doped with metal nanoparticles in the hole injection layer and the resonance frequency of the localized surface plasmon of the metal nanoparticles is matched with the emission wavelength of the light-emitting layer, the metal nanoparticles are allowed to generate localized plasma resonance with photons, so that the light extraction efficiency of the organic light-emitting diode is enhanced. | 05-05-2016 |
20160126501 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - Provided is an organic light emitting display device. An organic light emitting display device includes an organic light emitting element and a light scattering layer disposed on a bottom or a top of the organic light emitting element. The light scattering layer includes a photosensitive resin and dispersion particles dispersed in the photosensitive resin. The dispersion particles are configured to improve light extraction with respect to light emitted from the organic light emitting element and compensate a viewing angle with respect to the light emitted from the organic light emitting element. | 05-05-2016 |
20160126502 | ORGANIC ELECTROLUMINESCENT LIGHTING DEVICE - Provided is an organic electroluminescent lighting device which can be seen that the entire surface is uniformly illuminated from the side of a transparent electrode substrate even when an auxiliary electrode or an auxiliary wiring is provided with respect to the transparent electrode substrate. | 05-05-2016 |
20160126503 | ORGANIC LIGHT-EMITTING DIODE (OLED) PANEL, MANUFACTURING METHOD THEREOF AND DISPLAY DEVICE - An organic light-emitting diode (OLED) panel, a manufacturing method thereof and a display device are provided. The OLED panel comprises: a base substrate, a plurality of OLED units formed on the base substrate, and a reflective structure formed on the base substrate, disposed along the periphery of the OLED units and configured to partially or completely encircle the OLED units. The OLED unit includes an anode layer, an organic emission layer and a cathode layer. The reflective structure is provided with a reflective surface which is configured to reflect light emitted from a side terminal of the organic emission layer to the outside of the OLED panel. The OLED panel can improve the utilization rate of light emitted by the OLED units and hence improve the display quality of the OLED panel. | 05-05-2016 |
20160126505 | Solution Process Electron Transporting Layer for Polymer Light Emitting Diode - The present invention relates to a method for fabricating a solution-processed PLED including an electron transport layer. The electron transport layer, deposited on an emission layer by a solution process, provides the performance comparable to those processed by vacuum deposition. In addition, the method of the present invention is able to lower manufacturing cost and reduce time for fabrication. | 05-05-2016 |
20160126508 | ORGANIC EL LIGHT EMITTING DEVICE, MANUFACTURING METHOD THEREFOR, AND ORGANIC EL ILLUMINATION DEVICE - An organic EL light emitting device includes a transparent substrate, a transparent electrode film formed on the substrate, a positive electrode contact portion in contact with a part of the transparent electrode film and electrically connected therewith, an insulating layer formed on the transparent electrode film such that the an insulating layer covers a portion excluding a light emitting part, an organic light emitting layer formed on the transparent electrode film and on the insulating layer, a negative electrode film formed on the organic light emitting layer, a negative electrode contact portion in contact with at least a part of the negative electrode film and electrically connected therewith, and a protective layer for separating and electrically insulating the positive electrode contact portion and the transparent electrode film from the negative electrode contact portion. | 05-05-2016 |
20160130225 | COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, ORGANIC ELECTROLUMINESCENT ELEMENT, AND ELECTRONIC DEVICE - A compound is represented by a formula (1) below, in which k is an integer of 0 or more, m is an integer of 1 or more, n is an integer of 2 or more. L is a substituted or unsubstituted aromatic hydrocarbon ring having 6 to 30 ring carbon atoms, CN is a cyano group, and D | 05-12-2016 |
20160130476 | PRESSURE SENSITIVE ADHESIVE FILM - The present application provides a pressure-sensitive adhesive film, an organic electronic device including the same and a method of manufacturing an organic electronic device using the same. The present application provides the pressure-sensitive adhesive film which forms a structure effectively blocking water or oxygen from penetrating into an organic electronic device from the outside, and has excellent mechanical properties such as handleability, formability or the like and transparency. | 05-12-2016 |
20160131806 | LIGHT-EXTRACTION FILM FOR EL, METHOD FOR MANUFACTURING LIGHT-EXTRACTION FILM FOR EL, AND PLANAR LIGHT-EMITTING BODY - This light-extraction film for EL includes a diffusion layer and an uneven-structure layer, the diffusion layer including first light-diffusion fine particles, and the uneven-structure layer including second light-diffusion fine particles as desired, and satisfying the expression Px-Py≧5 mass %. (Px represents the content ratio of the first light-diffusion fine particles to the total mass of the diffusion layer. Py represents the content ratio of the second light-diffusion fine particles to the total mass of the uneven structure layer.) | 05-12-2016 |
20160133650 | THIN FILM TRANSISTOR DEVICE, METHOD FOR MANUFACTURING SAME AND DISPLAY DEVICE - A thin film transistor device including: a substrate; a gate electrode; an electrode pair composed of a source electrode and a drain electrode; a channel layer; and a passivation layer. The channel layer is made of an oxide semiconductor. The passivation layer includes a first layer, a second layer, and a third layer layered one on top of another in this order with the first layer closest to the substrate. The first layer is made of one of silicon oxide, silicon nitride, and silicon oxynitride, the second layer is made of an Al compound, and the third layer is made of one of silicon oxide, silicon nitride, and silicon oxynitride. | 05-12-2016 |
20160133673 | COLOR FILTER ARRAY SUBSTRATE AND FABRICATING METHOD THEREOF AND ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE USING THE SAME - An OLED display device according to an embodiment includes a substrate; a black matrix, in which openings are formed, disposed on the substrate; a color filter layer disposed in the openings; and a transparent insulation layer disposed on the substrate, which is provided with the color filter layer, and configured to include optical patterns which are arranged in a shape of plural polygons. As such, the OLED display device can enhance an output efficiency of light. | 05-12-2016 |
20160133674 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes: a substrate; and a plurality of red organic light emitting diodes, green organic light emitting diodes, and blue organic light emitting diodes on the substrate, each of the plurality of red organic light emitting diodes, green organic light emitting diodes, and blue organic light emitting diodes including: a first electrode on the substrate; an organic layer on the first electrode; and a second electrode on the organic layer, and the organic layer includes a light emission auxiliary layer on the first electrode and an organic light emitting layer on the light emission auxiliary layer, and the organic layer of each of the red organic light emitting diodes has a thickness of about 90 to 110 nm. | 05-12-2016 |
20160133676 | ORGANIC LIGHT-EMITTING DISPLAY PANEL AND METHOD OF FABRICATING THE SAME - An organic light-emitting display panel and a method of fabricating the same, the panel including a base substrate; a first electrode layer including a plurality of first electrodes arranged on the base substrate; a pixel-defining layer including partition walls that extend from the base substrate and that define a plurality of pixels; an organic light-emitting layer including a plurality of organic light-emitting patterns in the pixels, respectively; and a second electrode layer on the organic light-emitting layer, wherein the organic light-emitting layer includes a plurality of primer patterns, the plurality of primer patterns being respectively formed in the pixels, being separate from one another, and respectively overlapping the first electrodes, at least one of the primer patterns has an area different area from an area of the other primer patterns, and the primer patterns have an affinity for liquid that is higher than an affinity for liquid of the pixel-defining layer. | 05-12-2016 |
20160133677 | ORGANIC LIGHT-EMITTING DEVICE AND ORGANIC DISPLAY DEVICE EACH INCLUDING LIGHT EMITTERS IN A TWO-DIMENSIONAL ARRANGEMENT ALONG A MAIN SURFACE OF A SUBSTRATE, THE LIGHT EMITTERS BEING DEFINED BY BANKS - An organic light-emitting device including a substrate, light emitters in a two-dimensional arrangement along a surface of the substrate, and banks that define the light emitters. The banks include elongated first banks and elongated second banks. The first banks and the second banks intersect. The first banks and the second banks include insulating material, and surfaces of the first banks and the second banks that face an organic functional layer of any of the light emitters contain fluorine. The first banks are above the second banks at each intersection between the first banks and the second banks. Fluorine concentration of the surface portion of each of the second banks is less than fluorine concentration of the surface portion of each of the first banks. | 05-12-2016 |
20160133678 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND METHOD FOR MANUFACTURING THE SAME - Provided is an OLED display that includes, for example, a substrate having a plurality of pixel regions defined in a matrix; a thin film transistor in each pixel region; an anode connected to the thin film transistor in each pixel region; and a bank covering an edge of the anode and having an inside boundary at a first distance from the edge of the anode and an outside boundary at a second distance from the edge of the anode. | 05-12-2016 |
20160133679 | THIN FILM TRANSISTOR ARRAY SUBSTRATE, ORGANIC LIGHT-EMITTING DIODE DISPLAY INCLUDING THE SAME, AND MANUFACTURING METHOD THEREOF - A TFT array substrate, OLED display including the same, and a manufacturing method of the OLED display are disclosed. In one aspect, the TFT array substrate includes a substrate and a TFT formed over the substrate. The TFT includes an active layer, a gate electrode, a source electrode, a drain electrode, a first insulating layer interposed between the gate electrode and the source and drain electrodes. Each of the source and drain electrodes is interposed between the active layer and the first insulating layer. The TFT array substrate also includes a capacitor formed over the substrate and having lower and upper electrodes and a pixel electrode electrically connected to the TFT. | 05-12-2016 |
20160133680 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display and a method of manufacturing the same. The organic light-emitting display is a transparent display where one can see through the display to view an image on the other side of the display. Each pixel of the display has a first region that includes an organic light emitting diode and a thin film transistor, and a larger second region that is transparent. The second region is made of either transparent layers or ultra-thin layers so that light is not blocked. A second electrode of the display may include magnesium and may be produced by a selective deposition process, so that use of a fine metal mask may be avoided. | 05-12-2016 |
20160133681 | ORGANIC LIGHT-EMITTING DIODE DISPLAY HAVING MULTI-MODE CAVITY STRUCTURE - An organic light-emitting diode (OLED) display can include a substrate configured to have an emission area and a non-emission area defined in the substrate; a thin film transistor disposed in the non-emission area; a first storage capacitor electrode and a second storage capacitor electrode configured to be overlapped in the emission area with a passivation layer interposed between the first and the second storage capacitor electrodes; an overcoat layer configured to cover the thin film transistor and the second storage capacitor electrode; and a first pixel area configured to comprise a first anode electrode and an insulating layer sequentially stacked on the overcoat layer in such a way as to overlap the second storage capacitor electrode and a second anode electrode disposed on the insulating layer and configured to come in contact with the thin film transistor and the first anode electrode. | 05-12-2016 |
20160133683 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - (OBJECT) The object is to provide a lightened semiconductor device and a manufacturing method thereof by pasting a layer to be peeled to various base materials. | 05-12-2016 |
20160133839 | Light Emitting Hybrid Semiconductors Based on IB-VII Binary Compounds - Inorganic-organic hybrid IB-VII semiconductor compounds, in which a Group IB transition metal halide salt is coordinated with an organic heteroaromatic ligand, wherein at least one ring atom of said heteroaromatic ligand is a heteroatom independently selected from N, O and S and the Group IB metal of the halide salt is coordinated to a ring heteroatom. Also disclosed are semiconductor and light emitting devices comprising these materials, including light emitting diodes, and methods of preparing these materials and devices. | 05-12-2016 |
20160133840 | ORGANIC LIGHT EMISSION DISPLAY DEVICE, AND METHOD AND THIN FILM DEPOSITION APPARATUS OF FABRICATING THE SAME - Disclosed is an organic light emission display device including; a substrate in which a first side area, a middle area, and a second side are sequentially defined in a first direction; a first electrode disposed on the substrate; a second electrode opposite to the first electrode; a red emission layer disposed between the first and second electrodes; a first subsidiary layer disposed between the red emission layer and the first electrode in the middle area, and configured to adjust a resonant range; and a second subsidiary layer disposed between the red emission layer and the first electrode respectively in the first and second side areas, and configured to adjust a resonant range. The first subsidiary layer is different from the second subsidiary layer in thickness. | 05-12-2016 |
20160133842 | POLYMER COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE ELEMENT USING SAME, AND ORGANIC ELECTROLUMINESCENCE ELEMENT - A polymer compound which includes repeating units represented by formula (1-1) or (1-2) and an organic EL device including the polymer compound as an organic layer are provided: | 05-12-2016 |
20160133844 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode and including an emission layer. The organic layer includes a first material represented by Formula 1 and a second material represented by Formula 2: | 05-12-2016 |
20160133845 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer, wherein the emission layer includes a first compound represented by the following Formula 1, and a second compound represented by one of the following Formulae 2-1 to 2-4: | 05-12-2016 |
20160133847 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT - An object of the invention is to provide an organic EL device which operates at a reduced driving voltage and has a prolonged lifetime. The aromatic amine derivative of the invention is represented by formula (1): | 05-12-2016 |
20160133848 | HETEROCYCLIC COMPOUNDS AND ORGANIC ELECTROLUMINESCENT DEVICES USING THE SAME - The present invention discloses a novel heterocyclic compound of Formula (1) and an organic electroluminescent device using the same, in which variables are as described herein. The heterocyclic compound of Formula (1) is present in the emitting layer as a light emitting host in combination with a dopant and another host, in the organic electroluminescent device and high luminous efficiency and low driving voltage are achieved. | 05-12-2016 |
20160133850 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device of which emission life may be improved. The organic electroluminescent device includes an anode, an emission layer, and an anode-side hole transport layer provided between the anode and the emission layer and including an anode-side hole transport material. An electron accepting material is doped in the anode-side hole transport layer. An intermediate hole transport material layer is provided between the anode-side hole transport layer and the emission layer and includes an intermediate hole transport material, and an emission layer-side hole transport layer is provided between the intermediate hole transport material layer and the emission layer and adjacent to the emission layer. The emission layer-side hole transport layer includes an emission layer-side hole transport material represented by the following Formula 1. | 05-12-2016 |
20160133851 | COMPOSITION, ORGANIC OPTOELECTRONIC ELEMENT, AND DISPLAY DEVICE - A composition including a first host compound represented by Chemical Formula I and a second host compound represented by Chemical Formula II, and an organic optoelectronic device and a display device including the composition are disclosed. | 05-12-2016 |
20160133852 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode facing the first electrode; and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer, wherein the organic layer includes a first compound represented by Formula 1, below, and a second compound represented by Formula 2, below, | 05-12-2016 |
20160133853 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer; wherein the emission layer includes a first host represented by the following Formula 1, and a second host represented by the following Formula 2: | 05-12-2016 |
20160133855 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device is disclosed. The organic light emitting display device comprises an anode; an organic layer on the anode; and a cathode on the organic layer, wherein the organic layer comprises a compound including a carbazole compound having hole characteristics and a material having electron characteristics. | 05-12-2016 |
20160133856 | DELAYED FLUORESCENCE COMPOUND, AND ORGANIC LIGHT EMITTING DIODE AND DISPLAY DEVICE USING THE SAME - Embodiments relate to a delayed fluorescence compound of Formula 1: | 05-12-2016 |
20160133857 | COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A compound, an organic light-emitting device, and a flat panel display apparatus, the compound being represented by the following Formula 1: | 05-12-2016 |
20160133859 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having a formula M(L | 05-12-2016 |
20160133860 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A compound having a formula M(L | 05-12-2016 |
20160133863 | FORMULATION AND METHOD FOR PREPARATION OF ORGANIC ELECTRONIC DEVICES - The present invention relates to novel formulations comprising an organic semiconductor (OSC) and one or more organic solvents. The formulation comprises a viscosity at 25° C. of less than 15 mPas and the boiling point of the solvent is at most 400° C. Furthermore, the present invention describes the use of these formulations as inks for the preparation of organic electronic (OE) devices, especially organic photovoltaic (OPV) cells and OLED devices, to methods for preparing OE devices using the novel formulations, and to OE devices, OLED devices and OPV cells prepared from such methods and formulations. | 05-12-2016 |
20160133865 | SOLID-STATE IMAGING ELEMENT, PRODUCTION METHOD THEREOF, AND ELECTRONIC DEVICE - A solid-state imaging element including a phase difference detection pixel pair that includes first ( | 05-12-2016 |
20160133866 | Organic Light-Emitting Diode Fluorescent Device and Method for Producing Same - An organic light-emitting diode fluorescent device includes an anode layer, a hole injection layer, an emissive layer, an electron injection layer, and a cathode layer. A confinement layer is disposed on at least one of an upper face and a lower face of the emissive layer. The confinement layer has a triplet energy level higher than a triplet energy level of the emissive layer. A method for producing the organic light-emitting diode fluorescent device includes providing an anode substrate as an anode layer and disposing a hole injection layer, an emissive layer, an electron injection layer, and a cathode layer on the anode layer in sequence. A confinement layer is disposed on at least one of an upper face and a lower face of the emissive layer while producing the emissive layer. The confinement layer has a triplet energy level higher than a triplet energy level of the emissive layer. | 05-12-2016 |
20160133867 | ORGANIC EL ELEMENT AND ORGANIC EL DISPLAY PANEL - An organic electroluminescence (EL) element that has an anode; a cathode; an organic light-emitting layer between the anode and the cathode that emits light according to recombination of holes injected from the anode and electrons injected from the cathode; and an electron transport layer between the cathode and the organic light-emitting layer that transports electrons from the cathode to the organic light-emitting layer. The electron transport layer includes an n-type dopant that includes an electron-donating substance, the electron transport layer being doped with the n-type dopant at a doping concentration that is higher than a doping concentration at which light emittance efficiency of the organic light-emitting layer is greatest. | 05-12-2016 |
20160133868 | LIGHT-EMITTING DEVICE WITH ALTERNATING ARRANGEMENT OF ANODE PADS AND CATHODE PADS - The invention relates to a light-emitting device like an OLED comprising a light emission region between an anode ( | 05-12-2016 |
20160133869 | DISPLAY DEVICE AND MANUFACTURING METHOD FOR THE SAME - A display device including: a substrate; first and second lower electrodes disposed with a gap therebetween; a partition wall containing resin material; first and second organic functional layers; and an upper electrode. The bottom face of the partition wall includes a first portion and two second portions. A height difference between the first portion and the second portion is no more than 30% of a height difference between the first portion and a maximum height point of a top face of the partition wall. The second portions each have a width no more than 20% of an overall width of the partition wall. The first portion corresponds to a part of the partition wall corresponding to the gap. The second portions respectively correspond to parts of the partition wall covering a portion of the first lower electrode and a portion of the second lower electrode. | 05-12-2016 |
20160133872 | ORGANIC ELECTRONIC DEVICE - Provided are an organic electronic device (OED) and a method of manufacturing the same. The OED may effectively block moisture or oxygen permeating into the OED from an external environment, provide high reliability by increasing a life span and durability of an organic electronic diode, and minimize an align error in a process of attaching a film encapsulating the organic electronic diode to a substrate. | 05-12-2016 |
20160133874 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND FABRICATING METHOD THEREOF - An organic light emitting display device and a fabricating method thereof are disclosed, in which an organic light emitting diode or a cathode electrode may be prevented from being damaged by outgassing generated due to water remaining in a planarization film. The organic light emitting display device includes a substrate; a thin film transistor layer provided on the lower substrate; a planarization film provided on the thin film transistor layer to planarize the thin film transistor layer; an anode line provided on the planarization film to partially expose the planarization film in a non-display area corresponding to a periphery area of a display area; and a water absorption organic film provided on the exposed portion of the planarization film to at least partially absorb outgassing from the planarization film. | 05-12-2016 |
20160133875 | Method for Producing an Organic Component and Organic Component - The invention relates to a method for producing an organic component in which a layer stack comprising an electrode, a counter-electrode and one or more organic layers is produced and in which a functional layer with metal particle nanoclusters is formed in the layer stack, wherein here in the layer stack a base layer of an inorganic material is produced on an underlying stack region which comprises at least one organic layer and an arrangement of isolated metal particle nanoclusters is formed on the base layer. The invention further relates to an organic component. | 05-12-2016 |
20160133876 | OPTICAL LAYERED BODY AND SURFACE LIGHT SOURCE DEVICE - An optical layered body including: a substrate layer; a first adhesive layer disposed on one surface of the substrate layer; and a second adhesive layer disposed on an opposite surface of the first adhesive layer to the substrate layer, wherein the second adhesive layer includes particles that are capable of scattering light, and a refractive index n | 05-12-2016 |
20160133877 | DISPLAY DEVICE - An organic EL display device includes a first substrate, a plurality of organic EL devices arranged on the first substrate, a second substrate arranged above the first substrate, and a filling layer arranged between the first substrate and the second substrate, and displays an image on the second-substrate side. The organic EL display device is characterized in that: the organic EL devices each have a light-emission layer, a reflection electrode formed below the light-emission layer and reflecting light from the light-emission layer upwards, and an upper electrode formed above the light-emission layer and having a light transmission property and reflectivity; a structure for resonating the light emitted by the light-emission layer is formed between the reflection electrode and the upper electrode; and the filling layer includes fine particles for diffusing light exiting from the upper electrode added therein. | 05-12-2016 |
20160133878 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device - A light-emitting element including a first electrode, a second electrode, and an EL layer provided between the first and second electrodes is provided. The first electrode includes a conductive layer, a first transparent conductive layer in contact with the conductive layer, and a second transparent conductive layer in contact with the first transparent conductive layer. The first transparent conductive layer contains a first oxide. The second transparent conductive layer contains a second oxide. The conductive layer has a function of reflecting light. The first oxide contains In and M (M represents Al, Si, Ti, Ga, Y, Zr, La, Ce, Nd, or Hf). The second oxide contains In. The resistivity of the second transparent conductive layer is lower than that of the first transparent conductive layer. The thickness of the second transparent conductive layer is greater than or equal to that of the first transparent conductive layer. | 05-12-2016 |
20160133879 | OPTOELECTRONIC COMPONENT AND METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT - Various embodiments may relate to an optoelectronic component and a method for producing an optoelectronic component. In various embodiments, an optoelectronic component is provided, the optoelectronic component, including an optically active structure, which is designed for receiving and/or providing electromagnetic radiation, and at least one scattering structure, which is formed in the beam path of the electromagnetic radiation on or above the optically active structure. The scattering structure is designed such that the directional characteristic of the electromagnetic radiation can be electrically modified. | 05-12-2016 |
20160133880 | ORGANIC LIGHT-EMITTING DEVICE AND METHOD OF FABRICATING THE SAME - Provided is an organic light-emitting device including: a substrate; an anode on the substrate; an organic layer on the anode and including an emission layer; a cathode on the organic layer; an optical auxiliary layer on the cathode; and a capping layer on the optical auxiliary layer, wherein, in a visible light region, the optical auxiliary layer has a lower refractive index and a higher absorption coefficient as the capping layer. | 05-12-2016 |
20160137769 | COMPOSITION FOR FABRICATING ORGANIC FILM, ORGANIC LIGHT-EMITTING DISPLAY APPARATUS MANUFACTURED USING THE SAME, AND METHOD OF MANUFACTURING THE ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A composition for fabricating an organic film, an organic light-emitting display apparatus manufactured using the same, and a method of manufacturing the organic light-emitting display apparatus, the composition comprising a first compound that includes n substituents Y, and m polymerizable groups P | 05-19-2016 |
20160137887 | PRESSURE-SENSITIVE ADHESIVE FILM AND METHOD OF MANUFACTURING ORGANIC ELECTRONIC DEVICE USING THE SAME (As Amended) - Provided are a pressure-sensitive adhesive film and a method of manufacturing an organic electronic device using the same. The pressure-sensitive adhesive film that may effectively block moisture or oxygen penetrated into an organic electronic device from an external environment, and exhibit reliability under harsh conditions such as high temperature and high humidity and excellent optical characteristics is provided. | 05-19-2016 |
20160141338 | TANDEM-TYPE ORGANIC LIGHT-EMITTING DIODE AND DISPLAY DEVICE - A tandem-type organic light-emitting diode (OLED) and a display device are provided. The tandem-type OLED includes a substrate, a first electrode, a first light-emitting unit, a charge generate layer, a second light-emitting unit and a second electrode. The first electrode is disposed on the substrate, the first light-emitting unit is disposed on the first electrode, the charge generate layer is disposed on the first light-emitting unit is disposed on the first light-emitting unit, the second light-emitting unit is disposed on the charge generate layer, and the second electrode is disposed on the second light-emitting unit. The charge generate layer includes stacked first electron transport layer and active metal layer. Accordingly, the tandem-type OLED with stable performance is obtained and in favor of mass production. | 05-19-2016 |
20160141339 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a substrate extending along a first direction, the substrate comprising a pixel region having a plurality of pixels and a transparent region that is located adjacent to the pixel region, a lower electrode disposed on the substrate in the pixel region, the lower electrode extending along the first direction, a light emitting layer disposed on the lower electrode, the light emitting layer extending along the first direction, and an upper electrode disposed on the light emitting layer in the pixel region, the upper electrode extending along the first direction. The upper electrode exposes the transparent region. | 05-19-2016 |
20160141340 | ORGANIC EL DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic EL display device includes a display area, a measurement area provided outside the display area, an organic layer that is formed in the display area and in the measurement area and includes a light-emitting layer, and a conductive film that is formed on the organic layer in the display area and functions as the upper electrode. The conductive film covers the organic layer in the measurement area. | 05-19-2016 |
20160141343 | OLED AND FABRICATION METHOD THEREOF, AND DISPLAY APPARATUS - An OLED and a fabrication method thereof, and a display apparatus are provided. The OLED comprises: a base substrate; a first electrode, an organic functional layer and a transparent or semi-transparent second electrode sequentially disposed on the base substrate; and a covering layer provided on a side of the second electrode away from the base substrate. A surface of the covering layer away from the base substrate is uneven. | 05-19-2016 |
20160141344 | LIGHT-EMITTING ELEMENT DISPLAY DEVICE - A light-emitting element display device includes a substrate, one or a plurality of thin film transistors, a light-emitting element, a first electrode, and a second electrode. The substrate includes an insulating material. The thin film transistors are in each pixel of a display area on the substrate. The light-emitting element emits light by current flow in each pixel. The first electrode is between the substrate and the thin film transistors, and overlaps at least two of the thin film transistors when viewed in plan. The second electrode includes a conducting material, and is arranged across the first electrode from the substrate via an insulating film so as to form a capacitor together with the first electrode. | 05-19-2016 |
20160141345 | Light-Emitting Element and Display Device Using Same - A display device includes a plurality of light-emitting elements aligned on a TFT substrate in a formation of a matrix. The plurality of light-emitting elements each have a flat surface portion and including a light-emitting layer, an anode, and a cathode, an insulating layer formed on the TFT substrate and under the light emitting element, and a tilted metal surface provided on a peripheral area surrounding the flat surface portion of the light-emitting element and having a tilt angle with respect to the flat surface portion of the light-emitting element. The tilted metal surface is provided on a surface of a slope of a bank that is provided on the insulation layer, and a width of a cross-section of the bank becomes smaller as the cross section comes farther away from a surface of the TFT substrate. A counter substrate is placed on the TFT substrate. | 05-19-2016 |
20160141346 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate, an active layer of a thin film transistor formed over the substrate, a gate insulating layer formed over the active layer, a gate electrode of the thin film transistor formed over the gate insulating layer, an interlayer insulating layer formed over the gate electrode and the first electrode, a source electrode and a drain electrode formed over the interlayer insulating layer, a pixel electrode including a first region in direct contact with an upper surface of the interlayer insulating layer and a second region in direct contact with an upper surface of one of the source electrode and the drain electrode, a pixel defining layer covering the source and drain electrodes and including an opening which exposes the first region of the pixel electrode in an area that does not overlap the thin film transistor. | 05-19-2016 |
20160141347 | Organic Light Emitting Display Device - Disclosed herein is an OLED (Organic Light Emitting Display) device. A switching thin-film transistor configured to be an oxide semiconductor thin-film transistor is disposed in a first pixel. A second pixel is adjacent to the first pixel in the direction in which data lines are extended. A switching thin-film transistor configured to be an LTPS (Low Temperature Poly-Silicon) thin-film transistor is disposed in the second pixel. The switching thin-film transistor of the first pixel and the switching thin-film transistor of the second pixel are connected to the same gate line. A pixel and another pixel adjacent to the pixel connected to a gate line in common, so that it is possible to provide an OLED device with high aperture ratio and high resolution. | 05-19-2016 |
20160141348 | Organic Light-Emitting Diode Display With Enhanced Aperture Ratio - An organic light-emitting diode display may have an array of pixels. Each pixel may have an organic light-emitting diode with an anode and cathode. The anodes may be formed from a patterned layer of metal. Thin-film transistor circuitry in the pixels may include transistors such as drive transistors and switching transistors. Data lines may supply data signals to the pixels and horizontal control lines may supply control signals to the gates of the transistors. A switching transistor may be coupled between a voltage initialization line and each anode. The voltage initialization lines and capacitor structures in the thin-film transistor circuitry may be formed using a layer of metal that is different than the layer of metal that forms the anodes. | 05-19-2016 |
20160141349 | ORGANIC LIGHT-EMITTING DIODE DISPLAY HAVING HIGH APERTURE RATIO AND METHOD FOR MANUFACTURING THE SAME - An organic light-emitting diode display can include a substrate in which an emission area and a non-emission area are defined; a first transparent conductive layer, a light shielding layer, a buffer layer and a semiconductor layer sequentially laminated on the non-emission area; a gate electrode superposed on the center region of the semiconductor layer, having a gate insulating layer interposed therebetween; a drain electrode coming into contact with one side of the semiconductor layer, having an interlevel insulating layer covering the gate electrode interposed therebetween, and formed of a second transparent conductive layer and a metal layer laminated thereon; a first storage capacitor electrode disposed under the interlevel insulating layer in the emission area and formed of the first transparent conductive layer; and a second storage capacitor electrode superposed on the first storage capacitor electrode, having the interlevel insulating layer interposed therebetween, and formed of the second transparent conductive layer. | 05-19-2016 |
20160141350 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a plurality of pixels. At least one pixel is connected to a scan line receive a scan signal, a data line to receive a data signal, and voltage line to receive a driving voltage. The at least one pixel includes a switching transistor including a switching drain electrode to output the data voltage, a driving transistor including a driving source electrode connected to the switching drain electrode, and an organic light emitting diode connected to a driving drain electrode of the driving transistor. The driving source electrode is separated from the data line. | 05-19-2016 |
20160141351 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a substrate including a plurality of pixel regions each including a light emitting region and a transparent region, a gate electrode in the light emitting region, a first insulating interlayer covering the gate electrode and extending from the light emitting region to the transparent region, a drain electrode on the first insulating interlayer and constituting a transistor in conjunction with the gate electrode, a planarization layer covering the transistor and exposing a top surface of the first insulating interlayer in the transparent region, and a first electrode on the planarization layer. | 05-19-2016 |
20160141352 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device includes a display panel and a stage block. The display panel includes 4m (m is a natural number) number of horizontal lines in which organic light emitting diodes (OLEDs) are arranged. The stage block provides a scan signal and an emission control signal to each of the horizontal lines. An i (i is a natural number equal to or smaller than m) stage block includes a block signal generating unit, an emission control signal generating unit, and a plurality of scan signal generating units. | 05-19-2016 |
20160141502 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device is disclosed. The organic light emitting display device comprises at least two light emitting parts between an anode and a cathode, each of the light emitting parts having a light emitting layer and an electron transport layer, charge generation layers between the at least two light emitting parts, wherein at least one among the electron transport layers in the at least two light emitting parts includes a pyrimidine derivative at both sides of the core so as to reduce a driving voltage and increase an efficiency of the organic light emitting display device. | 05-19-2016 |
20160141503 | COMPOUND AND METHODS FOR PREPARING THE SAME AND ITS APPLICATIONS - The present invention provides a compound having the following formula (I): | 05-19-2016 |
20160141504 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode, a second electrode facing the first electrode, and an organic layer between the first electrode and the second the organic layer including an emission layer. The organic layer includes a first compound represented by Formula 1 and a second compound represented by Formula 2: | 05-19-2016 |
20160141505 | ORGANIC ALLOY FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC OPTOELECTRONIC DEVICE, AND DISPLAY DEVICE - Disclosed are an organic alloy for an organic optoelectric device that is an organic alloy of at least two kinds of organic compounds, the at least two kinds of organic compounds includes a first organic compound and a second organic compound, a difference between evaporation temperatures of the first organic compound and the second organic compound is less than or equal to about 20° C. at less than or equal to about 10 | 05-19-2016 |
20160141506 | NAPHTHO[2,1-b]FLUORANTHENE COMPOUND, ORGANIC LIGHT-EMITTING DEVICE, DISPLAY APPARATUS, LIGHTING APPARATUS, IMAGE FORMING APPARATUS, AND EXPOSING APPARATUS - Aspects of the present invention provide a naphtho[2,1-b]fluoranthene compound represented by the following general formula [1], which has property of high electron injection. | 05-19-2016 |
20160141508 | MATERIALS FOR ELECTRONIC DEVICES - The present invention relates to a compound of a formula (I), in which an electron-deficient group and an arylamino group are connected to one another via an intermediate group. The compound of the formula (I) is suitable as functional material in electronic devices. | 05-19-2016 |
20160141509 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - A material for an organic electroluminescent device having high emission efficiency and an organic electroluminescent device utilizing the same. The material is represented by Formula 1. | 05-19-2016 |
20160141510 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device includes an anode, an emission layer, a first hole transport layer positioned between the anode and the emission layer, and a second hole transport layer positioned between the first hole transport layer and the emission layer, wherein the first hole transport layer includes an electron accepting material, and the second hole transport layer includes a hole transport material represented by the following Formula 1: | 05-19-2016 |
20160141511 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode facing the first electrode; an emission layer between the first electrode and the second electrode; and an electron transport region between the second electrode and the emission layer, wherein the electron transport region includes at least one first compound represented by the following Formula 1, at least one second compound represented by the following Formula 2, and at least one third compound represented by the following Formula 30: | 05-19-2016 |
20160141512 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode facing the first electrode; an emission layer between the first electrode and the second electrode; and an electron transport region between the emission layer and the second electrode; wherein the electron transport region includes a condensed cyclic compound represented by Formula 1 below: | 05-19-2016 |
20160141513 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode facing the first electrode; and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer, wherein the organic layer includes a hole transport region between the first electrode and the emission layer, the hole transport region including at least one selected from a hole transport layer, a hole injection layer, and a buffer layer, and an electron transport region between the emission layer and the second electrode, the electron transport region including at least one selected from a hole blocking layer, an electron transport layer, and an electron injection layer, wherein the electron transport region includes a compound represented by Formula 1 and a compound represented by Formula 2 | 05-19-2016 |
20160141514 | HETERO RING COMPOUND AND ORGANIC LIGHT EMITTING DIODE COMPRISING SAME - The present specification provides a novel compound greatly improving the life span, efficiency, electrical and chemical stability and thermal stability of an organic light emitting device, and an organic light emitting device containing the compound in an organic compound layer. | 05-19-2016 |
20160141515 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME, AND ELECTRONIC DEVICE - A material for an organic electroluminescence device including a compound represented by any of the formulas (1) to (3): | 05-19-2016 |
20160141517 | COMPOUND AND METHODS FOR PREPARING THE SAME AND ITS APPLICATIONS - The present invention provides a compound having the following formula (I): | 05-19-2016 |
20160141518 | NITROGEN-CONTAINING POLYCYCLIC COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - Disclosed are a nitrogen-containing polycyclic compound and an organic electroluminescent device including the same. | 05-19-2016 |
20160141519 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes an organic layer including an emission layer between a first electrode and the second electrode, and a hole transport region including an auxiliary layer between the first electrode and the emission layer, the hole transport region. The auxiliary layer includes a first material and a second material that satisfy Equations 1-1 and 1-2: | 05-19-2016 |
20160141520 | GERMANIUM-CENTERED DENDRIMER COMPOUND, AND ORGANIC OPTOELECTRIC ELEMENT COMPRISING SAME - Germanium-centered dendrimer compounds and organic optoelectronic devices comprising the same are provided. The organic optoelectronic device comprising the compound is capable of implementing high light-emitting ability and light-emitting efficiency, and improving thermal stability (heat resistance) of the organic optoelectronic devices, thereby increasing the lifetime thereof. | 05-19-2016 |
20160141521 | WHITE ORGANIC LIGHT-EMITTING DEVICE - The present invention relates to white organic light-emitting devices having separate stacked blue/green phosphorescent and red phosphorescent layers. The white organic light-emitting devices emit the desired natural white color and show a reduced power consumption, superior current efficiency, efficacy, external quantum efficiency (EQE) and/or lifetime. In particular, the efficiency of the white organic light emitting device is improved and at the same time the lifetime of the white organic light emitting device is increased. | 05-19-2016 |
20160141522 | Organic Electroluminescent Materials and Devices - This invention discloses iridium complexes containing phenylpyridine ligand wherein there is an aryl or heterocyclic ring fused into phenyl ring. The iridium complexes showed desired device performance. | 05-19-2016 |
20160141524 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Compounds that act as capture agents to sequester unsaturated metal complexes are provided. In particular, the compounds may be host materials, dopant materials, or co-dopant materials containing functional groups, such as an isocyanide or a phosphine group, which are suitable for trapping an unsaturated coordination complex. These compounds may be used in organic light emitting devices, particularly blue devices, to provide improved device lifetime. | 05-19-2016 |
20160141525 | ORGANIC LIGHT-EMITTING MATERIALS AND DEVICES - A novel compound containing two silicon centers with carbazole, dibenzothiophene, or triphenylene building blocks connected to the silicon is disclosed. The disclosed compound is useful as a host material in the emissive layers in phosphorescent OLEDs. | 05-19-2016 |
20160141526 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formula 1: | 05-19-2016 |
20160141527 | SYNTHESIS OF NEW SMALL MOLECULES/OLIGOMERS WITH HIGH CONDUCTIVITY AND ABSORPTION FOR OPTOELECTRONIC APPLICATION - Disclosed are semiconducting or conducting organic small molecules and oligomers that contain a central, electron rich, functionalized dihydrodicyclopentylanthracene core (or electron donor core) that is connected to at least one or two comparatively electron deficient monomeric unit or units (or electron acceptor units) that feature group 16 heteroatoms sulfur, selenium or tellurium or combinations thereof. Multiple electron rich cores can be linked together through one or more alkynyl linkages. The small molecules and oligomers can have the following generic structure and can be used in areas such as organic photovoltaic materials: | 05-19-2016 |
20160141528 | METHOD FOR MANUFACTURING SUBSTRATE HAVING TEXTURED STRUCTURE - A method for manufacturing a substrate with a concave-convex structure includes: forming a base material layer on a substrate; forming a base layer having a concave-convex pattern by transferring a concave-convex pattern of a mold to the base material layer; and forming a coating layer by coating the concave-convex pattern of the base layer with a coating material, wherein the coating layer is formed such that a thickness of the coating layer is in a range of 25 to 150% of standard deviation of depth of concavities and convexities of the base layer. The substrate with the concave-convex structure manufactured by this method has good light extraction efficiency and effectively prevents leak current in an organic light emitting diode having this substrate. | 05-19-2016 |
20160141529 | Method For Producing An Organic CMOS Circuit And Organic CMOS Circuit Protected Against UV Radiation - An organic CMOS circuit including a substrate having an N-type organic transistor and a P-type organic transistor formed thereon, the transistors respectively including a layer of N-type semiconductor material and a layer of P-type semiconductor material. A surface of each of the semiconductor material layers, opposite to the substrate, is covered with an anti-ultraviolet layer made of electrically-insulating material absorbing and/or reflecting ultra-violet rays. | 05-19-2016 |
20160141531 | THIN FILM TRANSISTOR - A thin film transistor includes: a gate electrode; a gate insulating layer that covers the gate electrode; a source electrode and a drain electrode that are provided on the gate insulating layer; and an organic semiconductor layer that has a channel region between the source electrode and the drain electrode. The source electrode and the drain electrode each include a first conductive layer that increases adhesion with the gate insulating layer; a second conductive layer that has low electrical resistance; and a third conductive layer that make ohmic contact with the organic semiconductor layer. The third conductive layer has a first contact surface that contacts the gate insulating layer, and a second contact surface that contacts a side face of the first conductive layer and a side face of the second conductive layer facing the channel region. | 05-19-2016 |
20160141533 | Energy Conversion Device and Method for Making and Using Same - An energy conversion device comprises an apparatus and a method for employing energy from an electron- and, optionally, photon-containing energy wave that is induced in one or more aggregated molecular ensembles. Emission is stimulated from the ensembles by a wide variety of energy inputs, and energy derived from this electron and/or photon energy wave is useful for modulation of signals in circuits; performing chemical reduction reactions; and performing as an energy conversion device, e.g., as a photovoltaic energy converter. Although differing from a laser by virtue its production of, inter alia, a charge transfer rather than merely light, the device of the invention can be employed in virtually all of the same fields in which a laser is utilized. | 05-19-2016 |
20160141538 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes: a substrate; a first electrode on the substrate; a second electrode opposed to the first electrode; a first light emitting unit and a second light emitting unit between the first electrode and the second electrode; and a charge generation layer between the first light emitting unit and the second light emitting unit. The first light emitting unit includes a blue fluorescent light emitting layer. The second light emitting unit includes a blue light emitting layer and a yellow light emitting layer. | 05-19-2016 |
20160141539 | ORGANIC EL ELEMENT AND METHOD FOR MANUFACTURING ORGANIC EL ELEMENT - An organic EL element includes: an anode; a light-emitting layer that is disposed above the anode; a first interlayer that is disposed on the light-emitting layer; a second interlayer that is disposed on the first interlayer; a functional layer that is disposed on the second interlayer; and a cathode that is disposed above the functional layer. The first interlayer includes a fluorine compound including a first metal that is an alkali metal or an alkaline-earth metal. The second interlayer includes a second metal that has a property of cleaving a bond between the first metal and fluorine in the fluorine compound. The functional layer has at least one of an electron transport property and an electron injection property. A thickness D1 of the first interlayer and a thickness D2 of the second interlayer satisfy 3%≦D2/D1≦25%. | 05-19-2016 |
20160141540 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display, including a substrate; an organic light emission display layer on the substrate; and a quantum dot layer on the organic light emission display layer, the substrate representing a color of a first wavelength range, and the quantum dot layer color-shifting the color of the first wavelength range to form a transparent light passing through the quantum dot layer. | 05-19-2016 |
20160141541 | ORGANIC EL ELEMENT, AND ORGANIC EL ELEMENT MANUFACTURING METHOD - An organic EL element | 05-19-2016 |
20160141542 | ORGANIC LIGHT EMITTING DEVICE - According to one embodiment, an organic light emitting device is described including a first light emitting unit, a second light emitting unit and a charge generation layer wherein the second light emitting unit is stacked over the first light emitting unit and is connected to the first light emitting unit by means of the charge generation layer and wherein the charge generation layer includes an electron transport layer, a transition metal oxide layer arranged over the electron transport layer and a diffusion suppressing layer arranged between the electron transport layer and the transition metal oxide layer to separate the electron transport layer from the transition metal oxide layer. | 05-19-2016 |
20160141543 | BLUE LIGHT ORGANIC LIGHT-EMITTING DIODE AND DISPLAY INCLUDING SAME - The present disclosure provides a blue light organic light-emitting diode, which includes a first electrode layer; a first hole injection layer disposed on the first electrode layer; a second hole injection layer disposed on first hole injection layer; a hole transport layer disposed on the second hole injection layer; a blue light emitting material layer disposed on the hole transport layer; an electron transport layer disposed on the blue light emitting material layer; and a second electrode layer disposed on the electron transport layer, wherein the second hole injection layer has a thickness of 85 nm˜105 nm. The present disclosure further provides a display including the device. The blue light organic light-emitting diode of the present disclosure can control blue light energy components having a wavelength less than 435 nm within 0.2%, which reduces the harm of the blue light in the wave band to human eyes to a great extent. | 05-19-2016 |
20160141544 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate, and a thin-film transistor and a capacitor formed over the substrate. The apparatus further includes an interlayer insulation layer, a first organic insulating layer and a second organic insulation layer sequentially stacked over the substrate and covering the thin-film transistor and a capacitor. The first organic insulation layer includes a first hole that does not overlap with the thin-film transistor and the capacitor when viewed in a direction perpendicular to a major surface of the substrate. The apparatus further includes a pixel electrode formed over the interlayer insulating layer and the first organic insulating layer. The pixel electrode includes a first portion disposed inside the first hole and a second portion disposed over the first organic insulating layer and outside the first hole. The apparatus includes a light emission layer and an opposite layer formed over the pixel electrode. | 05-19-2016 |
20160141545 | NARROW BEZEL LARGE AREA ORGANIC LIGHT EMITTING DIODE DISPLAY - The present disclosure relates to a narrow bezel large area organic light emitting diode display. An organic light emitting diode display includes a substrate having a display area and a non-display area; a gate driver disposed in the non-display area; a ground line overlapping on the gate driver with a passivation layer; an anode electrode disposed in the display area; an organic light emission layer disposed in the display area and stacked on the anode electrode; and a cathode electrode stacked on the organic light emission layer and contacting the ground line. | 05-19-2016 |
20160141546 | DISPLAY PANEL - An organic light emitting diode (OLED) display device and a manufacturing method thereof are provided. The OLED display device includes a first substrate, an organic light emitting element disposed on the first substrate, a first inorganic layer, and a second inorganic layer. The organic light emitting element includes a first electrode disposed on the first substrate, an organic light emitting layer disposed on the first electrode, and a second electrode disposed on the organic light emitting layer. The first inorganic layer covers the organic light emitting element, wherein the first inorganic layer has a first thickness. The second inorganic layer covers the first inorganic layer and covers the organic light emitting element, wherein the second inorganic layer has a second thickness larger than the first thickness, and the second inorganic layer has a consistency less than the consistency of the first inorganic layer. | 05-19-2016 |
20160141547 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus and a method of manufacturing the same, the organic light-emitting display apparatus including a first substrate that includes a display area and a peripheral area; a second substrate that faces the first substrate; a first metal layer in the peripheral area of the first substrate, the first metal layer including a plurality of holes; and a sealing member that bonds the first substrate to the second substrate, wherein at least one of the plurality of holes includes a region that does not overlap the sealing member. | 05-19-2016 |
20160141548 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - An organic electroluminescent display device includes a display region configured to display pixels; a frame region configured to surround the display region; a substrate; an organic electroluminescent element disposed on the substrate; a sealing member configured to cover the organic electroluminescent element; a lead wire disposed on the substrate and extending from a region covered with the sealing member to an outer side of the sealing member; and one or more organic insulators disposed within the frame region instead of within the display region. The lead wire includes two opposite side portions. The one organic insulator or each organic insulator covers part of at least one of the two side portions. The sealing member covers the one or more organic insulators. | 05-19-2016 |
20160141549 | METHOD OF MANUFACTURING DISPLAY DEVICE, METHOD OF EXPOSING TERMINAL OF DISPLAY DEVICE AND DISPLAY DEVICE - A method of manufacturing a display device includes bonding together a first substrate and a second substrate sandwiching a first bonding material and a second bonding material, a substrate being formed by bonding the first and the second substrate and including a plurality of the display devices, the first bonding material being arranged in at least a display region, the second bonding material being a part of a terminal region and being arranged so as to cover the terminal, and the second bonding material having a stronger adhesion per unit area with respect to the second substrate than the first bonding material; cutting the second substrate at a cutting position between the terminal region and the display region for each of the display devices; removing the second substrate of the terminal region from the display device; and separating each of the display devices from the plurality of display devices. | 05-19-2016 |
20160141550 | PACKAGING METHOD OF ORGANIC LIGHT EMITTING DISPLAY PANEL, ORGANIC LIGHT EMITTING DISPLAY PANEL AND DISPLAY DEVICE - A packaging method for an organic light emitting display panel, an organic light emitting display panel and a display device are disclosed. The packaging method includes: forming a water/oxygen blocking layer that covers a whole base substrate on the base substrate with an organic light emitting device and a peripheral bonding region formed thereon, etching the water/oxygen blocking layer on the base substrate, so as to at least remove the water/oxygen blocking layer on a connection terminal within the bonding region, and to retain the water/oxygen blocking layer on the organic light emitting device. With the packaging method, an organic light emitting display panel with a narrow frame can be realized. | 05-19-2016 |
20160141551 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY, ELECTRONIC DEVICE INCLUDING THE SAME, AND METHOD OF MANUFACTURING THE OLED DISPLAY - An organic light-emitting diode (OLED) display, electronic device including the same and method of manufacturing the OLED display are disclosed. In one aspect, the OLED display includes a first plastic layer, a first barrier layer formed over the first plastic layer and a first intermediate layer formed over the first barrier layer. The OLED display also includes a second plastic layer formed over the first intermediate layer, a second intermediate layer formed over the second plastic layer and a second barrier layer formed over the second intermediate layer. The OLED display further includes an OLED layer formed over the second barrier layer and a thin-film encapsulation layer encapsulating the OLED layer. | 05-19-2016 |
20160141552 | FLEXIBLE DISPLAY AND METHOD FOR FABRICATING THE SAME - The invention provides a flexible display and method for fabricating the same. The flexible display includes: a first flexible substrate and an oppositely disposed second flexible substrate; a TFT layer and an emitting unit, sequentially formed on the first flexible substrate; a color filter layer and an overcoat formed on the second flexible substrate; a fill formed between the first flexible substrate and the second flexible substrate; and a dam formed between the first flexible substrate and the second flexible substrate and surrounding the fill. | 05-19-2016 |
20160141553 | ORGANIC LIGHT-EMITTING APPARATUS - An organic light-emitting apparatus includes a flexible substrate, an organic light-emitting device on the flexible substrate, the light emitting device including a first electrode, an emission layer, and a second electrode sequentially disposed on the flexible substrate, and an anisotropic film on the second electrode of the organic light-emitting device. The anisotropic film includes an anisotropic material horizontally oriented with respect to a surface of the flexible substrate. | 05-19-2016 |
20160141555 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - Discussed is an organic light emitting display device for reducing a color defect or a color difference which occurs in the front or side of the organic light emitting display device. The organic light emitting display device can include a first electrode, a second electrode and an organic layer between the first electrode and the second electrode, the organic layer including at least one emission part. The organic layer is configured for a peak wavelength of an electroluminescence (EL) spectrum of the organic light emitting display device emitted from the at least one emission part to have a range from 10 nm less a predetermined peak wavelength to 10 nm more than the predetermined peak wavelength. | 05-19-2016 |
20160141556 | SURFACE EMITTING DEVICE AND SMART DEVICE - The purpose of the present invention is to provide a surface emitting device in which the light output surface appears black at times that light is not being emitted, and areas in which patterns are formed are not visible at times that light is not being emitted. This surface emitting device ( | 05-19-2016 |
20160141558 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An OLED display and a method of manufacturing thereof are disclosed. In one aspect, the display includes a scan line formed over a substrate and configured to transfer a scan signal, a data line and a driving voltage line crossing the scan line and respectively configured to transfer a data voltage and a driving voltage, and a switching transistor electrically connected to the scan line and the data line and including a switching drain electrode configured to output the data voltage. The display also includes a driving transistor including a driving gate electrode, a driving drain electrode, and a driving source electrode electrically connected to the switching drain electrode. The display further includes a storage capacitor including a first storage electrode electrically connected to the driving gate electrode and a second storage electrode formed on the same layer as the driving voltage line. | 05-19-2016 |
20160148981 | DISPLAY DEVICE, ELECTRO-OPTICAL DEVICE, ELECTRIC EQUIPMENT, METAL MASK, AND PIXEL ARRAY - A display device includes a plurality of pixels, each of the pixels including sub-pixels of a first color, a second color and a third color, the sub-pixels of first and second color are arranged in a column direction, and the sub-pixel of third color is arranged in a row direction with respect to the sub-pixels of first and second colors. The pixels adjacent to each other in the column direction form a set of an even row and a next odd row in an even column, and form a set of an odd row and a next even row in an odd column, in the two sub-pixels of third color, a light emitting layer is continuous and light emitting regions are separated from each other, and the light emitting regions are disposed to be line symmetric with respect to a center line partitioning the two sub-pixels of third color. | 05-26-2016 |
20160148982 | ORGANIC LIGHT-EMITTING DIODE ARRAY SUBSTRATE AND MANUFACTURING METHOD THEREOF, AND DISPLAY DEVICE - Embodiments of the invention disclose an organic light-emitting diode array substrate and a manufacturing method thereof, and a display device. The array substrate comprises: a base substrate, a thin film transistor disposed above the base substrate, an organic light-emitting diode and a filling layer, the organic light-emitting diode including a first electrode, a second electrode, and an organic light-emitting layer disposed between the first electrode and the second electrode, wherein, in a light transmissive region of the organic light-emitting diode array substrate, the base substrate, the filling layer and the organic light-emitting layer of the organic light-emitting diode are disposed to be sequentially abutting. | 05-26-2016 |
20160148983 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF - An OLED display and a method of manufacturing the same are disclosed. In one aspect, the OLED display includes a substrate and a semiconductor layer formed over the substrate, wherein the semiconductor layer includes a channel and a contact region formed on opposing sides of the channel. The display also includes an insulating layer formed over the semiconductor layer and having a contact hole exposing the contact region, and an OLED formed over the insulating layer, wherein the OLED is electrically connected to the contact region through the contact hole, and wherein at least a portion of the contact hole is formed directly above the contact region. | 05-26-2016 |
20160148984 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display includes a flexible substrate, a driving layer positioned on the flexible substrate and including a thin film transistor, an element layer positioned on the driving layer and including an organic light emitting diode that is connected to the thin film transistor, a thin film encapsulation layer covering the element layer and encapsulating the element layer and the flexible substrate, and a compensation layer positioned between the element layer and the flexible substrate. | 05-26-2016 |
20160148985 | ORGANIC LIGHT EMITTING DIODE DISPLAY - Disclosed herein is an organic light emitting diode display, including a substrate, a first thin film transistor including a first active pattern on the substrate and a first gate electrode on the first active pattern, a data wire on the first gate electrode, a first interlayer insulating layer between the first gate electrode and the data wire, a second interlayer insulating layer positioned the first interlayer insulating layer and the data wire, and an organic light emitting diode positioned on the data wire and connected to the first active pattern. | 05-26-2016 |
20160148987 | OPTOELECTRONIC COMPONENT AND METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT - Various embodiments may relate to an optoelectronic component, including an optoelectronic structure, which is designed to provide a first electromagnetic radiation, and a measuring structure, which is designed to measure electromagnetic radiation, wherein the measuring structure has an optically active structure and at least one electro-optical structure. The optically active structure is optically coupled to the optoelectronic structure. The optically active structure is designed to absorb an electromagnetic radiation in such a way that the optically active structure produces a measured signal from the absorbed electromagnetic radiation. The absorbed electromagnetic radiation at least partially includes the first electromagnetic radiation and/or at least one second electromagnetic radiation of an external radiation source. The electro-optical structure is designed in such a way that the electro-optical structure has an adjustable transmittance, such that the fraction of the second electromagnetic radiation incident on the optically active structure can be adjusted. | 05-26-2016 |
20160148988 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting device includes: a first transistor including a source electrode connected to a data line and a gate electrode connected to a scan line; a second transistor including a source electrode connected to a driving voltage and a gate electrode connected to a drain electrode of the first transistor; a capacitor connected between the gate electrode of the second transistor and the source electrode of the second transistor; an organic light emitting diode connected to a drain electrode of the second transistor; and a third transistor connected to the organic light emitting diode and a common voltage. | 05-26-2016 |
20160148989 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE - An organic light emitting diode display device includes a semiconductor on a substrate with a driving channel, an auxiliary storage electrode on the substrate with a storage electrode formed of a same material as the semiconductor and separated therefrom, a first insulating layer covering the semiconductor and the auxiliary storage electrode, a driving gate electrode overlapping the auxiliary storage electrode to define an auxiliary storage capacitor, a second insulating layer covering the driving gate electrode and the first insulating layer, a main storage electrode overlapping the driving gate electrode to define a main storage capacitor, a passivation layer covering the data wire and the second insulating layer, a pixel electrode on the passivation layer, an organic emission layer on the pixel electrode, and a common electrode on the organic emission layer. | 05-26-2016 |
20160149052 | THIN FILM TRANSISTOR, ORGANIC LIGHT-EMITTING DIODE DISPLAY INCLUDING THE SAME, AND MANUFACTURING METHOD THEREOF - A TFT, OLED display including the same, and manufacturing method thereof are disclosed. In one aspect, the TFT includes a first gate electrode formed over a substrate and a first insulating layer formed over the substrate and the first gate electrode. A semiconductor layer is formed over the first insulating layer, the semiconductor layer at least partially overlapping the first gate electrode. A second insulating layer is formed over the first insulating layer and the semiconductor layer, the first and second insulating layers having a pair of connection holes formed therethrough. A second gate electrode is electrically connected to the first gate electrode via the connection holes, the connection holes respectively exposing portions of the first gate electrode. Source and drain electrodes are formed over a third insulating layer and electrically connected to the semiconductor layer via the contact holes, the contact holes respectively exposing portions of the semiconductor layer. | 05-26-2016 |
20160149053 | THIN-FILM TRANSISTOR, METHOD OF MANUFACTURING THE SAME, AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE INCLUDING THE SAME - A thin-film transistor, including a substrate; an active layer on the substrate; a gate electrode on the active layer; and a gate insulating layer between the active layer and the gate electrode, the active layer including a channel region; source and drain regions at opposite sides of the channel region; and lightly doped regions between the channel region and the source region and between the channel region and the drain region, the source and drain regions being doped with a first element, and the lightly doped regions being doped with a second element different from the first element. | 05-26-2016 |
20160149132 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE IMAGE SENSOR, AND ELECTRONIC DEVICE INCLUDING THE SAME - A compound for an organic photoelectric device is represented by Chemical Formula 1, and an organic photoelectric device, an image sensor and an electronic device include the same. | 05-26-2016 |
20160149134 | METHOD FOR PRODUCING ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE - The present invention provides a method for producing an organic EL element capable of shortening the film formation time while suppressing an increase in the blur width; and an organic EL display device. The method is for producing an organic EL element by scanning vapor deposition, in which one or more vapor deposition sources each are provided with ejection orifices that face the respective openings of a limiting plate, and the ejection orifices facing the same opening are spaced from each other to give a sum of distributions represented by the following formula (1) of 1 or smaller, | 05-26-2016 |
20160149136 | LOW SURFACE ENERGY PHOTORESIST COMPOSITION AND PROCESS - A fluoropolymer-photoresist composition containing fluorinated polymer for containment of liquid inks in the printing of electronic devices. Methods of applying and treating the fluoropolymer-photoresist composition containing fluorinated polymer to provide low surface energy before and after processing and development of the photoresist. | 05-26-2016 |
20160149137 | CONDUCTIVE ORGANIC SEMICONDUCTOR COMPOUND, METHOD FOR PREPARING THE SAME AND ORGANIC THIN-FILM TRANSISTOR INCLUDING THE SAME - The present disclosure provides an organic semiconductor compound, which has superior charge mobility, low band gap, wide light absorption area and adequate molecular energy level. The conductive organic semiconductor compound of the present disclosure can be used as a material for various organic optoelectric devices such as an organic photodiode (OPD), an organic light-emitting diode (OLED), an organic thin-film transistor (OTFT), an organic solar cell, etc. In addition, it can be prepared into a thin film via a solution process, can be advantageously used to fabricate large-area devices and can reduce the cost of device fabrication. | 05-26-2016 |
20160149139 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A composition formed of a mixture of two compounds having similar thermal evaporation properties that are pre-mixed into an evaporation source that can be used to co-evaporate the two compounds into an emission layer in OLEDs via vacuum thermal evaporation process is disclosed. The first and second compounds can have an evaporation temperature T | 05-26-2016 |
20160149140 | COMPOUND FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - This invention relates to a compound for an organic electroluminescent device represented by Chemical Formula 1 below and to an organic electroluminescent device including the same. According to the present invention, the organic electroluminescent device including the compound may have improved thermal stability and light emission efficiency. When the compound is used as a hole transport layer material, a triplet energy of a phosphorescent light emitting material increase, thus improving efficiency of the organic electroluminescent device. | 05-26-2016 |
20160149141 | AMINE-BASED COMPOUNDS AND ORGANIC LIGHT-EMITTING DEVICES COMPRISING THE SAME - An amine-based compound is represented by Formula 1: | 05-26-2016 |
20160149142 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device and an organic layer are disclosed. The organic light emitting display device includes an anode, an organic layer over the anode, and a cathode over the organic layer. In one aspect, the organic layer may include an indolocarbazole compound. In another aspect, the organic layer may include a compound by linking a carbazole derivative and a carbazole compound to an aryl group or a heteroaryl group. | 05-26-2016 |
20160149144 | PHOTOELECTRIC CONVERSION MATERIAL, PHOTOELECTRIC CONVERSION ELEMENT, OPTICAL SENSOR, AND IMAGING ELEMENT - An object of the invention is to provide: a photoelectric conversion material which has excellent deposition stability such that when the photoelectric conversion material is used in a photoelectric conversion element, the change in the performance of the element due to variations in the concentration of the photoelectric conversion material is small; a photoelectric conversion element using the photoelectric conversion material; and an optical sensor and an imaging element including the photoelectric conversion element. The photoelectric conversion material of the invention is a compound (A) expressed by the following Formula (1). | 05-26-2016 |
20160149147 | ELECTRODE SURFACE MODIFICATION LAYER FOR ELECTRONIC DEVICES - There is disclosed a method for preparing a modified electrode for an organic electronic device, wherein said modified electrode comprises a surface modification layer, comprising: (i) depositing a solution comprising M(tfd) | 05-26-2016 |
20160149150 | ORGANIC LIGHT-EMITTING DIODE AND DISPLAY PANEL INCLUDING THE SAME - An organic light-emitting diode includes: a first electrode layer disposed on a base substrate; a second electrode layer disposed above the first electrode, and opposed to the first electrode; a emitting layer disposed between the first electrode layer and the second electrode layer, and including an inorganic material; a hole transport region disposed between the emitting layer and the first electrode layer; a first electron transport region disposed between the emitting layer and the second electrode layer; a interlayer disposed between the emitting layer and the first electron transport region, and having a lowest unoccupied molecular orbital (LUMO) energy level higher than that of the second electron transport region; and a second electron transport region disposed between the emitting layer and the interlayer, and contacting the emitting layer. | 05-26-2016 |
20160149151 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE USING THE SAME - An organic light emitting diode and an organic light emitting display apparatus using the organic light emitting diode are provided. The organic light emitting diode includes a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode to emit white light, and the organic layer is configured to emit white light in which an X-axis coordinate value in a color coordinate system is equal to or greater than 0.29, a Y-axis coordinate value in the color coordinate system is in a range of 0.32 to 0.45, and the Y-axis coordinate value in the color coordinate system is equal to or greater than the X-axis coordinate value in the color coordinate system. | 05-26-2016 |
20160149152 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display including a substrate; a thin film transistor on the substrate; a first electrode on the thin film transistor, the first electrode being electrically connected to the thin film transistor; a first layer on the first electrode; a buffer layer on the first layer; an emission layer on the buffer layer; a second layer on the emission layer; and a second electrode on the second layer. | 05-26-2016 |
20160149153 | ELECTRODE AND OPTOELECTRONIC COMPONENT AND METHOD FOR PRODUCING AN OPTOELECTRONIC COMPONENT - Various embodiments may relate to an optoelectronic component, including an organic functional layer structure, and an electrode on or above the organic functional layer structure. The electrode is electrically conductively coupled to the organic functional layer structure. The electrode includes an optically transparent or translucent matrix including at least one matrix material, and particles embedded into the matrix. The particles have a refractive index that is greater than the refractive index of the at least one matrix material. A difference in refractive index between the at least one matrix material and the particles embedded into the matrix is at least 0.05. | 05-26-2016 |
20160149154 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An OLED display device includes a driving semiconductor layer on a substrate, a gate insulating layer covering the driving semiconductor layer, a driving gate electrode and etching preventing layer on the gate insulating layer, a passivation layer on the gate insulating layer, driving gate electrode, and etching preventing layer, and including a plurality of protruding and depressed patterns, driving source and drain electrodes on the passivation layer, a pixel electrode on the protruding and depressed pattern, and exposed etching preventing layer, the pixel electrode having a protruding and depressed shape, a pixel definition layer on the passivation layer, and the driving source and drain electrodes, and having a pixel opening exposing the pixel electrode, an organic emission layer on the exposed pixel electrode, and a common electrode on the organic emission layer and pixel definition layer. The protruding and depressed pattern partially exposes the etching preventing layer. | 05-26-2016 |
20160149155 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light-emitting display device and method of manufacturing the same. An organic light-emitting display device includes: a thin-film transistor on a substrate, an auxiliary electrode member in a contact area on the substrate, the auxiliary electrode member being spaced apart from the thin-film transistor, an insulating member on the thin-film transistor and the auxiliary electrode member, the insulating member including an opening through which at least a part of the auxiliary electrode member is exposed in the contact area, and an organic light-emitting element on the insulating member, the organic light-emitting element including: an anode, an organic light-emitting layer, and a cathode, wherein a side surface of the opening is disposed closer to the inside of the opening than a side surface of the auxiliary electrode member, such that the cathode is in contact with the auxiliary electrode member without a reverse-tapered partitioning wall thereover. | 05-26-2016 |
20160149156 | SEE-THROUGH ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - A see-through organic light emitting display device including a light emitting region having a transparent anode, an organic light emitting layer, and a transparent cathode, and a see-through region having a transparent auxiliary electrode, which is configured to transmit external light. The transparent auxiliary electrode can be made from the same material as the transparent anode and separated from the transparent anode, and the transparent cathode extends into the see-through region so as to be electrically connected with the transparent auxiliary electrode. | 05-26-2016 |
20160149157 | ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING CAPPING LAYER HAVING HIGH REFRACTIVE INDEX - An organic light emitting diode display including a first substrate; a first electrode on the first substrate; an organic light emitting layer on the first electrode; a second electrode on the organic light emitting layer; and a capping layer on the second electrode, wherein the capping layer includes at least one heterocyclic compound, the heterocyclic compound including a carbazole group and a heterocyclic group bonded with the carbazole group. | 05-26-2016 |
20160149158 | METHOD FOR PROCESSING AN ELECTRONIC COMPONENT AND ELECTRONIC COMPONENT ARRANGEMENT - Various embodiments may relate to a method for processing an electronic component. The method includes applying a planar structure provided with predetermined separation locations to the electronic component, and removing a part of the applied planar structure, wherein removing includes separating the planar structure at the predetermined separation locations. | 05-26-2016 |
20160149160 | LIGHT EMITTING ELEMENT AND MANUFACTURING METHOD THEREOF, AND LIGHT EMITTING DEVICE USING THE LIGHT EMITTING ELEMENT - A light-emitting element has a layer including an organic material between a first electrode and a second electrode, and further has a layer including a metal oxide between the second electrode and the layer including the organic material, where these electrodes and layers are laminated so that the second electrode is formed later than the first electrode. The light-emitting element is suppressed damage caused to a layer including an organic material during deposition by sputtering and a phenomenon such as short circuit between electrodes. | 05-26-2016 |
20160149161 | OLED DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display device includes a substrate; a transistor device disposed on the substrate; a first electrode electrically connected to the transistor device; an organic light-emitting layer disposed on the first electrode; and a second electrode disposed on the organic light-emitting layer. The OLED display device further includes a transflective layer contacting a lower surface of the first electrode and having a relatively higher refractive index than the first electrode. | 05-26-2016 |
20160149163 | ADVANCED LIGHT EXTRACTION STRUCTURE - This presently disclosed technology relates to Organic Light Emitting Diodes (OLEDs), more particularly it relates to OLED display extraction and nanocomposite formulations that can be used for the light extraction structure. The OLEDs comprise, in order, an encapsulation layer or a substrate layer, an array of lenses, and an array of light emitting pixels at least partially covered by said array of lenses, wherein at least one of the lenses covers at least one of the pixel, and said lenses comprises a material with higher refractive index than the encapsulation layer or substrate layer. | 05-26-2016 |
20160149164 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND FABRICATING METHOD USING THE SAME - An organic light emitting display device and a fabrication method comprising a touch electrode layer including touch electrodes and touch lines on an upper substrate which are directly formed on the upper substrate to secure a large distance between the touch electrodes and cathode as well as minimize a distance between the upper and lower substrates, thereby widening the viewing angle of an image while reducing a parasitic capacitance. | 05-26-2016 |
20160149165 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device has a display region and a first peripheral region surrounding at least one side of the display region. The organic light emitting display device includes a first substrate a first substrate, a plurality of pixels on the first substrate, the plurality of pixels being included in the display region, at least one of the plurality of pixels including an organic light emitting element, and a driving circuit on the first substrate and in the first peripheral region. At least one of the pixels includes a first transmission portion and at least one light emitting portion, and the first peripheral region includes at least one second transmission portion. | 05-26-2016 |
20160149166 | EMISSIVE DISPLAY WITH PHOTO-SWITCHABLE POLARIZATION - Novel emissive display module and an emissive display assembly are disclosed. The emissive display module and the emissive display assembly incorporate a photo-switchable polarizer that is switchable between an active, polarizing, state and an inactive, non-polarizing, state depending on the predetermined level of intensity of UV light in the ambient light and enhance the viewable quality of the emissive display by minimizing or eliminating UV light reflection on the emissive display. | 05-26-2016 |
20160154259 | LIGHT CONTROLLING APPARATUS AND METHOD OF FABRICATING THE SAME | 06-02-2016 |
20160155780 | ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING DRIVING SUB-PIXELS EACH OVERLAPPING WITH MULTIPLE COLOR SUB-PIXELS | 06-02-2016 |
20160155785 | DISPLAY DEVICE | 06-02-2016 |
20160155786 | THIN FILM TRANSISTOR ARRAY SUBSTRATE | 06-02-2016 |
20160155788 | Flexible Display Device With Space Reducing Wire Configuration And Manufacturing Method For The Same | 06-02-2016 |
20160155789 | DISPLAY PANEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE | 06-02-2016 |
20160155790 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 06-02-2016 |
20160155791 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 06-02-2016 |
20160155792 | OLED DISPLAY DEVICE | 06-02-2016 |
20160155858 | THIN FILM TRANSISTOR AND ORGANIC LIGHT EMITTING DIODE DISPLAY INCLUDING THE SAME | 06-02-2016 |
20160155942 | ORGANIC LIGHT-EMITTING DEVICE | 06-02-2016 |
20160155943 | ORGANIC ELECTROLUMINESCENT DEVICE | 06-02-2016 |
20160155945 | ILLUMINATION DEVICE AND METHOD OF FABRICATING AN ILLUMINATION DEVICE | 06-02-2016 |
20160155949 | PHENANTHROLINE-BSAED COMPOUND AND USE THEREOF | 06-02-2016 |
20160155950 | ORGANIC LIGHT EMITTING DISPLAY DEVICE | 06-02-2016 |
20160155951 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 06-02-2016 |
20160155952 | COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 06-02-2016 |
20160155953 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME | 06-02-2016 |
20160155954 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE, AND ORGANIC PHOTOELECTRIC DEVICE AND IMAGE SENSOR INCLUDING THE SAME | 06-02-2016 |
20160155955 | DICARBAZOLE DERIVATIVES AND ORGANIC ELECTROLUMINESCENT DEVICES | 06-02-2016 |
20160155956 | ORGANIC COMPOUND AND ORGANIC LIGHT EMITTING DIODE USING THE SAME | 06-02-2016 |
20160155957 | ORGANIC COMPOUND AND ORGANIC LIGHT EMITTING DIODE USING THE SAME | 06-02-2016 |
20160155960 | Light-Emitting Device and Electronic Appliance Using the Same | 06-02-2016 |
20160155961 | MONOAMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME | 06-02-2016 |
20160155962 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 06-02-2016 |
20160155963 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 06-02-2016 |
20160155964 | ORGANIC SEMICONDUCTOR COMPOSITION, ORGANIC THIN FILM TRANSISTOR, ELECTRONIC PAPER AND DISPLAY DEVICE | 06-02-2016 |
20160155966 | ROLLABLE ORGANIC LIGHT EMITTING DISPLAY SYSTEM | 06-02-2016 |
20160155968 | COMPOSITION, ELECTRONIC DEVICE, AND THIN FILM TRANSISTOR | 06-02-2016 |
20160155969 | Transistor | 06-02-2016 |
20160155970 | VERTICAL ORGANIC LIGHT-EMITTING TRANSISTOR AND ORGANIC LED ILLUMINATION APPARATUS HAVING THE SAME | 06-02-2016 |
20160155973 | GROWTH OF ORDERED CRYSTALLINE ORGANIC FILMS | 06-02-2016 |
20160155975 | ORGANIC PHOTOELECTRONIC DEVICE AND IMAGE SENSOR | 06-02-2016 |
20160155976 | ORGANIC LIGHT EMITTING DISPLAY DEVICE | 06-02-2016 |
20160155977 | ORGANIC LIGHT-EMITTING DEVICE | 06-02-2016 |
20160155978 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD FOR MANUFACTURING THE SAME | 06-02-2016 |
20160155979 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 06-02-2016 |
20160155980 | ORGANIC LIGHT EMITTING TRANSISTOR AND DISPLAY DEVICE HAVING THE SAME | 06-02-2016 |
20160155982 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 06-02-2016 |
20160155983 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 06-02-2016 |
20160155984 | Display Module and Method for Manufacturing Display Module | 06-02-2016 |
20160155985 | FLEXIBLE ORGANIC LIGHT EMITTING DISPLAY AND METHOD OF FABRICATING THE SAME | 06-02-2016 |
20160155987 | ENCAPSULANT FILM (As Amended) | 06-02-2016 |
20160155988 | ORGANIC LIGHT-EMITTING ELEMENT | 06-02-2016 |
20160155989 | ORGANIC ELECTROLUMINESCENT ELEMENT AND LIGHTING DEVICE | 06-02-2016 |
20160155991 | Organic Light-Emitting Component and Method for Producing an Organic Light-Emitting Component | 06-02-2016 |
20160155992 | DISPLAY SUBSTRATE AND MANUFACTURING METHOD THEREOF AND DISPLAY DEVICE | 06-02-2016 |
20160159973 | POLYMER COMPOUND AND ORGANIC SEMICONDUCTOR DEVICE USING THE SAME - A polymer compound comprising a structural unit represented by the formula (1): | 06-09-2016 |
20160161178 | ORGANIC ELECTROLUMINESCENT DEVICE AND REFRIGERATOR - The present invention relates to an organic electroluminescent device comprising a substrate, an organic electroluminescent element, and a photocatalyst layer, wherein the organic electroluminescent element includes: a first conductive layer provided on the substrate; an organic electroluminescent layer provided on the first conductive layer; and a second conductive layer provided on the organic electroluminescent layer, wherein the photocatalyst layer covers all or part of a light-emitting region of the organic electroluminescent element, and contains a photocatalyst and a co-catalyst, and wherein an absolute value of the difference (|R1-R2|) between the refractive index (R1) of the photocatalyst and the refractive index (R2) of the co-catalyst at a wavelength of 589 nm is 0 to 0.35. | 06-09-2016 |
20160161647 | METHOD FOR PRODUCING MOLD FOR MINUTE PATTERN TRANSFER, METHOD FOR PRODUCING DIFFRACTION GRATING USING THE SAME, AND METHOD FOR PRODUCING ORGANIC EL ELEMENT INCLUDING THE DIFFRACTION GRATING - A method for producing a mold includes: applying a block copolymer solution made of first and second polymers on a base member; performing a first annealing process at a temperature higher than Tg of the block copolymer after drying the coating film; forming a concavity and convexity structure on the base member by removing the second polymer by an etching process; performing a second annealing process of the concavity and convexity structure at a temperature higher than Tg of the first polymer; forming a seed layer on the structure; laminating or stacking a metal layer on the seed layer by an electroforming; and peeling off the metal layer from the base member. The second annealing process enables satisfactory transfer of a concavity and convexity structure on the base member onto the metal layer. | 06-09-2016 |
20160161655 | ANTIREFLECTION FILM AND ORGANIC LIGHT EMITTING DEVICE PROVIDED WITH THE SAME - An organic light emitting device includes an anti-reflection film including a polarizer and a compensation film positioned on the polarizer and including a liquid crystal layer which includes liquid crystals oriented in a direction tilting obliquely with respect to a surface of the liquid crystal layer extending in a horizontal direction in a cross sectional view, tilt angles of the liquid crystals are gradually larger from the first side to the second side, a maximum tilt angle of the liquid crystals with respect to the surface of the liquid crystal layer is from about 15° to about 80°, and in-plane retardation (R | 06-09-2016 |
20160161785 | ANTIREFLECTION FILM AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - An organic light emitting device includes an anti-reflection film including a polarizer and a compensation film positioned on the polarizer and including a liquid crystal layer which includes liquid crystals having oriented direction tilting obliquely with respect to a surface of the liquid crystal layer extending in a horizontal direction in a cross sectional view. | 06-09-2016 |
20160163745 | Organic Light-Emitting Diode Display With Double Gate Transistors - An organic light-emitting diode display may have an array of pixels. Each pixel may have an organic light-emitting diode and thin-film transistor circuitry that controls current flow through the organic light-emitting diode. The thin-film transistor circuitry may include silicon thin-film transistors and semiconducting-oxide thin-film transistors. Double gate transistor structures may be formed in the transistors of the thin-film transistor circuitry. A double gate transistor may have a semiconductor layer sandwiched between first and second dielectric layers. The first dielectric layer may be interposed between an upper gate and the semiconductor layer and the second dielectric layer may be interposed between a lower gate and the semiconductor layer. Capacitor structures may be formed from the layers of metal used in forming the upper and lower gates and other conductive structures. | 06-09-2016 |
20160163768 | ORGANIC LIGHT EMITTING DISPLAY AND MANUFACTURING METHOD THEREOF - An organic light emitting display including a substrate, a first electrode and a second electrode on the substrate and facing each other, at least two organic light emitting layers between the first electrode and the second electrode, and at least two color filters on the second electrode, the organic light emitting layers emitting a first color light, and the color filters emitting a second color light and a third color light. | 06-09-2016 |
20160163769 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - In an organic light emitting display device and a method of manufacturing the same, the organic light emitting display device has a color filter on thin film transistor (COT) structure, in which data wirings are formed after formation of a pixel electrode, thereby integrating a protective layer and a barrier layer. Thus, a manufacturing process may be simplified to increase productivity. | 06-09-2016 |
20160163770 | TRANSPARENT ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - Disclosed is a transparent organic light-emitting display (OLED) device having improved resolution by changing the layout of sub-pixel regions in a light-emitting area. The device comprises: a substrate having a plurality of pixels, each pixel including: a light emitting area including a first sub-pixel region, a second sub-pixel region, and a third sub-pixel region; and a transmissive area through which external light passes, wherein the transmissive area is surrounded by edges of the first, second and third sub-pixel regions of the pixel; and an organic light-emitting element on thin film transistors in each of the sub-pixel regions, wherein the first sub-pixel region is arranged on a first line of the pixel extending in a first direction, the second sub-pixel region is arranged on a second line parallel to the first direction, and the third sub-pixel region is arranged on a third line extending in a second direction. | 06-09-2016 |
20160163771 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device is disclosed. The organic light emitting display device includes a first light emitting part between an anode and a cathode, the first light emitting part having a first light emitting layer, and a second light emitting part between the first light emitting part and the cathode, the second light emitting part having a second light emitting layer and a third light emitting layer, wherein the second light emitting layer includes a hole-type host and a first electron-type host, and the third light emitting layer includes a first electron-type host and a second electron-type host. | 06-09-2016 |
20160163772 | ORGANIC LIGHT-EMITTING DIODE (OLED) DISPLAY PANEL AND DISPLAY DEVICE - An organic light-emitting diode (OLED) display panel and a display device are provided. A pixel unit of the OLED display panel includes a first sub-pixel, a second sub-pixel and a third sub-pixel and further includes an anode layer, a cathode layer and an organic function layer. The organic function layer includes: a first emission layer (EML1) configured to cover at least two adjacent sub-pixels comprising the first sub-pixel; a carrier blocking layer (CBL) configured to cover the second sub-pixel and the third sub-pixel; a second emission layer (EML2) arranged at an area provided with the first sub-pixel and the second sub-pixel and configured to at least cover the second sub-pixel; and a third emission layer (EML3) configured to cover at least two adjacent sub-pixels comprising the third sub-pixel. The OLED display panel can improve the pixel density. | 06-09-2016 |
20160163773 | OLED Display Modules For Large-Format OLED Displays - OLED display modules for large-format displays are disclosed. The OLED display module includes a matrix of OLEDs, with each OLED having an anode and a cathode, and an OLED drive circuit having electrical connections defined by rows and columns that electrically connect to the OLEDs in the OLED matrix. Groups of adjacent rows are arranged in parallel and groups of adjacent columns are arranged in parallel, thereby defining super pixels each having an array of four or more OLEDS, wherein the OLEDs in a given super pixel cannot be individually activated. The modules can be combined to form the large-format display. | 06-09-2016 |
20160163774 | FULL-COLOR ACTIVE MATRIX ORGANIC LIGHT EMITTING DISPLAY WITH HYBRID - A full-color AM OLED includes a transparent substrate, a color filter positioned on an upper surface of the substrate, and a metal oxide thin film transistor backpanel positioned in overlying relationship on the color filter and defining an array of pixels. An array of OLEDs is formed on the backpanel and positioned to emit light downwardly through the backpanel, the color filter, and the substrate in a full-color display. Light emitted by each OLED includes a first emission band with wavelengths extending across the range of two of the primary colors and a second emission band with wavelengths extending across the range of the remaining primary color. The color filter includes for each pixel, two zones separating the first emission band into two separate primary colors and a third zone passing the second emission band. | 06-09-2016 |
20160163775 | ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND THIN FILM DEPOSITION MASK FOR MANUFACTURING THE SAME - Provided is an organic light emitting display apparatus. The organic light emitting display apparatus includes a substrate having a light emitting area and a bezel area surrounding the light emitting area; and an organic light emitting element. The organic light emitting element includes an organic layer disposed in a plurality of columns in the light emitting area on the substrate. Each of the plurality of columns includes a different number of pixels disposed therein. The organic layer corresponds to the plurality of columns. | 06-09-2016 |
20160163777 | DISPLAY UNIT, METHOD OF MANUFACTURING DISPLAY UNIT, AND ELECTRONIC APPARATUS - A display unit includes a display panel including a display region and a terminal region on a first substrate, the display region including a plurality of pixels, each of the plurality of pixels including a light emitting element, and the terminal region including a plurality of terminals at a part of a peripheral region of the display region. The light emitting element includes a first electrode, an organic layer, and a second electrode that is provided commonly to the plurality of pixels, in order from the first substrate side. The second electrode extends, continuously in a plan view, to an end of the first substrate in a region on the first substrate except for the terminal region, and is configured to be electrically disconnected from an exterior member of the display panel. | 06-09-2016 |
20160163778 | ARRAY SUBSTRATE, DISPLAY PANEL AND DISPLAY APPARATUS - Embodiments of the present invention provide an array substrate, a display panel and a display apparatus. They relate to the technical field of display technologies and can prevent the peripheral signal wirings of a display region from occupying non-display regions on both sides additionally. In this way, when the array substrate is applied in the display panel, the frame on both sides of the display region on the display panel may be omitted. The array substrate includes: a base substrate; signal lines located in positions on the base substrate corresponding to a display region of the array substrate; a pattern layer, in which the signal lines are arranged; and signal line wirings located between the pattern layer and the base substrate, wherein the signal line wirings are configured to input signals into the signal lines. | 06-09-2016 |
20160163779 | DISPLAY APPARATUS COMPRISING FLEXIBLE DISPLAY PANEL - A display apparatus according to one aspect of the present disclosure includes at least one flexible display panel including a first picture element that emits a red light, a second picture element that emits a green light, a third picture element that emits a blue light, and a fourth picture element. Each of the first picture element, the second picture element, and the third picture element includes an organic electroluminescent element as a light source and is driven by an active matrix method. The fourth picture element includes an organic electroluminescent element as a light source and is driven by a passive method. | 06-09-2016 |
20160163780 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device is discussed. The organic light emitting display device includes a driving thin film transistor including an active layer and a gate electrode; a storage capacitor including a first electrode and a second electrode; a first pattern electrode including the gate electrode and the first electrode; an anode disposed on the driving thin film transistor and the storage capacitor; a second pattern electrode connected with an anode contact part which connects an output electrode connected with the active layer and the anode; and a patterned semiconductor layer including the active layer having a semiconductive characteristic and a shield unit having a conductive characteristic. | 06-09-2016 |
20160163982 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device includes an anode, an emission layer, an anode-side hole transport layer between the anode and the emission layer, the anode-side hole transport layer including an anode-side hole transport material doped with an electron accepting material, a middle hole transport layer between the anode-side hole transport layer and the emission layer, the middle hole transport layer including a middle hole transport material, and an emission layer-side hole transport layer between the middle hole transport layer and the emission layer, the emission layer-side hole transport layer being adjacent to the emission layer. The emission layer-side hole transport layer includes an emission layer-side hole transport material represented by Formula 1. The organic electroluminescent device may have improved emission efficiency and emission life. | 06-09-2016 |
20160163985 | MANUFACTURING METHOD OF ORGANIC LIGHT-EMITTING ELEMENT AND ORGANIC LIGHT-EMITTING ELEMENT - A method for manufacturing an organic light-emitting element, including: preparing a substrate; forming a light-reflective layer above the substrate, the light-reflective layer containing Al or an Al alloy; forming an alumina layer by oxidizing a part of the light-reflective layer; forming a metal layer on the alumina layer, the metal layer containing a metal having electrical conductivity regardless of whether or not the metal is oxidized; forming an electrically-conductive layer on the metal layer, the electrically-conductive layer containing a light-transmissive oxide; and forming an organic light-emitting layer and a light-transmissive electrode above the electrically-conductive layer. | 06-09-2016 |
20160163987 | ELECTRO-OPTICAL DEVICE AND THE USE THEREOF - The present invention relates to an electro-optical device containing a) an anode, b) a cathode and c) at least one first emitter layer arranged between anode and cathode, containing at least one semiconducting, organic material, said device being characterized in that at least one second emitter layer comprising at least one polymer having hole-conducting properties and at least one emitter is arranged between the first emitter layer and the anode, and to the use thereof. The use of two emitter layers allows simple production from solution, and the production of electroluminescence devices having broadband emission. | 06-09-2016 |
20160163989 | SPACE-THROUGH CHARGE TRANSFER COMPOUND, AND ORGANIC LIGHT EMITTING DIODE AND DISPLAY DEVICE USING THE SAME - Discussed is a space-through charge transfer compound including a paracyclophane core, an electron donor moiety selected from the group consisting of carbazole and diphenyl amine, and an electron acceptor moiety selected from the group consisting of pyrimidine, diphenyltriazine, and triazole. The electron donor moiety and the electron acceptor moiety are indirectly or directly combined to the paracyclophane core with or without a linker, respectively. | 06-09-2016 |
20160163990 | ORGANIC LIGHT EMITTING DEVICE AND DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting device and a display device including the same, the organic light emitting device including a first electrode; a hole transport region on the first electrode; an emission layer on the hole transport region; an electron transport region on the emission layer; and a second electrode on the electron transport region, wherein the electron transport region includes a compound represented by the following Chemical Formula 1: | 06-09-2016 |
20160163991 | ANTHRACENE COMPOUND, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC APPLIANCE, AND LIGHTING DEVICE - An organic compound having a high T | 06-09-2016 |
20160163992 | ORGANIC LIGHT EMITTING DEVICE AND DISPLAY DEVICE HAVING THE SAME - An organic light emitting device including a first electrode, a hole transport region on the first electrode, a light emission layer on the hole transport region, a buffer layer on the light emission layer, an electron transport region on the buffer layer, and a second electrode on the electron transport region. The buffer layer includes at least one selected from the group consisting of a carbazole derivative, a phenanthroline derivative, a triazole derivative, and a quinolinolato-based metal complex. | 06-09-2016 |
20160163993 | AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - An organic electroluminescent device may include an anode; an emission layer on the anode; and at least one layer between the anode and the emission layer, wherein the emission layer or the at least one layer includes an amine derivative represented by Formula 1. The organic electroluminescent device using the amine derivative represented by Formula 1 may have improved emission efficiency and emission lifetime. | 06-09-2016 |
20160163994 | ORGANIC ELECTRONIC ELEMENT COMPRISING COMPOUND FOR ORGANIC ELECTRONIC ELEMENT, AND ELECTRONIC DEVICE THEREOF - An organic electric element includes a first electrode, a second electrode, and an organic material layer between the first electrode and the second electrode. The organic material layer includes the compound represented by Formula 1. When the organic electric element includes the compound in the organic material layer, luminous efficiency, stability, and life span can be improved. | 06-09-2016 |
20160163995 | ORGANIC OPTOELECTRIC DEVICE AND DISPLAY DEVICE - An organic optoelectric device includes an anode and a cathode facing each other, an emission layer between the anode and the cathode, a hole transport layer between the anode and the emission layer, and a hole transport auxiliary layer between the hole transport layer and the emission layer, wherein the emission layer includes at least one of a first compound including moieties represented by Chemical Formulae 1 to 3 sequentially linked and at least one of a second compound represented by Chemical Formula 4, and the hole transport auxiliary layer includes at least one of a third compound represented by Chemical Formula 5, and a display device including the organic optoelectric device is also provided. | 06-09-2016 |
20160163996 | COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE USING SAME - A compound that includes a structure including two or more structures represented by the following formula (1) in the same molecule and in which at least two of the structures represented by the formula (1) are directly bonded to each other by a single bond. | 06-09-2016 |
20160163997 | DELAYED FLUORESCENCE COMPOUND, AND ORGANIC LIGHT EMITTING DIODE AND DISPLAY DEVICE USING THE SAME - Embodiments relate to a delayed fluorescence compound and a display device including the delayed fluorescence compound. The delayed fluorescence compound includes an electron acceptor moiety of benzo[4,5]thieno[2,3-b]quinoxaline and at least one electron donor moiety covalently bonded to the electron acceptor moiety. The at least one electron donor moiety is covalently bonded to a benzene ring of benzo[4,5]thieno[2,3-b]quinoxaline and is selected from carbazole, phenylcarbazole, acridine, and phenylacridine. The effective charge transfer in the delayed fluorescence compound results in improved emitting efficiency of the compound. | 06-09-2016 |
20160163998 | ORGANIC ELECTROLUMINESCENCE - A nitrogen-containing heterocyclic compound wherein a pyrrole ring, an aromatic ring and a 7-membered ring are fused one another, a material for organic electroluminescence device including the compound, and an organic electroluminescence device including the material. | 06-09-2016 |
20160163999 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode; and an organic layer between the first electrode and the second electrode, wherein the organic layer includes an emission layer and an electron transport region, the electron transport region being between the emission layer and the second electrode; the emission layer includes a first compound represented by any one of the following Formulae 1-1 and 1-2, and the electron transport region includes a second compound represented by any one of the following Formulae 2-1 and 2-2: | 06-09-2016 |
20160164000 | COMPOUND, LIGHT EMITTING MATERIAL, AND ORGANIC LIGHT EMITTING DEVICE - A compound represented by A-D-A is useful as a light. emitting material used in an organic electroluminescent device and others. | 06-09-2016 |
20160164001 | A BENZOTRIAZOLE DERIVATIVE AND AN ORGANIC ELECTROLUMINESCENT DEVICE - A Benzotriazole derivative represented by the following general formula (1), | 06-09-2016 |
20160164003 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device is disclosed. The organic light emitting display device comprises an anode, an organic layer over the anode, and a cathode over the organic layer. The organic layer may include a heterocyclic compound. Alternatively, the organic layer may include a spirobisfluorene compound with hole transfer properties and a material with electron transfer properties. | 06-09-2016 |
20160164004 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - An organic light emitting display device is disclosed. The organic light emitting display device comprises an emitting layer over an anode, the light emitting part having an emitting layer and an electron transporting layer, and a cathode on the light emitting part, wherein each of the emitting layer and the electron transporting layer includes a compound with the same core to facilitate electron transport from the electron transporting layer to the emitting layer. | 06-09-2016 |
20160164005 | COMPOSITIONS FOR ELECTRONIC APPLICATIONS - This invention relates to a composition including (a) a dopant, (b) a first host having at least one unit of Formula I, and (c) a second host compound. Formula I has the structure | 06-09-2016 |
20160164006 | ORGANIC METAL COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE EMPLOYING THE SAME - Organic metal compounds and organic electroluminescence devices employing the same are provided. The organic metal compound has a chemical structure represented below: | 06-09-2016 |
20160164007 | ORGANIC METAL COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE EMPLOYING THE SAME - Organic metal compounds, and organic light-emitting devices employing the same, are provided. The organic metal compound has a chemical structure represented by formula (I): | 06-09-2016 |
20160164012 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formula 1: | 06-09-2016 |
20160164013 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE AND METHOD OF MANUFACTURING THE SAME - Provided are a substrate for an OED, a method of manufacturing the same, and a use thereof. The substrate includes a flexible base film and an inorganic material layer, and the inorganic material layer includes a multilayer structure of at least two thin layers. Such an inorganic material layer may have an excellent physical property, for example, a barrier property, by inhibiting crystallinity. In addition, by employing the multilayer structure, an inorganic material layer having a physical property which is difficult to be realized by a conventional inorganic material layer, for example, a high refractive index, in addition to the barrier property may be formed. | 06-09-2016 |
20160164015 | ORGANIC MOLECULAR MEMORY - An organic molecular memory in an embodiment includes a first conducive layer, a second conductive layer, and an organic molecular layer provided between the first conductive layer and the second conductive layer, the organic molecular layer having an organic molecule, the organic molecule having a linker group bonded to the first conductive layer, a π conjugated chain bonded to the linker group, and a phenyl group bonded to the π conjugated chain opposite to the linker group and facing the second conductive layer, the π conjugated chain including electron-accepting groups or electron-donating groups arranged in line asymmetry with respect to a bonding direction of the π conjugate chain, the phenyl group having substituents R0, R1, R2, R3, and R4 as shown in the following formula, the substituent R0 being an electron-accepting group or an electron-donating group. | 06-09-2016 |
20160164016 | ORGANIC LIGHT EMITTING ELEMENT AND ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting element is disclosed. The organic light emitting element includes: a first electrode; a multi-sub-layered organic emission layer on the first electrode; a second electrode on the multi-sub-layered organic emission layer; and a blend barrier layer between two sub-layers of the multi-sub-layered organic emission layer, which are adjacent to each other and includes first solvents, and configured to include a second solvent having an opposite polarity to that of the first solvent. Such an organic light emitting element can have enhanced light emission efficiency and extended life span. | 06-09-2016 |
20160164017 | Light-Emitting Element, Light-Emitting Device, and Electronic Device - It is an object to provide a light-emitting element having long lifetime. A light-emitting element is provided, in which a light-emitting layer, a first layer, and a second layer are provided between a first electrode and a second electrode; the first layer is provided between the light-emitting layer and the first electrode; the second layer is provided between the light-emitting layer and the second electrode; the first layer is a layer for controlling the hole transport; the second layer is a layer for controlling the electron transport; and light emission is obtained from the light-emitting layer by applying voltage to the first electrode and the second electrode such that the potential of the first electrode is higher than that of the second electrode. | 06-09-2016 |
20160164018 | ORGANIC LIGHT-EMITTING DEVICE AND DISPLAY APPARATUS INCLUDING THE SAME - An organic light-emitting device including a first electrode, a hole transport region on the first electrode, a light-emitting layer on the hole transport region, an electron transport region on the light-emitting layer, and a second electrode on the electron transport region. The electron transport region includes a first mixed electron transport layer and a second mixed electron transport layer on the first mixed electron transport layer. The first mixed electron transport layer includes a first electron transport compound and a second electron transport compound different from the first electron transport compound. The second mixed electron transport layer includes the first electron transport compound and a third electron transport compound different from the first electron transport compound and the second electron transport compound. | 06-09-2016 |
20160164020 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode, a second electrode facing the first electrode, and an emission layer that is disposed between the first electrode and the second electrode and includes a mixed host and a dopant, wherein the mixed host includes a hole transporting host and an electron transporting host which together form an exciplex, and the dopant includes a compound that emits delayed fluorescent light. | 06-09-2016 |
20160164021 | ORGANIC LIGHT EMITTING DEVICE - An organic light emitting device includes a first light-emitting layer between an anode and a cathode, the first light-emitting layer including a first light-emitting part and a second light-emitting part, a second light-emitting layer spaced apart from the first light-emitting layer and including a third light-emitting part and a fourth light-emitting part, the third light-emitting part overlapping the first light-emitting part and not overlapping the second light-emitting part, and the fourth light-emitting part not overlapping either of the first light-emitting part and the second light-emitting part, an intermediate layer between the first light-emitting layer and the second light-emitting layer, a hole transport region to inject/transport a hole into the first light-emitting part, the second light-emitting part, and the fourth light-emitting part, and an electron transport region to inject/transport an electron into the second light-emitting part, the third light-emitting part, and the fourth light-emitting part. | 06-09-2016 |
20160164022 | METHOD FOR MANUFACTURING TRANSPARENT ELECTRODE, TRANSPARENT ELECTRODE, AND ORGANIC ELECTROLUMINESCENCE DEVICE PROVIDED WITH THE SAME - A transparent electrode includes a transparent substrate, a thin wire structure partially covering a surface of the transparent substrate and formed of a conductive material, and a transparent conductive layer formed on the transparent substrate to cover the thin wire structure. The transparent conductive layer is formed of two or more separately prepared layers. | 06-09-2016 |
20160164024 | ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING SAME - A purpose of the present invention is to provide an electronic device that is excellent in sealing property and resistance to repetitive bending, and a method for manufacturing the electronic device. The present invention provides an electronic device including: a substrate; an electronic element main body formed on the substrate; and a sealing substrate that is bonded to the substrate via a bonding part disposed on the surrounding of the electronic element main body to seal the electronic element main body; wherein at least one of the substrate and the sealing substrate is a gas barrier film, and the bonding part contains at least one kind selected from the group consisting of iron, cobalt, nickel, ruthenium, rhodium, palladium, osmium, iridium and platinum, and a method for manufacturing the electronic device. | 06-09-2016 |
20160164025 | OLED DEVICE PACKAGING METHOD AND OLED DEVICE PACKAGED WITH SAME - The present invention provides an OLED device packaging method and an OLED device packaged with the method. The method includes: (1) providing an OLED substrate ( | 06-09-2016 |
20160164026 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - Provided are an organic light-emitting display apparatus and a method of manufacturing the same. The organic light-emitting display apparatus includes a first substrate; an organic light-emitting device provided on the first substrate and including a first electrode, a second electrode, and an intermediate layer positioned between the first electrode and the second electrode; a second substrate covering the organic light-emitting device and disposed to face the first substrate; and a sealant bonding the first substrate and the second substrate, wherein at least a portion of the sealant is a intermixing region which is formed as an inorganic material permeates an organic material. | 06-09-2016 |
20160164027 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus, including a lower substrate having a peripheral area, which includes a first peripheral part and a second peripheral part, and a display area between the first peripheral part and the second peripheral part; an upper substrate on the lower substrate; a sealing member between the lower substrate and the upper substrate and on the lower substrate in the peripheral area; and a first material layer between the sealing member and the lower substrate and including a first opening pattern at the first peripheral part and a second opening pattern at the second peripheral part, the second opening pattern having a smaller size than the first opening pattern. | 06-09-2016 |
20160164028 | Display Device and Method of Manufacturing Thereof - A novel display device with higher reliability having a structure of blocking moisture and oxygen, which deteriorate the characteristics of the display device, from penetrating through a sealing region and a method of manufacturing thereof is provided. According to the present invention, a display device and a method of manufacturing the same comprising: a display portion formed by aligning a light-emitting element using an organic light-emitting material between a pair of substrate, wherein the display portion is formed on an insulating layer formed on any one of the substrates, the pair of substrates is bonded to each other with a sealing material formed over the insulating layer while surrounding a periphery of the display portion, at least one layer of the insulating layer is made of an organic resin material, the periphery has a first region and a second region, the insulating layer in the first region has an opening covered with a protective film, the sealing material is formed in contact with the opening and the protective film, an outer edge portion of the insulating layer in the second region is covered with the protective film or the sealing material. | 06-09-2016 |
20160164029 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a method of manufacturing a display device, includes preparing a first substrate formed such that a first resin layer is formed on a first support substrate, and thereafter a display element portion and a mounting portion are formed above the first resin layer and a protection layer, which extends from an end portion of the first resin layer along the mounting portion onto the first support substrate, is disposed, preparing a second substrate formed such that a second resin layer is formed on a second support substrate, attaching the first substrate and the second substrate, and mounting a flexible printed circuit board, which is in a state in which the flexible printed circuit board is opposed to the protection layer, on the mounting portion. | 06-09-2016 |
20160164033 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate, a display unit formed on the substrate and including a plurality of emission regions, an encapsulant formed on the display unit and including at least one organic layer and at least one inorganic layer; and a plurality of reflectors formed on the encapsulant and disposed to respectively overlap at least regions around the plurality of emission regions. | 06-09-2016 |
20160164034 | ORGANIC LIGHT-EMITTING APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting apparatus including: a substrate; an organic light-emitting device disposed on the substrate and including a first electrode, a second electrode, and an intermediate layer disposed between the first electrode and the second electrode; and an encapsulation layer provided to cover the organic light-emitting device. The encapsulation layer includes a first inorganic layer including a first fracture point, and a first fracture control layer provided on the first inorganic layer to seal the first fracture point. | 06-09-2016 |
20160164035 | LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - A light-emitting element having high external quantum efficiency is provided. A light-emitting element having a long lifetime is provided. A light-emitting element includes a light-emitting layer between a pair of electrodes. The light-emitting layer contains at least a phosphorescent compound, a first organic compound (host material) having an electron-transport property, and a second organic compound (assist material) having a hole-transport property. The light-emitting layer has a stacked-layer structure including a first light-emitting layer and a second light-emitting layer, and the first light-emitting layer contains a higher proportion of the second organic compound than the second light-emitting layer. In the light-emitting layer (the first light-emitting layer and the second light-emitting layer), a combination of the first organic compound and the second organic compound forms an exciplex. | 06-09-2016 |
20160164036 | ORGANIC LIGHT EMITTING DIODE DEVICE FABRICATION METHOD AND ORGANIC LIGHT EMITTING DIODE DEVICE FABRICATED THEREBY - Disclosed is an organic light emitting diode device fabrication method that includes: preparing a substrate which is defined into a display area and a non-display area; forming a light emission portion, which includes a thin film transistor and an organic light emission layer in the display area, and a pad portion in a part of the non-display area; sequentially forming a sacrificial layer and an encapsulation passivation film throughout the display and non-display areas; and separating the sacrificial layer and the encapsulation passivation film from the pad portion through an irradiation of laser light. | 06-09-2016 |
20160164037 | RADIATION-EMITTING APPARATUS - A radiation emitting apparatus including a substrate, at least one layer sequence arranged on the substrate and producing electromagnetic radiation in a wavelength range, having at least one first electrode surface, at least one second electrode surface, and at least one functional layer between the first electrode surface and the second electrode surface, wherein the functional layer produces electromagnetic radiation in the wavelength range in a switched-on operating state, and a scatter layer having a first region and a second region, wherein radiation produced by the functional layer is directly incident on the scatter layer only in the first region of the scatter layer, and the scatter layer at least partially scatters radiation incident upon the first region of the scatter layer so that said radiation enters the second region of the scatter layer. | 06-09-2016 |
20160164038 | ORGANIC LIGHT EMITTING DIODE AND METHOD OF FABRICATING THE SAME - Provided is an organic light emitting diode including a substrate, a light scattering structure including nano-structures on the substrate, a thin film on the nano-structures, and an air gap between the nano-structures, a planarizing layer covering the thin film and thicker than the thin film, a first electrode on the planarizing layer, an organic emission layer on the first electrode, and a second electrode on the organic emission layer. | 06-09-2016 |
20160164039 | ORGANIC LIGHT EMITTING DEVICE AND DISPLAY DEVICE HAVING THE SAME - Provided is an organic light emitting device including a first electrode, a hole transport region provided on the first electrode, a light emission layer provided on the hole transport region, an electron transport region provided on the light emission layer, a second electrode provided on the electron transport region, and an organic capping layer provided on the second electrode. The organic capping layer includes an anthracene-based compound. The organic capping layer may include a compound expressed by Chemical Formula 1 below. | 06-09-2016 |
20160164040 | ORGANIC LIGHT EMITTING ELEMENT, ORGANIC LIGHT EMITTING DISPLAY PANEL, AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS - Each of blue light emitting elements includes: a photoanode; a translucent cathode; an organic light emitting layer between the photoanode and the translucent cathode; a first functional layer between the organic light emitting layer and the photoanode; and a second functional layer between the organic light emitting layer and the translucent cathode, and has a resonator structure. The first functional layer has an optical film thickness of 48-62 nm. The translucent cathode is a stack of a first translucent conductive layer, a metal layer, and a second translucent conductive layer stacked in this order from the second functional layer side. The first translucent conductive layer has a refractivity of 2.0-2.4, and a film thickness of 85-97 nm. The metal layer has a refractivity different by 0 to 2.0 from that of the first translucent conductive layer, and has a film thickness of 2-22 nm. | 06-09-2016 |
20160164041 | LIGHT EXTRACTION SUBSTRATE FOR ORGANIC LIGHT-EMITTING DEVICE, MANUFACTURING METHOD THEREFOR, AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING SAME - The present invention relates to a light extraction substrate for an organic light-emitting device, a manufacturing method therefor, and an organic light-emitting device comprising the same, and more specifically, to a light extraction substrate for an organic light-emitting device which can improve the light extraction efficiency of the organic light-emitting device, a manufacturing method therefor, and an organic light-emitting device comprising the same. To this end, the present invention provides a light extraction substrate for an organic light-emitting device, a manufacturing method therefor, and an organic light emitting device comprising the same. The light extraction substrate for the organic light-emitting device comprises: a base substrate; a matrix layer formed on the base substrate and made of frit; and a glass fibre structure arranged inside the matrix layer, wherein the matrix layer and the glass fibre structure form an inner light extraction layer of the organic light-emitting device. | 06-09-2016 |
20160164042 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - Discussed is an organic light emitting display device. The organic light emitting display device according to an embodiment includes a first electrode and a second electrode on a substrate to be opposite to each other and at least three emission parts between the first electrode and the second electrode. A first distance between the substrate and the first emission layer, a second distance between the first emission layer and the second emission layer, a third distance between the second emission layer and the third emission layer, and a fourth distance between the third emission layer and the second electrode are different from each other. | 06-09-2016 |
20160164046 | METHOD AND APPARATUS FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT, AND ORGANIC ELECTROLUMINESCENT MODULE - Disclosed is a method for manufacturing an organic EL element, which has, on a supporting substrate, at least one intermediate electrode layer, and at least two light emitting units, each of which has one or a plurality of organic functional layers, the intermediate electrode layer being disposed between the light emitting units. The method is characterized in having: a first patterning step wherein at least one organic functional layer of each of the light emitting units is patterned using a mask; and a second patterning step wherein at least one organic functional layer in each of the light emitting units is patterned into, by means of light irradiation, a region where a light emitting function is modulated, and a region where the light emitting function is not modulated. The method is also characterized in that the second patterning step is performed for each light emitting unit that is manufactured. | 06-09-2016 |
20160164047 | Composition for Forming Films, Film Produced from Said Composition, and Method for Producing Organic Semiconductor Element Using Said Composition - A film-forming composition according to the present invention includes: a fluororesin having a repeating unit of the formula (1) and a repeating unit of the general formula (2); and a fluorine-containing solvent. | 06-09-2016 |
20160168162 | LUMINESCENT COMPOUND AND ELECTROLUMINESCENT DEVICE EXHIBITING THERMALLY ACTIVATED DELAYED FLUORESCENCE | 06-16-2016 |
20160172027 | Polymer Memory | 06-16-2016 |
20160172330 | Tunable OLED Lighting Source | 06-16-2016 |
20160172421 | DISPLAY UNIT, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS | 06-16-2016 |
20160172422 | THIN FILM TRANSISTOR ARRAY SUBSTRATE AND METHOD FABRICATING THE SAME | 06-16-2016 |
20160172423 | ORGANIC ELECTROLUMINESCENCE DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME | 06-16-2016 |
20160172424 | ORGANIC LIGHT-EMITTING DEVICE | 06-16-2016 |
20160172425 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 06-16-2016 |
20160172426 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME | 06-16-2016 |
20160172427 | FLEXIBLE DISPLAY DEVICE WITH BRIDGED WIRE TRACES | 06-16-2016 |
20160172429 | DISPLAY PANEL AND MANUFACTURING METHOD FOR THE SAME, REDUCING RISK OF POWER LINE IN MULTILAYER WIRING BEING PRESSED UNDESIRABLY IN MANUFACTURING PROCESS | 06-16-2016 |
20160172431 | ORGANIC LIGHT-EMITTING DIODE DISPLAY | 06-16-2016 |
20160172526 | ILLUMINATION SENSITIVE CURRENT CONTROL DEVICE | 06-16-2016 |
20160172590 | Organic Thin Film Transistor and Manufacturing Method Thereof, Array Substrate | 06-16-2016 |
20160172591 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE | 06-16-2016 |
20160172593 | AMINE COMPOUND AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME | 06-16-2016 |
20160172594 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 06-16-2016 |
20160172597 | CARBAZOLE COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE, AND ORGANIC ELECTROLUMINESCENT DEVICE | 06-16-2016 |
20160172598 | HETERO RING COMPOUND AND ORGANIC LIGHT EMITTING DIODE COMPRISING SAME | 06-16-2016 |
20160172599 | COMPOUND, ORGANIC ELECTROLUMINESCENT ELEMENT AND ELECTRONIC DEVICE | 06-16-2016 |
20160172600 | COMPOUND, LIGHT EMITTING MATERIAL, AND ORGANIC LIGHT EMITTING DEVICE | 06-16-2016 |
20160172601 | ORGANIC ELECTROLUMINESCENT ELEMENT AND ELECTRONIC DEVICE | 06-16-2016 |
20160172602 | ORGANIC ELECTROLUMINESCENT ELEMENT, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS, AND ELECTRONIC DEVICE | 06-16-2016 |
20160172603 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 06-16-2016 |
20160172604 | NOVEL COMPOUND AND ORGANIC ELECTROLUMINESCENT ELEMENT PRODUCED USING SAME | 06-16-2016 |
20160172605 | Light-Emitting Element | 06-16-2016 |
20160172606 | LUMINESCENT DIAZA-MONOAZA-AND BENZIMIDAZOLE METAL CARBENE COMPLEXES FOR USE IN ELECTRONIC DEVICES SUCH AS OLEDS | 06-16-2016 |
20160172607 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 06-16-2016 |
20160172608 | THIN FILM TRANSISTOR, ITS MANUFACTURING METHOD, ARRAY SUBSTRATE AND DISPLAY DEVICE | 06-16-2016 |
20160172610 | SINGLE-MOLECULE DIODES WITH HIGH ON/OFF RATIOS THROUGH ENVIRONMENTAL CONTROL | 06-16-2016 |
20160172614 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND METHOD OF MANUFACTURING THE SAME | 06-16-2016 |
20160172615 | ORGANIC LIGHT EMITTING DISPLAY | 06-16-2016 |
20160172616 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 06-16-2016 |
20160172617 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND METHOD OF MANUFACTURING THE SAME | 06-16-2016 |
20160172618 | LIGHT EMITTING DEVICE, ELECTRODE STRUCTURE AND MANUFACTURING METHOD THEREOF | 06-16-2016 |
20160172619 | ELECTRODE LAMINATE AND ORGANIC LIGHT EMITTING DEVICE ELEMENT | 06-16-2016 |
20160172620 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND METHOD OF MANUFACTURING THE SAME | 06-16-2016 |
20160172621 | ENCAPSULATION STRUCTURE, ORGANIC ELECTROLUMINESCENT DEVICE AND ENCAPSULATION METHOD THEREOF | 06-16-2016 |
20160172622 | ORGANIC LIGHT EMITTING DIODE | 06-16-2016 |
20160172623 | FLEXIBLE DISPLAY DEVICE HAVING SUPPORT LAYER WITH ROUNDED EDGE | 06-16-2016 |
20160172624 | LIGHT EMITTING ELEMENT AND METHOD FOR MAUFACTURING LIGHT EMITTING ELEMENT | 06-16-2016 |
20160172626 | ORGANIC ELECTROLUMINESCENT ELEMENT AND ILLUMINATION DEVICE | 06-16-2016 |
20160172627 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 06-16-2016 |
20160172628 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND METHOD OF MANUFACTURING THE SAME | 06-16-2016 |
20160172630 | Optoelectronic Component and Method for Producing an Optoelectronic Component | 06-16-2016 |
20160172632 | LIGHT EXTRACTION SUBSTRATE FOR ORGANIC LIGHT-EMITTING ELEMENT, METHOD FOR MANUFACTURING SAME AND ORGANIC LIGHT-EMITTING ELEMENT INCLUDING SAME | 06-16-2016 |
20160172633 | DISPLAY PANEL AND ORGANIC LIGHT EMITTING DISPLAY DEVICE HAVING A DISPLAY PANEL | 06-16-2016 |
20160172634 | ORGANIC LIGHT EMITTING DISPLAY PANEL | 06-16-2016 |
20160176801 | COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICES, ORGANIC ELECTROLUMINESCENCE DEVICE, AND ELECTRONIC EQUIPMENT | 06-23-2016 |
20160180769 | METHOD FOR ELIMINATING ELECTRICAL CROSS-TALK IN OLED MICRODISPLAYS | 06-23-2016 |
20160181230 | ARRAY SUBSTRATE OF ORGANIC LIGHT-EMITTING DIODES AND METHOD FOR PACKAGING THE SAME | 06-23-2016 |
20160181282 | DISPLAY DEVICE | 06-23-2016 |
20160181325 | High pixel count short-wave to infrared image sensor | 06-23-2016 |
20160181326 | Radiation-Emitting Apparatus and Method for Producing Same | 06-23-2016 |
20160181327 | ORGANIC LUMINESCENCE DISPLAY AND METHOD OF MANUFACTURING THE SAME | 06-23-2016 |
20160181328 | OLED DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 06-23-2016 |
20160181330 | TRANSPARENT DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 06-23-2016 |
20160181331 | OLED DISPLAY DEVICE AND MANUFACTURE METHOD THEREOF | 06-23-2016 |
20160181333 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 06-23-2016 |
20160181334 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF | 06-23-2016 |
20160181335 | DISPLAY DEVICE AND ELECTRONIC APPARATUS | 06-23-2016 |
20160181336 | DISPLAY DEVICE | 06-23-2016 |
20160181337 | ORGANIC LIGHT EMITTING DISPLAY | 06-23-2016 |
20160181338 | FLEXIBLE DISPLAY APPARATUS AND MANUFACTURING METHOD THEREOF | 06-23-2016 |
20160181339 | THIN-FILM TRANSISTOR ARRAY SUBSTRATE AND ORGANIC LIGHT-EMITTING DIODE DISPLAY INCLUDING THE SAME | 06-23-2016 |
20160181340 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE | 06-23-2016 |
20160181341 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 06-23-2016 |
20160181343 | DISPLAY DEVICE, DISPLAY PANEL AND MANUFACTURING METHOD THEREOF | 06-23-2016 |
20160181344 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 06-23-2016 |
20160181345 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE WITH FLEXIBLE PRINTED CIRCUIT FILM | 06-23-2016 |
20160181346 | Flexible Display Device with Gate-In-Panel Circuit | 06-23-2016 |
20160181347 | DISPLAY PANEL, DISPLAY DEVICE AND MANUFACTURING METHOD OF DISPLAY PANEL | 06-23-2016 |
20160181348 | ACTIVE MATRIX ORGANIC LIGHT-EMITTING DISPLAY AND DISPLAY APPARATUS | 06-23-2016 |
20160181349 | ORGANIC LIGHT-EMITTING DIODE DISPLAY | 06-23-2016 |
20160181350 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 06-23-2016 |
20160181524 | ORGANIC LIGHT-EMITTING DEVICE | 06-23-2016 |
20160181525 | COMPOUND, ORGANIC ELECTROLUMINESCENT ELEMENT MATERIAL, ORGANIC ELECTROLUMINESCENT ELEMENT, AND ELECTRONIC DEVICE | 06-23-2016 |
20160181526 | AROMATIC AMINE COMPOUND, ORGANIC ELECTROLUMINESCENT ELEMENT AND ELECTRONIC DEVICE | 06-23-2016 |
20160181527 | AROMATIC AMINE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME | 06-23-2016 |
20160181529 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES | 06-23-2016 |
20160181530 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 06-23-2016 |
20160181531 | Film-Forming Composition, Film Formed Thereby, and Method for Manufacturing Organic Semiconductor Element Using Same | 06-23-2016 |
20160181535 | MATERIAL FOR ORGANIC LIGHT-EMITTING DEVICE AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 06-23-2016 |
20160181537 | Electroluminescence Device | 06-23-2016 |
20160181538 | ELECTRO-OPTICAL DEVICE AND USE THEREOF | 06-23-2016 |
20160181540 | Production Of Organic Phosphorescent Layers With Addition Of Heavy Main Group Metal Complexes | 06-23-2016 |
20160181541 | P-doped conjugated small molecular electrolyte and organic electronic devices using the same | 06-23-2016 |
20160181542 | ANTHRACENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT USING SAME | 06-23-2016 |
20160181543 | ORGANIC ELECTROLUMINESCENT ELEMENT AND ELECTRONIC DEVICE | 06-23-2016 |
20160181544 | Novel Compound, Light-Emitting Element and Electronic Device Comprising Same | 06-23-2016 |
20160181545 | ORGANIC ELECTROLUMINESCENT DEVICE | 06-23-2016 |
20160181546 | ORGANIC LIGHT-EMITTING DEVICE | 06-23-2016 |
20160181547 | COMPOUND FOR ORGANIC PHOTOELECTRIC DEVICE AND ORGANIC PHOTOELECTRIC DEVICE IMAGE SENSOR, AND ELECTRONIC DEVICE INCLUDING THE SAME | 06-23-2016 |
20160181548 | MATERIALS FOR ELECTRONIC DEVICES | 06-23-2016 |
20160181550 | Organometallic Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device | 06-23-2016 |
20160181552 | FORMULATION FOR THE PREPARATION OF ORGANIC ELECTRONIC (OE) DEVICES COMPRISING A POLYMERIC BINDER | 06-23-2016 |
20160181554 | Method for Manufacturing Flexible Display Panel and Flexible Display Device | 06-23-2016 |
20160181556 | SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS | 06-23-2016 |
20160181560 | Color-Stable Organic Light Emitting Diode Stack | 06-23-2016 |
20160181561 | WHITE ORGANIC LIGHT EMITTING DIODE | 06-23-2016 |
20160181562 | ORGANIC LIGHT EMITTING DISPLAY DEVICE | 06-23-2016 |
20160181563 | WHITE ORGANIC LIGHT-EMITTING DISPLAY DEVICE | 06-23-2016 |
20160181564 | COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, ORGANIC ELECTROLUMINESCENT ELEMENT, AND ELECTRONIC DEVICE | 06-23-2016 |
20160181566 | OLED DISPLAY PANEL AND OLED DISPLAY DEVICE APPLYING IT | 06-23-2016 |
20160181567 | Organic Light-Emitting Diode Display with Glass Encapsulation and Peripheral Welded Plastic Seal | 06-23-2016 |
20160181568 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 06-23-2016 |
20160181569 | A COMPOSITION USEFUL AS AN ORGANIC WATER/OXYGEN BARRIER MATERIAL, OLED DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF | 06-23-2016 |
20160181570 | ORGANIC LIGHT EMITTING DIODES | 06-23-2016 |
20160181571 | ORGANIC LIGHT-EMITTING DEVICE AND MANUFACTURING METHOD THEREFOR | 06-23-2016 |
20160181572 | FLEXIBLE DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 06-23-2016 |
20160181573 | OPTICAL MEMBER AND OLED DISPLAY DEVICE | 06-23-2016 |
20160186009 | GAS BARRIER FILM - [Object] Provided is a gas barrier film that is substantially uniformly modified in the film thickness direction and exhibits excellent interlayer adhesive force and bending resistance even after being stored under a high temperature and high humidity condition. | 06-30-2016 |
20160186051 | POLYMER COMPOUND, LUMINESCENT MATERIAL, AND LIGHT EMITTING DEVICE - This invention provides a luminescent composition comprising a polymer and at least one phosphorescent compound, characterized in that the electronic conjunction chain coefficient Z | 06-30-2016 |
20160190180 | Array substrate and display panel - An array substrate and a display panel. The array substrate includes an active region and a peripheral circuitry region surrounding the active region; a plurality of scan lines and a plurality of data lines intersected with and insulated from the scan lines; a plurality of pixel driving circuit units disposed at intersection areas between the scan lines and the data lines; a plurality of first electrodes respectively electrically connected to the plurality of pixel driving circuit units and disposed in the active region and the peripheral circuitry region of the array substrate; and a plurality of first connection lines configured to electrically connect the pixel driving circuit units to the corresponding first electrodes. | 06-30-2016 |
20160190211 | SOLID-STATE IMAGE PICKUP DEVICE AND MANUFACTURING AND THEREOF, AND ELECTRONIC APPARATUS - Provided is a solid-state image pickup device that makes it possible to enhance image quality, and a manufacturing method thereof, and an electronic apparatus. A solid-state image pickup device includes a pixel section that includes a plurality of pixels, the pixels each including one or more organic photoelectric conversion sections, wherein the pixel section includes an effective pixel region and an optical black region, and the organic photoelectric conversion sections of the optical black region include a light-shielding film and a buffer film on a light-incidence side. | 06-30-2016 |
20160190212 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a substrate, first electrodes disposed on the substrate; an organic light emitting layer formed on the first electrodes; a second electrode disposed on the organic light emitting layer; and a color filter layer formed on the second electrode. The color filter layer includes a first color filter, a second color filter, and a third color filter. The second color filter and the third color filter are disposed pixel blocks included in the first color filter. | 06-30-2016 |
20160190213 | LIGHT EMITTING DISPLAY DEVICE - Provided is a light emitting display device. | 06-30-2016 |
20160190214 | Light Emitting Device and Method of Manufacturing the Same - A light-emitting device structured so as to increase the amount of light taken out in a certain direction is provided as well as a method of manufacturing this light emitting device. As a result of etching treatment, an upper edge portion of an insulator ( | 06-30-2016 |
20160190217 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND MANUFACTURING METHOD THEROF - An organic light emitting display (OLED) device, and a method for manufacturing the OLED device are discussed. The OLED device according to one embodiment includes a thin film transistor formed on a substrate; a planarization layer formed on the thin film transistor; a first bank layer including a first opening; a lower electrode formed in the first opening and connected to the thin film transistor, an end segment of the lower electrode being disposed on the first bank layer; a second bank layer formed on the first bank layer and covering the end segment of the lower electrode, the second bank layer including a second opening corresponding to the first opening; an organic emitting layer formed on the lower electrode and in the second opening; and an upper electrode formed on the organic emitting layer. | 06-30-2016 |
20160190218 | Organic light emitting display panel and method of manufacturing the same - Embodiments relate to an organic light emitting display device and a method of manufacturing the same. The organic light emitting display device includes a pixel area that includes at least a first sub pixel area. The first sub pixel area includes a color filter, a first overcoat element on the color filter, wherein a portion of the color filter at an edge portion of the first sub pixel area is not covered by the first overcoat element, and an electrode disposed on the pixel area, wherein the electrode is on the portion of the color filter not covered by the first overcoat element. | 06-30-2016 |
20160190219 | Peeling Method and Method of Manufacturing Semiconductor Device - There is provided a peeling method capable of preventing a damage to a layer to be peeled. Thus, not only a layer to be peeled having a small area but also a layer to be peeled having a large area can be peeled over the entire surface at a high yield. Processing for partially reducing contact property between a first material layer ( | 06-30-2016 |
20160190221 | THIN-FILM TRANSISTOR ARRAY SUBSTRATE AND ORGANIC LIGHT-EMITTING DISPLAY APPARATUS INCLUDING THE SAME - A thin-film transistor (TFT) array substrate includes: a driving TFT provided on a substrate; and a switching TFT provided on the substrate and including: a switching semiconductor layer including a switching channel region, a switching source region, and a switching drain region; and a switching source electrode and a switching drain electrode contacting the switching semiconductor layer. The switching source electrode includes a source contact portion contacting the switching source region, and the switching drain electrode includes a drain contact portion contacting the switching drain region. The source contact portion is doped with ions that are different from ions of the switching source region and the drain contact portion is doped with ions that are different from ions of the switching drain region. | 06-30-2016 |
20160190222 | ARRAY SUBSTRATE AND FABRICATION METHOD THEREOF, AND DISPLAY DEVICE - An array substrate and a fabrication method thereof, and a display device are provided. The array substrate comprises: a pattern of an organic light-emitting layer ( | 06-30-2016 |
20160190223 | FLEXIBLE DISPLAY - A flexible display is disclosed. In one aspect, the display includes at least one first pattern including a plurality of display elements configured to display an image and extending in a first direction. The display device also includes at least one second pattern extending in a second direction and overlapping at least a portion of the first pattern. The second pattern has a curved shape in the first direction and the second direction crosses the first direction. The first and second patterns form at least one cavity region defining a space therebetween and the first and second patterns form a mesh structure. | 06-30-2016 |
20160190224 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a substrate; an active layer; a gate electrode, source and drain electrodes; a first insulating layer disposed between the active layer and the gate electrode; a second insulating layer disposed between the gate electrode and the source and drain electrodes; a third insulating layer disposed over the source and drain electrodes; conductive layers disposed over the third insulating layer and electrically connected to the source and drain electrodes through the third insulating layer; a first line disposed over the second insulating layer and formed of the same material as the source and drain electrodes; a second line overlapping the first line, disposed over the third insulating layer, and formed of the same material as the conductive layer; a fourth insulating layer disposed over the third insulating layer to cover the conductive layer; and an organic light-emitting diode disposed over the fourth insulating layer. | 06-30-2016 |
20160190225 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device and a method of manufacturing the same are provided that may reduce the resistance of a second electrode and may prevent corrosion and metal migration of a pad electrode without adding a separate mask process, or while reducing the number of mask processes. In the organic light emitting display device, an auxiliary line is connected to a second electrode through an auxiliary electrode, which is provided in the same layer as a first electrode, and a pad cover electrode is configured to cover an upper surface and a side surface of a pad connection electrode so as to prevent the pad connection electrode connected to a pad from being exposed outward. | 06-30-2016 |
20160190226 | Organic Electro-Luminescent Display Device - An organic EL display device includes an inorganic insulating film including a contact part as an opening where a contact electrode made of a conductive film is exposed, a TFT circuit layer provided on the inorganic insulating film and including a circuit including a thin film transistor, an organic EL element layer provided on the TFT circuit layer and including an organic EL element whose light emission is controlled by the circuit, and a sealing layer covering the organic EL element layer and made of an inorganic insulating material. | 06-30-2016 |
20160190227 | DISPLAY - A capacitor includes an active layer, a gate insulation layer on the active layer, a gate electrode on the gate insulation layer, an interlayer insulating layer on the gate electrode, and a first electrode on the interlayer insulating layer and connected to the active layer through at least one contact hole. | 06-30-2016 |
20160190228 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - Provided is an organic light emitting display device. The organic light emitting display device includes: a plurality of sub-pixels including an anode and a cathode; an anode line configured to supply an anode voltage to the anode; and a cathode line configured to supply a cathode voltage to the cathode, and in each of the plurality of sub-pixels, a direction of an anode voltage input of the anode line and a direction of a cathode voltage input of the cathode line are different from each other and face each other in order to reduce a deviation in a potential difference between the anode and the cathode. Thus, it is possible to improve uniformity in the potential difference between the anode and the cathode caused by a line resistance. | 06-30-2016 |
20160190331 | SEMICONDUCTOR DEVICE AND DISPLAY DEVICE HAVING SEMICONDUCTOR DEVICE - Luminance variation due to change of current through a light-emitting element caused by change in environmental temperature is suppressed. Current through a first light-emitting element in a pixel portion is controlled by a monitor circuit. The monitor circuit includes a second light-emitting element, a transistor, a resistor, and an amplifier circuit. An anode of the second light-emitting element is connected to a source of the transistor. A cathode of the second light-emitting element is connected to the resistor and a first input terminal of the amplifier circuit. A second input terminal of the amplifier circuit is connected to a second power supply line. An output terminal of the amplifier circuit is connected to a gate of the transistor. The drain of the transistor is connected to a third power supply line. The transistor and the resistor each include an oxide semiconductor film. | 06-30-2016 |
20160190448 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed-cyclic compound is represented by Formula 1: | 06-30-2016 |
20160190449 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE SAME - A condensed-cyclic compound is represented by Formula 1: | 06-30-2016 |
20160190450 | CONDENSED-CYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE INCLUDING THE SAME - A condensed-cyclic compound and an organic light-emitting device, the condensed-cyclic compound being represented by the following Formula 1: | 06-30-2016 |
20160190451 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT AND ORGANIC ELECTROLUMINESCENT ELEMENT USING THE SAME - Provided are a material for an organic EL device that improves the luminous efficacy of a device, sufficiently secures its driving stability, and has a simple construction, and an organic EL device using the material. The material for an organic EL device is formed of a carborane compound having a structure in which a dibenzofuranyl group is bonded to a carborane ring. In addition, the material for an organic EL device is preferably used in a light-emitting layer, an electron-transporting layer, or a hole-blocking layer of an organic electroluminescent device having the light-emitting layer between an anode and a cathode laminated on a substrate. Also disclosed is an organic electroluminescent device using the material for an organic EL device as a host material for a light-emitting layer containing a phosphorescent light-emitting dopant and the host material. | 06-30-2016 |
20160190452 | SEMICONDUCTOR FILM, OXIDE MICROPARTICLE DISPERSION, METHOD FOR MANUFACTURING SEMICONDUCTOR FILM, AND THIN FILM TRANSISTOR - There is provided a semiconductor film, including: an aggregate of oxide microparticles including at least one type of metal selected from the group consisting of In, Zn, and Sn; and at least one type of a ligand which is selected from the group consisting of a ligand expressed by General Formula (A) below, a ligand expressed by General Formula (B) below, and a ligand expressed by General Formula (C) below and which is coordinated with the oxide microparticles: | 06-30-2016 |
20160190454 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display and a method of manufacturing the same are disclosed. In one aspect, the method includes performing a first mask process of forming an active layer of a thin-film transistor (TFT) over a substrate and performing a second mask process of i) forming a gate insulating layer over the active layer and ii) forming a gate electrode of the TFT over the gate insulating layer. The method also includes performing a third mask process of i) forming an interlayer insulating layer over the gate electrode and ii) forming a contact hole in the interlayer insulating layer so as to expose a portion of the active layer and performing a fourth mask process of forming a pixel electrode over the interlayer insulating layer. | 06-30-2016 |
20160190456 | ORGANIC LIGHT-EMITTING DIODE DISPLAY AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting diode (OLED) display and a method of manufacturing the same are disclosed. In one aspect, the method includes performing a first mask process of forming an active layer of a thin-film transistor (TFT) and a first electrode of a capacitor over a substrate and performing a second mask process of i) forming a gate insulating layer and ii) forming a gate electrode of the TFT and a second electrode of the capacitor over the gate insulating layer. The method also includes performing a third mask process of i) forming first and second interlayer insulating layers and ii) removing portions of the first and second interlayer insulating layers so as to form a contact hole that exposes a portion of the active layer. The method also includes performing a fourth mask process of forming a pixel electrode over the second interlayer insulating layer. | 06-30-2016 |
20160190457 | CONDUCTIVE POLYMER COMPOSITION, AND CONDUCTIVE POLYMER THIN FILM, ELECTRONIC DEVICE AND ORGANIC LIGHT-EMITTING DEVICE USING THE SAME - A conductive polymer composition, including a polymer nanoparticle solution; and a conductive polymer solution, the polymer nanoparticle solution containing polymer nanoparticles in a concentration range of about 0.5 wt/vol % to about 2 wt/vol %, the conductive polymer solution containing a conductive polymer in a concentration range of about 1 wt/vol % to about 3 wt/vol %, and the polymer nanoparticle solution being included in the composition in an amount range of about 10% by volume to about 80% by volume, with respect to a total volume of the conductive polymer composition. | 06-30-2016 |
20160190458 | POLYMER AND ORGANIC ELECTRONIC DEVICE - A polymer comprising a repeat unit of formula (I): wherein R | 06-30-2016 |
20160190464 | AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An amine-based compound and an organic light-emitting device, the amine-based compound being represented by the following Formula 1: | 06-30-2016 |
20160190465 | COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A compound, an organic light-emitting device, and a flat display apparatus, the compound being represented by any one selected from the following Formula 1, Formula 2, and Formula 3: | 06-30-2016 |
20160190467 | AMINE-BASED COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An amine-based compound and an organic light-emitting device, the amine-based compound being represented by Formula 1 below: | 06-30-2016 |
20160190468 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - A material for an organic electroluminescent device and an organic electroluminescent device including the same, according to one or more embodiments of the present disclosure, include an amine derivative represented by Formula 1. When the amine derivative represented by Formula 1 is included in the emission layer, the emission efficiency of the organic electroluminescent device may be improved. | 06-30-2016 |
20160190469 | ORGANIC ELECTROLUMINESCENCE DEVICE AND ELECTRONIC DEVICE - An organic electroluminescence device includes an anode, an emitting layer and a cathode, in which the emitting layer includes a first compound and a second compound. The first compound is a delayed-fluorescent compound. The second compound is represented by a formula (2) below, in which X is a nitrogen atom or a carbon atom bonded to Y; R | 06-30-2016 |
20160190470 | ORGANIC MATERIAL AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - The present invention discloses an novel organic material containing indenotriphenylene derivatives and organic EL device using the indenotriphenylene derivatives as hole blocking layer (HBL), electron transport layer (ETL) and/or phosphorescent host can efficiently lower driving voltage, lower power consumption and increase the efficiency. The present invention further relates to the methods of preparation for the indenotriphenylene derivatives and organic EL device comprising these derivatives. | 06-30-2016 |
20160190471 | Organic Compound, Light-Emitting Element, Display Module, Lighting Module, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device - An object is to provide an organic compound having high heat resistance and a light-emitting element, a light-emitting device, an electronic device, and a display device each having high reliability. Provided are an organic compound having a 2,2′-(pyridine-2,6-diyl)bipyrimidine skeleton in which the 2-positions of pyrimidine skeletons are bonded to the 2- and 6-positions of a pyridine skeleton, and having a structure in which at least one aryl group having a fused structure with 10 to 16 carbon atoms is bonded to the 2,2′-(pyridine-2,6-diyl)bipyrimidine skeleton, and a light-emitting element, a light-emitting device, an electronic device, and a display device each containing the organic compound. | 06-30-2016 |
20160190472 | ORGANIC MATERIAL AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME - The present invention discloses an novel organic material is represented by the following formula (A) and organic EL device using the organic material as hole blocking layer (HBL), electron transport layer (ETL) or phosphorescent host can efficiently lower driving voltage, lower power consumption and increase the efficiency. | 06-30-2016 |
20160190473 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device including a first electrode; a second electrode facing the first electrode; and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer, wherein the organic layer includes a first compound and a second compound, the first compound being represented by one of the following Formulae 1-1 to 1-3, and the second compound being represented by one of the following Formulae 2-1 and 2-2: | 06-30-2016 |
20160190474 | ORGANIC LIGHT-EMITTING DEVICES - An organic light-emitting device including a first electrode; a second electrode opposite to the first electrode; and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer, wherein the organic layer includes a first compound and a second compound, the first compound being represented by one of the following Formulae 1-1 to 1-3, and the second compound being represented by the following Formula 2: | 06-30-2016 |
20160190475 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode, a second electrode facing the first electrode, and an organic layer between the first electrode and the second electrode, the organic layer including an emission layer. The organic layer includes a first compound represented by one of Formulae 1-1 to 1-3 below and a second compound represented by Formula 2 below: | 06-30-2016 |
20160190476 | ORGANIC LIGHT-EMITTING DEVICE - An organic light-emitting device includes a first electrode; a second electrode; an organic layer between the first electrode and the second electrode, the organic layer including an emission layer, and an electron transport region between the emission layer and the second electrode. The emission layer includes a first compound represented by Formula 1 and a second compound represented by any one of Formulae 2-1 to 2-5. The electron transport region includes a third compound represented by Formula 7. The organic light-emitting device may have low driving voltage, high efficiency, and a long lifespan. | 06-30-2016 |
20160190477 | ORGANIC ELECTROLUMINESCENCE COMPOSITION, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE ELEMENT, SOLUTION OF MATERIAL FOR ORGANIC ELECTROLUMINESCENCE ELEMENT, AND ORGANIC ELECTROLUMINESCENCE ELEMENT - An organic electroluminescence composition including two or more compounds each having a specific structure combining a hole transporting ability and an electron transporting ability; an organic electroluminescence composition including one or more compounds each having a specific structure combining a hole transporting ability and an electron transporting ability and a different compound having an electron transporting skeleton; and a material for organic electroluminescence devices, a solution of a material for organic electroluminescence devices and an organic electroluminescence device, each including the aromatic heterocyclic derivative. | 06-30-2016 |
20160190478 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device having an anode, a cathode, and a light emitting layer between the anode and the cathode, in which the light emitting layer contains a first organic compound, a second organic compound, and a third organic compound that satisfy the following expression (A), the second organic compound is a delayed fluorescent material, and the third organic compound is a light emitting material, is capable of enhancing the light emission efficiency. E | 06-30-2016 |
20160190479 | Light-Emitting Element, Organic Compound, Light-Emitting Device, Electronic Device, and Lighting Device - The organic compound represented by Formula G1 below has a structure in which the 2-position of a dibenzoquinazoline ring is directly, or via one or more arylene groups, bonded to a skeleton with a hole-transport property. | 06-30-2016 |
20160190481 | COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING THE COMPOUND - An organic light-emitting device includes a first electrode; a second electrode facing the first electrode; and an organic layer between the first electrode and the second electrode and including an emission layer, wherein the organic layer includes a compound of Formula 1: | 06-30-2016 |
20160190482 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by Formula 1: | 06-30-2016 |
20160190483 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound and an organic light-emitting device, the organometallic compound being represented by the following Formula 1: | 06-30-2016 |
20160190484 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formula 1: | 06-30-2016 |
20160190485 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A composition including a first compound is disclosed. The first compound is capable of functioning as a phosphorescent emitter in an organic light emitting device at room temperature; wherein the first compound has at least one aromatic ring and at least one substituent R; wherein each of the at least one R is an organic group having at least two atoms of X; wherein each X is independently selected from the group consisting of Si, and Ge; and wherein each of the at least one R is directly bonded to one of the aromatic rings. | 06-30-2016 |
20160190486 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - A composition including a first compound is disclosed, wherein the first compound is capable of functioning as a phosphorescent emitter in an organic light emitting device at room temperature; wherein the first compound has at least one aromatic ring and at least one substituent R; wherein each of the at least one R is an organic group having at least one X—F bond; wherein each X is independently selected from the group consisting of Si, and Ge; and wherein each of the at least one R is directly bonded to one of the aromatic rings. | 06-30-2016 |
20160190487 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME - The material for an organic electroluminescent device includes a monoamine derivative represented by Formula 1. An organic electroluminescent device including the material can exhibit low driving voltage and improved emission efficiency. The material can be included in at least one layer positioned between an emission layer and an anode of the organic electroluminescent device. | 06-30-2016 |
20160190488 | FLEXIBLE ORGANIC LIGHT EMITTING DISPLAY AND METHOD FOR MANUFACTURING THE SAME - A flexible organic light emitting display and a method for manufacturing the same are disclosed. The method is: sequentially forming a first buffer layer, a switch array layer, a display unit layer, and a thin film package layer on a flexible underlay substrate. When the flexible organic light emitting display bends along the flexible underlay substrate, a first bending deformation force is generated. The first buffer layer is used to absorb the first bending deformation force, and the material of the first buffer layer is an organic insulating material. | 06-30-2016 |
20160190498 | TOP EMITTING ORGANIC ELECTROLUMINESCENT DEVICES - The present disclosure provides a top emitting organic electroluminescent device including a first scattering layer, and a first electrode, at least one organic material layer, a second electrode and a second scattering layer formed on the first scattering layer sequentially. The first scattering layer contains a plurality of micro-particles that are 10 to 90 wt % of the first scattering layer. | 06-30-2016 |
20160190499 | ORGANIC LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, AND ORGANIC LIGHT EMITTING DISPLAY APPARATUS USING THE SAME - Disclosed are an organic light emitting device, a method of manufacturing the same, and an organic light emitting display apparatus using the same. In the organic light emitting device, an electron transporting layer is not provided between an emission layer and an electron injecting layer. Instead, the emission layer includes a first emission layer on a hole transporting layer, and a second emission layer on the first emission layer. The second emission layer includes a same material as a material of the first emission layer and further includes an n-type dopant material. | 06-30-2016 |
20160190500 | Light-Emitting Element, Light-Emitting Device, Display Device, and Electronic Device - A light-emitting element that emits light with high color purity, a light-emitting element that emits light at high emission efficiency, or a light-emitting element with reduced power consumption. The light-emitting element includes a first electrode, a second electrode, and an EL layer. The first electrode is configured to reflect light. The second electrode is configured to reflect light and transmit light. The EL layer is between the first electrode and the second electrode. The EL layer includes a guest material. The guest material is configured to convert triplet excitation energy into light emission. The emission spectrum of the guest material in a dichloromethane solution has a peak in a wavelength region ranging from 440 nm to 470 nm and has a full width at half maximum of greater than or equal to 20 nm and less than or equal to 80 nm. | 06-30-2016 |
20160190501 | ORGANIC LIGHT EMITTING DIODE AND ORGANIC LIGHT EMITTING DISPLAY DEVICE INCLUDING THE SAME - An organic light emitting diode and an organic light emitting display device, the organic light emitting diode including a first electrode and a second electrode facing each other; an emission layer between the first electrode and the second electrode; and a hole transport layer between the first electrode and the emission layer, wherein the hole transport layer includes an organic material and a dipole material, the dipole material including a first component and a second component, the first component having a polarity different from that of the second component and the first component and the second component being combined with each other. | 06-30-2016 |
20160190502 | WINDOW FOR DISPLAY DEVICE AND DISPLAY DEVICE INCLUDING THE SAME - A window for a display device and a display device including the same are provided. The window for the display device includes: a window substrate having a first groove in a display area transmitting an image and a second groove in a non-display area adjacent to the display area; a polarizing film in the first groove; and a printed layer in the second groove. | 06-30-2016 |
20160190503 | DISPLAY DEVICE - A display device includes: a substrate; a display unit on the substrate; a first inorganic layer on the display unit; a first organic layer on an upper portion of the first inorganic layer; a first dam at an edge of the first organic layer; a second dam spaced from the first dam and at an outer area of the first dam with respect to the display unit; and a stress relieving layer between the first dam and the second dam. | 06-30-2016 |
20160190505 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic light emitting display device includes a first substrate, a first electrode layer including a plurality of first electrodes and an auxiliary electrode on the first substrate, the auxiliary electrode being spaced apart from the first electrodes in a plan view, an organic layer on the first electrode layer, the organic layer overlapping the first electrodes of the first electrode layer, a second electrode layer on the first electrode layer, the second electrode layer overlapping the first electrodes and the auxiliary electrode of the first electrode layer, a second substrate on the second electrode layer, and a connection member penetrating through the second electrode layer and through the organic layer to electrically connect the second electrode layer and the auxiliary electrode, the connection member contacting the second substrate. | 06-30-2016 |
20160190506 | ORGANIC LIGHT EMITTING DEVICE - The present invention relates to an organic light emitting device. An organic light emitting device according to the present application includes: a substrate; a first electrode provided on the substrate; an auxiliary electrode provided on at least a partial region of the first electrode; an insulating layer provided on the auxiliary electrode, and having an overhang structure in which the insulating layer has a greater width than that of the auxiliary electrode; and a second electrode provided on the first electrode and the insulating layer, in which the second electrode provided on the first electrode and the second electrode provided on the insulating layer have an electrode structure with an electrically short-circuited form. | 06-30-2016 |
20160190507 | AN ELECTRODE FOR AN ORGANIC ELECTRONIC DEVICE - A layered structure for an organic electronic device comprising: •(i) a substrate; •(ii) an electrode deposited on said substrate; and •(iii) a hole injection layer (HIL) deposited on said electrode, wherein said electrode comprises a metal grid and an organic charge transporting polymer layer (CTL) which, together with said substrate, encapsulates said metal grid and protects it from being attacked by acidic species in the hole injection layer. | 06-30-2016 |
20160190508 | ORGANIC EL DISPLAY - An organic electro-luminescent (EL) display can have a reduced optical loss and high efficiency, and can be manufactured by an inexpensive method. The organic EL display can be formed by bonding an organic EL element substrate including a substrate, reflective electrode, organic EL layer, separation wall, barrier layer, transparent electrode, and color conversion layer; and a sealing substrate together, wherein: the reflective electrode includes a plurality of partial electrodes; the organic EL layer is formed on the reflective electrode and includes a plurality of parts separated by the separation wall; the transparent electrode is formed on the organic EL layer; the barrier layer covers the separation wall and the transparent electrode, and has a recessed part in a location corresponding to the reflective electrode; and the color conversion layer is formed in the recessed part. | 06-30-2016 |
20160190509 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE - An organic electroluminescence display device includes: a lower electrode that is made of a conductive inorganic material and formed in each of pixels arranged in a matrix in a display area; | 06-30-2016 |
20160190510 | DISPLAY PANEL, DISPLAY DEVICE AND MANUFACTURING METHOD OF DISPLAY PANEL - A display panel, a display device and a manufacturing method of the display panel. The display panel includes a first substrate and a second substrate arranged opposite to the first substrate; the first substrate includes a plurality of first side edges and at least one second side edge, and the second substrate includes a plurality of third side edges and at least one fourth side edge; positions of the first side edges correspond to positions of the third side edges and the first side edges are aligned with the third side edges, and a position of the second side edge corresponds to that of the fourth side edge; at least one notch is arranged on the second side edge, and the length of the notch is smaller than that of the second side edge. | 06-30-2016 |
20160190511 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME - An organic light-emitting display apparatus includes a lower substrate, an organic light-emitting device on the lower substrate, and a flexible film below the lower substrate, wherein the flexible film includes a base and a second barrier layer provided on the base to prevent infiltration of moisture and oxygen. | 06-30-2016 |
20160190512 | Function Panel and Manufacturing Method Thereof - A novel functional panel is provided. The functional panel includes a first substrate, a second substrate, a first layer, a second layer, a sealing portion, and a first adhesive layer. The sealing portion is between the first layer and the second layer. The first adhesive layer is between the first layer and the first substrate. The second substrate is in contact with the second layer. When a surface of the first layer which faces the first substrate is referred to as a first surface and a surface of the second layer which is in contact with the second substrate is referred to as a second surface, the functional panel has a plurality of regions having different distances between the first surface and the second surface. | 06-30-2016 |
20160190514 | ORGANIC LIGHT-EMITTING DEVICE AND ORGANIC DISPLAY APPARATUS - A display panel includes an EL panel part, a CP panel part, and a resin layer. Light is extracted from a luminous part including an organic luminous layer in the EL panel part in a direction of an arrow. The CP panel part includes a circular polarizing film. The resin layer is formed to cover an upper surface of the EL panel part, has a layer thickness of 15 μm, and includes a resin part and a plurality of getter particles dispersed in the resin part. The getter particles are dispersed at a density at which the getter particles do not overlap one another in plan view. | 06-30-2016 |
20160190516 | ORGANIC LIGHT EMITTING DEVICE INCLUDING LIGHT-EFFICIENCY IMPROVEMENT LAYER - An organic light-emitting device including a first electrode; a second electrode facing the first electrode; an organic layer between the first electrode and the second electrode, the organic layer including an emission layer, and a light-efficiency improvement layer that includes at least one selected from a first light-efficiency improvement layer and a second light-efficiency improvement layer, wherein the first light-efficiency improvement layer is disposed in a path of light that is generated in the emission layer and emitted toward an outside of the organic light-emitting device through the first electrode; the second light-efficiency improvement layer is disposed in a path of light that is generated in the emission layer and emitted toward the outside of the organic light-emitting device through the second electrode; and the light-efficiency improvement layer includes a phosphine oxide compound represented by the following Formula 1: | 06-30-2016 |
20160190517 | ORGANIC LIGHT-EMITTING DEVICE AND ORGANIC DISPLAY APPARATUS - A display panel includes a EL panel part, a CF panel part, and a resin layer. Light is extracted from a luminous part including an organic luminous layer in the EL panel part in a direction of an arrow. The resin layer is formed to cover an upper surface of the EL panel part. Transmittance of the light from the EL panel part is 80% or higher. The resin layer includes a resin part and a plurality of particles dispersed in the resin part. The particles are formed of synthetic zeolite having a refractive-index ratio to the resin part of 1.0 or more and a particle size of 2 μm or more. | 06-30-2016 |
20160190518 | LIGHT-EMITTING DEVICES USING THIN FILM ELECTRODE WITH REFRACTIVE INDEX OPTIMIZED CAPPING LAYER FOR REDUCTION OF PLASMONIC ENERGY LOSS - A light emitting device includes a substrate, a first electrode disposed on the substrate, a light emission layer (EML) disposed on the first electrode, a second electrode disposed on the EML, and a capping layer disposed on the second electrode. A thickness of the second electrode is not more than 50 nm, a refractive index of the capping layer is less than a refractive index of the EML, and the EML and the second electrode are separated by a distance not more than 100 nm. | 06-30-2016 |
20160190520 | ELECTRO-OPTICAL APPARATUS AND ELECTRONIC DEVICE - There is provided an electro-optical apparatus including an element substrate that includes a display region in which a plurality of pixels, which are light-emitting elements, are arranged in a matrix form. The light-emitting element has a structure in which a reflective electrode, a protective layer, an optical path adjustment layer, a first electrode, a light-emitting layer, and a second electrode are laminated on an insulation layer. The reflective electrode is disposed by being split in each pixel, and a gap is formed between each reflective electrode that is disposed by being split in each pixel. The protective layer covers the surface of the reflective electrode on which the gap is formed, and includes an embedded insulation film which is embedded in the gap. | 06-30-2016 |
20160190521 | ORGANIC LIGHT EMITTING DIODE DISPLAY HAVING MULTI-LAYER STACK STRUCTURE - An organic light-emitting diode (OLED) display comprises, an anode electrode disposed over a substrate; a cathode electrode disposed opposite the anode electrode; a charge generation layer disposed between the anode electrode and the cathode electrode; a first stack disposed between the charge generation layer and the cathode electrode and configured to comprise a first organic light-emitting layer, a first common layer disposed over the first organic light-emitting layer, and a second common layer disposed under the first organic light-emitting layer; and a second stack disposed between the charge generation layer and the anode electrode, wherein at least one of the first common layer and the second common layer covers a sidewall of the charge generation layer. | 06-30-2016 |
20160190522 | Flexible Display Device with Chamfered Polarization Layer - There is provided a flexible display having a plurality of innovations configured to allow bending of a portion or portions to reduce apparent border size and/or utilize the side surface of an assembled flexible display. | 06-30-2016 |
20160195810 | POSITIVE PHOTOSENSITIVE SILOXANE RESIN COMPOSITION AND DISPLAY DEVICE FORMED USING THE SAME | 07-07-2016 |
20160197097 | DISPLAY DEVICE | 07-07-2016 |
20160197122 | ORGANIC PHOTOELECTRONIC DEVICES AND IMAGE SENSORS INCLUDING THE SAME | 07-07-2016 |
20160197123 | ORGANIC LIGHT EMITTING DEVICE, METHOD OF MANUFACTURING THE SAME, AND SHADOW MASK THEREFOR | 07-07-2016 |
20160197124 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 07-07-2016 |
20160197125 | ORGANIC LIGHT EMITTING DISPLAY DEVICE WITH SUBSTANTIALLY POLYGONAL SUB-PIXELS | 07-07-2016 |
20160197126 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND MANUFACTURING METHOD THEREOF | 07-07-2016 |
20160197127 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 07-07-2016 |
20160197128 | ARRAY SUBSTRATE, MANUFACTURING METHOD THEREOF AND DISPLAY DEVICE | 07-07-2016 |
20160197129 | FLEXIBLE DISPLAY | 07-07-2016 |
20160197130 | DISPLAY DEVICE | 07-07-2016 |
20160197132 | DISPLAY DEVICE | 07-07-2016 |
20160197133 | ORGANIC MAGNETOELECTROLUMINESCENCE FOR TRANSDUCTION BETWEEN MAGNETIC AND OPTICAL INFORMATION | 07-07-2016 |
20160197134 | DISPLAY MODULE AND MULTI-DISPLAY DEVICE INCLUDING THE SAME | 07-07-2016 |
20160197275 | EMITTER HAVING A CONDENSED RING SYSTEM | 07-07-2016 |
20160197277 | COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENCE DEVICES, ORGANIC ELECTROLUMINESCENCE DEVICE, AND ELECTRONIC EQUIPMENT | 07-07-2016 |
20160197280 | COMPOSITION COMPRISING POLYMERIC ORGANIC SEMICONDUCTING COMPOUNDS | 07-07-2016 |
20160197282 | ORGANIC ELECTROLUMINESCENT ELEMENT, LIGHT EMITTING DEVICE, LIGHTING DEVICE, DISPLAY DEVICE AND ELECTRONIC DEVICE | 07-07-2016 |
20160197283 | MATERIAL FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME | 07-07-2016 |
20160197285 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES | 07-07-2016 |
20160197286 | ORGANIC ELECTROLUMINESCENT ELEMENT | 07-07-2016 |
20160197287 | ORGANIC ELECTROLUMINESCENT ELEMENT | 07-07-2016 |
20160197288 | COMPOUND, ORGANIC ELECTROLUMINESCENCE ELEMENT MATERIAL, INK COMPOSITION, ORGANIC ELECTROLUMINESCENCE ELEMENT, ELECTRONIC DEVICE, AND METHOD FOR PRODUCING COMPOUND | 07-07-2016 |
20160197289 | ORGANIC ELECTROLUMINESCENT ELEMENT AND ELECTRONIC DEVICE | 07-07-2016 |
20160197290 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 07-07-2016 |
20160197292 | SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING SAME | 07-07-2016 |
20160197293 | FLEXIBLE DISPLAY SUBSTRATE AND MANUFACTURING METHOD THEREOF, AND FLEXIBLE DISPLAY DEVICE | 07-07-2016 |
20160197297 | ORGANIC LIGHT EMITTING DISPLAY AND MANUFACTURING METHOD THEREOF | 07-07-2016 |
20160197299 | Light-Emitting Element, Light-Emitting Device, Display Device, Electronic Device, and Lighting Device | 07-07-2016 |
20160197300 | METHOD FOR MANUFACTURING DISPLAY DEVICE AND DISPLAY DEVICE MANUFACTURED BY THE METHOD | 07-07-2016 |
20160197301 | Surface Light-Emitting Unit | 07-07-2016 |
20160197302 | LIGHT EMITTING APPARATUS, LIGHTING APPARATUS, AND METHOD FOR MANUFACTURING LIGHT EMITTING APPARATUS | 07-07-2016 |
20160197303 | ORGANIC LIGHT-EMITTING DEVICE | 07-07-2016 |
20160197304 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 07-07-2016 |
20160197305 | DEPOSITION APPARATUS, METHOD FOR FORMING THIN FILM USING THE SAME, ORGANIC LIGHT EMITTING DISPLAY APPARATUS AND METHOD FOR MANUFACTURING THE SAME | 07-07-2016 |
20160197306 | Enhancing Light Extraction of Organic Light-Emitting Diodes Via Nanoscale Texturing of Electrode Surfaces | 07-07-2016 |
20160197307 | ORGANIC LIGHT-EMITTING DISPLAY PANEL AND DISPLAY DEVICE | 07-07-2016 |
20160197308 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE | 07-07-2016 |
20160197309 | Displays having Polarizing Structures formed using Narrowband Dichroic Dyes | 07-07-2016 |
20160197311 | EMISSIVE ARTICLE WITH LIGHT EXTRACTION FILM | 07-07-2016 |
20160202400 | COLOR FILTER SUBSTRATE AND DISPLAY PANEL INCLUDING THE SAME | 07-14-2016 |
20160202489 | LIQUID CRYSTAL LENS UNIT AND THREE DIMENSIONAL DISPLAY DEVICE INCLUDING THE SAME | 07-14-2016 |
20160204168 | ORGANIC LIGHT EMITTING DISPLAY DEVICE | 07-14-2016 |
20160204169 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 07-14-2016 |
20160204170 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS | 07-14-2016 |
20160204171 | ORGANIC LIGHT EMITTING DISPLAY PANEL AND METHOD OF MANUFACTURING THE SAME | 07-14-2016 |
20160204172 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 07-14-2016 |
20160204173 | Self-Light-Emitting Device and Method of Manufacturing the Same | 07-14-2016 |
20160204174 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 07-14-2016 |
20160204175 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 07-14-2016 |
20160204176 | DISPLAY DEVICE | 07-14-2016 |
20160204177 | DISPLAY APPARATUS | 07-14-2016 |
20160204179 | LIGHT EMITTING DEVICE AND ELECTRONIC APPARATUS | 07-14-2016 |
20160204181 | DISPLAY PANEL AND REPAIRING METHOD THEREOF | 07-14-2016 |
20160204182 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 07-14-2016 |
20160204183 | Organic Light-Emitting Diode Display With Bent Substrate | 07-14-2016 |
20160204186 | ORGANIC LIGHT-EMITTING DISPLAY PANEL AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE | 07-14-2016 |
20160204187 | ORGANIC ELECTROLUMINESCENT DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 07-14-2016 |
20160204345 | DITRIPHENYLENE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT DEVICE USING THE SAME | 07-14-2016 |
20160204346 | COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 07-14-2016 |
20160204352 | COMPOUND HAVING TRIPHENYLENE RING STRUCTURE, AND ORGANIC ELECTROLUMINESCENT DEVICE | 07-14-2016 |
20160204353 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 07-14-2016 |
20160204354 | COMPOUND FOR ORGANIC ELECTROLUMINESCENT DEVICE AND ORGANIC ELECTROLUMINESCENT DEVICE INCLUDING THE SAME | 07-14-2016 |
20160204355 | Organic Light-Emitting Diode With High Efficiency And Long Lifetime | 07-14-2016 |
20160204356 | ORGANIC LIGHT EMITTING DIODE | 07-14-2016 |
20160204357 | POLYCYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING THE SAME | 07-14-2016 |
20160204359 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE COMPRISING SAME | 07-14-2016 |
20160204360 | ORGANIC LIGHT-EMITTING ELEMENT | 07-14-2016 |
20160204361 | CARBAZOLE DERIVATIVE, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT WHICH COMPRISES SAME, AND ORGANIC ELECTROLUMINESCENT ELEMENT AND ELECTRONIC DEVICE EACH MANUFACTURED USING SAME | 07-14-2016 |
20160204362 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 07-14-2016 |
20160204363 | THIN FILM FOR ORGANIC EL DEVICE AND MANUFACTURING METHOD THEREOF | 07-14-2016 |
20160204364 | DISPLAY APPARATUS, APPARATUS AND METHOD OF MANUFACTURING DISPLAY APPARATUS | 07-14-2016 |
20160204365 | METHOD OF MANUFACTURING SUBSTRATE FOR ORGANIC ELECTRONIC DEVICE | 07-14-2016 |
20160204366 | Flexible Display Panel With Bent Substrate | 07-14-2016 |
20160204367 | EXCITON-BLOCKING TREATMENTS FOR BUFFER LAYERS IN ORGANIC PHOTOVOLTAICS | 07-14-2016 |
20160204370 | ORGANIC LIGHT EMITTING DIODE AND DISPLAY DEVICE INCLUDING THE SAME | 07-14-2016 |
20160204371 | ORGANIC LIGHT-EMITTING DEVICE AND DISPLAY APPARATUS INCLUDING THE SAME | 07-14-2016 |
20160204372 | OPTOELECTRONIC ELEMENT | 07-14-2016 |
20160204373 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 07-14-2016 |
20160204374 | ELECTROLUMINESCENT DEVICE AND METHOD FOR MANUFACTURING SAME | 07-14-2016 |
20160204376 | Barrier Film Layer, Photoelectric Device Comprising the Barrier Film Layer, and Manufacturing Method of the Photoelectric Device | 07-14-2016 |
20160204378 | ORGANIC OPTOELECTRONIC COMPONENT | 07-14-2016 |
20160204380 | Display Device | 07-14-2016 |
20160204381 | DEVICES TO INCREASE OLED OUTPUT COUPLING EFFICIENCY WITH A HIGH REFRACTIVE INDEX SUBSTRATE | 07-14-2016 |
20160204382 | ORGANIC LIGHT EMITTING DISPLAY DEVICE | 07-14-2016 |
20160204383 | LIGHT EMITTING DEVICE | 07-14-2016 |
20160204384 | Electroluminescent Element and Lighting Apparatus Including Same | 07-14-2016 |
20160204385 | ORGANIC LIGHT EMITTING DIODE | 07-14-2016 |
20160204386 | Radiation-Emitting Component with Organic Layer Stack | 07-14-2016 |
20160204387 | Thick-ETL OLEDs with Sub-ITO Grids with Improved Outcoupling | 07-14-2016 |
20160204388 | METHOD OF PRODUCING AN OPTOELECTRONIC DEVICE AND OPTOELECTRONIC DEVICE | 07-14-2016 |
20160252752 | DISPLAY PANEL ASSEMBLY AND METHODS OF MAKING SAME | 09-01-2016 |
20160252812 | NEGATIVE PHOTOSENSITIVE RESIN COMPOSITION, CURED RESIN FILM, PARTITION WALLS AND OPTICAL ELEMENT | 09-01-2016 |
20160254280 | THIN-FILM TRANSISTOR AND METHOD OF MANUFACTURING THE SAME | 09-01-2016 |
20160254287 | Thin-Film Transistor, Manufacturing Method Thereof, Display Substrate and Display Device | 09-01-2016 |
20160254321 | OLED Backboard, Method of Manufacturing the same, Alignment System and Alignment Method thereof | 09-01-2016 |
20160254322 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 09-01-2016 |
20160254323 | OLED UNIT AND MANUFACTURING METHOD THEREOF, OLED DISPLAY PANEL AND OLED DISPLAY APPARATUS | 09-01-2016 |
20160254324 | COLOR DISPLAY DEVICE | 09-01-2016 |
20160254325 | ORGANIC LIGHT EMITTING DISPLAY DEVICE | 09-01-2016 |
20160254326 | OLED DISPLAY SUBSTRATE, OLED DISPLAY DEVICE, AND MASK | 09-01-2016 |
20160254327 | Array Substrate, Mask Plate and Display Device | 09-01-2016 |
20160254330 | ORGANIC ELECTROLUMINESCENT PANEL | 09-01-2016 |
20160254331 | OLED DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF, AND DISPLAY APPARATUS | 09-01-2016 |
20160254332 | ORGANIC LIGHT EMITTING DISPLAY DEVICE | 09-01-2016 |
20160254333 | OLED PIXEL STRUCTURE AND METHOD FOR MANUFACTURING THE SAME, OLED DISPLAY PANEL AND OLED DISPLAY DEVICE | 09-01-2016 |
20160254334 | OXIDE SEMICONDUCTOR DEVICES, METHODS OF FORMING OXIDE SEMICONDUCTOR DEVICES AND ORGANIC LIGHT EMITTING DISPLAY DEVICES INCLUDING OXIDE SEMICONDUCTOR DEVICES | 09-01-2016 |
20160254337 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 09-01-2016 |
20160254338 | Organic Light-Emitting Diode Display with Bottom Shields | 09-01-2016 |
20160254339 | PIXEL UNIT, ARRAY SUBSTRATE, DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME | 09-01-2016 |
20160254340 | ORGANIC LIGHT-EMITTING DIODE DISPLAY | 09-01-2016 |
20160254341 | ORGANIC LIGHT EMITTING DIODE ARRAY SUBSTRATE, ITS MANUFACTURING METHOD, AND DISPLAY DEVICE | 09-01-2016 |
20160254451 | Light-Emitting Element, Light-Emitting Device, Electronic Device, Lighting Device, and Pyrene-Based Compound | 09-01-2016 |
20160254453 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 09-01-2016 |
20160254454 | OLED DISPLAY DEVICE AND PREPARATION METHOD THEREOF, DISPLAY APPARATUS | 09-01-2016 |
20160254456 | Materials for Electronic Devices | 09-01-2016 |
20160254457 | Organic Electroluminescent Materials and Devices | 09-01-2016 |
20160254458 | BENZOPYRIDOINDOLE DERIVATIVE AND ORGANIC ELECTROLUMINESCENT ELEMENT | 09-01-2016 |
20160254459 | ORGANIC ELECTROLUMINESCENCE DEVICE | 09-01-2016 |
20160254460 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES | 09-01-2016 |
20160254461 | Organometallic Complex, Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device | 09-01-2016 |
20160254462 | Material For Organic Electroluminescence Device And Organic Electroluminescence Device | 09-01-2016 |
20160254463 | LAMINATE HAVING POROUS ORGANIC SEMICODUCTOR THIN FILM AND CHEMICAL SENSOR COMPRISING SAME | 09-01-2016 |
20160254465 | Displays with Shared Flexible Substrates | 09-01-2016 |
20160254466 | TRANSISTOR MANUFACTURING METHOD AND TRANSISTOR | 09-01-2016 |
20160254467 | ORGANIC THIN FILM TRANSISTOR AND PREPARATION METHOD THEREOF, ARRAY SUBSTRATE AND PREPARATION METHOD THEREOF, AND DISPLAY DEVICE | 09-01-2016 |
20160254469 | FOUR-TERMINAL GATE-CONTROLLED THIN-FILM ORGANIC THYRISTOR | 09-01-2016 |
20160254474 | STRUCTURE OF WHITE OLED DEVICE | 09-01-2016 |
20160254475 | ORGANIC ELECTROLUMINESCENT ELEMENT AND ORGANIC ELECTROLUMINESCENT DISPLAY PANEL | 09-01-2016 |
20160254476 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 09-01-2016 |
20160254477 | ORGANIC ELECTROLUMINESCENT DEVICE AND PREPARATION METHOD THEREOF, AND DISPLAY DEVICE | 09-01-2016 |
20160254478 | VOLTAGE-LIGHT CONVERSION DEVICE | 09-01-2016 |
20160254479 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 09-01-2016 |
20160254480 | ORGANIC LIGHT-EMITTING DIODE DISPLAY PANEL, METHOD FOR MANUFACTURING THE SAME AND DISPLAY DEVICE | 09-01-2016 |
20160254481 | An encapsulation method of OLED and a structure of OLED | 09-01-2016 |
20160254483 | ACTIVE-MATRIX ORGANIC LIGHT-EMITTING DIODE (AMOLED) DISPLAY PANEL, MANUFACTURING METHOD THEREOF AND DISPLAY DEVICE | 09-01-2016 |
20160254484 | ELECTROLUMINESCENT DEVICE AND DISPLAY DEVICE | 09-01-2016 |
20160254485 | METHOD FOR PACKAGING OLED DEVICE, OLED DISPLAY PANEL AND OLED DISPLAY APPARATUS | 09-01-2016 |
20160254486 | FUNCTIONAL MATERIAL, ITS PREPARATION METHOD, AND ORGANIC LIGHT EMITTING DIODE DISPLAY PANEL | 09-01-2016 |
20160254488 | ORGANIC ELECTROLUMINESCENCE ELEMENT AND ILLUMINATION DEVICE | 09-01-2016 |
20160254489 | Display Panel, Manufacturing Method Thereof, and Display Device | 09-01-2016 |
20160254490 | ORGANIC LIGHT EMITTING DISPLAY DEVICE | 09-01-2016 |
20160254491 | OLED DISPLAY PANEL AND PACKAGING METHOD THEREOF, AND OLED DISPLAY DEVICE | 09-01-2016 |
20160254492 | LIGHT-EMITTING DEVICES AND METHODS FOR MAKING AND USING THE SAME | 09-01-2016 |
20160254493 | OLED DISPLAY AND MANUFACTURING METHOD THEREOF | 09-01-2016 |
20160254494 | OLED ARRAY SUBSTRATE, MANUFACTURING METHOD THEREOF, DISPLAY PANEL AND DISPLAY DEVICE | 09-01-2016 |
20160254496 | ORGANIC LIGHT EMITTING DIODE DISPLAY PANEL AND ITS MANUFACTURING METHOD, DISPLAY DEVICE | 09-01-2016 |
20160379568 | Electronic Device and Method of Driving the Same - To provide a novel driving method for driving an electronic device by using digital gray scale and time gray scale in combination, which secures high duty ratio, which can display an image normally even when a sustain period is shorter than an address period, and which is hardly affected by dulled signal waveform. In a sub-frame period ( | 12-29-2016 |
20160380032 | IMAGE SENSOR AND ELECTRONIC DEVICE INCLUDING THE SAME - An image sensor includes at least one first pixel configured to sense light in a visible light wavelength spectrum and a second pixel configured to sense light in an infrared light wavelength spectrum. The second pixel includes a first photoelectric device defined in the second pixel. The first photoelectric device includes an infrared light absorption layer between a first electrode and a second electrode and configured to selectively absorb light in an infrared spectrum. The second pixel may be configured to compensate the luminance sensitivity of the image sensor. The first and second pixels may be included in a unit pixel group. The image sensor may include an array of multiple unit pixel groups arranged in one or more rows and one or more columns. | 12-29-2016 |
20160380033 | FOLDABLE DISPLAY - A foldable display device according to one or more exemplary embodiments of the present invention includes: a first supporting member; a second supporting member coupled to the first supporting member and configured to be rotated with respect to the first supporting member; an ultra-elastic sheet adhered to the first supporting member and the second supporting member; and a display module adhered to the ultra-elastic sheet. | 12-29-2016 |
20160380034 | DISPLAY APPARATUS - A display apparatus comprising an anisotropic absorption layer and a display panel is disclosed. The anisotropic absorption layer is arranged at light-emitting side of the display panel. A predefined angle is formed between an absorption axis of the anisotropic absorption layer and a normal of the display panel. The anisotropic absorption layer is adapted to absorb ambient lights and transmit display lights from the display panel. The anisotropic absorption layer has a high absorptance to the ambient lights and a high transmittance to the display lights, such that the display apparatus can reduce influence of the ambient lights and improve energy usage. | 12-29-2016 |
20160380035 | ORGANIC LIGHT EMITTING DISPLAY DEVICE - Provided is an organic light emitting display device including at least two organic light emitting elements disposed adjacent to each other, respectively including an anode, an organic light emitting unit, and a cathode, and sharing at least one common layer in the organic light emitting unit. The at least one common layer includes a characteristic degradation area that reduces or minimizes an amount of leakage current generated between the at least two organic light emitting elements. | 12-29-2016 |
20160380036 | ORGANIC LIGHT EMITTING DISPLAY DEVICES - An organic light emitting display device includes a plurality of first electrodes, a pixel defining layer, a first intermediate layer, a fluorine-containing layer, an emitting layer and a second electrode. The first electrodes are spaced apart from each other on a substrate. The pixel defining layer is disposed on the substrate. The pixel defining layer partially exposes the first electrodes. The first intermediate layer is disposed on the substrate, the pixel defining layer and the exposed first electrodes. The fluorine-containing layer is formed on a portion of the first intermediate layer overlapping an upper surface of the pixel defining layer. The fluorine-containing layer includes fluorine diffused from the pixel defining layer or the first intermediate layer. The emitting layer is at least partially disposed on a portion of the first intermediate layer not including the fluorine-containing layer thereon. The second electrode is disposed on the emitting layer. | 12-29-2016 |
20160380038 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE - An organic light-emitting display device includes: a substrate; a pixel disposed on the substrate and including a first region that displays an image and a second region that transmits external light; a pixel circuit portion disposed in the first region and including at least one thin film transistor and at least one capacitor; a first electrode disposed in the first region and electrically connected with the pixel circuit portion; a pixel-defining layer including a first opening that exposes a portion of the first electrode and a second opening that corresponds to the second region; a second electrode facing the first electrode; an organic emission layer disposed between the first electrode and the second electrode; and a transparent wiring electrically connected with the pixel circuit portion and overlapping the second opening in a plan view. | 12-29-2016 |
20160380039 | ORGANIC LIGHT EMITTING DISPLAY DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic light emitting display device has a display panel including a first subpixel, a second subpixel, a data line, and sensing lines. The sensing lines may include a vertical sensing line and a horizontal sensing line connected to the vertical sensing line. The horizontal sensing line may be formed of a source/drain metal layer present on the first substrate, and one portion thereof connected to a first electrode of a sensing transistor of the first subpixel and the other portion thereof connected to a first electrode of a sensing transistor of the second subpixel may be positioned in a region intersecting with the data line, and electrically connected by a connection electrode formed of an insulated light blocking layer below the source/drain metal layer present on the first substrate. | 12-29-2016 |
20160380197 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device having improved emission efficiency includes an anode, an emission layer, an anode-side hole transport layer between the anode and the emission layer and mainly including an electron accepting material, a middle hole transport material layer between the anode-side hole transport layer and the emission layer and including a middle hole transport material, and an emission layer-side hole transport layer between the middle hole transport material layer and the emission layer, adjacent to the emission layer and including an emission layer-side hole transport material represented by the following Formula 1. | 12-29-2016 |
20160380198 | AROMATIC AMINE DERIVATIVE, AND ORGANIC ELECTROLUMINESCENT ELEMENT COMPRISING THE SAME - An aromatic amine derivative represented by the following formula (1)
| 12-29-2016 |
20160380199 | ORGANIC-ELECTROLUMINESCENT-ELEMENT MATERIAL AND ORGANIC ELECTROLUMINESCENT ELEMENTS USING SAME - Disclosed are an organic electroluminescent device that is improved in luminous efficiency, sufficiently secures driving stability, and has a simple construction, and a material for an organic electroluminescent device to be used in the device. The material is a material for an organic electroluminescent device formed of a carborane compound having an indolocarbazole skeleton and one or two or more carborane groups each bonded thereto directly or through a divalent group. In addition, the device is an organic electroluminescent device having organic layers including a light-emitting layer between an anode and a cathode laminated on a substrate, in which at least one layer of the organic layers contains the material for an organic electroluminescent device. In addition, the device is an organic electroluminescent device containing, as a host material for a light-emitting layer containing a phosphorescent light-emitting dopant and the host material, the material for an organic electroluminescent device. | 12-29-2016 |
20160380200 | ORGANIC THIN FILM TRANSISTOR AND METHOD FOR PREPARING THE SAME, ARRAY SUBSTRATE AND DISPLAY DEVICE - Disclosed are an organic thin film transistor and a method for preparing the same, an array substrate and a display device. An organic semiconductor layer of the organic thin film transistor is formed on an anisotropic insulating layer, this guarantees that the organic semiconductor layer has a crystallization direction with a high degree of order and the organic semiconductor layer has a specific alignment, thus carrier mobility can be improved, so that the performance of the organic thin film transistor can be upgraded. Moreover, the process of preparing the insulating layer has advantages of simple process, large area and low cost, etc., and the thickness of the anisotropic insulating layer manufactured is small; since there exists no mechanical friction, there exists no badness caused by particles generated by friction. | 12-29-2016 |
20160380201 | THIN FILM TRANSISTOR AND MANUFACTURING METHOD THEREOF, ARRAY SUBSTRATE, DISPLAY PANEL AND DISPLAY DEVICE - A thin film transistor and manufacturing method thereof, an array substrate, a display panel and a display device are provided. The method includes a step of forming an organic semiconductor layer on a hydrophilic structural layer. The step includes forming a lipophilic material layer on an upper surface of the hydrophilic structural layer; patterning the lipophilic material layer to remove the lipophilic material located at regions other than a region for forming the organic semiconductor layer thereon and remain the lipophilic material located at the region for forming the organic semiconductor layer thereon to form a lipophilic layer; and forming the organic semiconductor layer on the lipophilic layer through a wet process by using the lipophilic organic material. | 12-29-2016 |
20160380206 | COMPOUND FOR ORGANIC ELECTRONIC ELEMENT, ORGANIC ELECTRONIC ELEMENT USING THE SAME, AND AN ELECTRONIC DEVICE THEREOF - A compound represented by Formula 1 is disclosed. An organic electric element includes a first electrode, a second electrode, and an organic material layer between the first electrode and the second electrode. The organic material layer includes the compound represented by Formula 1. When the organic electric element includes the compound in the organic material layer, luminescence efficiency, stability, and life span can be improved. | 12-29-2016 |
20160380207 | TRIPHENYLENE-BASED FUSED BISCARBAZOLE DERIVATIVE AND USE THEREOF - The present invention discloses an triphenylene-based fused biscarbazole derivative is represented by the following formula(1) or formula(2), the organic EL device employing the derivative as light emitting host of emitting layer can display good performance like as lower driving voltage and power consumption, increasing efficiency and half-life time. | 12-29-2016 |
20160380208 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT EMITTING DEVICE USING SAME - Disclosed are a heterocyclic compound and an organic light emitting device including the same. | 12-29-2016 |
20160380209 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by Formula 1: | 12-29-2016 |
20160380210 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - A condensed cyclic compound represented by Formula 1: | 12-29-2016 |
20160380212 | HETEROCYCLIC COMPOUND, LIGHT-EMITTING ELEMENT, LIGHT-EMITTING DEVICE, ELECTRONIC DEVICE, AND LIGHTING DEVICE - A novel heterocyclic compound is provided. In particular, a novel heterocyclic compound which can improve the element characteristics of the light-emitting element is provided. The heterocyclic compound is represented by a general formula (G1) | 12-29-2016 |
20160380213 | ORGANIC ELECTROLUMINESCENT AND PREPARATION METHOD THEREOF - Disclosed are an organic electroluminescent device and a preparation method thereof. The organic electroluminescent device comprises an anode, a hole transport layer, an organic light-emitting layer, an electron transport layer and a cathode. An organic metal complex and an active metal compound are doped in the electron transport layer, wherein the active metal compound is an alkali metal complex, an alkali earth metal complex or a lanthanide metal compound. The preparation method thereof includes the following steps: etching an anode pattern, and evaporating a hole transport layer and an organic light-emitting layer on an ITO glass substrate in order; and co-evaporate an electron transport material, an organic metal complex and an active metal compound to form an electron transport layer; and evaporating a cathode on the electron transport layer. | 12-29-2016 |
20160380214 | ORGANOMETALLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME - An organometallic compound represented by Formula 1: | 12-29-2016 |
20160380216 | Organic Electroluminescent Materials and Devices - This invention discloses heteroleptic iridium complexes containing two tridentate ligands with three five- and one six-cyclometalated rings, showing desired device performance properties. | 12-29-2016 |
20160380222 | ORGANIC ELECTRONIC DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic electronic device includes an organic device including an organic material, a first protective film on the organic device, a second protective film on the first protective film and including a same material as the first protective film, and a third protective film on the second protective film. | 12-29-2016 |
20160380223 | Light-Emitting Element, Light-Emitting Device, Electronic Device, and Lighting Device - To increase emission efficiency of a fluorescent light-emitting element by efficiently utilizing a triplet exciton generated in a light-emitting layer. The light-emitting layer of the light-emitting element includes at least a host material and a guest material. The triplet exciton generated from the host material in the light-emitting layer is changed to a singlet exciton by triplet-triplet annihilation (TTA). The guest material (fluorescent dopant) is made to emit light by energy transfer from the singlet exciton. Thus, the emission efficiency of the light-emitting element is improved. | 12-29-2016 |
20160380224 | LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF FABRICATING THE SAME - A light-emitting display device includes a pixel defining layer with an opening that exposes a first electrode, a hole injection layer on the first electrode, a lyophilic pattern on the hole injection layer in the opening, a hole transport layer on the lyophilic pattern, a light-emitting layer on the hole transport layer, and a second electrode on the light-emitting layer. The lyophilic pattern includes a first part adjacent to a first sidewall of the opening and a second part adjacent to a second sidewall of the opening. A distance from a top surface of the hole injection layer to an edge of a top surface of the second part corresponds to a first height. A distance from the top surface of the hole injection layer to a top surface of the first part corresponds to a second height. The first height is lower than the second height. | 12-29-2016 |
20160380225 | METHOD AND DEVICE FOR COUPLING MULTIPLE GROUND PLANES - Generally, this disclosure provides systems, devices and methods for improved electrical coupling of multiple ground planes of a device. The device may include a plurality of ground planes and an electrically conductive ground clip. The ground clip may include a base portion configured to secure the ground clip to the device and a plurality of spring fingers. Each of the spring fingers may be configured to contact and electrically couple to one of the plurality of ground planes, wherein the ground clip is to provide a conduction path between each of the spring fingers. One of the spring fingers may pass through an opening or cut-through in a first ground plane to contact a second ground plane. The device may be a mobile communication or computing platform. | 12-29-2016 |
20160380226 | ORGANIC ELECTROLUMINESCENT ELEMENT, AND METHOD FOR PRODUCING ORGANIC ELECTROLUMINESCENT ELEMENT - Provided is an organic EL element comprising: a gas barrier layer disposed on a substrate; a light-emitting part; an inorganic sealing layer; lead-out wiring that extends outside of the inorganic sealing layer; and a sealing substrate that is bonded via a resin adhesive layer, wherein the organic EL element is configured such that above at least the lead-out wiring, the sealing substrate is folded to the substrate side and makes contact with the inorganic sealing layer, and improvement of connection reliability with external equipment is possible. | 12-29-2016 |
20160380227 | ORGANIC ELECTROLUMINESCENT ELEMENT - This organic electroluminescent element is provided with: a transparent electrode that is mainly composed of silver (Ag); a reflective electrode that is formed of a metal; and at least one light emitting layer that is provided between the transparent electrode and the reflective electrode. This organic electroluminescent element is configured such that the difference between the maximum value and the minimum value of element reflectance of light having a wavelength of 450-750 nm is 30% or less. | 12-29-2016 |
20160380228 | METHOD FOR PACKAGING AN ORGANIC LIGHT EMITTING DIODE AND A DISPLAY DEVICE USING THE SAME - The present invention discloses a method for packaging an organic light emitting diode: allocating a position for attaching a glass cloth tape on the packaging substrate; attaching the glass cloth tape on the position; bonding an organic light emitting diode substrate to the packaging substrate; melting the glass cloth tape, so that the organic light emitting diode substrate welds together with the packaging substrate. The present invention can avoid the presence of a welding gap, so that external gas cannot enter into an internal part of the packaging body, thereby increasing the service life of the OLED device. | 12-29-2016 |
20160380230 | ORGANIC ELECTROLUMINESCENCE DEVICE - An organic electroluminescence device | 12-29-2016 |
20160380231 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode (OLED) display according to the present disclosure includes a substrate, a thin film transistor on the substrate, a first electrode on the thin film transistor and electrically coupled to the thin film transistor, an organic emission layer on the first electrode, a second electrode on the organic emission layer, and a capping layer on the second electrode, wherein a thickness of the second electrode is about 65 Å to about 125 Å, and wherein a thickness of the capping layer is about (500*1.88/n) Å to about (700*1.88/n) Å, n being an optical constant of the capping layer. | 12-29-2016 |
20160380232 | ORGANIC LIGHT EMITTING DIODES DISPLAYS AND MANUFACTURING METHOD THEREOF - A cracks propagation preventing, polarization film attaches to outer edges of a lower inorganic layer of an organic light emitting diodes display where the display is formed on a flexible substrate having the lower inorganic layer blanket formed thereon. The organic light emitting diodes display further includes a display unit positioned on the inorganic layer and including a plurality of organic light emitting diodes configured to display an image, and a thin film encapsulating layer covering the display unit and joining with edges of the inorganic layer extending beyond the display unit. | 12-29-2016 |
20160380233 | ORGANIC ELECTROLUMINESCENT DEVICE - An organic electroluminescent device includes a substrate, an organic electroluminescent element, and a gas barrier film in this order, in which the organic electroluminescent element is sealed by bonding the substrate and the gas barrier film with an adhesive layer, the gas barrier film includes a base film and a barrier layer that includes at least one inorganic layer, the barrier layer is arranged closer to the organic electroluminescent element than to the base film, a barrier protective layer is arranged between the adhesive layer and the barrier layer, the barrier protective layer is a layer formed of a barrier protective layer forming material that includes organic particles and a binder, and the binder contains inorganic fine particles and a polyfunctional acrylic monomer. | 12-29-2016 |
20160380234 | LIGHT EMITTING ELEMENT AND MANUFACTURING METHOD THEREOF, AND LIGHT EMITTING DEVICE USING THE LIGHT EMITTING ELEMENT - A light-emitting element has a layer including an organic material between a first electrode and a second electrode, and further has a layer including a metal oxide between the second electrode and the layer including the organic material, where these electrodes and layers are laminated so that the second electrode is formed later than the first electrode. The light-emitting element is suppressed damage caused to a layer including an organic material during deposition by sputtering and a phenomenon such as short circuit between electrodes. | 12-29-2016 |
20160380235 | ORGANIC LIGHT EMITTING DIODE DISPLAY - An organic light emitting diode display including: a substrate; an organic light emitting diode on the substrate; a capping layer on the organic light emitting diode and including a high refractive layer including an inorganic material having a refractive index that is equal to or greater than about 1.7 and equal to or less than about 6.0; and a thin film encapsulation layer covering the capping layer and the organic light emitting diode, the inorganic material including at least one selected from the group consisting of CuI, thallium iodide (TlI), BaS, Cu | 12-29-2016 |
20160380236 | Light-Emitting Element, Light-Emitting Device, and Display Device - A light-emitting element with which a reduction in power consumption and an improvement in productivity of a display device can be achieved is provided. A technique of manufacturing a display device with high productivity is provided. The light-emitting element includes an electrode having a reflective property, and a first light-emitting layer, a charge generation layer, a second light-emitting layer, and an electrode having a light-transmitting property stacked in this order over the electrode having a reflective property. The optical path length between the electrode having a reflective property and the first light-emitting layer is one-quarter of the peak wavelength of the emission spectrum of the first light-emitting layer. The optical path length between the electrode having a reflective property and the second light-emitting layer is three-quarters of the peak wavelength of the emission spectrum of the second light-emitting layer. | 12-29-2016 |
20160380238 | OLED DEVICES HAVING IMPROVED EFFICIENCY - Devices, components and fabrication methods are provided for improving the efficiency of OLED displays. An outcoupling component such as a microlens array (MLA) is attached to an OLED, with a relatively small distance between the MLA and the OLED. Cross-talk and back scattering are reduced by the use of colored lenses, focusing layers, and other methods. | 12-29-2016 |
20160380239 | METHOD FOR MANUFACTURING AMOLED DISPLAY DEVICE AND STRUCTURE THEREOF - The present invention provides a method for manufacturing an AMOLED display device and a structure thereof. The method for manufacturing the AMOLED display device includes, before formation of a gate electrode (3), first depositing and subjecting an inorganic film a plasma bombardment treatment to form a gate reflection prevention layer (2) and, before formation of source/drain electrodes (71) and a data line (72), first depositing and subjecting an inorganic film to a plasma bombardment treatment to form an etching stop and source/drain reflection prevention layer (6), so as to provide the AMOLED display device with an excellent effect of preventing reflection of external surrounding light, increase display brightness of the AMOLED display device, extend the lifespan of the AMOLED display device, and reduce the thickness and manufacturing cost of the AMOLED display device. The structure of the AMOLED display device includes a gate metal reflection prevention layer (2) and an etching stop and source/drain reflection prevention layer (6) so as to achieve an excellent effect of preventing reflection of external surrounding light, increased display brightness, extended lifespan, a reduced thickness, and a lowered manufacturing cost. | 12-29-2016 |
20160380240 | ORGANIC LIGHT-EMITTING DISPLAY DEVICE, ORGANIC LAYER DEPOSITING APPARATUS, AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY DEVICE USING THE ORGANIC LAYER DEPOSITING APPARATUS - An organic layer depositing apparatus includes a deposition unit which includes one or more deposition assemblies spaced a predetermined distance apart from a substrate to deposit a deposition material on the substrate, wherein the one or more deposition assemblies include: a deposition source; a deposition source nozzle unit; a first pattern sheet which includes a first patterning unit and a first overlap unit; and a second pattern sheet which includes a second patterning unit and a second overlap unit, wherein the first and second pattern sheets are arranged such that the first and second overlap units overlap each other. | 12-29-2016 |
20170236853 | DISPLAY DEVICE WITH SEPARATION MEMBER INCLUDING STEPS | 08-17-2017 |
20170236875 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 08-17-2017 |
20170236877 | FLEXIBLE DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 08-17-2017 |
20170236878 | PIXEL ARRAY, DISPLAY PANEL AND PIXEL STRUCTURE | 08-17-2017 |
20170236879 | ELECTRO-OPTICAL DEVICE AND ELECTRONIC APPARATUS | 08-17-2017 |
20170236880 | ELECTRO-OPTICAL DEVICE AND ELECTRONIC APPARATUS | 08-17-2017 |
20170236881 | Display Substrate, Display Device Thereof, and Manufacturing Method Therefor | 08-17-2017 |
20170236882 | DISPLAY DEVICE | 08-17-2017 |
20170236883 | High Resolution Organic Light-Emitting Diode Devices, Displays, and Related Methods | 08-17-2017 |
20170236884 | FLAT PANEL AND FLAT PANEL DISPLAY | 08-17-2017 |
20170236886 | DISPLAY DEVICE AND DISPLAY DEVICE MANUFACTURING METHOD | 08-17-2017 |
20170236887 | METHOD FOR MANUFACTURING ORGANIC EL DISPLAY PANEL, AND ORGANIC EL DISPLAY PANEL | 08-17-2017 |
20170236889 | OLED Display Panel and Manufacturing Method Thereof | 08-17-2017 |
20170236890 | DISPLAY DEVICE | 08-17-2017 |
20170236892 | DISPLAY SUBSTRATES, METHODS OF MANUFACTURING THE SAME AND DISPLAY DEVICES INCLUDING THE SAME | 08-17-2017 |
20170236893 | ORGANIC ELECTROLUMINESCENCE DISPLAY DEVICE | 08-17-2017 |
20170237004 | OLED DEVICES WITH IMPROVED LIFETIME USING NON-CRYSTALLIZABLE MOLECULAR GLASS MIXTURE HOSTS | 08-17-2017 |
20170237010 | Azinothiadiazole Compounds and Related Semiconductor Devices | 08-17-2017 |
20170237012 | INK COMPOSITION AND PHOTOELECTRIC CONVERSION DEVICE PRODUCED USING THE SAME | 08-17-2017 |
20170237013 | COMPOUND FOR ORGANIC ELECTRONIC ELEMENT, ORGANIC ELECTRONIC ELEMENT USING THE SAME, AND AN ELECTRONIC DEVICE THEREOF | 08-17-2017 |
20170237014 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES | 08-17-2017 |
20170237015 | CONDENSED CYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 08-17-2017 |
20170237016 | COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENTS USING SAME, AND ORGANIC ELECTROLUMINESCENT ELEMENT AND ELECTRONIC DEVICE EACH USING SAME | 08-17-2017 |
20170237018 | Organic Electroluminescent Materials and Devices | 08-17-2017 |
20170237019 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES | 08-17-2017 |
20170237022 | ORGANOMETALLIC COMPOUND, ORGANIC LIGHT EMITTING DEVICE, AND COMPOSITION FOR DIAGNOSING INCLUDING ORGANOMETALLIC COMPOUND | 08-17-2017 |
20170237023 | ORGANOMETALLIC COMPOUND, ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE ORGANOMETALLIC COMPOUND, AND DIAGNOSIS COMPOSITION INCLUIDNG THE ORGANOMETALLIC COMPOUND | 08-17-2017 |
20170237024 | DISPLAY DEVICE | 08-17-2017 |
20170237025 | DISPLAY DEVICE | 08-17-2017 |
20170237027 | Flexible Display Device with Chamfered Polarization Layer | 08-17-2017 |
20170237028 | ORGANIC THIN FILM TRANSISTOR AND A MANUFACTURING METHOD OF THE SAME | 08-17-2017 |
20170237030 | Light-Emitting Element | 08-17-2017 |
20170237031 | Organic Light Emitting Display Device | 08-17-2017 |
20170237034 | ARRAY SUBSTRATE, OLED DISPLAY PANEL AND DISPLAY DEVICE | 08-17-2017 |
20170237035 | SYSTEM AND METHOD FOR MATCHING ELECTRODE RESISTANCES IN OLED LIGHT PANELS | 08-17-2017 |
20170237036 | ORGANIC-ELECTROLUMINESCENT-ELEMENT LIQUID COMPOSITION PACKAGING | 08-17-2017 |
20170237037 | DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 08-17-2017 |
20170237038 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS AND FABRICATION METHOD THEREOF | 08-17-2017 |
20170237040 | DISPLAY UNIT AND ELECTRONIC APPARATUS | 08-17-2017 |
20170237042 | Organic Electroluminescent Transistor | 08-17-2017 |
20170237043 | Organic Electroluminescent Transistor | 08-17-2017 |
20180026078 | ELECTRONIC DEVICE PACKAGE | 01-25-2018 |
20180026079 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 01-25-2018 |
20180026080 | DISPLAY DEVICE | 01-25-2018 |
20180026083 | OLED DISPLAY PANEL, DISPLAY DEVICE AND DISPLAY SYSTEM | 01-25-2018 |
20180026084 | ORGANIC LIGHT-EMITTING DEVICE | 01-25-2018 |
20180026085 | ORGANIC LIGHT EMITTING DIODE DISPLAY | 01-25-2018 |
20180026086 | LIGHT-EMITTING ELEMENT AND DISPLAY DEVICE USING SAME | 01-25-2018 |
20180026087 | ORGANIC LIGHT-EMITTING DISPLAY APPARATUS | 01-25-2018 |
20180026089 | PRINTED CIRCUIT BOARDS INCLUDING DRIVE CIRCUITS, AND RELATED SEMICONDUCTOR DEVICES | 01-25-2018 |
20180026187 | NOVEL COMPOUND FOR ORGANIC ELECTRIC ELEMENT, ORGANIC ELECTRIC ELEMENT USING THE SAME, AND ELECTRONIC DEVICE COMPRISING SAME | 01-25-2018 |
20180026188 | SPIROBIFLUORENE DERIVATIVE-BASED MATERIALS FOR ELECTRONIC DEVICES | 01-25-2018 |
20180026198 | ORGANIC ELECTROLUMINESCENCE DEVICE | 01-25-2018 |
20180026199 | ORGANIC ELECTROLUMINESCENT DEVICE | 01-25-2018 |
20180026201 | CARBAZOLE-BASED GUMBOS FOR HIGHLY EFFICIENT BLUE OLEDS | 01-25-2018 |
20180026202 | ORGANIC MOLECULES FOR USE IN OPTOELECTRONIC DEVICES | 01-25-2018 |
20180026203 | COMPOUND, MATERIAL FOR ORGANIC ELECTROLUMINESCENT ELEMENT, INK COMPOSITION, ORGANIC ELECTROLUMINESCENT ELEMENT, AND ELECTRONIC DEVICE | 01-25-2018 |
20180026206 | HETEROCYCLIC COMPOUND AND ORGANIC LIGHT-EMITTING DEVICE INCLUDING THE SAME | 01-25-2018 |
20180026208 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES | 01-25-2018 |
20180026210 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES | 01-25-2018 |
20180026212 | DISPLAY DEVICE AND METHOD FOR PRODUCING SAME | 01-25-2018 |
20180026215 | ORGANIC FIELD-EFFECT-TRANSISTORS WITH LOW CONTACT RESISTANCE | 01-25-2018 |
20180026219 | ORGANIC LIGHT EMITTING ELEMENT, DISPLAY PANEL AND DISPLAY DEVICE | 01-25-2018 |
20180026220 | ORGANIC ELECTROLUMINESCENCE DEVICE, ORGANIC ELECTROLUMINESCENCE UNIT, AND ELECTRONIC APPARATUS | 01-25-2018 |
20180026221 | ORGANIC ELECTROLUMINESCENCE DEVICE, ORGANIC ELECTROLUMINESCENCE UNIT, AND ELECTRONIC APPARATUS | 01-25-2018 |
20180026222 | ORGANIC ELECTROLUMINESCENCE DEVICE, ORGANIC ELECTROLUMINESCENCE UNIT, AND ELECTRONIC APPARATUS | 01-25-2018 |
20180026224 | CORE-SHELL NANOWIRE, METHOD FOR SYNTHESIZING THE CORE-SHELL NANOWIRE, AND TRANSPARENT ELECTRODE AND ORGANIC LIGHT EMITTING DIODE INCLUDING THE CORE-SHELL NANOWIRE | 01-25-2018 |
20180026225 | DISPLAY DEVICE WITH STRUCTURE FOR PREVENTING ORGANIC MATERIAL OVERFLOW | 01-25-2018 |
20180026227 | DISPLAY DEVICE | 01-25-2018 |
20180026228 | Light-Emitting Device and Method for Manufacturing Light-Emitting Device | 01-25-2018 |
20180026230 | DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 01-25-2018 |
20180026232 | THIN-FILM PACKAGE FOR ORGANIC LIGHT-EMITTING DIODE, ORGANIC LIGHT-EMITTING DIODE, AND ORGANIC LIGHT-EMITTING DISPLAY | 01-25-2018 |
20180027171 | IMAGE PICKUP DEVICE AND ELECTRONIC APPARATUS | 01-25-2018 |
20190144478 | MANGANESE (II) COMPLEX, PREPARATION METHOD THEREOF, AND USE THEREOF IN ORGANIC LIGHT EMITTING DIODES | 05-16-2019 |
20190148413 | DISPLAY DEVICE | 05-16-2019 |
20190148457 | IMAGE SENSOR AND METHOD OF FABRICATING THEREOF | 05-16-2019 |
20190148459 | Organic Light Emitting Diode Device, Method for Manufacturing the Same and Display Device | 05-16-2019 |
20190148460 | DISPLAY APPARATUS AND METHOD OF MANUFACTURING THE SAME | 05-16-2019 |
20190148464 | DISPLAY PANEL | 05-16-2019 |
20190148465 | Light-Emitting Diode Displays | 05-16-2019 |
20190148467 | DISPLAY DEVICE | 05-16-2019 |
20190148468 | PIXEL DEFINING LAYER AND PRODUCTION METHOD THEREOF, DISPLAY PANEL AND PRODUCTION METHOD THEREOF, AND DISPLAY APPARATUS | 05-16-2019 |
20190148469 | DISPLAY DEVICE | 05-16-2019 |
20190148470 | LIGHT EMITTING ELEMENT AND DISPLAY DEVICE INCLUDING LIGHT EMITTING ELEMENT | 05-16-2019 |
20190148472 | ORGANIC LIGHT EMITTING DISPLAY DEVICE | 05-16-2019 |
20190148473 | LIGHT EMITTING DEVICE | 05-16-2019 |
20190148474 | BENDABLE DISPLAY PANEL AND FABRICATING METHOD THEREOF | 05-16-2019 |
20190148476 | DISPLAY DEVICE | 05-16-2019 |
20190148477 | DISPLAY DEVICE | 05-16-2019 |
20190148478 | ANISOTROPIC CONDUCTIVE FILM AND DISPLAY DEVICE USING THE SAME | 05-16-2019 |
20190148640 | ORGANIC LIGHT-EMITTING DEVICE | 05-16-2019 |
20190148644 | ORGANIC COMPOUND AND ORGANIC PHOTOELECTRIC CONVERSION ELEMENT INCLUDING THE SAME | 05-16-2019 |
20190148646 | AZAINDOLOCARBAZOLE COMPOUNDS | 05-16-2019 |
20190148647 | NITROGEN-CONTAINING COMPOUND AND ORGANIC ELECTROLUMINESCENCE DEVICE INCLUDING THE SAME | 05-16-2019 |
20190148648 | ORGANIC LIGHT-EMITTING DIODE AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE INCLUDING THE SAME | 05-16-2019 |
20190148649 | COMPOUND, ORGANIC ELECTROLUMINESCENT ELEMENT MATERIAL USING SAME, ORGANIC ELECTROLUMINESCENT ELEMENT USING THIS MATERIAL, AND ELECTRONIC DEVICE | 05-16-2019 |
20190148650 | Organic Light Emitting Device | 05-16-2019 |
20190148652 | NITROGEN-CONTAINING COMPOUND-CONTAINING COMPOUND AND ORGANIC ELECTROLUMINESCENCE DEVICE INCLUDING THE SAME | 05-16-2019 |
20190148654 | DISPLAY UNIT AND METHOD OF MANUFACTURING DISPLAY UNIT | 05-16-2019 |
20190148656 | LIGHT-EMITTING DEVICE AND ELECTRONIC DEVICE | 05-16-2019 |
20190148657 | Organic Dielectric Layer and Organic Electronic Device | 05-16-2019 |
20190148658 | PHOTOELECTRIC CONVERSION ELEMENT, IMAGING DEVICE, AND OPTICAL SENSOR | 05-16-2019 |
20190148659 | RADIATION DETECTOR | 05-16-2019 |
20190148660 | ORGANIC ELECTRONIC DEVICE AND METHOD OF MANUFACTURING THE SAME | 05-16-2019 |
20190148662 | ORGANIC LIGHT EMITTING DEVICE HAVING AN OPTICAL DISTANCE OF A MICRO CAVITY AND METHOD OF FABRICATING THE SAME | 05-16-2019 |
20190148663 | ORGANIC LIGHT-EMITTING DIODE AND ORGANIC LIGHT-EMITTING DISPLAY DEVICE INCLUDING THE SAME | 05-16-2019 |
20190148664 | PROCESS FOR MAKING AN ORGANIC CHARGE TRANSPORTING FILM | 05-16-2019 |
20190148666 | Electrode and Organic Electroluminescent Device Using Same | 05-16-2019 |
20190148671 | DISPLAY DEVICE, DISPLAY PANEL AND MANUFACTURING METHOD THEREOF | 05-16-2019 |
20190148672 | DISPLAY PANEL AND ELECTRONIC DEVICE INCLUDING THE SAME | 05-16-2019 |
20190148674 | OLED WITH MINIMAL PLASMONIC LOSSES | 05-16-2019 |
20190148675 | LIGHT EMITTING APPARATUS | 05-16-2019 |
20190148676 | ORGANIC ELECTROLUMINESCENT ELEMENT AND LIGHTING DEVICE | 05-16-2019 |
20190148678 | Electro-Optical Panel | 05-16-2019 |
20190150235 | ORGANIC ELECTROLUMINESCENT LIGHT EMITTING DEVICE | 05-16-2019 |
20220135605 | ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Provided are phenylthienothiazole containing compounds. The compound of the present invention comprises a first phenylthienothiazole-derived ligand L | 05-05-2022 |
20220140011 | Organic Light Emitting Display Having Touch Sensors and Method of Fabricating the Same, and Display Device - Disclosed is a display device comprising: a substrate comprising an active region and a non-active region; a light emitting device that emits light in the active area of the substrate; a touch sensor in the active area of the substrate that senses touch of the display device, the touch sensor including a plurality of conductive layers arranged in a stacking sequence; and a plurality of routing lines in the non-active region of the substrate that are connected to the touch sensor, each of the plurality of routing lines including a plurality of routing layers, each of the plurality of routing layers made of a same material as a corresponding one of the plurality of conductive layers included in the touch sensor, and the plurality of routing layers arranged in a same stacking sequence as the stacking sequence of the plurality of conductive layers of the touch sensor. | 05-05-2022 |
20220140014 | DISPLAY DEVICE AND ELECTRONIC APPARATUS - Provided is a display device including a substrate including a first display area, a second display area including transmission areas, and a non-display area, main pixel electrodes above the first display area, auxiliary pixel electrodes above the second display area, and a shield layer between the substrate and the auxiliary pixel electrodes, including a first metal layer, and at least one reflection reduction layer overlapping the first metal layer, and defining an opening overlapping the transmission areas. | 05-05-2022 |
20220140018 | ARRAY SUBSTRATE, FABRICATING METHOD THEREFOR AND DISPLAY PANEL - The present disclosure provides an array substrate including a driving circuit board, and a first electrode layer, an insulating layer, and an anode structure sequentially stacked thereon. The anode structure includes a reflective layer, an intermediate dielectric layer, and a transparent conductive layer sequentially provided in a direction away from the driving circuit board. The array substrate has first, second, and third pixel regions. The anode structure includes first, second, and third anode structures. The first electrode layer includes first, second and third sub-portions. The first, second and third anode structures are coupled with the first, second and third sub-portions through first, second and third via holes in the insulating layer, respectively. A surface of the insulating layer in contact with the first, second and third anode structures is flush; and a thickness of the intermediate dielectric layer in the second, first and third anode structures increases sequentially. | 05-05-2022 |
20220140026 | DISPLAY DEVICE - A display device includes a base layer on which a display area and a non-display area are defined, a circuit layer including a first power electrode and driving circuits, which are disposed in the non-display area, a first planarization layer in which a first opening through which the first power electrode is exposed is defined and which covers the driving circuits, a second power electrode disposed on the first planarization layer to contact the first power electrode that is exposed through the first opening and overlapping at least a portion of the driving circuits, and a second planarization layer disposed on the first planarization layer to cover a portion of the second power electrode and having a groove part in an area overlapping the first planarization layer and the second power electrode in a plan view. | 05-05-2022 |
20220140028 | FLEXIBLE ORGANIC LIGHT-EMITTING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A flexible organic light-emitting display device and a method of manufacturing the same. The flexible organic light-emitting display device includes a metal oxide infiltrated layer as part of at least one of a plurality of organic layers stacked on and around an organic light-emitting device. | 05-05-2022 |
20220140031 | DISPLAY PANEL AND MANUFACTURING METHOD THEREOF - A display panel and a manufacturing method thereof are provided. The display panel includes a substrate, a barrier layer, a buffer layer, and a thin-film transistor (TFT) array layer. A plurality of first through-holes arranged apart from each other are defined in a display area, a plurality of second through-holes are defined in a bonding area, and the first through-holes and the second through-holes penetrate from an insulating layer to a side of the substrate near the barrier layer. The first through-holes and the second through-holes are filled with a flexible material, thereby improving bending resistance capability of the display panel, and reducing risks of cracking. | 05-05-2022 |
20220140034 | DISPLAY DEVICE - A display device includes: a substrate including a curved portion and a flat portion; an insulating layer disposed on the substrate; a first organic light emitting diode disposed on the insulating layer and having a first projection; and a second organic light emitting diode having a second projection, wherein a light emission portion is disposed in the curved portion and the flat portion, the first projection overlaps the light emission portion disposed in the curved portion and is asymmetric in the light emission portion, and the second projection overlaps the light emission portion in the flat portion and is symmetric in the light emission portion. | 05-05-2022 |
20220140036 | DISPLAY DEVICE - A display device includes a substrate including pixels including a first pixel and a second pixel, a driver of the first pixel disposed on the substrate, a driver of the second pixel disposed on the substrate, a first pixel electrode overlapping the driver of the first pixel and electrically connected to the driver of the first pixel, a second pixel electrode overlapping the driver of the first pixel and the driver of the second pixel and electrically connected to the driver of the second pixel, an emission layer disposed on the first pixel electrode and the second pixel electrode, and a common electrode disposed on the emission layer, wherein the second pixel electrode includes a first opening overlapping the driver of the first pixel. | 05-05-2022 |
20220140044 | FLEXIBLE DISPLAY PANEL AND DISPLAY DEVICE - A flexible display panel and a display device are provided. The flexible display panel includes a non-bending zone and at least one bending zone. A shape of the non-bending zone is a first rectangle, and a shape of the at least one bending zone is a second rectangle. The bending zone is arranged around the non-bending zone and is connected to the non-bending zone. A side of the second rectangle is connected to a straight side of the first rectangle. At least one of two rounded corners corresponding to the straight side of the non-bending zone connected to the at least one bending zone includes a concave wave shape. | 05-05-2022 |
20220140046 | DISPLAY PANEL AND FABRICATION METHOD THEREOF - The present application provides a display panel and a fabrication method thereof. In the display panel, a bonding module covers a display area and an extension area, and a bonding conductive layer of the bonding module is electrically connected to an external driving chip. A display module covers the display area and the extension area, the display module comprises a thin film transistor array structure, and the thin film transistor array structure comprises a source-drain conductive layer. A portion of the source-drain conductive layer in the extension area is electrically connected to the bonding conductive layer by a through hole. | 05-05-2022 |
20220140047 | DISPLAY PANEL AND DISPLAY DEVICE - A display panel includes a drive backplane, a transparent insulating layer and a light-emitting device layer. The drive backplane includes a driving circuit layer, a metal wiring layer, a first insulating layer and a reflective electrode layer. The first insulating layer has first via holes filled with first metal connectors. The reflective electrode layer includes first reflective electrodes respectively connected with the metal wiring layer through the first metal connectors. The light-emitting device layer includes a pixel electrode layer, an organic light-emitting layer and a common electrode layer. The pixel electrode layer includes first pixel electrodes respectively connected with the first reflective electrodes through the connection via holes. A distance between an orthographic projection of the connection via hole on the pixel electrode layer and an edge of the first pixel electrode is not less than a first threshold value. | 05-05-2022 |
20220140049 | DISPLAY AND DISPLAY DEVICE - A display includes a circuit board structure including a first circuit board and a second circuit board. The first circuit board has a carrying region and an electrical connection region on which a first pad is disposed. The second circuit board has a first region and a second region, the first region is arranged on the electrical connection region and is electrically connected to the first pad, and the second region is electrically connected to the driving terminal. The rigidity of the second circuit board is less than that of the first circuit board. The display substrate is in the carrying region and includes a silicon substrate in which a driving circuit is partially embedded, and a second pad electrically connected to the driving circuit. The driving circuit includes a transistor having a semiconductor layer which is inside the silicon substrate. The second pad is electrically connected to the first pad. | 05-05-2022 |
20220140055 | DISPLAY DEVICE - A display device includes a substrate including a display area and a non-display area, a plurality of pixels disposed in the display area, a common voltage supply wiring overlapping the non-display area and disposed on the substrate, a driving voltage supply wiring overlapping the non-display area and disposed on the substrate, and a data voltage supply wiring overlapping the non-display area and electrically connected to the plurality of pixels, where at least one of the common voltage supply wiring and the driving voltage supply wiring includes a chamfered area, the data voltage supply wiring includes a first data voltage supply wiring, a second data voltage supply wiring, and a third data voltage supply wiring, and the first to third data voltage supply wirings are disposed in different layers. | 05-05-2022 |
20220140063 | DISPLAY APPARATUS - A display apparatus includes a substrate; a plurality of display units on the substrate, each including a thin film transistor including at least one inorganic layer, a passivation layer on the thin film transistor, and a display device electrically connected to the thin film transistor; and a plurality of encapsulation layers respectively encapsulating the plurality of display units. The substrate includes a plurality of islands spaced apart, a plurality of connection units connecting the plurality of islands, and a plurality of through holes penetrating through the substrate between the plurality of connection units. The plurality of display units are on the plurality of islands, respectively. The at least one inorganic layer and the passivation layer extend on the plurality of connection units. The passivation layer includes a trench exposing the at least one inorganic layer. The encapsulation layer contacts the at least one inorganic layer exposed via the trench. | 05-05-2022 |
20220140064 | DISPLAY DEVICE, TILING DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - The present disclosure relates to a display device, a tiling display device, and a method of manufacturing a tiling display device and the display device according to an aspect of the present disclosure includes: a display panel; a metal plate disposed on a rear side of the display panel; a plurality of magnets disposed on a rear side of the metal plate; and a plurality of ferromagnetic materials disposed on both side of the plurality of magnets. Therefore, the plurality of magnets and the plurality of ferromagnetic materials are used to easily attach and detach the display device and install, maintain, and repair the display device. | 05-05-2022 |
20220140195 | LIGHT EMITTING ELEMENT INK, DISPLAY DEVICE, AND METHOD OF MANUFACTURING THE SAME - A light emitting element ink, a display device, and a method of manufacturing the same are provided. The light emitting element ink includes a solvent, a light emitting element dispersed in the solvent, the light emitting element including a plurality of semiconductor layers and an insulating film partially surrounding outer surfaces of the plurality of semiconductor layers, and a reactive mesogen dispersed in the solvent. | 05-05-2022 |
20220140240 | ORGANIC LIGHT EMITTING DIODE DISPLAY DEVICE AND METHOD OF FABRICATING SAME - An organic light emitting diode display device and a method of fabricating same are provided. The organic light emitting diode display includes an electron transport layer disposed on a light emitting layer, and material of the electron transport layer comprises a soluble organic electron transport material. The electron transport layer can be formed by ink and by inkjet printing. | 05-05-2022 |
20220140251 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE AND COMPOSITION FOR ORGANIC OPTOELECTRONIC DEVICE - Disclosed are the compound for an organic optoelectronic device, the compound being represented by Chemical Formula 1, a composition for an organic optoelectronic device including the compound for an organic optoelectronic device, an organic optoelectronic device, and a display device. | 05-05-2022 |
20220140252 | NOVEL ORGANIC ELECTROLUMINESCENT MATERIALS AND DEVICES - Disclosed are a novel organic electroluminescent material and a device thereof. The organic electroluminescent material is a novel compound having the structure in Formula 1. The organic electroluminescent material has LUMO energy levels of different depths, can be used as a single hole injection material, and is also an excellent p-type dopant material, which is of great significance for the development of new high-performance hole injection materials. Also disclosed are an organic electroluminescent device comprising the novel compound and a compound composition comprising the novel compound. | 05-05-2022 |
20220140257 | COMPOUND FOR ORGANIC OPTOELECTRONIC DEVICE, COMPOSITION FOR ORGANIC OPTOELECTRONIC DEVICE, ORGANIC OPTOELECTRONIC DEVICE, AND DISPLAY DEVICE - A compound for an organic optoelectronic device, a composition for an organic optoelectronic device including the same, an organic optoelectronic device, and a display device, the compound being represented by a combination of Chemical Formula 1 and Chemical Formula 2, | 05-05-2022 |
20220140260 | HETEROCYCLIC COMPOUND, LIGHT-EMITTING DEVICE INCLUDING THE SAME, AND ELECTRONIC APPARATUS INCLUDING THE LIGHT-EMITTING DEVICE - Provided is a heterocyclic compound represented by Formula 1, a light-emitting device including the same, and an electronic apparatus including the light-emitting device. The light-emitting device includes a first electrode, a second electrode facing the first electrode, an interlayer between the first electrode and the second electrode and including an emission layer, and the heterocyclic compound represented by Formula 1. | 05-05-2022 |
20220140262 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME - A display device and a method of manufacturing the same are provided. The display device, comprises a first base substrate, a first barrier layer disposed on the first base substrate, a second base substrate disposed on the first barrier layer, at least one transistor disposed on the second base substrate, and an organic light emitting diode disposed on the at least one transistor, wherein the first barrier layer includes a silicon oxide, and has an adhesion force of 200 gf/inch or more to the second base substrate. | 05-05-2022 |
20220140265 | ORGANIC SEMICONDUCTOR DEVICE, METHOD FOR MANUFACTURING ORGANIC SEMICONDUCTOR SINGLE CRYSTAL FILM, AND METHOD FOR MANUFACTURING ORGANIC SEMICONDUCTOR DEVICE - The present disclosure provides an organic semiconductor single crystal film that can be disposed on a desired substrate and has a thickness thinner than that of a conventional film. The present disclosure is directed to an organic semiconductor device comprising: a substrate; and an organic semiconductor single crystal film on the substrate, wherein an average film thickness of the organic semiconductor single crystal film is 2 to 100 nm, and at least a portion of a surface of the substrate in contact with the organic semiconductor single crystal film is hydrophobic, solvent soluble, non-heat resistant, or a combination thereof. | 05-05-2022 |
20220140268 | METHOD OF MANUFACTURING ALL-SOLUTION-PROCESSED INTERCONNECTION LAYER FOR MULTI-JUNCTION TANDEM ORGANIC SOLAR CELL - A method of fabricating an all-solution-processed interconnection layer of a multi-junction tandem organic solar cell includes forming a coating of an aqueous poly(3,4-ethylenedioxythiophene) polystyrene sulfonate dispersion liquid on a sub-cell surface of a multi-junction tandem organic solar cell. | 05-05-2022 |
20220140277 | DISPLAY PANEL AND DISPLAY DEVICE - A display panel includes a driving back plate, a first insulating layer, and a light-emitting device layer sequentially stacked. The driving back plate includes a first reflecting electrode layer. The first reflecting electrode layer includes first primary reflecting electrodes in a display area and first auxiliary reflecting electrodes in a peripheral area. The light-emitting device layer includes a second reflecting electrode layer including second primary reflecting electrodes in the display area and second auxiliary reflecting electrodes in the peripheral area. The second primary reflecting electrodes are in one-to-one correspondence and electrically connected with the first primary reflecting electrodes. The orthographic projection of the second primary reflecting electrode on the first reflecting electrode layer are located within the first primary reflecting electrode. | 05-05-2022 |
20220140281 | DISPLAY DEVICE AND ELECTRONIC APPARATUS - A display device according to an embodiment of the present technology includes a transparent substrate, an element substrate, a color filter layer, a metal electrode layer, and a transparent adhesive layer. The element substrate includes an opposing surface facing the transparent substrate, and an organic EL element that emits light from a display region of the opposing surface. The color filter layer is disposed on the opposing surface to avoid a bonding region provided in a peripheral region surrounding the display region of the opposing surface. The metal electrode layer is disposed on the element substrate to avoid at least a part of an overlapping region that overlaps with the bonding region when viewed from the transparent substrate. The transparent adhesive layer bonds the transparent substrate and the element substrate to each other via the bonding region. | 05-05-2022 |
20220140282 | Display Substrate, Preparation Method Thereof, and Display Device - Provided are a display substrate and a preparation method thereof, and a display device. The display substrate includes a base substrate, a light-emitting element disposed on the base substrate, and an encapsulation layer disposed on the light-emitting element, the display substrate further comprises a driving circuit connected with the light-emitting element and configured to drive the light-emitting element, the driving circuit comprises a driving transistor which comprises an active layer located inside the base substrate; the encapsulation layer comprises a first gradient layer and a second gradient layer stacked along a direction away from the base substrate, a content of oxygen element in the first gradient layer gradually decreases, and a content of carbon element in the second gradient layer gradually increases along the direction away from the base substrate. | 05-05-2022 |
20220140284 | PHOTOELECTRIC CONVERSION ELEMENT, ORGANIC PHOTOCONDUCTOR, IMAGE FORMING METHOD, IMAGE FORMING APPARATUS, AND ORGANIC EL ELEMENT - A photoelectric conversion element includes a support, a photoelectric conversion layer, an undercoat layer, and a surface layer; where the photoelectric conversion layer, the undercoat layer, and the surface layer are disposed on or above the support in this order. The surface layer is a ceramic film, and the undercoat layer includes a siloxane resin. | 05-05-2022 |
20220140285 | DISPLAY DEVICE AND MANUFACTURING METHOD THEREOF - A display device includes: a substrate including a first flat area, a second flat area, and a bending area between the first flat area and the second flat area; a display unit overlapping the first flat area and disposed on a surface of the substrate; first and second protection layers on an opposing surface of the substrate and overlapping the first and second flat areas, respectively. The first and second protection layers include a hardening member including a photo-hardening resin, the first protection layer includes a first inclination part at an end, the second protection layer includes a second inclination part at an end, the first inclination part has a first inclination angle with the opposing surface, the second inclination part has a second inclination angle with the opposing surface, and the first and second inclination angles are in a range of about 10 degrees to about 90 degrees. | 05-05-2022 |
20220140290 | DISPLAY DEVICE - A display device includes a substrate; a light-emitting element on the substrate; a capping layer on the light-emitting element; a first inorganic encapsulation layer on the capping layer; an organic encapsulation layer on the first inorganic encapsulation layer; and a second inorganic encapsulation layer on the organic encapsulation layer. The first inorganic encapsulation layer includes a first sub-inorganic encapsulation layer between the capping layer and the organic encapsulation layer, and a second sub-inorganic encapsulation layer between the first sub-inorganic encapsulation layer and the organic encapsulation layer. | 05-05-2022 |