Entries |
Document | Title | Date |
20080210376 | PLASMA PROCESSING APPARATUS CAPABLE OF CONTROLLING PLASMA EMISSION INTENSITY - An antenna electrode having a substantially circular shape, is arranged on a plane of a processing vessel, which is located opposite to a stage for mounting a sample within the processing vessel, and positioned parallel to the stage. An emission monitor monitors emission intensity of plasma present in at least 3 different points along a radial direction of the antenna electrode. A control unit adjusts an energizing current supplied to an external coil for forming a magnetic field within the processing vessel. The control unit adjusts the energizing current supplied to the external coil based upon the monitoring result obtained from the emission monitor so as to control the emission intensity of the plasma to become uniform emission intensity. | 09-04-2008 |
20080236747 | GAS ANALYZING APPARATUS AND SUBSTRATE PROCESSING SYSTEM - A gas analyzing apparatus includes a measurement chamber having a mounting member for mounting thereon a substrate on which a sample is adsorbed; a depressurizing mechanism for depressurizing the inside of the measurement chamber; and a heating unit for heating the substrate having the adsorbed sample thereon and mounted on the mounting member. The apparatus further includes: a mass spectrometer inserted in the measurement chamber, for detecting gas molecules escaping from the sample with an increasing temperature; and a temperature measuring unit for measuring a temperature of the substrate having the adsorbed sample thereon by using an interferometer which detects an optical thickness of the substrate. | 10-02-2008 |
20080251206 | Plasma Processing Apparatus And Method For Controlling The Same - A plasma processing apparatus includes a vacuum vessel, first, second and third power supplies first, second and third RF voltages, a first electrode disposed within the vacuum vessel, a second electrode disposed within the vacuum vessel, and a phase control unit for controlling the phase difference of the second and third RF voltages, wherein the second and third RF voltages are of the same frequency. The apparatus further comprises a first phase detector for detecting the phase of the third RF voltage supplied to the first electrode and a second phase detector for detecting the phase of the second RF voltage of the second electrode, a voltage detector, and a phase difference computer which computes a phase difference between the second and third RF voltages based upon an output of the voltage detector. | 10-16-2008 |
20080295963 | Gas supply system and gas supply accumulation unit of semiconductor manufacturing apparatus - A gas supply system | 12-04-2008 |
20090014125 | SUBSTRATE PROCESSING SYSTEM AND METHOD - A substrate processing system includes a resist pattern forming apparatus including modules each configured to perform a predetermined process on a substrate with an underlying film formed thereon, an etched pattern forming apparatus including chambers each configured to perform patterning of the underlying film by use of a resist pattern as a mask, and examination devices configured to perform measurement and examination of a pattern attribute rendered on a substrate after a process in the resist pattern forming apparatus and after a process in the etched pattern forming apparatus. A controller is preset to utilize measurement results and transfer data to calculate correction value ranges respectively settable in the modules and the chambers and to determine combinations of the modules and the chambers such that corrections made within the correction value ranges cause a pattern attribute to approximate a predetermined value for each of the substrates. | 01-15-2009 |
20090014126 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A substrate processing apparatus includes a development part for performing a development process on a substrate after being subjected to exposure and a cleaning part. When the processing time in the development part is shorter than the reference time determined in advance, the development part performs all the process steps for development. On the other hand, when the processing time in the development part is longer than the reference time, the development process is split into a first half process step and a second half process step, and the development part performs a processing including the first half process step and the cleaning part performs a processing including the second half process step. Even if the development process takes a long time, it is possible to prevent deterioration in processing capability of the substrate processing apparatus on the whole by splitting the development process. | 01-15-2009 |
20090032189 | SUBSTRATE PROCESSING APPARATUS HAVING A SENSING UNIT - A substrate processing apparatus includes a chamber having a process space, a support plate in the chamber to support a substrate, a shower head above the support plate and having a body with an opened lower part, and a spray plate connected to the lower part of the body to supply source gas above the support plate. The apparatus further includes a sensing unit having a sensor and an elastic member. One end of the sensor is in contact with an upper surface of the spray plate. The elastic member provides elastic force to the sensor in a direction toward the spray plate. | 02-05-2009 |
20090050270 | SENSORS FOR DYNAMICALLY DETECTING SUBSTRATE BREAKAGE AND MISALIGNMENT OF A MOVING SUBSTRATE - An apparatus and method incorporating at least two sensors that detect the presence of a substrate is provided. In one embodiment, a method for transferring a substrate in a processing system is described. The method includes positioning a substrate on an end effector in a first chamber, moving the substrate through an opening between the first chamber and a second chamber along a substrate travel path, and sensing opposing sides of the substrate travel path using at least two sensors positioned proximate to the opening, each of the at least two sensors defining a beam path that is directed through opposing edge regions of the substrate when at least a portion of an edge region traverses the beam path. | 02-26-2009 |
20090071605 | Method for depositing a film using a charged particle beam, method for performing selective etching using the same, and charged particle beam equipment therefor - Certain film deposition and selective etching technology may involve scanning of a charged particle beam along with a deposition gas and etching gas, respectively. In conventional methods, unfortunately, the deposition rate or the selective ratio is oftentimes decreased depending on optical system setting, scan spacing, dwell time, loop time, substrate, etc. Accordingly, an apparatus is provided for finding an optical system setting, a dwell time, and a scan spacing. These parameters are found to realize the optimal scanning method of the charged particle beam from the loop time dependence of the deposition rate or etching rate. This deposition rate or etching rate are measurements stored in advance for a desired irradiation region where film deposition or selective etching should be performed. The apparatus displays a result of its judgment on a display device. | 03-19-2009 |
20090095421 | Etch amount detection method, etching method, and etching system - This invention accurately detects an etch amount of an etching target layer irrespective of a type of a mask layer. A light La is reflected by an upper surface of a photoresist mask layer | 04-16-2009 |
20090114346 | Substrate processing apparatus - To eliminate unrequited maintenance by re-executing the sequence that is the cause of an error in a substrate processing apparatus. | 05-07-2009 |
20090120580 | Disturbance-Free, Recipe-Controlled Plasma Processing System And Method - A plasma processing apparatus includes a vacuum processing apparatus for performing a multi-step processing operation for a sample, a sensor for monitoring process parameters during at least a first step of the processing operation, a signal compression unit for compressing a signal from the sensor to generate an apparatus state signal, a worked result estimate model unit which estimates a processed result on the basis of the apparatus state signal and a set processed-result estimation equation, an optimum recipe calculation model unit which calculates corrections to processing conditions so that the processed result becomes a target value, a usable recipe selecting unit which judges validity of an optimum recipe. At a next step of the processing operation, sample processing is performed under optimum conditions on the basis of the usable recipe selected by the selected usable recipe. | 05-14-2009 |
20090120581 | SYSTEMS AND METHODS FOR PLASMA PROCESSING OF MICROFEATURE WORKPIECES - Systems and methods for plasma processing of microfeature workpieces are disclosed herein. In one embodiment, a method includes generating a plasma in a chamber while a microfeature workpiece is positioned in the chamber, measuring optical emissions from the plasma, and determining a parameter of the plasma based on the measured optical emissions. The parameter can be an ion density or another parameter of the plasma. | 05-14-2009 |
20090139657 | ETCH SYSTEM - A semiconductor processing system includes a factory interface. A central transfer chamber is coupled to the factory interface. A first number of etch chambers are coupled to the central transfer chamber. The first number of etch chambers are configured to etch a substrate at about a first processing time. A second number of post-etch treatment chambers are coupled to the central transfer chamber. The second number of post-etch treatment chambers are configured to process the substrate at about a second processing time, wherein a ratio of the first number to the second number is substantially proportional to a ratio of the first processing time to the second processing time. | 06-04-2009 |
20090159211 | PLASMA PROCESSING APPARATUS - The invention provides a plasma processing apparatus for measuring the etching quantity of the material being processed and detecting the end point of etching using optical interference on the surface of a sample being processed, so as to simultaneously realize long life and ensure sufficient light to be received via a light transmitting unit, to enable long term stable operation and to improve the processing accuracy via accurate etching quantity detection. In a plasma processing apparatus for processing a sample being processed by generating plasma between a shower plate and a lower electrode, a detector for detecting light from a surface of the sample being processed via the shower plate includes a light transmitting unit composed of a light guide into which light is entered and a spectroscope for analyzing the light obtained by the light transmitting unit, wherein the end surface of the light transmitting unit through which light is entered is arranged at a distance of five times or greater of the mean free path of gas molecules within the vacuum reactor from the end surface of the shower plate facing the plasma. | 06-25-2009 |
20090283215 | SYSTEM AND METHOD FOR NANO-PANTOGRAPHY - A method is provided for creating a plurality of substantially uniform nano-scale features in a substantially parallel manner in which an array of micro-lenses is positioned on a surface of a substrate, where each micro-lens includes a hole such that the bottom of the hole corresponds to a portion of the surface of the substrate. A flux of charged particles, e.g., a beam of positive ions of a selected element, is applied to the micro-lens array. The flux of charged particles is focused at selected focal points on the substrate surface at the bottoms of the holes of the micro-lens array. The substrate is tilted at one or more selected angles to displace the locations of the focal points across the substrate surface. By depositing material or etching the surface of the substrate, several substantially uniform nanometer sized features may be rapidly created in each hole on the surface of the substrate in a substantially parallel manner. | 11-19-2009 |
20090294061 | PLASMA REACTOR WITH PLASMA LOAD IMPEDANCE TUNING FOR ENGINEERED TRANSIENTS BY SYNCHRONIZED MODULATION OF AN UNMATCHED LOW POWER RF GENERATOR - A plasma reactor for processing a workpiece such as a semiconductor wafer using predetermined transients of plasma bias power or plasma source power has unmatched low power RF generators synchronized to the transients to minimize transient-induced changes in plasma characteristics. | 12-03-2009 |
20090294062 | PLASMA REACTOR WITH PLASMA LOAD IMPEDANCE TUNING FOR ENGINEERED TRANSIENTS BY SYNCHRONIZED MODULATION OF A SOURCE POWER OR BIAS POWER RF GENERATOR - In a plasma reactor employing source and bias RF power generators, plasma is stabilized against an engineered transient in the output of either the source or bias power generator by a compensating modulation in the other generator. | 12-03-2009 |
20090301655 | Plasma Processing Apparatus - A plasma processing apparatus includes an upper electrode which allows a source gas to flow into a vacuum chamber via a shower plate, a lower electrode facing the upper electrode, on which a sample to be processed is placed, and a detector which detects light from the surface of the sample to be processed via the shower plate. The detector includes at least one light introducing section made up of a transparent body to which the light is input and a spectroscope which analyzes the light obtained at the light introducing section. A plurality of the light-introducing through holes are provided in the shower plate for the at least one light introducing section, and the at least one light introducing section is made up of two members. | 12-10-2009 |
20100018648 | WORKPIECE SUPPORT FOR A PLASMA REACTOR WITH CONTROLLED APPORTIONMENT OF RF POWER TO A PROCESS KIT RING - In an electrostatic chuck, RF bias power is separately applied to a workpiece and to a process kit collar surrounding the workpiece. At least one variable impedance element governed by a system controller adjusts the apportionment of RF bias power between the workpiece and the process kit collar, allowing dynamic adjustment of the plasma sheath electric field at the extreme edge of the workpiece, for optimum electric field uniformity under varying plasma conditions, for example. | 01-28-2010 |
20100024980 | Laser produced plasma euv light source - An EUV light source is disclosed that may include a laser source, e.g. CO | 02-04-2010 |
20100038032 | SYSTEM AND METHOD FOR CRITICAL DIMENSION REDUCTION AND PITCH REDUCTION - A system for forming a feature includes forming a mask of a first material on an underlying layer, the mask having an incorrect profile. The profile of the mask is corrected and a feature is formed in the underlying layer. A method of forming a feature is also disclosed. | 02-18-2010 |
20100132887 | System and Method For Nano-Pantography - A method is provided for creating a plurality of substantially uniform nano-scale features in a substantially parallel manner in which an array of micro-lenses is positioned on a surface of a substrate, where each micro-lens includes a hole such that the bottom of the hole corresponds to a portion of the surface of the substrate. A flux of charged particles, e.g., a beam of positive ions of a selected element, is applied to the micro-lens array. The flux of charged particles is focused at selected focal points on the substrate surface at the bottoms of the holes of the micro-lens array. The substrate is tilted at one or more selected angles to displace the locations of the focal points across the substrate surface. By depositing material or etching the surface of the substrate, several substantially uniform nanometer sized features may be rapidly created in each hole on the surface of the substrate in a substantially parallel manner. | 06-03-2010 |
20100132888 | Plasma Processing Apparatus - A plasma processing apparatus includes a plasma processing main frame, and an apparatus controller controlling the plasma processing main frame. The plasma processing main frame has a vacuum process chamber, an exhaust device, a mass flow controller, a stage electrode receiving a workpiece, a high-frequency electrical source to, and a transfer device placing the workpiece on the stage electrode and carrying out the processed workpiece. The apparatus controller controls the plasma processing main frame in accordance with a predetermined procedure and is provided with a diagnosis device which acquires a plurality of recipes for processing workpieces carried in the chamber and apparatus parameters of the plasma processing apparatus when a specific recipe of the above recipes is executed, whereby the condition of the plasma processing main frame is diagnosed based on the acquired apparatus parameters. | 06-03-2010 |
20100154994 | CONTROLLING ION ENERGY DISTRIBUTION IN PLASMA PROCESSING SYSTEMS - A plasma processing system for processing at least a substrate with plasma. The plasma processing chamber is capable of controlling ion energy distribution. The plasma processing system may include a first electrode. The plasma processing system also includes a second electrode that is different from the first electrode and is configured for bearing the substrate. The plasma processing system may also include a signal source coupled with the first electrode. The signal source may provide a non-sinusoidal signal through the first electrode to control ion energy distribution at the substrate when the substrate is processed in the plasma processing system, wherein the non-sinusoidal signal is periodic. | 06-24-2010 |
20100163181 | VACUUM PROCESSING APPARATUS - There is provided a vacuum processing apparatus including a valve whose opening degree can be set to any size and a control computer which automatically controls a depressurizing rate. The vacuum processing apparatus can reduce the number of foreign particles adhered to a sample to be processed in the lock chamber and can improve the throughput at the same time. | 07-01-2010 |
20100224321 | INDUCTIVELY COUPLED PLASMA REACTOR HAVING RF PHASE CONTROL AND METHODS OF USE THEREOF - Embodiments of the present invention generally provide an inductively coupled plasma (ICP) reactor having a substrate RF bias that is capable of control of the RF phase difference between the ICP source (a first RF source) and the substrate bias (a second RF source) for plasma processing reactors used in the semiconductor industry. Control of the RF phase difference provides a powerful knob for fine process tuning. For example, control of the RF phase difference may be used to control one or more of average etch rate, etch rate uniformity, etch rate skew, critical dimension (CD) uniformity, and CD skew, CD range, self DC bias control, and chamber matching. | 09-09-2010 |
20100230049 | APPARATUS FOR CHEMICALLY ETCHING A WORKPIECE - Apparatus for chemically etching a workpiece includes a chamber for receiving a process gas and having a pumping port for extracting exhaust gases, and a workpiece support located in the chamber upstream of the pumping port. The chamber further includes a sub-chamber located upstream of the pumping port and downstream of the workpiece support, and the sub-chamber includes a window and an excitation source, adjacent the window, for creating a plasma in a sample of the exhaust gases to create an optical emission which can be monitored through the window. | 09-16-2010 |
20100236717 | Plasma Etching Chamber - Disclosed is an apparatus of dry cleaning a film and particles deposited at a periphery of a wafer. The apparatus comprises a housing providing a space isolated from an outside and having an upper surface opened/closed by a cover; an upper electrode assembly mounted in the housing being separated from the cover so that its position is maintained when opening and closing the cover; a lower electrode assembly mounted below the upper electrode assembly to be moveable vertically in the housing; and means for moving the lower electrode assembly vertically. In addition, transparent observation windows are provided at a center of an upper assembly so that it is possible to check an alignment state of the wafer from the outside. | 09-23-2010 |
20100258246 | Plasma Processing System - A plasma processing system includes a processing chamber provided with a plasma generation unit for applying radio-frequency power to supplied processing gas to generate plasma and a stage for holding workpieces, and a control computer for generating plasma in accordance with preset processing conditions to sequentially apply plasma processing to the workpieces and also for sequentially collecting system parameter values each of which represents a state of the plasma processing. The computer is provided with a record unit for storing, in every predetermined period, a frequency that each of the collected system parameter values deviates from a preset reference value, an occurrence rate calculation unit for calculating, based on the frequency, an occurrence rate that the each of the system parameter values deviates from the reference value, and a comparison unit for comparing the occurrence rate with a preset reference value to diagnose a state of the system. | 10-14-2010 |
20100282413 | MULTICHAMBER PROCESSING WITH SIMULTANEAOUS WORKPIECE TRANSPORT AND GAS DELIVERY - A method for treating/processing substrates/workpieces in a multi-chamber treatment/processing apparatus, comprising:
| 11-11-2010 |
20110005679 | PLASMA UNIFORMITY CONTROL THROUGH VHF CATHODE GROUND RETURN WITH FEEDBACK STABILIZATION OF VHF CATHODE IMPEDANCE - Plasma process uniformity is controlled by maintaining near an optimum value an impedance of a ground return path for VHF source power from an overhead electrode through a workpiece support. A feedback control loop controls a variable reactance element of a reactive circuit that provides isolation between the VHF source power and a lower frequency bias power match circuit. | 01-13-2011 |
20110017401 | Electron induced chemical etching and deposition for local circuit repair - Systems and methods of imaging and repairing defects on and below the surface of an integrated circuit (IC) are described. The method may be used in areas as small as one micron in diameter, and may remove the topmost material in the small spot, repeating with various layers, until a desired depth is obtained. An energetic beam, such as an electron beam, is directed at a selected surface location. The surface has an added layer of a solid, fluid or gaseous reactive material, such as a directed stream of a fluorocarbon, and the energetic beam disassociates the reactive material in the region of the beam into radicals that chemically attack the surface. After the defect location is exposed, the method uses the energetic beam to etch undesired materials, and deposit various appropriate materials to fill gaps, and restore the IC to an operational condition. | 01-27-2011 |
20110024043 | CONTINUOUS ANALYTE SENSORS AND METHODS OF MAKING SAME - Described here are embodiments of processes and systems for the continuous manufacturing of implantable continuous analyte sensors. In some embodiments, a method is provided for sequentially advancing an elongated conductive body through a plurality of stations, each configured to treat the elongated conductive body. In some of these embodiments, one or more of the stations is configured to coat the elongated conductive body using a meniscus coating process, whereby a solution formed of a polymer and a solvent is prepared, the solution is continuously circulated to provide a meniscus on a top portion of a vessel holding the solution, and the elongated conductive body is advanced through the meniscus. The method may also comprise the step of removing excess coating material from the elongated conductive body by advancing the elongated conductive body through a die orifice. For example, a provided elongated conductive body | 02-03-2011 |
20110030898 | Plasma Processing Apparatus and the Upper Electrode Unit - In a plasma processing apparatus that executes plasma processing on a semiconductor wafer placed inside a processing chamber by generating plasma with a processing gas supplied through a gas supply hole at an upper electrode (shower head) disposed inside the processing chamber, an interchangeable insert member is inserted at a gas passing hole at a gas supply unit to prevent entry of charged particles in the plasma generated in the processing chamber into the gas supply unit. This structure makes it possible to fully prevent the entry of charged particles in the plasma generated inside the processing chamber into the gas supply unit. | 02-10-2011 |
20110042006 | E-CHUCK WITH AUTOMATED CLAMPED FORCE ADJUSTMENT AND CALIBRATION - The present disclosure describes a semiconductor manufacturing apparatus. The apparatus includes a processing chamber designed to perform a process to a wafer; an electrostatic chuck (E-chuck) configured in the processing chamber and designed to secure the wafer, wherein the E-chuck includes an electrode and a dielectric feature formed on the electrode; a tuning structure designed to hold the E-chuck to the processing chamber by clamping forces, wherein the tuning structure is operable to dynamically adjust the clamping forces; a sensor integrated with the E-chuck and sensitive to the clamping forces; and a process control module for controlling the tuning structure to adjust the clamping forces based on pre-measurement data from the wafer and sensor data from the sensor. | 02-24-2011 |
20110042007 | ETCHING APPARATUS AND ETCHING METHOD FOR SUBSTRATE BEVEL - In the bevel etching apparatus relating to the present invention, a substrate is inserted between electrically connected electrodes. A high-frequency power source is connected to the electrodes, and ground potential is applied to a support unit that supports the substrate. Gas (atmosphere) is supplied to the gap between the electrodes and the application of the high-frequency electric power to the electrodes causes the generation of atmospheric-pressure glow discharge between the electrode and the substrate. Bevel etching is performed by rotating the substrate along the circumferential direction in this condition. According to this construction, the bevel etching can be simultaneously performed to the front surface, the rear surface and the side of the substrate without causing any configuration change in the substrate. | 02-24-2011 |
20110056625 | ELECTRON BEAM ETCHING DEVICE AND METHOD - Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a halogen and carbon containing gas source. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation. | 03-10-2011 |
20110079355 | CONTROL OF ION ANGULAR DISTRIBUTION FUNCTION AT WAFER SURFACE - A manufacturing method and apparatus for IC fabrication controls the ion angular distribution at the surface of a wafer with electrodes in a wafer support that produce electric fields parallel to the wafer surface without disturbing plasma parameters beyond the wafer surface. The ion angular distribution function (IADF) at the wafer surface is controlled for better feature coverage or etching. Grid structure is built into the substrate holder within the coating at the top of the holder. The grid components are electrically biased to provide electric fields that combine with the sheath field to distribute the ion incidence angles from the plasma sheath onto the wafer. The grid can be dynamically biased or phased to control uniformity of the effects. | 04-07-2011 |
20110083808 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus including: a monitor device which monitors a process quantity generated at plasma processing; a monitor value estimation unit which has monitor quantity variation models for storing change of a monitor value of the process quantity in accordance with the number of processed specimens and which estimates a monitor value for a process of a next specimen by referring to the monitor quantity variation models; and a control quantity calculation unit which stores a relation between a control quantity for controlling the process quantity of the vacuum processing device and a monitor value and which calculates the control quantity based on a deviation of the estimated monitor value from a target value to thereby control the process quantity for the process of the next specimen. Thus, a process model indicating variation of the state of a process processing apparatus can be added to a control loop in such run-to-run control that process conditions are changed according to each wafer process, so that stable processed results can be obtained even when variation occurs in processes. | 04-14-2011 |
20110094680 | VACUUM APPARATUS INCLUDING A PARTICLE MONITORING UNIT, PARTICLE MONITORING METHOD AND PROGRAM, AND WINDOW MEMBER FOR USE IN THE PARTICLE MONITORING - A particle monitoring apparatus includes a housing disposed on a gas exhaust line, a laser beam source for emitting a laser beam to particles in the gas exhaust line, a window member disposed at the housing for monitoring the particles in the gas exhaust line. The window member has a transparent base which is formed of a transparent resin or glass containing silicon and has a gas contact surface which faces a gas within the gas exhaust line, and a surface treatment layer formed on the gas contact surface of the transparent base, wherein the surface treatment layer contains one material selected from the group consisting of yttrium and calcium fluoride. | 04-28-2011 |
20110120647 | PLASMA PROCESSING APPARATUS INCLUDING ETCHING PROCESSING APPARATUS AND ASHING PROCESSING APPARATUS AND PLASMA PROCESSING METHOD USING PLASMA PROCESSING APPARATUS - A diameter of a mounting unit of the stage of an asking processing apparatus is less than a diameter of a mounting unit of the stage of an etching processing apparatus, and the diameter of the mounting unit of the stage of the etching processing apparatus is less than a diameter of an objective item. | 05-26-2011 |
20110146908 | PLASMA PROCESSING APPARATUS AND FOREIGN PARTICLE DETECTING METHOD THEREFOR - The present invention provides a plasma processing apparatus including: a processing chamber; a gas exhaust unit for reducing the pressure of the inside of the processing chamber through a gas exhaust line; and a laser light source for allowing laser light to transmit through an exhaust gas flowing in the gas exhaust line; an I-CCD camera for detecting scattered light caused by foreign particles passing in the laser light; and a foreign particle determination and detection unit for detecting the foreign particles from an image measured by the I-CCD camera, wherein the foreign particle determination and detection unit determines that the foreign particles are detected from the measured image when plural pixels with signals having a predetermined intensity or larger are connected in a substantially straight line. | 06-23-2011 |
20110203733 | SYSTEM AND METHOD FOR SELF-ALIGNED DUAL PATTERNING - A system and a method for self-aligned dual patterning are described. The system includes a platform for supporting a plurality of process chambers. An etch process chamber coupled to the platform. An ultra-violet radiation photo-resist curing process chamber is also coupled to the platform. | 08-25-2011 |
20110203734 | PLASMA PROCESSING APPARATUS, MAGNETORESISTIVE DEVICE MANUFACTURING APPARATUS, MAGNETIC THIN FILM FORMING METHOD, AND FILM FORMATION CONTROL PROGRAM - The present invention is to reduce the variation in axis of easy magnetization of a magnetic thin film with respect to a large diameter substrate. | 08-25-2011 |
20110220287 | EXPOSURE CONDITION SETTING METHOD, SUBSTRATE PROCESSING APPARATUS, AND COMPUTER PROGRAM - A method includes forming a resist film on an etching target layer disposed on a test substrate, and performing sequential light exposure with a predetermined test pattern on the resist film sequentially at a plurality of areas, while respectively using different combinations of a light exposure amount and a focus value, along with subsequent development, thereby forming resist patterns at the plurality of areas; then etching the etching target layer, removing the resist patterns, and measuring shapes of etched patterns at the plurality of areas by means of a scatterometory technique; and determining a management span of combinations of a light exposure amount and a focus value admissible to obtain an etched pattern with a predetermined shape, with reference to the light exposure amounts and focus values used in the sequential light exposure, the line widths of the resist patterns, and the line widths of the etched patterns. | 09-15-2011 |
20110272096 | PATTERN SHAPE INSPECTION INSTRUMENT AND PATTERN SHAPE INSPECTION METHOD, INSTRUMENT FOR INSPECTING STAMPER FOR PATTERNED MEDIA AND METHOD OF INSPECTING STAMPER FOR PATTERNED MEDIA, AND PATTERNED MEDIA DISK MANUFACTURING LINE - The present invention specifies a stamper that causes a defect at an early stage by inspecting a surface of a patterned medium and failure in molding of a pattern shape of a stamper at high speed or extracting a defect resulting from the stamper based upon a defect of a pattern on a disk so as to prevent the occurrence of a large quantity of failure beforehand. In the present invention, in order to inspect a pattern shape, wide-band light including a deep ultraviolet ray is radiated onto an inspected object, reflected light generated from the inspected object irradiated by an radiating optical system is detected, and it is judged whether the channel spectral data having fixed wavelength width of the detected reflected light exists within set limit or not. Similarly, the stamper is judged defective when the reflected light is diffracted and detected, detected spectral reflectance waveform data is compared with reference data, a defective area of a pattern of a resist film is extracted and the defective area acquired in the inspection data of the current inspection is the same as defective areas acquired in the inspection data of plural substrates inspected using the same stamper. | 11-10-2011 |
20110272097 | PLASMA PROCESSING APPARATUS AND METHOD - A plasma etching apparatus includes an upper electrode and a lower electrode, between which plasma of a process gas is generated to perform plasma etching on a wafer W. The apparatus further comprises a cooling ring disposed around the wafer, a correction ring disposed around the cooling ring, and a variable DC power supply directly connected to the correction ring, the DC voltage being preset to provide the correction ring with a negative bias, relative to ground potential, for attracting ions in the plasma and to increase temperature of the correction ring to compensate for a decrease in temperature of a space near the edge of the target substrate due to the cooling ring. | 11-10-2011 |
20110284163 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus includes a chamber for processing a substrate. A plasma generator is provided to generate plasma within the chamber. A window is provided in a sidewall of the chamber, and the window transmits light from the plasma within the chamber. A photocatalytic layer is provided on an inner surface of the window such that the photocatalytic layer is activated as a result of exposure to light from the plasma to decompose a residual product on the inner surface of the window. | 11-24-2011 |
20120012252 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus capable of detecting sealing abnormality of each gate is disclosed. This apparatus includes an outer chamber constituting a vacuum vessel, an inner chamber disposed within the outer chamber for permitting a plasma to be formed in a vacuumed processing chamber as internally provided therein, a workpiece table below the processing chamber for holding thereon a wafer to be processed, a first gate valve disposed in a sidewall of the inner chamber for driving a gate to open and close while the wafer is transferred therethrough, and a second gate valve disposed in a sidewall of the outer chamber for opening and closing a gate while the wafer is transferred therethrough. After the wafer is put on the table, a pressure variation of an intermediate room formed between the inner and outer chambers sealed by the gate valves closed, thereby detecting a decrease in sealing performance. | 01-19-2012 |
20120043021 | ADJUSTABLE CONFINEMENT RING ASSEMBLY - A plasma confinement assembly for a semiconductor processing chamber is provided. The assembly includes a plurality of confinement rings disposed over each other, and each of the plurality of confinement rings is separated by a space. A plunger moveable in a plane substantially orthogonal to the confinement rings. A proportional adjustment support is provided and coupled to the plunger. The proportional adjustment support is configured to move the confinement rings to one or more positions, such that the plunger is settable in positions along the plane. The positions define the space separating confinement rings, and the space is proportionally set between the confinement rings. The proportional adjustment support is defined by a plurality of support legs, and each of the support legs is pivotably interconnected with at least one other support leg. | 02-23-2012 |
20120061021 | SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING SYSTEM, AND COMPUTER-READABLE STORAGE MEDIUM - In the present invention, a plurality of rounds of patterning are performed on a substrate. In a patterning system, the substrate on which a first round of patterning has been performed is transferred to a planarizing film forming unit, where a planarizing film is formed above the substrate. The substrate is then transferred to the patterning system and subjected to a second round of patterning. The time from the completion of the forming processing of the planarizing film to the start of the second round of patterning is managed to be constant among the substrates. According to the present invention, in the pattern forming processing of performing a plurality of rounds of patterning, a pattern with a desired dimension can be stably formed above the substrate. | 03-15-2012 |
20120160415 | MULTI-STEP DEPOSITION CONTROL - For providing control of two-step or a multi-step deposition process, a method and a corresponding deposition system is provided comprising providing a deposition process having at least two sub-processes employing different sets of process parameters, wherein each set of process parameters comprises at least one process parameter. The method comprises controllably generating an actual value for at least one first process parameter by taking into account at least one previous value of the respective first process parameter, wherein each first process parameter is a process parameter of said at least two sets of process parameters. | 06-28-2012 |
20120175060 | DETECTION OF ARCING EVENTS IN WAFER PLASMA PROCESSING THROUGH MONITORING OF TRACE GAS CONCENTRATIONS - A method of detecting substrate arcing in a semiconductor plasma processing apparatus is provided. A substrate is placed on a substrate support in a reaction chamber of a plasma processing apparatus. Process gas is introduced into the reaction chamber. A plasma is generated from the process gas and the substrate is processed with the plasma. Intensities of real-time spectrometry signals of selected gas species produced in the reaction chamber during plasma processing are monitored. The selected gas species are generated by a substrate arcing event. The arcing event is detected when the intensities are above a threshold value. | 07-12-2012 |
20120211163 | PLASMA PROCESSING APPARATUS INCLUDING ETCHING PROCESSING APPARATUS AND ASHING PROCESSING APPARATUS AND PLASMA PROCESSING METHOD USING PLASMA PROCESSING APPARATUS - A diameter of a mounting unit of the stage of an ashing processing apparatus is less than a diameter of a mounting unit of the stage of an etching processing apparatus, and the diameter of the mounting unit of the stage of the etching processing apparatus is less than a diameter of an objective item. | 08-23-2012 |
20120273132 | Vacuum Processing Apparatus And Plasma Processing Apparatus With Temperature Control Function For Wafer Stage - A plasma processing apparatus includes a processing chamber, a wafer table, a refrigerant passage disposed inside the wafer table in which a refrigerant flows, a refrigeration cycle comprising the refrigerant passage in the wafer table as a first evaporator in which the refrigerant is evaporated as a result of a heat-exchange therein, a compressor, a condenser and an expansion valve, a second evaporator, and a controlling unit which adjusts a number of rotations of the compressor based upon a degree of dryness of the refrigerant at a position on the refrigeration cycle after passing through the first evaporation in a range in which dry-out does not occur in the first evaporator, and the dryness of the refrigerant being determined based upon an amount of a heat exchange during the evaporation of the refrigerant in the second evaporator. | 11-01-2012 |
20120279656 | SYSTEM AND METHOD FOR CRITICAL DIMENSION REDUCTION AND PITCH REDUCTION - A system for forming a feature includes forming a mask of a first material on an underlying layer, the mask having an incorrect profile. The profile of the mask is corrected and a feature is formed in the underlying layer. A method of forming a feature is also disclosed. | 11-08-2012 |
20120298301 | MINIMIZATION OF MASK UNDERCUT ON DEEP ETCH - A method for forming features in a silicon layer is provided. A mask is formed with a plurality of mask openings over the silicon layer. A polymer layer is deposited over the mask by flowing a hydrogen free deposition gas comprising C | 11-29-2012 |
20120305187 | ETCHING METHOD AND ETCHING APPARATUS OF SEMICONDUCTOR WAFER - A method and an apparatus of etching a semiconductor wafer are provided. The etching apparatus of a semiconductor wafer having a marker inside includes: a monitoring device capable of monitoring a surface of the semiconductor wafer so as to detect the marker; a nozzle capable of jetting a mixed gas that contains hydrogen fluoride and ozone onto the surface of the semiconductor wafer; a regulator capable of adjusting at least one of hydrogen fluoride concentration and ozone concentration in the mixed gas; and a controller capable of determining whether the marker is detected by the monitoring device and terminating the etching process. | 12-06-2012 |
20130000846 | PHOTORESIST DOUBLE PATTERNING APPARATUS - An apparatus for etching an etch layer formed on a substrate is provided. A first photoresist (PR) mask with first mask features is provided on the etch layer. The apparatus performs a process for providing a protective coating on the first PR mask. The process includes at least one cycle. Each cycle includes (a) a deposition phase for depositing a deposition layer over the surface of the first mask features using a deposition gas, and (b) a profile shaping phase for shaping the profile of the deposition layer using a profile shaping gas. A liquid PR material is applied over the first PR mask having the protective coating. The PR material is patterned into a second mask features, where the first and second mask features form a second PR mask. The etch layer is etched though the second PR mask. | 01-03-2013 |
20130020026 | WIGGLING CONTROL FOR PSEUDO-HARDMASK - An apparatus for etching features in an etch layer is provided. A plasma processing chamber is provided, comprising a chamber wall, a chuck, a pressure regulator, an electrode or coil, a gas inlet, and a gas outlet. A gas source comprises a fluorine free deposition gas source and an etch gas source. A controller comprises at least one processor and computer readable media, comprising computer readable code for providing a conditioning for a patterned pseudo-hardmask, wherein the conditioning comprises computer readable code providing a fluorine free deposition gas comprising a hydrocarbon gas, computer readable code for forming a plasma, computer readable code for providing a bias less than 500 volts, and computer readable code for forming a deposition on top of the patterned pseudo-hardmask, computer readable code for etching the etch layer, and computer readable code for cyclically repeating the conditioning and etching at least twice. | 01-24-2013 |
20130025785 | PROFILE AND CD UNIFORMITY CONTROL BY PLASMA OXIDATION TREATMENT - An apparatus for forming spacers is provided. A plasma processing chamber is provided, comprising a chamber wall, a substrate support, a pressure regulator, an antenna, a bias electrode, a gas inlet, and a gas outlet. A gas source comprises an oxygen gas source and an anisotropic etch gas source. A controller comprises a processor and computer readable media. The computer readable media comprises computer readable code for placing a substrate of the plurality of substrates in a plasma etch chamber, computer readable code for providing a plasma oxidation treatment to form a silicon oxide coating over the spacer layer, computer readable code for sputtering silicon to form silicon oxide with the oxygen plasma, computer readable code for providing an anisotropic main etch, computer readable code for etching the spacer layer, computer readable code for removing the substrate from the plasma etch chamber after etching the spacer layer. | 01-31-2013 |
20130056154 | ABNORMALITY DETECTING UNIT AND ABNORMALITY DETECTING METHOD - An abnormality detecting unit includes a monitoring unit for monitoring an operation from a wafer deviation starting point to a transfer gate valve opening point after performing a plasma process on the wafer and specifying the operation as a wafer deviation operation; an acquisition unit for acquiring a high frequency signal of at least one of a progressive wave and a reflection wave outputted from a directional coupler between a high frequency power supply for applying a high frequency power into a processing chamber and a matching unit or between a lower electrode as a mounting table for mounting thereon the wafer and the matching unit during the wafer deviation operation; an analysis unit for analyzing a waveform pattern of the high frequency signal; and an abnormality determination unit for determining whether there is an abnormal electric discharge based on an analysis result of the waveform pattern. | 03-07-2013 |
20130118687 | METHOD AND APPARATUS FOR STABLE PLASMA PROCESSING - A method and apparatus for etching a substrate using a spatially modified plasma is provided herein. In one embodiment, the method includes providing a process chamber having a plasma stabilizer disposed above a substrate support pedestal. A substrate is placed upon the pedestal. A process gas is introduced into the process chamber and a plasma is formed from the process gas. The substrate is etched with a plasma having an ion density to radical density ratio defined by the plasma stabilizer. | 05-16-2013 |
20130160947 | FULL-AUTOMATIC GRAVURE PLATE-MAKING PROCESSING SYSTEM - Provided is a fully automatic gravure plate-making processing system capable of manufacturing a gravure plate-making roll more quickly as compared to a conventional case, achieving space saving, performing an unattended operation even in the nighttime, and reducing dust between individual processes. The fully automatic gravure plate-making processing system includes: a first industrial robot for chucking and handling an unprocessed plate-making roll; a second industrial robot for chucking and handling the unprocessed plate-making roll; a roll stock apparatus, a photosensitive film coating apparatus, a laser exposure apparatus, an ultrasonic cleaning apparatus with a drying function, a grinding wheel polishing apparatus, and a paper polishing apparatus, which serve as processing apparatus arranged in a handling area of the first industrial robot; and a degreasing apparatus, a copper plating apparatus, a developing apparatus, an etching apparatus, a resist removal apparatus, a surface hardening film forming apparatus, and an ultrasonic cleaning apparatus, which serve as processing apparatus arranged in a handling area of the second industrial robot, to thereby perform plate-making processing. | 06-27-2013 |
20130180660 | PLASMA TREATMENT DEVICE AND OPTICAL MONITOR DEVICE - [Problem] To carry out high accuracy optical monitoring of the surface of a substrate to be treated inside a treatment vessel using non-coherent monitor light having a wide wavelength range, without affecting the uniformity of the electromagnetic radiation from a planar slot antenna. | 07-18-2013 |
20130186567 | TARGET SUPPLY DEVICE - A target supply device includes a nozzle portion, a cover, a first electrode, and a potential controller. The nozzle portion has a through-hole defined therein to allow a target material to be discharged therethrough. The cover includes an electrically conductive material and is disposed to cover the nozzle portion. The cover has a through-hole defined therein to allow the target material to pass therethrough. The first electrode is disposed on the cover. The first electrode has a through-hole to allow the target material to pass therethrough. The potential controller is configured to control the first electrode to have a first potential that is lower than a second potential of the cover. | 07-25-2013 |
20130213572 | PLASMA PROCESSING APPARATUS - A plasma processing method for processing a target substrate uses a plasma processing apparatus which includes a vacuum evacuable processing vessel for accommodating the target substrate therein, a first electrode disposed in the processing vessel and connected to a first RF power supply for plasma generation and a second electrode disposed to face the first electrode. The method includes exciting a processing gas containing fluorocarbon in the processing vessel to generate a plasma while applying a negative DC voltage having an absolute value ranging from about 100 V to 1500 V or an RF power of a frequency lower than about 4 MHz to the second electrode. The target layer is etched by the plasma, thus forming recesses on the etching target layer based on the pattern of the resist layer. | 08-22-2013 |
20130319612 | PLASMA CHAMBER HAVING AN UPPER ELECTRODE HAVING CONTROLLABLE VALVES AND A METHOD OF USING THE SAME - This description relates to a plasma treatment apparatus including a vapor chamber, a gas supply and an upper electrode assembly. The upper electrode assembly includes a gas distribution plate having a plurality of holes in a bottom surface thereof and an upper electrode having at least one gas nozzle and at least one controllable valve connected to the at least one gas nozzle. The plasma treatment apparatus further includes a controller configured to generate a control signal. The at least one controllable valve is configured to be adjusted based on the control signal. A control system and a method of controlling a controllable valve are also described. | 12-05-2013 |
20130319613 | CUT-VERY-LAST DUAL-EPI FLOW - A method for making dual-epi FinFETs is described. The method includes adding a first epitaxial material to an array of fins. The method also includes covering at least a first portion of the array of fins using a first masking material and removing the first epitaxial material from an uncovered portion of the array of fins. Adding a second epitaxial material to the fins in the uncovered portion of the array of fins is included in the method. The method also includes covering a second portion of the array of fins using a second masking material and performing a directional etch using the first masking material and the second masking material. Apparatus and computer program products are also described. | 12-05-2013 |
20130319614 | HIGH LATERAL TO VERTICAL RATIO ETCH PROCESS FOR DEVICE MANUFACTURING - A layer stack over a substrate is etched using a photoresist pattern deposited on the layer stack as a first mask. The photoresist pattern is in-situ cured using plasma. At least a portion of the photoresist pattern can be modified by curing. In one embodiment, silicon by-products are formed on the photoresist pattern from the plasma. In another embodiment, a carbon from the plasma is embedded into the photoresist pattern. In yet another embodiment, the plasma produces an ultraviolet light to cure the photoresist pattern. The cured photoresist pattern is slimmed. The layer stack is etched using the slimmed photoresist pattern as a second mask. | 12-05-2013 |
20140116620 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus includes an upper electrode arranged at a processing chamber and including a plurality of gas supplying zones, a branch pipe including a plurality of branch parts, an addition pipe connected to at least one of the branch parts, and a plurality of gas pipes that connect the branch parts to the gas supplying zones. The upper electrode supplies a processing gas including a main gas to the processing chamber via the gas supplying zones. The branch pipe divides the processing gas according to a predetermined flow rate ratio and supplies the divided processing gas to the gas supplying zones. The addition pipe adds an adjustment gas. A gas flow path of the gas pipe connected to the branch part to which the addition pipe is connected includes a bending portion for preventing a gas concentration variation according to an adjustment gas-to-main gas molecular weight ratio. | 05-01-2014 |
20140124138 | PLASMA MONITORING PROBE ASSEMBLY AND PROCESSING CHAMBER INCORPORATING THE SAME - A plasma processing chamber is provided comprising one or more process gas inlets, one or more exhaust gas outlets, plasma generating hardware configured to generate a process gas plasma in a plasma processing portion of the plasma processing chamber, a wafer processing stage positioned in the plasma processing chamber, and a plasma monitoring probe assembly. The plasma monitoring probe assembly comprises an electrically conductive probe and an insulator sleeve assembly positioned about the electrically conductive probe. The insulator sleeve assembly comprises a plasma-side sleeve portion and a subterranean sleeve portion positioned about distinct portions of a longitudinal probe axis of the electrically conductive probe of the probe assembly. The plasma-side sleeve portion of the insulator sleeve assembly is constructed of material that is more resistant to plasma-based degradation than is the material of the subterranean sleeve portion of the insulator sleeve assembly, while the subterranean sleeve portion of the insulator sleeve assembly is constructed of material that is more electrically resistant than the material of the plasma-side sleeve portion of the insulator sleeve assembly. | 05-08-2014 |
20140166205 | PROCESS MONITORING DEVICE FOR USE IN SUBSTRATE PROCESS APPARATUS, PROCESS MONITORING METHOD AND SUBSTRATE PROCESSING APPARATUS - A process monitoring device | 06-19-2014 |
20140231014 | Ballistics Systems and Methods - A scope may include an adjustment dial, which may be moved among a plurality of positions to configure the scope to compensate for projectile drops. The adjustment dial may be labeled with dial-calibration data, which may include one or more distance indicators and/or one or more windage hold-off indicators. The scope may be attached to a gun and the dial-calibration data may be at least partially generated using ballistics performance data based on shots fired by the gun. The dial-calibration data may be at least partially generated using shooting conditions. An electronic device may include a derived distance calculation module, which may be configured to use a distance to a target and actual shooting conditions to calculate a derived distance. The derived distance may be used in connection with an adjustment dial labeled with dial-calibration data at least partially generated using shooting conditions different from the actual shooting conditions. | 08-21-2014 |
20140262029 | SEMICONDUCTOR ETCHING APPARATUS AND ANALYZING APPARATUS - An etching apparatus calculates an emission intensity in the vicinity of each of a plurality of wavelengths, at which a specified element should emit light, from information indicating light emission measured by an optical emission spectroscope during etching processing and, if it is determined that the calculated emission intensity information and emission intensity information stored in a storage unit are similar, extracts a wavelength, corresponding to the calculated emission intensity, with the wavelength associated with the element. | 09-18-2014 |
20140305589 | SOFT PULSING - Systems and methods for soft pulsing are described. One of the systems includes a master radiofrequency (RF) generator for generating a first portion of a master RF signal during a first state and a second portion of the master RF signal during a second state. The master RF signal is a sinusoidal signal. The system further includes an impedance matching circuit coupled to the master RF generator via an RF cable to modify the master RF signal to generate a modified RF signal and a plasma chamber coupled to the impedance matching circuit via an RF transmission line. The plasma chamber is used for generating plasma based on the modified RF signal. A statistical measure of the first portion has a positive or a | 10-16-2014 |
20140345800 | AUTOMATED DEVICE FOR PLASMA SURFACE PREPARATION OF A THERMOPLASTIC PART - An automated device for plasma surface preparation of a thermoplastic part including a first support mechanism for a thermoplastic part and a second support mechanism for a plasma torch including a rotating cylindrical tip, the first and/or second support mechanism being movable so that the torch and the part have a relative movement enabling the torch to travel over a treatment zone of the part. The device further includes, on the plasma torch, a controller controlling a distance between the tip of the torch and the part. The controller includes a measuring rod positioned axially on the tip of the plasma torch. The device further includes a carriage that can be moved in the direction of the axis of the torch interposed between the movable torch and the second support mechanism. The movable carriage counteracts a displacement about an equilibrium or stop position. | 11-27-2014 |
20150013906 | HYBRID FEATURE ETCHING AND BEVEL ETCHING SYSTEMS - A plasma processing system having at least a plasma processing chamber for performing plasma processing of a substrate and utilizing at least a first processing state and a second processing state. Plasma is present above the center region of the substrate during the first processing stale to perform plasma processing of at least the center region during the first processing state. Plasma is absent above the center region of the substrate but present adjacent to the bevel edge region during the second processing state to at least perform plasma processing of the bevel edge region during the second processing state. During the second processing state, the upper electrode is in an RF floating state and the substrate is disposed on the lower electrode surface. | 01-15-2015 |
20150020969 | Air Cooled Faraday Shield and Methods for Using the Same - A processing chamber and a Faraday shield system for use in a plasma processing chambers are provided. One system includes a disk structure defining a Faraday shield, and the disk structure has a process side and a back side. The disk structure extends between a center region to a periphery region. The disk structure resides within the processing volume. The system also includes a hub having an internal plenum for passing a flow of air received from an input conduit and removing the flow of air from an output conduit. The hub has an interface surface that is coupled to the back side of the disk structure at the center region. A fluid delivery control is coupled to the input conduit of the hub. The fluid delivery control is configured with a flow rate regulator. The regulated air can be amplified or compressed dry air (CDA). | 01-22-2015 |
20150059978 | CLUSTER APPARATUS FOR TREATING SUBSTRATE - Provide an apparatus for selective epitaxial growth. The apparatus for selective epitaxial growth, the apparatus comprising, a process tube comprising an inner tube in which a substrate stack unit for receiving a plurality of substrates is accommodated and an outer tube surrounding the inner tube, a heater assembly disposed to surround the process tube and a side nozzle unit vertically disposed inside the process tube, wherein the side nozzle unit comprises first and second side nozzles for respectively spraying an etching gas and a depo gas for the selective epitaxial growth. | 03-05-2015 |
20150075715 | POLYSILICON ETCH WITH HIGH SELECTIVITY - Provided are methods and systems for removing polysilicon on a wafer. A wafer can include a polysilicon layer and an exposed nitride and/or oxide structure. An etchant with a hydrogen-based species, such as hydrogen gas, and a fluorine-based species, such as nitrogen trifluoride, can be introduced. The hydrogen-based species and the fluorine-based species can be activated with a remote plasma source. The layer of polysilicon on the wafer can be removed at a selectivity over the exposed nitride and/or oxide structure that is greater than about 500:1. | 03-19-2015 |
20150083328 | ANALYSIS METHOD AND SEMICONDUCTOR ETCHING APPARATUS - There is provided a method of analyzing data obtained from an etching apparatus for micromachining a wafer using plasma. This method includes the following steps: acquiring the plasma light-emission data indicating light-emission intensities at a plurality of different wavelengths and times, the plasma light-emission data being measured under a plurality of different etching processing conditions, and being obtained at the time of the etching processing, evaluating the relationship between changes in the etching processing conditions and changes in the light-emission intensities at the plurality of different wavelengths and times with respect to the wavelengths and times of the plasma light-emission data, and identifying the wavelength and the time of the plasma light-emission data based on the evaluation result, the wavelength and the time being to be used for the adjustment of the etching processing condition. | 03-26-2015 |
20150136324 | IMPRINT LITHOGRAPHY METHOD AND APPARATUS - In an embodiment, there is provided an imprint lithography method that includes providing a first amount of imprintable medium on a first area of a substrate, the first amount of imprintable medium, when fixed, having a first etch rate; and providing a second amount of imprintable medium on a second, different area of the substrate, the second amount of imprintable medium, when fixed, having a second, different etch rate. | 05-21-2015 |
20150348760 | PLASMA EQUIPMENT FOR TREATING POWDER - A powder plasma processing apparatus is disclosed. The powder plasma processing apparatus is a powder plasma processing apparatus of a circular surface discharge plasma module, and the apparatus includes a plate-like electrode layer serving as an external surface of the circular surface discharge plasma module, an insulating layer disposed on an internal surface of the plate-like electrode layer, and a plasma generating electrode disposed on the insulating layer, wherein the circular surface discharge plasma module rotates, an alternating voltage is applied to the plasma generating electrode and the plate-like electrode layer to generate plasma around the plasma generating electrode, and a powder for plasma processing is processed by the plasma within the circular surface discharge plasma module. | 12-03-2015 |
20150368794 | CLEANING METHOD, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, RECORDING MEDIUM, AND CLEANING COMPLETION DETERMINING METHOD - According to one aspect of the present disclosure, there is provided a cleaning method including: cleaning a component in which a deposit adhering to the component constituting an apparatus is removed by supplying and discharging a cleaning gas, wherein the act of cleaning includes controlling the apparatus so that a signal, which indicates a concentration of a predetermined gas generated by a reaction of the deposit and the cleaning gas, reaches a predetermined upper limit value or less and then stays within a range between the predetermined upper limit value and a predetermined lower limit value for a predetermined time period. | 12-24-2015 |
20160027673 | PROCESSING SYSTEMS AND METHODS FOR HALIDE SCAVENGING - Systems, chambers, and processes are provided for controlling process defects caused by moisture contamination. The systems may provide configurations for chambers to perform multiple operations in a vacuum or controlled environment. The chambers may include configurations to provide additional processing capabilities in combination chamber designs. The methods may provide for the limiting, prevention, and correction of aging defects that may be caused as a result of etching processes performed by system tools. | 01-28-2016 |
20160064188 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus that performs plasma processing to a substrate held on a transport carrier including a frame and a holding sheet that covers an opening of the frame includes: a transport mechanism that transports the transport carrier; a position measuring section that measures a position of the substrate to the frame; a plasma processing section that includes a plasma processing stage on which the transport carrier is loaded and a cover that covers the frame and a part of the holding sheet loaded on the plasma processing stage, and has a window section for exposing a part of the substrate; and a control section that controls the transport mechanism such that the transport carrier is loaded on the plasma processing stage to satisfy a positional relationship between the window section and the substrate based on the position information of the substrate to the frame. | 03-03-2016 |
20160093469 | ETCHING APPARATUS AND ETCHING METHOD - According to one embodiment, an etching apparatus includes a stage in an etching chamber, the stage which holds one of a first substrate and a second substrate, a plasma generator in the etching chamber, the plasma generator which is opposite to the stage and irradiates an ion beam toward the stage, a grid which is provided between the plasma generator and the stage, a supporter supporting the stage, the supporter having a rotational axis in a direction in which the ion beam is irradiated, a controller which is configured to mount the first substrate on the stage and irradiate the ion beam with the beam angle larger than 0° to the first substrate, when an elapsed time from an end of an etching of a predetermined layer in the second substrate is equal to or larger than a predetermined time. | 03-31-2016 |
20160111258 | GAS SUPPLY DELIVERY ARRANGEMENT INCLUDING A GAS SPLITTER FOR TUNABLE GAS FLOW CONTROL - A gas supply delivery arrangement of a plasma processing system for processing a substrate with gases introduced through at least first, second, and third gas injection zones comprises process gas supply inlets and tuning gas inlets. A mixing manifold comprises gas sticks in fluid communication with a process gas supply and tuning gas sticks in fluid communication with a tuning gas supply. A first gas outlet delivers gas to the first gas injection zone, a second gas outlet delivers gas to the second gas injection zone, and a third gas outlet delivers gas to the third gas injection zone. A gas splitter is in fluid communication with the mixing manifold, and includes a first valve arrangement which splits mixed gas exiting the mixing manifold into a first mixed gas supplied to the first gas outlet and a second mixed gas supplied to the second, and/or third gas outlets. | 04-21-2016 |
20160122871 | Atomic Layer Deposition (ALD) Apparatus - An atomic layer deposition (ALD) apparatus includes a first process chamber in which a substrate is accommodated, a plasma generating unit provided on the outside of the first process chamber, a source gas supply unit provided on an upper portion of the plasma generating unit, and configured to supply a plurality of source gases, a purge gas supply unit configured to supply a purge gas to the first process chamber, and a gas control unit configured to control the supply of the source gases and the purge gas, wherein the plasma generating unit includes a second process chamber providing a space in which plasma is generated and a plasma antenna inducing a magnetic field in the second process chamber, and the source gases are supplied to the first process chamber through the plasma generating unit. | 05-05-2016 |
20160123491 | VALVE DEVICE, FLUID CONTROL APPARATUS, AND SEMICONDUCTOR MANUFACTURING APPARATUS - A valve device has a valve main body and a tube fitting that has an air passage for supplying operation air into the valve main body. An upper lid portion of a cap is formed with a fitted hole provided with a projection. The tube fitting has a fitting portion to be fitted into the upper lid portion. The fitting portion is formed with, on an outer circumference thereof, a fitting groove to which the projection is fitted, and has a tip end portion located closer to a tip end side than the fitting groove. | 05-05-2016 |
20160141148 | PLASMA PROCESS APPARATUS HAVING VIEW PORT - A plasma process apparatus includes a process chamber including a view port, a window plate disposed in the view port of the process chamber, and a light guide disposed on a surface of the window plate facing toward an interior of the process chamber, the light guide including openings extending in one direction in parallel to each other. | 05-19-2016 |
20160147164 | Substrate Tuning System and Method Using Optical Projection - Techniques herein include systems and methods that provide a spatially-controlled or pixel-based projection of light onto a substrate to tune various substrate properties. A given pixel-based image projected on to a substrate surface can be based on a substrate signature. The substrate signature can spatially represent non-uniformities across the surface of the substrate. Such non-uniformities can include energy, heat, critical dimensions, photolithographic exposure dosages, etc. Such pixel-based light projection can be used to tune various properties of substrates, including tuning of critical dimensions, heating uniformity, evaporative cooling, and generation of photo-sensitive agents. Combining such pixel-based light projection with photolithographic patterning processes and/or heating processes improves processing uniformity and decreases defectivity. | 05-26-2016 |
20160155611 | PLASMA PROCESSING APPARATUS | 06-02-2016 |
20160181130 | INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION | 06-23-2016 |
20160203957 | DATA ANALYSIS METHOD FOR PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS | 07-14-2016 |
20160379802 | APPARATUS FOR MONITORING VACUUM ULTRAVIOLET AND PLASMA PROCESS EQUIPMENT INCLUDING THE SAME - An apparatus for monitoring vacuum ultraviolet, the apparatus including a light controller including a slit, the slit to transmit plasma emission light emitted from a process chamber in which a plasma process is performed on a substrate; a light selector adjacent to the light controller, the light selector selectively to transmit light, having a predetermined wavelength band, of the plasma emission light passing through the slit; a light collector to concentrate the light selectively transmitted by the light selector; and a detector to detect the light concentrated by the light collector, the light selectively transmitted by the light selector being vacuum ultraviolet. | 12-29-2016 |
20160379896 | PLASMA PROCESSING APPARATUS AND DATA ANALYSIS APPARATUS - In time-series data indicating light emission of plasma when plasma processing is carried out on a sample by generating the plasma, an analysis apparatus creates combinations of a plurality of light emission wavelengths of elements and a plurality of time intervals within a plasma processing interval and calculates, for each of the combinations of the wavelengths and the time intervals, a correlation between an average value of light emission intensity and the number of times the plasma processing is carried out on the samples for each of the combinations of the wavelengths and the time intervals that have been created. Thereafter, the data analysis apparatus selects, as a combination of the wavelength and the time interval used to observe or control the plasma processing, a combination of a wavelength of light emitting from a specific element and a specific time interval having a maximum correlation. | 12-29-2016 |
20180025894 | PLASMA PROCESSING APPARATUS AND ANALYSIS METHOD FOR ANALYZING PLASMA PROCESSING DATA | 01-25-2018 |
20180025920 | SUBSTRATE PROCESSING APPARATUS | 01-25-2018 |
20190148112 | PULSE MONITOR DEVICE AND PLASMA PROCESSING APPARATUS | 05-16-2019 |