Entries |
Document | Title | Date |
20080236614 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus includes a vacuum chamber, a processing chamber housed in the vacuum chamber, and a sample stage located in the processing chamber, for supporting on its upper surface a disk-like sample to be processed, wherein plural disk-like samples are continuously processed with plasma generated in the processing chamber and wherein during the idling time between the successive processes the temperature of the sample stage is adjusted to a predetermined value higher than the temperature at which the samples are processed. | 10-02-2008 |
20080245389 | METHOD FOR CLEANING ELEMENTS IN VACUUM CHAMBER AND APPARATUS FOR PROCESSING SUBSTRATES - To clean an element in a vacuum chamber by causing particles sticking to the element to scatter, the present invention uses a means for applying a voltage to the element and causing the particles to scatter by utilizing Maxwell's stress, a means for electrically charging the particles and causing the particles to scatter by utilizing the Coulomb force, a means for introducing a gas into the vacuum chamber and causing the particles sticking to the element to scatter by causing a gas shock wave to hit the element, a means for heating the element and causing the particles to scatter by utilizing the thermal stress and thermophoretic force, or a means for causing the particles to scatter by applying mechanical vibrations to the element. The thus scattered particles are removed by carrying them in a gas flow in a relatively high pressure atmosphere. | 10-09-2008 |
20080257379 | Method and equipment for the treatment of a surface of a work piece - A method for treating the surface of a work piece includes exposing the surface to be treated to a beam of electromagnetic radiation, and simultaneously exposing an area of the surface exposed to the beam of electromagnetic radiation at least partially to a plasma beam. This method provides an improved pretreatment, in particular cleaning of the surface of a work piece, by, at least in part, energy density enhancements of each of the beam of electromagnetic radiation and the plasma beam. | 10-23-2008 |
20080271748 | Method of and Arrangement for Removing Contaminants from a Substrate Surface Using an Atmospheric Pressure Glow Plasma - The present invention relates to a method of and arrangement for removing contaminants from a surface of a substrate by subjecting said substrate surface to an atmospheric pressure glow plasma. Said plasma is generated in a discharge space comprising a plurality of electrodes, by applying an alternating plasma energizing voltage to said electrodes causing a plasma current and a displacement current. Said plasma is stabilised by controlling said displacement current during plasma generation such that modification of properties of said substrate surface is prevented. | 11-06-2008 |
20080276957 | METHOD OF CLEANING A CVD DEVICE - A CVD vacuum vessel including an electrically conductive partition plate which divides the interior of the vacuum vessel into a plasma generating space and a film-deposition processing space, and an electrically conductive spiral shield. The electrically conductive partition plate has a plurality of through-holes connecting the plasma generating space to the film-deposition processing space and a heater for heating the electrically conductive partition plate. The partitioning plate is mounted to the vacuum vessel by means of a mounting screw such that electrical contact between the partitioning plate and the vacuum vessel is achieved through said spiral shield. | 11-13-2008 |
20080283086 | Substrate processing apparatus and cleaning method therefor - A substrate processing apparatus has a pressure-reducible reaction chamber, a substrate support provided in the reaction chamber, a gas inlet port provided in a wall portion of the reaction chamber to introduce a gas into the reaction chamber, a first plate provided between the substrate support and the gas inlet port in the reaction chamber and having a plurality of first holes for dispersing the gas introduced from the gas inlet port into the reaction chamber, and a second plate provided between the substrate support and the first plate in the reaction chamber in opposing relation to the first plate and having a plurality of second holes for further dispersing the gas dispersed by the first plate. The first and second plates can be moved relatively to each other such that a spacing between the first and second plates is variable. | 11-20-2008 |
20080283087 | DRY CLEANING METHOD OF SEMICONDUCTOR MANUFACTURING APPARATUS HAVING MULTI-LIFTER - A dry cleaning method for use in a semiconductor manufacturing apparatus having a multi-lifter includes checking whether it is a dry cleaning period, and when it is determined to be a dry cleaning period then checking whether a wafer completed for a process has been returned from an electrostatic chuck to the outside of the semiconductor manufacturing apparatus. The method further includes raising an electrostatic chuck cathode part when the wafer has been returned from the electrostatic chuck to the outside of the semiconductor manufacturing apparatus, and forming plasma after raising the electrostatic chuck cathode part, and performing a dry cleaning process. | 11-20-2008 |
20080283088 | PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD AND CLEANING TIME PREDICTION PROGRAM - The plasma processing apparatus relating to the present invention has a measurement circuit for measuring an antenna bias voltage that varies according to an amount of electrical charge between an inner wall of a chamber and plasma generated in the chamber. The obtained antenna bias voltage is converted to a statistical value and is stored in a statistical value memory unit after being associated with a number of particles attached on a workpiece during the same plasma processing that the antenna bias voltage is obtained. A correspondence acquisition unit obtains a correspondence the antenna bias voltage and the number of particles based on stored data in the statistical value memory. Then, a prediction unit predicts the antenna bias voltage at which the number of particles reaches to a pre-determined value based on the correspondence obtained by the correspondence acquisition unit. | 11-20-2008 |
20080308121 | Portable Die Cleaning Apparatus and Method Thereof - A portable die cleaning apparatus and method are provided The apparatus is capable of performing a plasma cleaning process for a surface of a die using plasma discharge generated in a reaction chamber that is defined on the die, without separating the die. The portable die cleaning apparatus includes a frame with an open lower face to define a reaction chamber facing the surface of the die between the surface of the die and the frame itself when the frame is seated on the die and an active electrode that is placed at a position opposite to the die in a state where the die is electrically grounded, and receives electric power from an external power supply to generate plasma in the reaction chamber. | 12-18-2008 |
20080314408 | Plasma etching apparatus and chamber cleaning method using the same - The plasma etching apparatus effectively removes an outgrowth caused by the etching in the chamber after performing a fabrication process, and a chamber cleaning method using the plasma etching apparatus. The plasma etching apparatus includes: a chamber in which an etching process of a substrate is conducted using a plasma; upper and lower electrodes arranged in the chamber; a RF power-supply unit which simultaneously applies a RF power to the upper and lower electrodes; and a controller which adjusts a power ratio of the RF power simultaneously applied to the upper and lower electrodes, and controls a plasma distribution for cleaning an inner part of the chamber. As a result, the plasma is evenly formed in the chamber, so that a cleaning efficiency can be maximized. | 12-25-2008 |
20090014027 | METHOD AND ARRANGEMENT FOR CLEANING OPTICAL SURFACES IN PLASMA-BASED RADIATION SOURCES - The invention is directed to a method and an arrangement for cleaning optical surfaces of reflection optics which are arranged in a plasma-based radiation source or exposure device arranged downstream and contaminated by debris particles emitted by a hot plasma of the radiation source. It is the object of the invention to find a novel possibility for in-situ cleaning of the optical surfaces of reflection optics which are contaminated by debris in plasma-based radiation sources so as to allow an integrated generation of known gas radicals and the isotropic distribution thereof on the contaminated optical surfaces. According to the invention, this object is met in that the gas radicals are generated by dielectrically impeded discharge between two surface electrodes along the entire optical surface. The gas radicals are generated almost exclusively by electron transfer on at least one barrier layer which covers the entire surface of at least one of the surface electrodes, an AC voltage in the Hz to kHz range is applied to the surface electrodes for periodically eliminating the charge polarization at the barrier layer so that a cold plasma is generated continuously and the deposited debris particles are removed as gaseous reaction products by the gas flow guided over the optical surface. | 01-15-2009 |
20090025750 | Method for removal of a deposition from an optical element, lithographic apparatus, and method for manufacturing a device - A method of removing a deposition from an optical element of an apparatus. The method includes providing a hydrogen comprising gas in at least a part of the apparatus, providing nitrogen radicals in the part of the apparatus for generating hydrogen radicals from the hydrogen comprising gas, and contacting the optical element with at least part of the hydrogen radicals to removal the deposition. | 01-29-2009 |
20090032055 | Method and Apparatus for Cleaning Tire Vulcanization Mold - A tire vulcanization mold cleaning method for cleaning a molding surface of a sector of the tire vulcanization mold for molding a tread portion of a pneumatic tire by using plasma created in an atmosphere of a reaction gas which chemically reacts with dirt attached to the molding surface of the sector. The method comprises the steps of placing the sector on a electrode table in a cleaning tank with the molding surface of the sector facing a discharge electrode, supplying the reaction gas to the cleaning tank while pressure in the cleaning tank is reduced, supplying a high-frequency power to the discharge electrode to create the plasma between the discharge electrode and the molding surface of the sector, and applying to the electrode table a high-frequency voltage having a frequency lower than a high frequency wave supplied to the discharge electrode to make the electrode table generate a negative self-bias. | 02-05-2009 |
20090056743 | METHOD OF CLEANING PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION CHAMBER - A method and apparatus for cleaning a plasma enhanced chemical vapor deposition chamber is described. In one embodiment, the method includes providing a first cleaning gas to a processing region within the chamber; and then providing a second cleaning gas to the processing region. In another embodiment, the method includes providing a substantially pure fluorine gas to a processing chamber. | 03-05-2009 |
20090065025 | CLEANING OF PLASMA CHAMBER WALLS USING NOBLE GAS CLEANING STEP - An improved reaction chamber cleaning process is provided for removing water residues that makes use of noble-gas plasma reactions. The method is easy applicable and may be combined with standard cleaning procedure. A noble-gas plasma (e.g. He) that emits high energy EUV photons (E>20 eV) which is able to destruct water molecules to form electronically excited oxygen atoms is used to remove the adsorbed water. | 03-12-2009 |
20090071505 | CLEANING METHOD AND SUBSTRATE PROCESSING APPARATUS - Provided is a cleaning method which can efficiently remove a film, such as a high dielectric constant oxide film, which is difficult to be etched by a fluorine-containing gas alone. As a cleaning method of a substrate processing apparatus which forms a desired film on a wafer by supplying a source gas, there is provided a cleaning method for removing a film attached to the inside of a processing chamber. The cleaning method includes: a step of supplying a halogen-containing gas into the processing chamber; and a step of supplying a fluorine-containing gas into the processing chamber, after starting the supply of the halogen-containing gas, wherein, in the step of supplying the fluorine-containing gas, the fluorine-containing gas is supplied while supplying the halogen-containing gas into the processing chamber. | 03-19-2009 |
20090084399 | METHOD AND APPARATUS FOR CLEANING A SUBSTRATE - In a method and an apparatus for cleaning a substrate using a laser beam, an inner chamber is disposed in a process chamber to define a space in which a laser-induced shock wave is generated. The laser beam is focused on a laser focus positioned in the inner chamber, and thus the laser-induced plasma shock wave is generated around the laser focus. The plasma shock wave is reflected from inner surfaces of the inner chamber and is irradiated on the substrate through a lower portion of the inner chamber. As a result, the intensity of the plasma shock wave irradiated on the substrate is increased, and thus the contaminants on the substrate may be effectively removed. | 04-02-2009 |
20090090382 | METHOD OF SELF-CLEANING OF CARBON-BASED FILM - A method of self-cleaning a plasma reactor upon depositing a carbon-based film on a substrate a pre-selected number of times, includes: (i) exciting oxygen gas and/or nitrogen oxide gas to generate a plasma; and (ii) exposing to the plasma a carbon-based film accumulated on an upper electrode provided in the reactor and a carbon-based film accumulated on an inner wall of the reactor. | 04-09-2009 |
20090090383 | METHOD AND APPARATUS FOR CLEANING AN INTEGRATING SPHERE - In one embodiment, the present invention is a method and apparatus for cleaning an integrating sphere, such as an integrating sphere used in an integrating sphere spectrophotometer. One embodiment of a spectrophotometer includes an integrating sphere having a reflective interior surface, a primary light source configured to illuminate the interior surface when enabled, and a secondary light source configured to emit ionizing radiation onto the interior surface. | 04-09-2009 |
20090090384 | CLEANING METHOD OF APPARATUS FOR DEPOSITING METAL CONTAINING FILM - Provided is a cleaning method of an apparatus for depositing a metal containing film using a metal organic (MO) source. A fluorine (F)-containing gas and a carbon (C)-eliminating gas are supplied to a reactor of the apparatus so that in-situ cleaning can be performed. A solid by-product is not generated in the method, and after a predetermined quantity of wafers is processed, in-situ cleaning can be performed without exposing the reactor to the air such that productivity of the apparatus is maximized. | 04-09-2009 |
20090114244 | EDGE ELECTRODES WITH VARIABLE POWER - The embodiments provide structures and mechanisms for removal of etch byproducts, dielectric films and metal films on and near the substrate bevel edge, and chamber interior to avoid the accumulation of polymer byproduct and deposited films and to improve process yield. In an exemplary embodiment, a plasma processing chamber configured to clean a bevel edge of a substrate is provided. The plasma processing chamber includes a bottom electrode configured to receive the substrate, wherein the bottom electrode is coupled to a radio frequency (RF) power supply. The plasma processing chamber also includes a top edge electrode surrounding an insulating plate opposing the bottom electrode. The top edge electrode is electrically grounded. The plasma processing chamber further includes a bottom edge electrode surrounding the bottom electrode. The bottom edge electrode opposes the top edge electrode. The top edge electrode, the substrate disposed on the bottom electrode, and the bottom edge electrode are configured to generate a cleaning plasma to clean the bevel edge of the substrate. The bottom edge electrode and the bottom electrode are electrically coupled to one another through an RF circuit tunable to adjust the amount of RF current going between the substrate disposed on the bottom electrode, the bottom edge electrode and the top edge electrode. | 05-07-2009 |
20090114245 | IN-SITU CHAMBER CLEANING METHOD - An in-situ chamber cleaning method is performed in a chamber having a gas-distributing member, wherein the gas-distributing member comprises a plurality of apertures. A cleaning gas flow is provided through some of the apertures into the chamber while no cleaning gas flow is provided through the remaining apertures. The cleaning gas flow is ionized such that ionized cleaning gas radicals are used to clean the chamber. | 05-07-2009 |
20090133714 | METHOD FOR SURFACE TREATING SUBSTRATE AND PLASMA TREATMENT APPARATUS - A method for surface treating a substrate includes supplying first plasma generated by using nitrogen gas and oxygen gas toward a substrate surface to surface treat the substrate surface in air. In the method, a volume ratio of the oxygen gas to a total supply of the nitrogen gas and the oxygen gas is smaller than a volume ratio of oxygen contained in air. | 05-28-2009 |
20090139540 | REPAIRING SURFACE DEFECTS AND CLEANING RESIDUES FROM PLASMA CHAMBER COMPONENTS - A component from a substrate processing chamber which has plasma process residues on both its internal and external surfaces, is removed from the processing chamber, and transferred to a cleaning chamber. The component is exposed to an energized cleaning gas in the cleaning chamber, and the cleaning gas is exhausted from below the component so that the cleaning gas cleans off the residues on both the internal and external surfaces of the component. It has been determined that the cleaning gas can also repair surface defects in the component. | 06-04-2009 |
20090165815 | AVOIDING ELECTRICAL SHORTS IN PACKAGING - A plasma clean tool that includes a cleaning chamber for cleaning an article by plasma cleaning and a charge shield for surrounding an article to be cleaned is presented. The charge shield prevents charged components of plasma from passing therethrough to charge the article during plasma cleaning of the article. | 07-02-2009 |
20090173359 | PHOTON INDUCED CLEANING OF A REACTION CHAMBER - The present invention provides a method for in-situ cleaning of walls of a reaction chamber, e.g. reactive ion etching chamber, to remove contamination, e.g. copper comprising contamination from the walls. The method comprises converting the contamination, e.g. copper comprising contamination into a halide compound, e.g. copper halide compound and exposing the halide compound, e.g. copper halide compound to a photon comprising ambient, thereby initiating formation of volatile halide products, e.g. volatile copper halide products. The method furthermore comprises removing the volatile halide products, e.g. volatile copper halide products from the reaction chamber to avoid saturation of the volatile halide products, e.g. volatile copper halide products in the reaction chamber in order to avoid re-deposition of the volatile halide products, e.g. volatile copper halide products to the walls of the reaction chamber. | 07-09-2009 |
20090173360 | Lithographic Apparatus, and Device Manufacturing Method - A lithographic apparatus configured to project a patterned beam of radiation onto a target portion of a substrate is disclosed. The apparatus includes a first radiation dose detector and a second radiation dose detector, each detector comprising a secondary electron emission surface configured to receive a radiation flux and to emit secondary electrons due to the receipt of the radiation flux, the first radiation dose detector located upstream with respect to the second radiation dose detector viewed with respect to a direction of radiation transmission, and a meter, connected to each detector, to detect a current or voltage resulting from the secondary electron emission from the respective electron emission surface. | 07-09-2009 |
20090188524 | AUTOMATIC INSITU POST PROCESS CLEANING FOR PROCESSING SYSTEMS HAVING TURBO PUMPS - An automatic method ( | 07-30-2009 |
20090188525 | Apparatus, carrier and method for the plasma treatment of molds - An apparatus for the plasma treatment of molds ( | 07-30-2009 |
20090188526 | Process of cleaning a semiconductor manufacturing system and method of manufacturing a semiconductor device - A process of cleaning a semiconductor manufacturing system, and a method of manufacturing a semiconductor device. The cleaning process includes, for example, positioning a ceramic cover on the electrostatic chuck in tight contact with the chuck, and feeding a fluoride-based cleaning gas into a chamber. After the cleaning process, a process of forming a semiconductor film (deposition process) is performed. It is possible to prevent fluorine degasification from a substrate-supporting electrode (electrostatic chuck) during the deposition process. A semiconductor film can be formed without causing a temperature drop near the substrate. This prevents irregular film thickness, defective etching, film flaking, etc. | 07-30-2009 |
20090205678 | DEPOSIT REMOVING METHOD AND SUBSTRATE PROCESSING METHOD - A deposit removing method that can reliably remove deposit produced in plasma processing using plasma produced from a process gas containing methane gas and oxygen gas. In a chamber in which an electrode to which radio frequency electrical power is supplied is disposed, plasma processing is carried out on a substrate using the plasma produced from the process gas containing methane gas and oxygen gas, and then a cleaning step is carried out in which plasma is produced from a mixed gas containing fluorinated compound gas containing hydrogen in the chamber. | 08-20-2009 |
20090223538 | Method for Cleaning a Workpiece With the Aid of Halogen Ions - The invention relates to a method for cleaning turbine blades, for example, in a cleaning chamber into which a process gas containing especially fluoride ions is introduced. According to the inventive method, contaminated process gas is directed into an analysis chamber where a plasma is ignited and is analyzed using emission spectroscopy in order to monitor the process, particularly to determine the conditions for stopping the process. The spectrometric measurement can be evaluated in an evaluation unit, the cleaning process being stopped via signal line in case of a characteristic change of the spectrum. Also disclosed is a cleaning device comprising an analysis apparatus with a sample chamber and a plasma generator, an interface being provided for evaluating the result of the analysis. | 09-10-2009 |
20090260654 | METHOD AND DEVICE FOR REPLACING OBJECTIVE PARTS - A method and a device for replacing objective parts, especially of a projection or illumination objective for microlithography in which an objective having an objective interior and objective parts provided therein is provided. At least one objective part is replaceably accommodated in the objective. Immediately prior to installation in the objective, the replaceable objective part is cleaned outside the objective interior in at least one cleaning room sealed off from the ambient atmosphere. Immediately after cleaning, the replaceable objective is installed in the objective without contact with the normal ambient atmosphere. | 10-22-2009 |
20090301517 | METHOD AND APPARATUS FOR CLEANING COLLECTOR MIRROR IN EUV LIGHT GENERATOR - A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position. | 12-10-2009 |
20090314309 | METHOD AND SYSTEM FOR SUPPLYING A CLEANING GAS INTO A PROCESS CHAMBER - A method and apparatus for cleaning a process chamber are provided. In one embodiment, a process chamber is provided that includes a remote plasma source and a process chamber having at least two processing regions. Each processing region includes a substrate support assembly disposed in the processing region, a gas distribution system configured to provide gas into the processing region above the substrate support assembly, and a gas passage configured to provide gas into the processing region below the substrate support assembly. A first gas conduit is configured to flow a cleaning agent from the remote plasma source through the gas distribution assembly in each processing region while a second gas conduit is configured to divert a portion of the cleaning agent from the first gas conduit to the gas passage of each processing region. | 12-24-2009 |
20090314310 | DEPOSIT REMOVAL METHOD - A deposit removal method including a first process of stripping at least part of a deposit that has deposited on inner walls of a reaction chamber and/or a surface of components located inside the reaction chamber where a deposited film is formed from the inner walls of the reaction chamber and/or the surface of components located inside the reaction chamber; and a second process of physically removing the stripped deposit. | 12-24-2009 |
20100006121 | Plasma cleaning method - The present invention relates to an improved method for cleaning using plasma In particular, the present invention relates to the plasma cleaning and decontamination of instruments for use in medicine, dentistry and food preparation whereby the soiled item is exposed to a solvent and then to a plasma, whereby this enables excitation of water within the soil itself. | 01-14-2010 |
20100024840 | CHAMBER PLASMA-CLEANING PROCESS SCHEME - A method for plasma-cleaning a chamber in a process tool is described. A substrate is placed on a chuck in a process chamber having a set of contaminants therein. A plasma process is executed in the process chamber to transfer the set of contaminants to the top surface of the substrate. The substrate, having the set of contaminants thereon, is removed from the process chamber. | 02-04-2010 |
20100024841 | Ion Source and a Method for In-Situ Cleaning Thereof - An ion source and method of cleaning are disclosed. One or more heating units are placed in close proximity to the inner volume of the ion source, so as to affect the temperature within the ion source. In one embodiment, one or more walls of the ion source have recesses into which heating units are inserted. In another embodiment, one or more walls of the ion source are constructed of a conducting circuit and an insulating layer. By utilizing heating units near the ion source, it is possible to develop new methods of cleaning the ion source. Cleaning gas is flowed into the ion source, where it is ionized, either by the cathode, as in normal operating mode, or by the heat generated by the heating units. The cleaning gas is able to remove residue from the walls of the ion source more effectively due to the elevated temperature. | 02-04-2010 |
20100043820 | SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS - Disclosed is a substrate treatment method intended for a substrate having, on its surface, a composite product of an inorganic material containing silicon oxide and an organic material containing carbon and fluorine. The method comprises: an ultraviolet ray treatment step for irradiating the surface of the substrate with ultraviolet ray to remove a part of the organic material; a hydrogen fluoride processing step which is conducted after the ultraviolet ray processing step and which is for supplying a steam of hydrogen fluoride onto the surface of the substrate to remove at least a part of the inorganic material; and a heating processing step which is conducted after the ultraviolet ray processing step and which is for heating the substrate to cause the shrinkage of a part of the organic material that remains unremoved. | 02-25-2010 |
20100059085 | PLASMA GENERATOR AND METHOD FOR CLEANING AN OBJECT - The invention relates to a plasma generator ( | 03-11-2010 |
20100071719 | METHOD TO PRE-HEAT AND STABILIZE ETCHING CHAMBER CONDITION AND IMPROVE MEAN TIME BETWEEN CLEANING - A method for cleaning an etching chamber is disclosed. The method comprises providing an etching chamber; introducing a first gas comprising an inert gas into the etching chamber for a first period of time; and transporting a first wafer into the etching chamber after the first period of time, wherein the first wafer undergoes an etching process. | 03-25-2010 |
20100083981 | DRY CLEANING METHOD FOR PLASMA PROCESSING APPARATUS - This dry cleaning method for a plasma processing apparatus is a dry cleaning method for a plasma processing apparatus that includes: a vacuum container provided with a dielectric member; a planar electrode and a high-frequency antenna that are provided outside the dielectric member; and a high-frequency power source that supplies high-frequency power to both the high-frequency antenna and the planar electrode, to thereby introduce high-frequency power into the vacuum container via the dielectric member and produce an inductively-coupled plasma, the method comprising the steps of: introducing a gas including fluorine into the vacuum container and also introducing high-frequency power into the vacuum container from the high-frequency power source, to thereby produce an inductively-coupled plasma in the gas including fluorine; and by use of the inductively-coupled plasma, removing a product including at least one of a precious metal and a ferroelectric that is adhered to the dielectric member. | 04-08-2010 |
20100083982 | PARTICLE REMOVAL APPARATUS AND METHOD AND PLASMA PROCESSING APPARATUS - A particle removal apparatus for removing particles from a chamber of a plasma processing apparatus, wherein the chamber is connected to a gas exhaust port and a plasma of a processing gas is generated in the chamber to plasma process a substrate to be processed, includes a particle charging control member for positively charging particles generated within the chamber by positive ions of an ion sheath region formed in a region other than the vicinity of the substrate to be processed, wherein positively charged particles are discharged from the chamber via the gas exhaust port. Therefore, there is no plasma disturbance or metal contamination, and thus can be applied to a practical use. | 04-08-2010 |
20100101602 | PLASMA CLEANING APPARATUS AND METHOD - Embodiments of the present invention generally include an apparatus for plasma cleaning and a method for plasma cleaning. Periodically, a PVD chamber may need to be cleaned to remove material that has built up in undesired locations within the chamber. Additionally, the sputtering target may need to be replaced. By removing the sputtering target and placing a grounded chamber lid in its place, the chamber may be plasma cleaned. The susceptor within the chamber may be electrically biased with an RF current. A stationary magnet assembly may be substantially centered behind the grounded lid to focus the cleaning plasma on the susceptor. Following the plasma cleaning, the magnet and lid may be removed and the sputtering target may be coupled to the chamber to continue processing. | 04-29-2010 |
20100139691 | METHOD FOR THE PLASMA CLEANING OF THE SURFACE OF A MATERIAL COATED WITH AN ORGANIC SUBSTANCE AND THE INSTALLATION FOR CARRYING OUT SAID METHOD - The invention relates to a method of cleaning the surface of a material that is coated with an organic substance. The inventive method is characterized in that it comprises the following steps, consisting in: introducing the material into a treatment chamber, having a pressure of between 10 mbar and 1 bar therein, which is supplied with a gas stream containing at least 90 volume percent of oxygen; and generating a plasma by passing an electric discharge between the surface of the material and a dielectric-covered electrode in order to break down the organic substance under the action of the free radicals O thus produces. The invention also relates to an installation that is used to carry out said method. | 06-10-2010 |
20100147328 | FOREIGN MATTER REMOVAL METHOD AND STORAGE MEDIUM - A foreign matter removal method that removes foreign matter attached to a surface of a substrate having been subjected to predetermined processing. An edge of a rotating substrate mounted on a mounting stage is irradiated with misalignment measurement laser light. The misalignment measurement laser light other than the laser light blocked by the edge of the substrate is received, and power thereof is detected. The amount of misalignment of the substrate is calculated based on the detected power of the misalignment measurement laser light and a detected rotation angle of the rotating substrate. The misalignment of the substrate is corrected for based on the calculated amount of misalignment. After that, foreign matter removal laser light is irradiated, and a process gas that is to react with the foreign matter is jetted to the edge of the substrate. Consequently, the foreign matter attached to the substrate is decomposed and removed. | 06-17-2010 |
20100154821 | COMPONENT CLEANING METHOD AND STORAGE MEDIUM - A method for cleaning a component in a substrate processing apparatus including a processing chamber, foreign materials being attached to the component, at least a part of the component being exposed inside the processing chamber, and the substrate processing apparatus being adapted to load and unload a foreign material adsorbing member into and from the processing chamber. The method includes loading the foreign material adsorbing member into the processing chamber; generating a plasma nearer the component than the foreign material adsorbing member; extinguishing the plasma; and unloading the foreign material adsorbing member from the processing chamber, wherein the generation and the extinguishment of the plasma are repeated alternately and the foreign material adsorbing member has a positive potential at least during the extinguishment of the plasma. | 06-24-2010 |
20100175713 | METHOD OF CLEANING PLASMA-TREATING APPARATUS, PLASMA-TREATING APPARATUS WHERE THE CLEANING METHOD IS PRACTICED, AND MEMORY MEDIUM MEMORIZING PROGRAM EXECUTING THE CLEANING METHOD - A method of cleaning a plasma processing apparatus for processing a target in a process container, which is vacuum-evacuatable, using plasma, includes performing a first cleaning process by supplying a cleaning gas into the process container to generate plasma and maintaining the pressure in the process container at a first pressure, and performing a second cleaning process by supplying a cleaning gas into the process container to generate plasma and maintaining the pressure in the process container at a second pressure that is higher than the first pressure. Accordingly, the plasma processing apparatus can be efficiently and rapidly cleaned without damaging at least one of the group consisting of inner surfaces of the process container and members in the process container. | 07-15-2010 |
20100186768 | FOREIGN MATTER REMOVING METHOD FOR LITHOGRAPHIC PLATE AND METHOD FOR MANUFACTURING LITHOGRAPHIC PLATE - A method for removing foreign matter attached to a photomask, includes: irradiating the foreign matter with an electron beam in an etching gas atmosphere in which the foreign matter or a bottom surface of the photomask is etched by irradiation with the electron beam; or irradiating the foreign matter with the electron beam in a deposition gas atmosphere in which a solid material is generated by irradiation with the electron beam to deposit the solid material on the foreign matter, and applying a force to the solid material with an AFM probe. | 07-29-2010 |
20100192973 | EXTREME ULTRAVIOLET LIGHT SOURCE APPARATUS AND CLEANING METHOD - An extreme ultraviolet light source apparatus that can eliminate debris adhering to a component such as optical elements provided within a chamber. The extreme ultraviolet light source apparatus includes: a chamber in which extreme ultraviolet light is generated; a target material supply unit for supplying a target material into the chamber; a driver laser unit for irradiating the target material with a driver pulse laser beam to generate plasma; a cleaning laser unit for emitting a cleaning pulse laser beam; and a control unit for controlling an irradiation position of the cleaning pulse laser beam emitted from the cleaning laser unit so as to irradiate a component provided within the chamber with the cleaning pulse laser beam to remove debris adhering to a surface of the component. | 08-05-2010 |
20100200016 | PLASMA SOURCE AND METHOD FOR REMOVING MATERIALS FROM SUBSTRATES UTILIZING PRESSURE WAVES - In a method is provided for removing a material from a substrate, a plasma is generated at atmospheric pressure. The plasma includes an energetic species reactive with one or more components of the material. The plasma is flowed from an outlet as a plasma plume that includes periodic regions of high plasma density and low plasma density. The material is exposed to the plasma plume. At least one component of the material reacts with the energetic species, and at least one other component of the material is physically impacted and moved by one or more of the regions of high plasma density. | 08-12-2010 |
20100218785 | IN SITU PLASMA CLEAN FOR REMOVAL OF RESIDUE FROM PEDESTAL SURFACE WITHOUT BREAKING VACUUM - Methods and apparatus for in-situ plasma cleaning of a deposition chamber are provided. In one embodiment a method for plasma cleaning a deposition chamber without breaking vacuum is provided. The method comprises positioning a substrate on a susceptor disposed in the chamber and circumscribed by an electrically floating deposition ring, depositing a metal film on the substrate and the deposition ring in the chamber, grounding the metal film deposited on the deposition ring without breaking vacuum, and removing contaminants from the chamber with a plasma formed in the chamber without resputtering the metal film on the grounded deposition ring and without breaking vacuum. | 09-02-2010 |
20100218786 | CLEANING METHOD OF PLASMA PROCESSING APPARATUS AND STORAGE MEDIUM - Provided are a storage medium and a cleaning method of a plasma processing apparatus capable of more securely removing a deposit and preventing occurrence of any problems caused by a remaining deposit as compared to the conventional method. A cleaning gas which contains an oxygen gas and a nitrogen gas and has a ratio of “nitrogen gas flow rate/(nitrogen gas flow rate+oxygen gas flow rate)” in a range from about 0.05 to about 0.5 is introduced into a processing chamber when a substrate is not mounted on a mounting table, and, then, the inside of the processing chamber is cleaned by applying a high frequency power between the mounting table and an upper electrode and exciting the cleaning gas into plasma. | 09-02-2010 |
20100252067 | Cleaning device and cleaning process for a plasma reactor - The invention concerns a device and a process, the device being a cleaning device utilizing a dry chemical means assisted by plasma from a reactor ( | 10-07-2010 |
20100252068 | Plasma Generation Method, Cleaning Method, and Substrate Processing Method - A plasma generation method in a toroidal plasma generator that includes a gas passage having a gas entrance and a gas outlet and forming a circuitous path and a coil wound around a part of the gas passage includes the steps of supplying a mixed gas of an Ar gas and an NF | 10-07-2010 |
20100263685 | METHOD AND APPARATUS FOR GENERATING AN ELECTRICAL ARC - A method for preparing (e.g., cleaning or stripping) an optical fiber that includes reducing the gap resistance between two electrodes by injecting negative ions into the gas or gasses that are located between the electrodes. As a result, the voltage that is required to cause dielectric breakdown and initiation of the electrical arc is drastically reduced. | 10-21-2010 |
20100269853 | DEBRIS-EXTRACTION EXHAUST SYSTEM - Systems and methods for debris extraction reduce the lifting force on the workpiece through a supply air feature. The supply air feature can be implemented through an extraction nozzle, which has an outer supply duct surrounding an inner exhaust duct. Further reduction of the lifting force can be realized through the use of multiple extraction nozzles which limit exhaust airflow to areas of the workpiece with active laser scribing. | 10-28-2010 |
20100275950 | METHOD AND DEVICE FOR THE TREATMENT OF SURFACES - The invention relates to a device and a method for the treatment of surfaces with a plasma produced under atmospheric pressure. The device according to the invention is formed as a portable handheld unit and comprises a plasma nozzle ( | 11-04-2010 |
20100288302 | Method for removing a contamination layer from an optical surface and arrangement therefor as well as a method for generating a cleaning gas and arrangement therefor - The invention is directed to a method for at least partially removing a contamination layer ( | 11-18-2010 |
20100300482 | METHOD OF REMOVING RESIST AND APPARATUS THEREFOR - A resist removal apparatus | 12-02-2010 |
20100300483 | Vacuum Processing Method - A vacuum processing method using an apparatus including a processing chamber disposed in a vacuum reactor and having plasma formed thereon, a sample stage having a sample placed on the upper plane thereof, and a gas introducing mechanism, wherein the sample stage includes a gas supply port for introducing thermal conductance gas between the sample stage and the sample to be processed. The method includes placing a dummy sample on the sample stage, introducing dust removal gas between the sample stage and the dummy sample, and removing particles attached to the sample stage via the flow of dust removal gas. | 12-02-2010 |
20110017231 | METHOD OF CLEANING SUPPORT PLATE - The present invention achieves a method of cleaning a support plate according to which, while no waste solution is produced after cleaning the support plate, the support plate can be treated at low cost. The method of cleaning the support plate includes the step of removing an organic substance adhered to the support plate by putting the support plate in contact with oxygen plasma. | 01-27-2011 |
20110041872 | RAPID SUPPLY OF FLUORINE SOURCE GAS TO REMOTE PLASMA FOR CHAMBER CLEANING - A system and method for performing rapid chamber cleaning is described. The use of F | 02-24-2011 |
20110041873 | Method of cleaning a CVD processing chamber - We have a method of improving the deposition rate uniformity of the chemical vapor deposition (CVD) of films when a number of substrates are processed in series, sequentially in a deposition chamber. The method includes the plasma pre-heating of at least one processing volume structure within the processing volume which surrounds the substrate when the substrate is present in the deposition chamber. We also have a device-controlled method which adjusts the deposition time for a few substrates at the beginning of the processing of a number of substrates in series, sequentially in a deposition chamber, so that the deposited film thickness remains essentially constant during processing of the series of substrates. A combination of these methods into a single method provides the best overall results in terms of controlling average film thickness from substrate to substrate. | 02-24-2011 |
20110048453 | CHAMBER CLEANING METHOD - Provided is a chamber cleaning method capable of efficiently removing a CF-based shoulder deposit containing Si and Al deposited on an outer periphery of an ESC. A mixed gas of an O | 03-03-2011 |
20110056513 | METHOD FOR TREATING SURFACES, LAMP FOR SAID METHOD, AND IRRADIATION SYSTEM HAVING SAID LAMP - The invention relates to a method for treating, particularly cleaning, modifying, and/or activating surfaces, using UV/VUV irradiation of a UV/VUV lamp and additional gas discharge. A dielectric barrier discharge lamp ( | 03-10-2011 |
20110056514 | WORKPIECE DE-CHUCKING DEVICE OF PLASMA REACTOR FOR DRY-CLEANING INSIDE OF REACTION CHAMBER AND ELECTROSTATIC CHUCK DURING WORKPIECE DE-CHUCKING, AND WORKPIECE DE-CHUCKING METHOD USING THE SAME - A workpiece de-chucking device of a plasma reactor for dry-cleaning the inside of a reaction chamber and an ElectroStatic chuck (ESC) during workpiece de-chucking and a workpiece de-chucking method using the same are provided. The workpiece de-chucking device includes a lifting unit, an ICP source power unit, and a controller. The lifting unit lifts a workpiece mounted on a top surface of an ESC. The ICP source power unit forms a magnetic field in an inductive coil arranged outside a dielectric window. The controller outputs a source power control signal, a lift control signal, and a de-chucking control signal. | 03-10-2011 |
20110056515 | NF3 CHAMBER CLEAN ADDITIVE - Methods of cleaning a processing chamber with nitrogen trifluoride (NF | 03-10-2011 |
20110061679 | Photoreactive Removal of Ion Implanted Resist - A method for removing ion implanted photoresist from a surface of a substrate is provided. The method may include introducing a gas to a reaction chamber containing the substrate; illuminating the ion implanted photoresist with radiation from a laser in the presence of the gas; and scanning the radiation across the surface in the presence of the gas to photoreactively remove the ion implanted photoresist from the surface. | 03-17-2011 |
20110079241 | METHOD FOR ION SOURCE COMPONENT CLEANING - This invention relates in part to a method for cleaning an ion source component of an ion implanter used in semiconductor and microelectronic manufacturing. The ion source component includes an ionization chamber and one or more components contained within the ionization chamber. The interior of the ionization chamber and/or the one or more components contained within the ionization chamber have at least some deposits thereon of elements contained within a dopant gas, e.g., carborane (C | 04-07-2011 |
20110079242 | PLASMA CLEANING OF WIRE STRANDS - Methods and apparatus for cleaning impurities, such as oxides, from wire stands using a plasma gas. | 04-07-2011 |
20110083696 | Laser Induced Shockwave Surface Cleaning - An apparatus and method for cleaning the surface of a substrate using laser-induced plasma shockwaves and ultraviolet radiation is described. After defects such as organic, inorganic and metallic particles are detected during an inspection step, the substrate is mounted on a motorized stage inside a cleaning chamber. A laser beam is focused into a laser-cleaning nozzle within the chamber. The laser energy generates a laser-induced plasma shockwave inside the nozzle. The shockwave is amplified and exits the nozzle generating the necessary force to overcome the adhesion bond of the defects with the substrate. Coordinating defect locations from the preliminary inspection step the substrate is actively positioned only where defects are present for selective removal. | 04-14-2011 |
20110083697 | Apparatuses, Systems and Methods for Rapid Cleaning of Plasma Confinement Rings with Minimal Erosion of Other Chamber Parts - An apparatus used for rapid removal of polymer films from plasma confinement rings while minimizing erosion of other plasma etch chamber components is disclosed. The apparatus includes a center assembly, an electrode plate, a confinement ring stack, a first plasma source, and a second plasma source. The electrode plate is affixed to a surface of the center assembly with a channel defined along the external circumference therein. A first plasma source is disposed within the channel and along the external circumference of the center assembly, wherein the first plasma source is configured to direct a plasma to the inner circumferential surface of the confinement ring stack. A second plasma source located away from the first plasma source is configured to perform processing operations on a substrate within the etch chamber. | 04-14-2011 |
20110088718 | CHAMBER CLEANING METHODS USING FLUORINE CONTAINING CLEANING COMPOUNDS - Methods of cleaning a process chamber used to fabricate electronics components are described. The methods may include the step of providing a cleaning gas mixture to the process chamber, where the cleaning gas mixture may include a fluorine-containing precursor, and where the cleaning gas mixture removes contaminants from interior surfaces of the processing chamber that are exposed to the cleaning gas mixture. The methods may also include the steps of removing the reaction products of the cleaning gas mixture from the process chamber, and providing a substrate to the process chamber following the evacuation of the reaction products from the process chamber. The cleaning gas mixture may include one or more hydrofluoronated ethers, and the contaminants may include one or more tin-containing contaminants. | 04-21-2011 |
20110108058 | METHOD AND APPARATUS FOR CLEANING RESIDUE FROM AN ION SOURCE COMPONENT - Some techniques disclosed herein facilitate cleaning residue from a molecular beam component. For example, in an exemplary method, a molecular beam is provided along a beam path, causing residue build up on the molecular beam component. To reduce the residue, the molecular beam component is exposed to a hydro-fluorocarbon plasma. Exposure to the hydro-fluorocarbon plasma is ended based on whether a first predetermined condition is met, the first predetermined condition indicative of an extent of removal of the residue. Other methods and systems are also disclosed. | 05-12-2011 |
20110108059 | PLASMA PROCESS AND REACTOR FOR TREATING METALLIC PIECES - The plasma reactor defines a reaction chamber provided with a support for the metallic pieces and an anode-cathode system, and a heating means is mounted externally to said plasma reactor. The plasma process, for a cleaning operation, includes the steps of connecting the support to the grounded anode and the cathode to a negative potential of a power source; feeding an ionizable gaseous charge into the reaction chamber and heating the latter at vaporization temperatures of piece contaminants; applying an electrical discharge to the cathode; and providing the exhaustion of the gaseous charge and contaminants. A subsequent heat treatment includes the steps of: inverting the energization polarity of the anode-cathode system; feeding a new gaseous charge to the reaction chamber and maintaining it heated; applying an electrical discharge to the cathode; and exhausting the gaseous charge from the reaction chamber. | 05-12-2011 |
20110114113 | SUBSTRATE PROCESSING APPARATUS, CLEANING METHOD THEREOF AND STORAGE MEDIUM STORING PROGRAM - There is provided a cleaning method for a substrate processing apparatus capable of improving a removing rate of a deposit without increasing a self-bias voltage. The cleaning method includes supplying, to clean the inside of a processing chamber | 05-19-2011 |
20110114114 | CLEANING METHOD OF APPARATUS FOR DEPOSITING CARBON CONTAINING FILM - A dry cleaning method of an apparatus for depositing a carbon-containing film is provided. The method includes in-situ cleaning an inside of a reactor of the apparatus, wherein the cleaning of the inside of the reactor of the apparatus comprises supplying a cleaning gas including halogens with being activated by using a remote plasma generator to the reactor and simultaneously supplying a carbon-removing gas without being activated to the reactor. In the method, a by-product in a solid form is not generated, and in-situ cleaning can be performed without stopping the apparatus for depositing a carbon-containing film after a predetermined amount of wafers are treated, such that productivity of the apparatus for depositing a carbon-containing film can be maximized. | 05-19-2011 |
20110120495 | PLASMA PROCESSING METHOD - The invention provides a plasma processing method capable of reducing particle caused by flinging up of particles by airflow due to the pressure fluctuation in the processing chamber during the time the sample is carried into the processing chamber, subjected to plasma processing and carried out of the processing chamber. The invention provides a plasma processing method using a plasma processing apparatus comprising multiple plasma processing chambers for processing samples, a transfer chamber connected to the processing chambers for transferring samples, and a supply system for supplying gas which is the same gas as a transferring gas supplied to the transfer chamber to both the processing chambers and transfer chamber or to only the processing chambers, wherein the process comprises (b) a step of transferring the sample into the processing chamber with the transferring gas supplied to the processing chamber; (c) thereafter, generating plasma from the transferring gas supplied to the processing chamber while maintaining the supply of transferring gas to the processing chamber; (d) a step of switching the gas supplied to the processing chamber from transferring gas to processing gas while maintaining plasma by supplying processing gas continuously to the processing chamber; and (e) a step of subjecting the sample to plasma processing. | 05-26-2011 |
20110126852 | ELECTROSTATIC CHUCK WITH AN ANGLED SIDEWALL - A substrate support for a plasma processing chamber has an angled sidewall at an upper periphery thereof. The substrate is surrounded by an edge ring which underlies a substrate supported on an upper substrate support surface of the substrate support during plasma processing. The angled sidewall is the only surface of the substrate support exposed and subject to byproduct deposition during plasma processing. The angled sidewall enhances sputtering rate of the byproduct deposition during an in situ chamber clean process wherein a cleaning gas supplied to the chamber is energized into a plasma state for cleaning the byproduct deposition. | 06-02-2011 |
20110126853 | CLEANING METHOD OF PROCESSING APPARATUS, PROGRAM FOR PERFORMING THE METHOD, AND STORAGE MEDIUM FOR STORING THE PROGRAM - A plasma processing apparatus includes a processing chamber, in which a wafer W is plasma-processed, and a CPU controlling an operation of each component. A processing gas is introduced into the processing chamber under a first condition defined by a flow rate and a molecular weight of the processing gas, specifically based on a magnitude of a product A | 06-02-2011 |
20110132395 | SUBSTRATE TREATMENT PROCESS - A method of forming a plasma to physicochemically modify properties of a fluid spray in a substrate treatment processes includes providing an applicator in proximity to the substrate. The applicator comprises an electrically insulated main body portion containing a cavity, a tube axially positioned within the cavity for transporting a first fluid, an annular electric-field generator positioned within the cavity between the main body portion and the tube, a region between the tube and the generator for transporting a second fluid, and a nozzle connected to the main body portion for mixing the first fluid with the second fluid to form the fluid spray. The tube, the nozzle or the substrate are selectively grounded. Upon activating the electric-field generator, plasma is formed within the tube or about the region between the tube and the generator when the tube is grounded, within the nozzle when the nozzle is grounded or between the nozzle and the substrate when the substrate is grounded. | 06-09-2011 |
20110139175 | ENHANCED PASSIVATION PROCESS TO PROTECT SILICON PRIOR TO HIGH DOSE IMPLANT STRIP - Improved methods and apparatus for stripping photoresist and removing ion implant related residues from a work piece surface are provided. According to various embodiments, the workpiece is exposed to a passivation plasma, allowed to cool for a period of time, and then exposed to an oxygen-based or hydrogen-based plasma to remove the photoresist and ion implant related residues. Aspects of the invention include reducing silicon loss, leaving little or no residue while maintaining an acceptable strip rate. In certain embodiments, methods and apparatus remove photoresist material after high-dose ion implantation processes. | 06-16-2011 |
20110146703 | METHOD AND APPARATUS FOR PROCESSING BEVEL EDGE - A method and apparatus for processing a bevel edge is provided. A substrate is placed in a bevel processing chamber and a passivation layer is formed on the substrate only around a bevel region of the substrate using a passivation plasma confined in a peripheral region of the bevel processing chamber. The substrate may undergo a subsequent semiconductor process, during which the bevel edge region of the substrate is protected by the passivation layer. Alternatively, the passivation layer may be patterned using a patterning plasma formed in an outer peripheral region of the processing chamber, the patterning plasma being confined by increasing plasma confinement. The passivation layer on outer edge portion of the bevel region is removed, while the passivation layer on an inner portion of the bevel region is maintained. The bevel edge of the substrate may be cleaned using the patterned passivation layer as a protective mask. | 06-23-2011 |
20110146704 | METHODOLOGY FOR CLEANING OF SURFACE METAL CONTAMINATION FROM AN UPPER ELECTRODE USED IN A PLASMA CHAMBER - A method for cleaning metallic contaminants from an upper electrode used in a plasma chamber. The method comprises a step of soaking the upper electrode in a cleaning solution of concentrated ammonium hydroxide, hydrogen peroxide and water. The cleaning solution is free of hydrofluoric acid and hydrochloric acid. The method further comprises an optional step of soaking the upper electrode in dilute nitric acid and rinsing the cleaned upper electrode. | 06-23-2011 |
20110162674 | IN-SITU PROCESS CHAMBER CLEAN TO REMOVE TITANIUM NITRIDE ETCH BY-PRODUCTS - Methods for removing titanium nitride etch by-products from process chambers are provided herein. In some embodiments, a method for the removal of titanium nitride hard mask etch by-products from a process chamber includes processing a substrate having a titanium nitride hard mask. A plasma is then formed from a cleaning gas comprising a chlorine (Cl | 07-07-2011 |
20110168205 | SUBSTRATE CLEANING METHOD AND SUBSTRATE CLEANING APPARATUS - A substrate cleaning method performing cleaning of a surface of a substrate after a pattern on the substrate is formed by plasma etching, includes: a by-product removal process removing a by-product by exposing the substrate to an HF gas atmosphere; and a residual fluorine removal process removing fluorine remaining on the substrate by turning cleaning gas containing hydrogen gas and chemical compound gas containing carbon and hydrogen as constituent elements into plasma to act on the substrate. | 07-14-2011 |
20110174333 | PROCESS AND INSTALLATION FOR SURFACE PREPARATION BY DIELECTRIC BARRIER DISCHARGE - A process for surface preparation of a substrate ( | 07-21-2011 |
20110203610 | REMOTE PLASMA CLEANING METHOD AND APPARATUS FOR APPLYING SAID METHOD - A vacuum processing system with a vacuum chamber has an inlet, at least first and second outlets, exhaust means at a first of said outlets and a remote plasma source RPS, wherein the RPS is attached to a connecting point to the second of said outlets. In a method for remote plasma cleaning of a vacuum processing system with such an arrangement a flow of radicals is generated by said remote plasma source and directed to the first of said outlets whilst operating exhaust means via the second of said outlets. | 08-25-2011 |
20110209725 | METHODS TO REMOVE FILMS ON BEVEL EDGE AND BACKSIDE OF WAFER AND APPARATUS THEREOF - A method of cleaning a bevel edge of a substrate in an etch processing chamber is provided. The method includes placing a substrate on a substrate support in a processing chamber. The method also includes flowing a cleaning gas through a gas feed located near a center of a gas distribution plate, disposed at a distance from the substrate support. The method further includes generating a cleaning plasma near a bevel edge of the substrate to clean the bevel edge by powering a bottom edge electrode or a top edge electrode with a RF power source and grounding the edge electrode that is not powered by the RF power source, the bottom edge electrode surrounds the substrate support and the top edge electrode surrounds the gas distribution plate. | 09-01-2011 |
20110214687 | CONFIGURABLE BEVEL ETCHER - A device for cleaning a bevel edge of a semiconductor substrate. The device includes: a lower support having a cylindrical top portion; a lower plasma-exclusion-zone (PEZ) ring surrounding the outer edge of the top portion and adapted to support the substrate; an upper dielectric component opposing the lower support and having a cylindrical bottom portion; an upper PEZ ring surrounding the outer edge of the bottom portion and opposing the lower PEZ ring; and at least one radiofrequency (RF) power source operative to energize process gas into plasma in an annular space defined by the upper and lower PEZ rings, wherein the annular space encloses the bevel edge. | 09-08-2011 |
20110220143 | Device for Treating an Inner Surface of a Work Piece - A device for cleaning an inner surface of a workpiece includes a beam of radiation, at least one generating unit for generating the beam, a drive unit for rotating the at least one generating unit about an axis of rotation, where the at least one generating unit is configured with a preferential direction towards the surface to be treated. So that an inner surface of the workpiece can be cleaned with short effect times of the beam, it is provided that an arm connected to the at least one generating unit is provided for inserting the generating unit into the workpiece and that the axis of rotation and the preferential direction are inclined relative to one another. | 09-15-2011 |
20110226280 | PLASMA MEDIATED ASHING PROCESSES - A plasma ashing process for removing photoresist, polymers and/or residues from a substrate comprises placing the substrate including the photoresist, polymers, and/or residues into a reaction chamber; generating a plasma from a gas mixture comprising oxygen gas (O | 09-22-2011 |
20110232678 | EXTENDING STORAGE TIME OF REMOVED PLASMA CHAMBER COMPONENTS PRIOR TO CLEANING THEREOF - A method of extending storage time prior to cleaning a component of a plasma chamber is provided. The method comprises removing the component from the chamber, covering a thermal spray coating on the component while the surface is exposed to atmospheric air, storing the component, optionally removing the covering, and optionally wet cleaning reaction by-products from the thermal spray coating. Alternatively, instead of, or in addition to covering a thermal spray coating on the component, the component can be placed into a desiccator or dry-box. | 09-29-2011 |
20110247649 | Method and an apparatus for cleaning and/or sterilization of an object provided in a sealed enclosure - The invention relates to a method of cleaning and/or sterilization of an object provided in a hermetically sealed enclosure, providing a pressure difference between an internal volume of the enclosure and surroundings and generating a plasma solely inside the enclosure for said cleaning and/or sterilization of the object. The invention further relates to an apparatus for enabling the same. The apparatus | 10-13-2011 |
20110259362 | APPARATUS AND METHODS FOR USING HIGH FREQUENCY CHOKES IN A SUBSTRATE DEPOSITION APPARATUS - In certain aspects, a substrate deposition apparatus, including a gas tube coupled to a gas source, an RF power source and a substrate processing chamber, is provided. The gas tube is adapted to carry process gas and cleaning plasma from the gas source/remote plasma gas source to the substrate processing chamber and the RF power source is adapted to couple RF power to the substrate processing chamber. Furthermore an RF choke coupled to the RF power source and the gas source wherein the RF choke is adapted to attenuate a voltage difference between the RF power source and the gas source to prevent plasma formation in the gas tube during substrate processing. Numerous other aspects are provided. | 10-27-2011 |
20110265813 | VACUUM PROCESSING APPARATUS AND VACUUM PROCESSSING METHOD - A vacuum processing apparatus includes a vacuum chamber for performing a plasma process and a cleaning process unit for performing a cleaning process to apply a plasma process to a wafer on which a single layer or a laminated film containing a metallic film is formed by using a corrosive gas, and a control unit having a sequence to abort the plasma process when an abnormality occurs in the vacuum chamber and transfer the wafer subjecting to the aborting of the plasma process to the cleaning process unit, after elapsing a predetermined time, to perform the cleaning process. | 11-03-2011 |
20110265814 | METHODS FOR PROCESSING SUBSTRATES IN PROCESS SYSTEMS HAVING SHARED RESOURCES - Methods for processing substrates in twin chamber processing systems having first and second process chambers and shared processing resources are provided herein. In some embodiments, a method may include flowing a process gas from a shared gas panel to a processing volume of the first process chamber and to a processing volume of the second process chamber; forming a first plasma in the first processing volume to process the first substrate and a second plasma to process the second substrate; monitoring the first processing volume and the second processing volume to determine if a process endpoint is reached in either volume; and either terminating the first and second plasma simultaneously when a first endpoint is reached; or terminating the first plasma when a first endpoint is reached in the first processing volume while continuing to provide the second plasma in the second processing volume until a second endpoint is reached. | 11-03-2011 |
20110265815 | METHOD OF CLEANING SUPPORT PLATE - The present invention achieves a method of cleaning a support plate according to which, while no waste solution is produced after cleaning the support plate, the support plate can be treated at low cost. The method of cleaning the support plate includes the step of performing an organic substance and metal each adhered to the support plate by causing dry ice particle to hit the support plate, the support plate being a support plate from which a substrate has been stripped. | 11-03-2011 |
20110277784 | Methods for Plasma Cleaning an Internal Peripheral Region of a Plasma Processing Chamber - Methods for operating a plasma processing chamber for a cleaning operation of an internal region of the plasma processing chamber are disclosed. The method is performed when a semiconductor wafer is not present in the plasma processing chamber. The plasma processing chamber has a bottom electrode assembly that includes an inner bottom electrode and an outer bottom electrode, and the inner bottom electrode and outer bottom electrode are electrically isolated by a dielectric ring. The method includes configuring the inner bottom electrode to be set at a floating potential and supplying a process gas into the plasma processing chamber. And, supplying RF power to the outer bottom electrode. The supplying of RF power to the outer bottom electrode is conducted while maintaining the inner bottom electrode at the floating potential and is isolated by the dielectric ring. The RF power produces a plasma that is generated substantially outside of the inner bottom electrode and over the outer bottom electrode. The inner bottom electrode defines a region for holding the semiconductor wafer. | 11-17-2011 |
20110308544 | CLEANING METHOD OF PROCESSING CHAMBER OF MAGNETIC FILM, MANUFACTURING METHOD OF MAGNETIC DEVICE, AND SUBSTRATE TREATMENT APPARATUS - The present invention provides a manufacturing method of a multilayer film, a manufacturing method of a magnetoresistance effect device, and a substrate treatment apparatus, capable of shortening the time of a cleaning step. In one embodiment of the present invention, the inside of an etching apparatus is cleaned by plasma of a mixed gas containing H | 12-22-2011 |
20120006351 | Methods Of Cleaning And Plasma Processing Apparatus For Manufacturing Semiconductors - A cleaning method for cleaning a semiconductor manufacturing apparatus may include generating plasma from a cleaning gas. The semiconductor manufacturing apparatus may be cleaned with the plasma. A positive direct-current voltage may be applied to an ESC of the semiconductor manufacturing apparatus during a cleaning of the semiconductor manufacturing apparatus. A negative direct-current voltage may be applied to the ESC during the cleaning of the semiconductor manufacturing apparatus. Also, a wall of the process chamber may be cleaned by applying the positive direct-current voltage to the ESC. | 01-12-2012 |
20120017934 | Liquid Chemical for Forming Protecting Film - Disclosed is a liquid chemical for forming a water-repellent protecting film at least on a surface of a recessed portion of an uneven pattern at the time of cleaning a wafer having a finely uneven pattern at its surface and containing silicon at least a part of the uneven pattern. This liquid chemical contains a silicon compound A represented by the general formula: R | 01-26-2012 |
20120024314 | PLASMA MEDIATED ASHING PROCESSES - Plasma mediated ashing processes for removing organic material from a substrate generally includes exposing the substrate to the plasma to selectively remove photoresist, implanted photoresist, polymers and/or residues from the substrate, wherein the plasma contains a ratio of active nitrogen and active oxygen that is larger than a ratio of active nitrogen and active oxygen obtainable from plasmas of gas mixtures comprising oxygen gas and nitrogen gas. The plasma exhibits high throughput while minimizing and/or preventing substrate oxidation and dopant bleaching. Plasma apparatuses are also described. | 02-02-2012 |
20120031426 | Methods For Preventing Corrosion of Plasma-Exposed Yttria-Coated Constituents - In accordance with one embodiment of the present disclosure, a method for preventing corrosion of a plasma-exposed yttria-coated constituent from ambient acidic hydrolysis wherein the plasma-exposed yttria-coated constituent includes a hydrolysable acid precursor is disclosed. The method may include: removing the plasma-exposed yttria-coated constituent from a semiconductor processing assembly; binding the plasma-exposed yttria-coated constituent with flexible moisture wicking material; hydrolyzing the hydrolysable acid precursor with an overwhelming aqueous admixture to form a vitiated acidic compound, wherein the flexible moisture wicking material pulls the vitiated acidic compound away from the plasma-exposed yttria-coated constituent with capillary action; dehydrating the plasma-exposed yttria-coated constituent with additional flexible moisture wicking material to pull a latent amount of the vitiated acidic compound away from the plasma-exposed yttria-coated constituent; and isolating the plasma-exposed yttria-coated constituent from ambient moisture in a moisture obstructing enclosure. | 02-09-2012 |
20120037182 | PARTICLE REMOVAL APPARATUS AND METHOD AND PLASMA PROCESSING APPARATUS - A particle removal apparatus for removing particles from a chamber of a plasma processing apparatus, wherein the chamber is connected to a gas exhaust port and a plasma of a processing gas is generated in the chamber to plasma process a substrate to be processed, includes a particle charging control member for positively charging particles generated within the chamber by positive ions of an ion sheath region formed in a region other than the vicinity of the substrate to be processed, wherein positively charged particles are discharged from the chamber via the gas exhaust port. Therefore, there is no plasma disturbance or metal contamination, and thus can be applied to a practical use. | 02-16-2012 |
20120080052 | DEVICES FOR METHODOLOGIES FOR HANDLING WAFERS - Disclosed are systems, devices and methodologies for handling wafers in wafer processing operations such as solvent and plasma cleaning. In an example situation, a wafer that has been separated from a support plate can be cleaned. The wafer still needs to be handled carefully during such a cleaning operation. Various devices and methodologies that facilitate efficient handling of wafers and wafer-cleaning operations are disclosed. | 04-05-2012 |
20120085366 | PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - Provided is a plasma processing method capable of removing a Ti-series deposit from the surface of a processing chamber of a plasma processing apparatus without production of a foreign matter such as a boron oxide. The plasma processing method includes carbon-series deposition discharge which succeeds product etching during which a sample containing a Ti material is processed, and during which a carbon-series film is deposited on a Ti reaction by-product deposited on the surface of the processing chamber, and chlorine-series discharge which succeeds the carbon-series deposition discharge and during which the carbon-series film and Ti that are deposited on the surface of the processing chamber are removed. | 04-12-2012 |
20120111359 | PROCESS FOR TEXTILE CLEANING AND DISINFECTION BY MEANS OF PLASMA AND PLASMA LOCK - A process and apparatus for cleaning and disinfection of textiles and the air from viruses, bacteria and spores, and also for purifying from dust, pollen, odors, etc. in which the employment of water and various other cleaning agents and disinfectants as well is not required includes a lock or chamberin which living beings are able to stay, and piece-goods and textiles, etc. are able to be treated as well. Therein, airborne aerosols (droplets, particles, dust) as well as aerosols and microbes, respectively, adhering to the clothing or body and to the product, respectively, are to be treated. The basic principle shall also be applicable to rooms (e.g. waiting rooms) or stables and under cleanroom conditions as well. Various aspects of the invention include plasma generation, producing an ion current from the plasma, ozone generation and activation, sterilization, oxidation and decomposition of gaseous components, and separation of microbes and aerosols and decomposition thereof. | 05-10-2012 |
20120138085 | METHODS AND APPARATUS FOR INTEGRATING AND CONTROLLING A PLASMA PROCESSING SYSTEM - Methods and apparatus for controlling a plasma processing system in a purely pull mode or a hybrid pull mode. In the purely pull mode, the back end assumes master control at least for requesting and scheduling loading of production wafers. In the hybrid pull mode, the back end assumes master control at least for tool maintenance/cleaning while the front end retains master control for production wafers. | 06-07-2012 |
20120145185 | METHOD FOR CLEANING THE SURFACE OF A SILICON SUBSTRATE - A method for cleaning the surface of a silicon substrate, covered by a layer of silicon oxide includes: a) exposing the surface for 60 to 900 seconds to a radiofrequency plasma, generated from a fluorinated gas, to strip the silicon oxide layer and induce the adsorption of fluorinated elements on the substrate surface, the power density generated using the plasma being 10 mW/cm | 06-14-2012 |
20120145186 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus includes a processing chamber, a first electrode and a second electrode attached to the processing chamber via an insulator. To generate a plasma of a processing gas in the processing space, a high frequency power supply unit applies to the first electrode a high frequency power having a predetermined high frequency. Further, to control energy of incident ions on the first and the second electrode from the plasma, a first low frequency power supply unit applies to the first electrode a first low frequency power having the frequency lower than the frequency of the high frequency power, and a second low frequency power supply unit applies to the second electrode a second low frequency power having the frequency lower than the frequency of the high frequency power. | 06-14-2012 |
20120180810 | CLEANING OF A PROCESS CHAMBER - A method for cleaning at least one component arranged in the inner region of a plasma process chamber using a cleaning gas including fluorine gas, where the process chamber has at least one electrode and counter-electrode for generating a plasma for plasma treatment, where the inner region is exposed to gaseous fluorine compounds with a partial pressure of greater than 5 mbar, where the process chamber has at least one electrode and counter-electrode for generating a plasma, and the fluorine gas is thermally activated by means of a temperature-regulating means, where the component to be cleaned has a temperature of<350° C. | 07-19-2012 |
20120211023 | Method for Removing Deposits - A method for removing a silicon hydride from the surface of a solid body which comprises treating the silicon hydride with a gas comprising molecular fluorine or reactive species generated from molecular fluorine. | 08-23-2012 |
20120222699 | METHOD FOR REMOVING HALOGEN-CONTAINING RESIDUES FROM SUBSTRATE - Methods for removing halogen-containing residues from a substrate are provided. By combining the heat-up and plasma abatement steps, the manufacturing throughput can be improved. Further, by appropriately controlling the pressure in the abatement chamber, the removal efficiency can be improved as well. | 09-06-2012 |
20120222700 | TEMPLATE SUBSTRATE PROCESSING APPARATUS AND TEMPLATE SUBSTRATE PROCESSING METHOD - According to one embodiment, a template substrate processing apparatus used in imprint lithography, includes a stage which has a convex portion that engages with a concave portion formed at an underside of the template substrate. | 09-06-2012 |
20120227762 | PLASMA ASHING COMPOUNDS AND METHODS OF USE - Disclosed are compounds for plasma ashing photoresist layers on a substrate and methods of using the same. The plasma ashing compounds induce limited to no damage to the underlying layer, such as the low-k film layer. | 09-13-2012 |
20120234351 | Cleaning Gas - Disclosed is a cleaning gas for deposits, which contains CHF | 09-20-2012 |
20120247504 | System and Method for Sub-micron Level Cleaning of Surfaces - An apparatus is used for removing contaminants from a surface and includes a chamber filled with a clean process gas, a surface positioning device, a carbon dioxide snow spray nozzle, a laser beam generator and focusing device and a process gas nozzle. The nozzles and a focal point of the laser beam are linearly aligned. The surface is held at a desired position and bombarded with carbon dioxide snow and with a high pressure wave to release the contaminants from the surface whereupon the released materials are swept to one side of the surface by a jet of the process gas. The process may proceed with point to point contamination removal based on prior surface examination and discovery of contamination sites, or may be scanned with essentially continuous contamination removal. | 10-04-2012 |
20120279519 | Integrated Substrate Cleaning System and Method - A method for cleaning a substrate having organic and inorganic residues disposed thereon is provided. The method includes removing organic residue from the substrate using atmospheric oxygen plasma, and removing inorganic residue from the substrate using cryogenic CO | 11-08-2012 |
20120285481 | METHODS OF REMOVING A MATERIAL LAYER FROM A SUBSTRATE USING WATER VAPOR TREATMENT - Embodiments of the invention generally relate to methods of removing and/or cleaning a substrate surface having different material layers disposed thereon using water vapor plasma treatment. In one embodiment, a method for cleaning a surface of a substrate includes positioning a substrate into a processing chamber, the substrate having a dielectric layer disposed thereon forming openings on the substrate, exposing the dielectric layer disposed on the substrate to water vapor supplied into the chamber to form a plasma in the water vapor, maintaining a process pressure in the chamber at between about 1 Torr and about 120 Torr, and cleaning the contact structure formed on the substrate. | 11-15-2012 |
20120298133 | ANTI-SMUDGING, BETTER GRIPPING, BETTER SHELF-LIFE OF PRODUCTS AND SURFACES - A device to provide improved anti-smudging, better gripping and longer shelf-life to products and surfaces includes an electric superheated steam generator and an electric low-ion plasma generator to provide superheated steam and low-ion plasma to the surfaces of products including plastics. One embodiment envisions the superheated steam generator and the low-ion plasma generator being contained in a housing while another embodiment anticipates a conveyor means positioned in front of the superheated steam generator and the low-ion plasma generator. A method for the improving of anti-smudging, gripping and shelf-life for properties includes the application of superheated steam and low-ion plasma by means of a superheated steam generator and a low-ion plasma generator to products for specific periods of time and at specific distances to attain desired surface and bulk properties. The superheated steam and low-ion plasma may be applied individually, simultaneously or sequentially. | 11-29-2012 |
20120298134 | METHOD FOR CLEANING OPTICAL ELEMENT OF EUV LIGHT SOURCE DEVICE AND OPTICAL ELEMENT CLEANING DEVICE - A method for cleaning an optical element of an extreme ultraviolet light source device for removing, from the optical element in a chamber, scattered matter generated together with extreme ultraviolet light by plasma formed through laser beam excitation of a target in the chamber, the method which comprises: making the scattered matter generated by the plasma no larger than nanosize by using solid tin as the target and using a CO | 11-29-2012 |
20120325264 | Apparatus and Method for Producing Microcomponents and Use Of - An apparatus and the use of such an apparatus and method for producing microcomponents with component structures are presented which are generated in a process chamber on a substrate according to the LIGA method for example and are stripped from the enclosing photoresist with the help of a cooled remote plasma source. | 12-27-2012 |
20130019894 | PLASMA PROCESSING METHOD AND PLASMA ASHING APPARATUS - In a plasma ashing processing on a sample including a Low-k film, a processing method that can prevent or reduce a film damage on the Low-k film while performing a high speed ashing processing is provided. A plasma processing method for performing a plasma processing on the sample including a Low-k film | 01-24-2013 |
20130048014 | PHOTORESIST STRIP PROCESSES FOR IMPROVED DEVICE INTEGRITY - Provided herein are methods and apparatus of hydrogen-based photoresist strip operations that reduce dislocations in a silicon wafer or other substrate. According to various embodiments, the hydrogen-based photoresist strip methods can employ one or more of the following techniques: 1) minimization of hydrogen budget by using short processes with minimal overstrip duration, 2) providing dilute hydrogen, e.g., 2%-16% hydrogen concentration, 3) minimization of material loss by controlling process conditions and chemistry, 4) using a low temperature resist strip, 5) controlling implant conditions and concentrations, and 6) performing one or more post-strip venting processes. Apparatus suitable to perform the photoresist strip methods are also provided. | 02-28-2013 |
20130056022 | BARE ALUMINUM BAFFLES FOR RESIST STRIPPING CHAMBERS - Bare aluminum baffles are adapted for resist stripping chambers and include an outer aluminum oxide layer, which can be a native aluminum oxide layer or a layer formed by chemically treating a new or used bare aluminum baffle to form a thin outer aluminum oxide layer. | 03-07-2013 |
20130061870 | METHOD OF CLEANING FILM FORMING APPARATUS - In one embodiment, a method of cleaning a film forming apparatus includes: plasmatizing cleaning gas having at least one of the group consisting of chlorine gas, hydrocarbon gas, and chlorinated hydrocarbon gas; and supplying the plasmatized cleaning gas to a heated inner part of the film forming apparatus. | 03-14-2013 |
20130061871 | PLASMA PURGING AN IDLE CHAMBER TO REDUCE PARTICLES - During each idle period in which a plasma processing tool is not used in succession, upon lapse of a selected period of inactivity by the plasma production tool of between 10 and 60 minutes, a plasma is generated within the plasma processing tool to heat the vacuum enclosure to an operating temperature reached during production use of the plasma processing tool. A gas-only purge is then performed, and the vacuum enclosure is pumped down to a base vacuum to remove small particles of less than 0.12 microns that may otherwise generate on the interior walls of the vacuum enclosure. Extended operation of the plasma processing tool without failure of particle qualification or reduced availability is achieved. | 03-14-2013 |
20130061872 | ION BOMBARDMENT TREATMENT APPARATUS AND METHOD FOR CLEANING OF SURFACE OF BASE MATERIAL USING THE SAME - In an ion bombardment treatment apparatus ( | 03-14-2013 |
20130098390 | DEVICE FOR PROCESSING A CARRIER AND A METHOD FOR PROCESSING A CARRIER - Various embodiments provide a device for processing a carrier, the device including: a carrier receiving portion configured to receive a carrier, the carrier including one or more planar regions and one or more edge regions; a processing portion including: a first electrode; a second electrode, wherein the second electrode is separated from the first electrode; and a dielectric material formed between the first electrode and the second electrode; and wherein the first electrode is configured to receive a first potential and the second electrode is configured to received a second potential to activate supplied gas between the first electrode and the second electrode; wherein the first electrode and the second electrode are arranged to direct more supplied activated gas to the one or more edge regions than to the one or more planar regions of the carrier. | 04-25-2013 |
20130133690 | ION BOMBARDMENT APPARATUS AND METHOD FOR CLEANING OF SURFACE OF BASE MATERIAL USING THE SAME - In an ion bombardment apparatus of the present invention, a heating type thermal electron emission electrode formed by a filament is placed on one inner surface of a vacuum chamber, an anode for receiving a thermal electron from the thermal electron emission electrode is placed on another inner surface of the vacuum chamber, and a base material is placed between the thermal electron emission electrode and the anode. Further, the ion bombardment apparatus has a discharge power supply for generating a glow discharge upon application of a potential difference between the thermal electron emission electrode and the anode, a heating power supply for heating the thermal electron emission electrode so as to emit the thermal electron, and a bias power supply for applying negative pulse-shaped bias potential with respect to the vacuum chamber to the base material. | 05-30-2013 |
20130160793 | PLASMA GENERATING APPARATUS AND PROCESS FOR SIMULTANEOUS EXPOSURE OF A WORKPIECE TO ELECTROMAGNETIC RADIATION AND PLASMA - An apparatus configured to provide simultaneous plasma and electromagnetic irradiation of a workpiece within the same process chamber, thereby providing processes that permit simultaneous plasma and electromagnetic irradiation within the same atmosphere as may be desired for some applications. | 06-27-2013 |
20130160794 | METHODS AND APPARATUS FOR CLEANING SUBSTRATE SURFACES WITH ATOMIC HYDROGEN - Methods and apparatus for cleaning substrate surfaces are provided herein. In some embodiments, a method of cleaning a surface of a substrate may include providing a hydrogen containing gas to a first chamber having a plurality of filaments disposed therein; flowing a current through the plurality of filaments to raise a temperature of the plurality of filaments to a process temperature sufficient to decompose at least some of the hydrogen containing gas; and cleaning the surface of the substrate by exposing the substrate to hydrogen atoms formed from the decomposed hydrogen containing gas for a period of time. | 06-27-2013 |
20130186430 | METHOD FOR REMOVING A CONTAMINATION LAYER FROM AN OPTICAL SURFACE AND ARRANGEMENT THEREFOR - The invention is directed to a method for at least partially removing a contamination layer ( | 07-25-2013 |
20130186431 | Methods for Treating Surfaces, Methods for Removing One or More Materials from Surfaces, and Apparatuses for Treating Surfaces - Some embodiments include utilization of both plasma and aerosol to treat substrate surfaces. The plasma and aerosol may be utilized simultaneously, or sequentially. In some embodiments, the plasma forms a plasma sheath over the substrate surfaces, with the plasma sheath having an electric field gradient therein. The aerosol comprises liquid particles charged to a polarity, and such polarity is transferred to contaminants on the substrate surfaces through interaction with the aerosol. The polarity may be used to assist in dislodging the contaminants from the substrate surfaces. The electric field of the plasma sheath may then sweep the contaminants away from the substrate surfaces. In some embodiments, multiple different aerosols are formed to remove multiple different types of materials from substrate surfaces. Some embodiments include apparatuses configured for treating substrate surfaces with both plasma and aerosol. | 07-25-2013 |
20130255717 | SYSTEM AND METHOD FOR CLEANING SURFACES AND COMPONENTS OF MASK AND WAFER INSPECTION SYSTEMS BASED ON THE POSITIVE COLUMN OF A GLOW DISCHARGE PLASMA - A system and method to clean surfaces and components of mask and wafer inspection systems based on the positive column of a glow discharge plasma are disclosed. The surface may be the surface of an optical component in a vacuum chamber or an interior wall of the vacuum chamber. A cathode and an anode may be used to generate the glow discharge plasma. The negative glow associated with the cathode may be isolated and the positive column associated with the anode may be used to clean the optical component or the interior wall of the vacuum chamber. As such, an in situ cleaning process, where the cleaning is done within the vacuum chamber, may be performed. | 10-03-2013 |
20130276820 | CHEMICAL VAPOR DEPOSITION CHAMBER CLEANING WITH MOLECULAR FLUORINE - Methods and apparatus for the cleaning PECVD chambers that utilize molecular fluorine as the cleaning material. | 10-24-2013 |
20130284203 | PLASMA SPRAY APPARATUS INTEGRATING WATER CLEANING - An integrated apparatus and method comprises a plasma gun with a water supply, treatment fluid supply, and controls, the combination of which is adapted for directing a plume onto a surface of a three-dimensional part to treat the surface; and for controlling injection of water into the plume with the plume directed onto an adjacent surface to clean debris and undesired material from the adjacent surface; and for subsequently directing the plume (without water) onto the adjacent surface to treat the adjacent surface. The apparatus and method are particularly useful in suspension plasma spray systems, but are not believed to be limited to that. | 10-31-2013 |
20130284204 | METHOD FOR UV BASED SILYLATION CHAMBER CLEAN - Embodiments of the invention generally provide methods for cleaning a UV processing chamber. In one embodiment, the method includes flowing an oxygen-containing gas through a plurality of passages formed in a UV transparent gas distribution showerhead and into a processing region located between the UV transparent gas distribution showerhead and a substrate support disposed within the thermal processing chamber, exposing the oxygen-containing gas to UV radiation under a pressure scheme comprising a low pressure stage and a high pressure stage to generate reactive oxygen radicals, and removing unwanted residues or deposition build-up from exposed surfaces of chamber components presented in the thermal processing chamber using the reactive oxygen radicals. | 10-31-2013 |
20130306101 | Contamination Removal Apparatus and Method - A substrate dry cleaning apparatus, a substrate dry cleaning system, and a method of cleaning a substrate are disclosed. The substrate dry cleaning system includes a substrate support and a reactive species generator. The reactive species generator includes a first conduit defining a first flow channel that extends to an outlet of the first conduit, the Gullet of the first conduit facing the substrate support, a first electrode, a second electrode facing the first electrode, the first flow channel disposed between the first electrode and the second electrode, a first inert wall disposed between the first electrode and the first flow channel, and a second inert wall disposed between the second electrode and the first flow channel. | 11-21-2013 |
20130319459 | SONIC ENERGY TO GENERATE ACTIVE SPECIES FOR SURFACE PREPARATION, CLEANING, AND ETCHING - Provided is a method for generating active species in a treatment liquid used in a surface treatment system, the surface treatment system comprising a processing chamber and a treatment liquid delivery system, the treatment liquid delivery system having a mixing zone and an active species generation zone. A substrate and a treatment liquid comprising one or more chemical solutions and/or one or more process gases are provided. Sonic energy is applied to the treatment liquid in a mixing zone and/or an active species generation zone using one or more sonic devices. One or more selected surface treatment operating variables are controlled to optimize generation of active species in the treatment liquid. The one or more selected surface treatment operating variables are adjusted in order to meet one or more surface treatment objectives. | 12-05-2013 |
20130319460 | CLEANING APPARATUS FOR CLEANING ARTICLES - A cleaning apparatus for cleaning articles is proposed. The cleaning apparatus comprises at least one cleaning chamber for receiving the articles. The cleaning apparatus comprises, in the cleaning chamber, at least one fluid source for subjecting the articles to the action of at least one cleaning fluid. The cleaning apparatus furthermore comprises at least one plasma source, which is designed to ignite at least one plasma in at least one gas and to generate at least one reactive gas. The cleaning apparatus is designed to bring the reactive gas into contact with the articles, at least in part. | 12-05-2013 |
20140020708 | EDGE EXCLUSION CONTROL WITH ADJUSTABLE PLASMA EXCLUSION ZONE RING - Systems and methods for edge exclusion control are described. One of the systems includes a plasma chamber. The plasma processing chamber includes a lower electrode having a surface for supporting a substrate. The lower electrode is coupled with a radio frequency (RF) power supply. The plasma processing chamber further includes an upper electrode disposed over the lower electrode. The upper electrode is electrically grounded. The plasma processing chamber includes an upper dielectric ring surrounding the upper electrode. The upper dielectric ring is moved using a mechanism for setting a vertical position of the upper dielectric ring separate from a position of the upper electrode. The system further includes an upper electrode extension surrounding the upper dielectric ring. The upper electrode extension is electrically grounded. The system also includes a lower electrode extension surrounding the lower dielectric ring. The lower electrode extension is arranged opposite the upper electrode extension. | 01-23-2014 |
20140020709 | PLASMA ETCHING APPARATUS AND PLASMA CLEANING METHOD - A plasma etching apparatus includes an electrostatic chuck and an etching gas supply unit for supplying an etching gas to a processing space between a first and a second electrode to perform a dry etching process on the target object. The apparatus further includes a cleaning gas supply unit for supplying a cleaning gas to a processing space; a first high frequency power supply unit for supplying a first high frequency power to the first electrode; and a controller for controlling the first high frequency power supply unit such that a first period during which the first high frequency power has a first amplitude that generates the plasma and a second period during which the first high frequency power has a second amplitude that generates substantially no plasma are alternately repeated at a specific cycle when the plasma cleaning is performed in the processing chamber without the target object. | 01-23-2014 |
20140041684 | Techniques For Improving The Performance And Extending The Lifetime Of An Ion Source - A system and method of improving the performance and extending the lifetime of an ion source is disclosed. The ion source includes an ion source chamber, a suppression electrode and a ground electrode. In the processing mode, the ion source chamber may be biased to a first positive voltage, while the suppression electrode is biased to a negative voltage to attract positive ions from within the chamber through an aperture and toward the workpiece. In the cleaning mode, the ion source chamber may be grounded, while the suppression electrode is biased using a power supply having a high current capability. The voltage applied to the suppression electrode creates a plasma between the suppression electrode and the ion source chamber, and between the suppression electrode and the ground electrode. | 02-13-2014 |
20140048099 | System, Method and Apparatus for Laser Produced Plasma Extreme Ultraviolet Chamber with Hot Walls and Cold Collector Mirror - A system and method for an extreme ultraviolet light chamber comprising a collector mirror, a cooling system coupled to a backside of the collector mirror operative to cool a reflective surface of the collector mirror and a buffer gas source coupled to the extreme ultraviolet light chamber. | 02-20-2014 |
20140053866 | METHOD AND HARDWARE FOR CLEANING UV CHAMBERS - A cleaning method for a UV chamber involves providing a first cleaning gas, a second cleaning gas, and a purge gas to one or more openings in the chamber. The first cleaning gas may be an oxygen containing gas, such as ozone, to remove carbon residues. The second cleaning gas may be a remote plasma of NF | 02-27-2014 |
20140053867 | PLASMA CLEAN METHOD FOR DEPOSITION CHAMBER - Improved methods and apparatuses for removing residue from the interior surfaces of the deposition reactor are provided. The methods involve increasing availability of cleaning reagent radicals inside the deposition chamber by generating cleaning reagent radicals in a remote plasma generator and then further delivering in-situ plasma energy while the cleaning reagent mixture is introduced into the deposition chamber. Certain embodiments involve a multi-stage process including a stage in which the cleaning reagent mixture is introduced at a high pressure (e.g., about 0.6 Torr or more) and a stage the cleaning reagent mixture is introduced at a low pressure (e.g., about 0.6 Torr or less). | 02-27-2014 |
20140060571 | IN-SITU GENERATION OF THE MOLECULAR ETCHER CARBONYL FLUORIDE OR ANY OF ITS VARIANTS AND ITS USE - The molecular etcher carbonyl fluoride (COF | 03-06-2014 |
20140069459 | METHODS AND APPARATUS FOR CLEANING DEPOSITION CHAMBERS - Provided are methods and related apparatus for removing tungsten film from a station of a single-station or multi-station chamber and station component surfaces between tungsten deposition processes. In some embodiments, the methods can involve introducing an inert gas flow upstream of a gas inlet to a station and downstream of a remote plasma generator that provides activated cleaning species. In some embodiments, the methods can involve modulating inert gas flow during various stages of a cleaning process. In some embodiments, the methods can involve manipulating positions of a substrate carrier ring during various stages of the cleaning process. Also in some embodiments, the methods can involve differentially modulating the amounts of inert gas introduced to stations of a multi-station chamber. The methods can provide improved clean uniformity, reduced over-etch, and increased throughput due to shorter cleaning time. | 03-13-2014 |
20140076353 | PLASMA MEDIATED ASHING PROCESSES - A plasma ashing process for removing photoresist, polymers and/or residues from a substrate, the process includes placing the substrate including the photoresist, polymers, and/or residues into a reaction chamber; generating a plasma from a gas mixture comprising oxygen gas (0 | 03-20-2014 |
20140076354 | REMOVING RESIDUES FROM SUBSTRATE PROCESSING COMPONENTS - Residues are removed from a surface of a substrate processing component which has a polymer coating below the residues. In one version, the component surfaces are contacted with an organic solvent to remove the residues without damaging or removing the polymer coating. The residues can be process residues or adhesive residues. The cleaning process can be conducted as part of a refurbishment process. In another version, the residues are ablated by scanning a laser across the component surface. In yet another version, the residues are vaporized by scanning a plasma cutter across the surface of the component. | 03-20-2014 |
20140083451 | METHOD FOR IN SITU CLEANING OF MOCVD REACTION CHAMBER - The present invention provides a method for in situ cleaning of an MOCVD reaction chamber. The method includes: introducing a first cleaning gas into the reaction chamber, and converting the first cleaning gas into a first plasma inside the reaction chamber, and maintaining the pressure inside the reaction chamber in a first predetermined pressure range for a first time period, to remove a carbonaceous organic substance inside the reaction chamber; introducing a second cleaning gas into the reaction chamber, and converting the second cleaning gas into second plasma inside the reaction chamber, and maintaining the pressure inside the reaction chamber in a second predetermined pressure range for a second time period, to remove metal and its compound inside the reaction chamber. | 03-27-2014 |
20140083452 | METHOD FOR IN SITU CLEANING OF MOCVD REACTION CHAMBER - The present invention provides a method for in situ cleaning of an MOCVD reaction chamber. The method includes: maintaining the internal pressure of the MOCVD reaction chamber in a predetermined pressure range, and keeping a plasma inside the MOCVD reaction chamber for a predetermined time period to completely remove deposits inside the MOCVD reaction chamber. The method for in situ cleaning of an MOCVD reaction chamber according to the embodiments of the present invention may remove relatively stable organic ligands or related polymers, resulting in a good cleaning effect for the removal of the deposits on the surfaces with a relatively low temperature inside the MOCVD reaction chamber. | 03-27-2014 |
20140083453 | METHOD FOR IN SITU CLEANING OF MOCVD REACTION CHAMBER - A method for in situ cleaning of a Metal-Organic Chemical Vapor Deposition reaction chamber is provided in embodiments of the present invention. The method includes: introducing a first cleaning gas into the reaction chamber, converting the first cleaning gas into first plasma inside the reaction chamber to completely remove a carbonaceous organic substance inside the reaction chamber, wherein the first cleaning gas includes a first oxygen-containing gas; and introducing a second cleaning gas into the reaction chamber, and converting the second cleaning gas into second plasma inside the reaction chamber to completely remove a metallic oxide inside the reaction chamber, wherein the second cleaning gas includes a first halogen-containing gas. | 03-27-2014 |
20140158154 | METHOD OF MODIFYING ELECTROSTATIC CHUCK AND PLASMA PROCESSING APPARATUS - A method of modifying an electrostatic chuck that electrostatically attracts a processing object is provided. The method includes a gas supplying step of supplying a gas containing hydrogen (H) and oxygen (O) into a chamber accommodating the electrostatic chuck having a surface that is fluorinated; and a modifying step of turning the gas supplied to the chamber into plasma using a high frequency power, exposing the electrostatic chuck to the plasma, and modifying the fluorinated surface of the electrostatic chuck. | 06-12-2014 |
20140166046 | METHOD AND APPARATUS FOR CLEANING COLLECTOR MIRROR IN EUV LIGHT GENERATOR - A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position. | 06-19-2014 |
20140182619 | HIGH DOSE IMPLANTATION STRIP (HDIS) IN H2 BASE CHEMISTRY - Plasma is generated using elemental hydrogen, a weak oxidizing agent, and a fluorine containing gas. An inert gas is introduced to the plasma downstream of the plasma source and upstream of a showerhead that directs gas mixture into the reaction chamber where the mixture reacts with the high-dose implant resist. The process removes both the crust and bulk resist layers at a high strip rate, and leaves the work piece surface substantially residue free with low silicon loss. | 07-03-2014 |
20140196746 | IN SITU CHAMBER CLEAN WITH INERT HYDROGEN HELIUM MIXTURE DURING WAFER PROCESS - Embodiments of the present invention generally relate to a method for cleaning a processing chamber during substrate processing. During a first substrate processing step, a plasma is formed from a gas mixture of argon, helium, and hydrogen in the processing chamber. In a second substrate processing step, an argon plasma is formed in the processing chamber. | 07-17-2014 |
20140202490 | AUTOMATED PLASMA CLEANING SYSTEM - An analysis (e.g., LIBS) system includes a laser source generating a laser beam for creating a plasma at a location on a sample, and a spectrometer responsive to photons emitted by the sample at said location and having an output. A controller is responsive to a trigger signal and is configured to activate the laser source generating a series of laser pulses in a cleaning cycle, process the spectrometer output, and automatically terminate the cleaning cycle based on the spectrometer output. | 07-24-2014 |
20140216498 | METHODS OF DRY STRIPPING BORON-CARBON FILMS - Embodiments of the invention generally relate to methods of dry stripping boron-carbon films. In one embodiment, alternating plasmas of hydrogen and oxygen are used to remove a boron-carbon film. In another embodiment, co-flowed oxygen and hydrogen plasma is used to remove a boron-carbon containing film. A nitrous oxide plasma may be used in addition to or as an alternative to either of the above oxygen plasmas. In another embodiment, a plasma generated from water vapor is used to remove a boron-carbon film. The boron-carbon removal processes may also include an optional polymer removal process prior to removal of the boron-carbon films. The polymer removal process includes exposing the boron-carbon film to NF | 08-07-2014 |
20140238438 | METHOD AND SYSTEM FOR CLEANING A VACUUM CHAMBER - A system and method are described, for use in cleaning of a vacuum chamber. The method comprising connecting a vacuum chamber to a plasma generating unit via a plasma connection port and connecting the vacuum chamber to a high vacuum pumping unit via a pumping port. A flow conductance through the plasma connection port to the vacuum chamber is controlled to limit passage of charged particles and cleaning substances produced in the plasma generating unit, to thereby maintain a working pressure inside the vacuum chamber while cleaning the vacuum chamber by said cleaning substances. | 08-28-2014 |
20140283872 | PLASMA CLEANING APPARATUS AND METHOD - Embodiments of the present invention generally include an apparatus for plasma cleaning and a method for plasma cleaning. Periodically, a PVD chamber may need to be cleaned to remove material that has built up in undesired locations within the chamber. Additionally, the sputtering target may need to be replaced. By removing the sputtering target and placing a grounded chamber lid in its place, the chamber may be plasma cleaned. The susceptor within the chamber may be electrically biased with an RF current. A stationary magnet assembly may be substantially centered behind the grounded lid to focus the cleaning plasma on the susceptor. Following the plasma cleaning, the magnet and lid may be removed and the sputtering target may be coupled to the chamber to continue processing. | 09-25-2014 |
20140299152 | PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - A plasma processing apparatus includes a slot plate of an antenna and the slot plate has slots arranged in a circumferential direction thereof with respect to an axis line. A microwave is introduced into a processing space from the antenna via a dielectric window, and a through hole is formed in the dielectric window along the axis line. A plasma processing method performed in the plasma processing apparatus includes performing a first cleaning process by radiating the microwave from the antenna and supplying a cleaning gas from a cleaning gas supply system; and performing a second cleaning process by radiating the microwave from the antenna and supplying the cleaning gas from the cleaning gas supply system. A first pressure of the processing space in the performing of the first cleaning process is set to be lower than a second pressure thereof in the performing of the second cleaning process. | 10-09-2014 |
20140305467 | CLEANING DEVICE AND CLEANING PROCESS FOR A PLASMA REACTOR - The invention concerns a device and a process, the device being a cleaning device utilizing a dry chemical means assisted by plasma from a reactor ( | 10-16-2014 |
20140318575 | PROTECTIVE COVER FOR ELECTROSTATIC CHUCK - A protective cover for an electrostatic chuck may include a conductive wafer and a plasma resistant ceramic layer on at least one surface of the conductive wafer. The plasma resistant ceramic layer covers a top surface of the conductive wafer, side walls of the conductive wafer and an outer perimeter of a bottom surface of the conductive wafer. Alternatively, a protective cover for an electrostatic chuck may include a plasma resistant bulk sintered ceramic wafer and a conductive layer on a portion of a bottom surface of the plasma resistant bulk sintered ceramic wafer, wherein a perimeter of the bottom surface is not covered. | 10-30-2014 |
20140326275 | METHOD AND APPARATUS FOR CLEANING ORGANIC MATERIALS - Provided are a method and apparatus for cleaning organic materials accumulated on a mask used in a process of depositing organic materials. The apparatus includes a plasma generating unit, a cleaning chamber connected to the plasma generating unit and accommodating the mask therein, a gas injection port disposed within the cleaning chamber configured to inject the plasma, and a cooling device disposed on a first surface of the mask opposite to an opposite surface of the mask facing the gas injection port. | 11-06-2014 |
20140326276 | COBALT REMOVAL FOR CHAMBER CLEAN OR PRE-CLEAN PROCESS - Implementations described herein generally relate to methods and apparatus for in-situ removal of unwanted deposition buildup from one or more interior surfaces of a semiconductor substrate processing chamber. In one implementation, a method for removing cobalt or cobalt containing deposits from one or more interior surfaces of a substrate processing chamber after processing a substrate disposed in the substrate processing chamber is provided. The method comprises forming a reactive species from the fluorine containing cleaning gas mixture, permitting the reactive species to react with the cobalt and/or the cobalt containing deposits to form cobalt fluoride in a gaseous state and purging the cobalt fluoride in gaseous state out of the substrate processing chamber. | 11-06-2014 |
20140326277 | APPARATUS AND METHOD FOR PLASMA TREATMENT OF SURFACES - A method and a device for the plasma treatment of surfaces of at least one workpiece. At least one plasma source is connected to an energy source in order to generate a plasma. A closed area is in fluid connection to the at least one plasma source. At least one suction unit is used to adjust a pressure difference between the at least one plasma source and the closed area. | 11-06-2014 |
20140345644 | Method For Cleaning Reaction Chamber Using Pre-cleaning Process - A method for cleaning a reaction chamber is conducted after depositing an oxide, nitride, or oxynitride film on a substrate in a reaction chamber having interior surfaces on which oxide, nitride, or oxynitride is accumulated as a result of the deposition, said oxide, nitride, or oxynitride being selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, metal oxide, metal nitride, and metal oxynitride. The method includes: oxidizing or nitriding the oxide, nitride, or oxynitride is accumulated on the interior surfaces of the reaction chamber, by RF-excited plasma of an oxygen- or nitrogen-containing gas in the absence of halide gas as a pre-cleaning step; and cleaning the interior surfaces of the reaction chamber, by RF-excited plasma of a halide cleaning gas. | 11-27-2014 |
20140345645 | COPPER RESIDUE CHAMBER CLEAN - Methods of removing copper residue from interior surfaces of an etch process chamber are described. A plasma treatment using halogen-containing precursors transforms the copper residue into halogen-copper complexes. Plasma-excited inert gases are used to desorb the halogen-copper complexes. In this way, the copper residue is removed from the interior surfaces of the etch process chamber. | 11-27-2014 |
20140366912 | IN SITU PLASMA CLEAN FOR REMOVAL OF RESIDUE FROM PEDESTAL SURFACE WITHOUT BREAKING VACUUM - Methods and apparatus for in-situ plasma cleaning of a deposition chamber are provided. In one embodiment a method for plasma cleaning a deposition chamber without breaking vacuum is provided. The method comprises positioning a substrate on a susceptor disposed in the chamber and circumscribed by an electrically floating deposition ring, depositing a metal film on the substrate and the deposition ring in the chamber, grounding the metal film deposited on the deposition ring without breaking vacuum, and removing contaminants from the chamber with a plasma formed in the chamber without resputtering the metal film on the grounded deposition ring and without breaking vacuum. | 12-18-2014 |
20140373867 | CLEANING METHOD AND SUBSTRATE PROCESSING APPARATUS - A cleaning method, which is performed when using a substrate processing apparatus including at least an electrostatic chuck to receive a substrate and performing a plasma process on the substrate, for removing a deposit containing titanium and attached to the electrostatic chuck, is provided. In the method, the deposit containing titanium is reduced by plasma generated from a first process gas containing a reducing gas. Next, the reduced deposit containing titanium is removed by plasma generated from a second process gas containing a fluorine-based gas. A fluorocarbon based deposit deposited when removing the reduced deposit containing titanium by the plasma generated from the second process gas containing the fluorine-based gas is removed by plasma generated from a third process gas containing oxygen. | 12-25-2014 |
20140373868 | SURFACE CLEANING DEVICE AND A METHOD OF CLEANING A SURFACE - The invention relates to a vacuum surface cleaning device comprising a gas generation unit, a gas handling unit a plasma generation unit and a sample cleaning unit, wherein the gas generation unit is adapted to generate at least hydrogen and oxygen gases and to supply the said gases into the gas handling unit, wherein the gas handling unit is adapted to retrieve hydrogen and oxygen separately from a gas mixture provided by the gas generation unit, wherein the gas handling unit being further arranged to provide the retrieved gas into the plasma generation unit, wherein the plasma generation unit being adapted to generate a low energetic plasma from the said retrieved gas and to supply radicals and/or ions in the sample cleaning unit and wherein the sample cleaning unit being adapted to expose a sample to the said radicals and/or ions. The invention further relates to a method of cleaning a surface. | 12-25-2014 |
20150007857 | CLEANING METHOD AND SUBSTRATE PROCESSING APPARATUS - A method of cleaning a substrate processing apparatus including a gas supply part configured to eject a process gas via gas passages formed in the gas supply part, and divided into first and second regions corresponding to first and second in-plane positions of a substrate, respectively, includes cleaning a first one of the gas passages corresponding to the first region with the plasma of the process gas by causing a first flow rate of the process gas supplied to the first region to be lower than a second flow rate of the process gas supplied to the second region and cleaning a second one of the gas passages corresponding to the second region with the plasma by causing a third flow rate of the process gas supplied to the first region to be higher than a fourth flow rate of the process gas supplied to the second region. | 01-08-2015 |
20150013715 | ASHING DEVICE - An ashing device that prevents the ashing rate from changing over time. The ashing device ashes organic material on a substrate including an exposed metal in a processing chamber. The ashing device includes a path, which is formed in the processing chamber and through which active species supplied to the processing chamber pass. The path is defined by a surface on which the metal scattered from the substrate by the active species is collectible, with the surface being formed so as to expose a metal that is of the same kind. | 01-15-2015 |
20150020847 | CLEANING METHOD FOR THIN-FILM PROCESSING APPLICATIONS AND APPARATUS FOR USE THEREIN - According to the present disclosure, a method for cleaning the processing chamber of a flexible substrate processing apparatus without breaking the vacuum in the processing chamber is provided. The method for cleaning the processing chamber includes guiding a sacrificial foil into the processing chamber; initiating a first pump process in the processing chamber; plasma cleaning the processing chamber while the sacrificial foil is provided in the processing chamber; initiating a second pump process in the processing chamber; and guiding a flexible substrate into the processing chamber. | 01-22-2015 |
20150096589 | METHOD OF NON-DESTRUCTIVE POST TUNGSTEN ETCH RESIDUE REMOVAL - Embodiments of the invention generally relate to methods of removing and/or cleaning a substrate surface having refractory metal portions disposed thereon using water vapor plasma treatment. In one embodiment, a method for cleaning a surface of a substrate includes positioning a substrate in a processing chamber, the substrate having a refractory metal disposed thereon, forming a process gas comprising water vapor, maintaining a process pressure in the processing chamber above about 0.5 Torr, forming a plasma in the process gas to form an activated water vapor and exposing the refractory metal to the activated water vapor. | 04-09-2015 |
20150101634 | Method Of Cleaning An Extraction Electrode Assembly Using Pulsed Biasing - A system and method of improving the performance and extending the lifetime of an ion source is disclosed. The ion source includes an ion source chamber, a suppression electrode and a ground electrode. In the processing mode, the ion source chamber may be biased to a first positive voltage, while the suppression electrode is biased to a negative voltage to attract positive ions from within the chamber through an aperture and toward the workpiece. In the cleaning mode, the ion beam is defocused so that it strikes the suppression electrode and the ground electrode. The voltages applied to the ion source chamber and the electrodes are pulsed to minimize the possibility of glitches during this cleaning mode. | 04-16-2015 |
20150136171 | LIQUID OR VAPOR INJECTION PLASMA ASHING SYSTEMS AND METHODS - A plasma ashing system includes a process chamber including a substrate. A carrier gas supply supplies a carrier gas to the processing chamber. A plasma source is configured to create plasma to the process chamber. A liquid injection source is configured to at least one of inject a compound into the plasma or supply the compound into the plasma. The compound is normally a liquid at room temperature and at atmospheric pressure. A controller is configured to control the liquid injection source, to expose the substrate to the plasma for a predetermined period and to purge reactants from the processing chamber after the predetermined period. | 05-21-2015 |
20150136172 | PHASE PLATE FOR A TRANSMISSION ELECTRON MICROSCOPE - The present invention relates to a method for cleaning a phase plate ( | 05-21-2015 |
20150136173 | PROCESS AND APPARATUS FOR CLEANING IMPRINTING MOLDS, AND PROCESS FOR MANUFACTURING IMPRINTING MOLDS - The cleaning process of cleaning an imprinting mold including a release layer coupled via siloxane bonds to a substrate of that release layer includes a first cleaning step and a second cleaning step. In the first cleaning step, the angle of contact of the surface of the release layer with water is made small, and in the second cleaning step, the alkali cleaning agent is brought in contact with the release layer that has gone through the first cleaning step. | 05-21-2015 |
20150144154 | METHOD FOR IN-SITU CHAMBER CLEAN USING CARBON MONOXIDE (CO) GAS UTLIZED IN AN ETCH PROCESSING CHAMBER - Embodiments of the disclosure generally relate to methods of removing etch by-products from the plasma processing chamber using carbon monoxide or carbon dioxide. In one embodiment, a method for dry cleaning a processing chamber includes exposing a chamber component disposed within the processing chamber in absence of a substrate disposed therein to a first cleaning gas mixture comprising carbon monoxide or carbon dioxide, wherein a portion of the chamber component has a film layer or residues deposited thereon, and the film layer or residues comprises a refractory metal and/or a metal silicide. | 05-28-2015 |
20150299847 | ION BOMBARDMENT DEVICE AND METHOD FOR USING THE SAME TO CLEAN SUBSTRATE SURFACE - An ion bombardment device for stabilizing and cleaning the surface of a substrate. The device includes: a vacuum chamber; at least one electrode that is disposed on the inner wall face of the vacuum chamber and emits electrons; a plurality of anodes that receive the electrons from the electrode and that are arranged so as to face the electrode with the substrate sandwiched therebetween; and a plurality of discharge power sources corresponding to the anodes respectively. Each of the discharge power sources is insulated from the vacuum chamber and provides to the anode corresponding to the relevant discharge power source currents and voltages that can be set independently of one another, thereby generating a glow discharge between such anode and the electrode. | 10-22-2015 |
20150311045 | DRY CLEANING METHOD AND PLASMA PROCESSING APPARATUS - A dry cleaning method is provided that is implemented by a plasma processing apparatus including a processing chamber having a member containing chromium, a mounting table arranged within the processing chamber and configured to hold a substrate, and a gas supply source configured to supply gas into the processing chamber. The dry cleaning method includes a first process step of supplying a first cleaning gas containing oxygen into the processing chamber, supplying a high frequency power or a microwave power into the processing chamber, and generating a plasma from the first cleaning gas; and a second process step of supplying a second cleaning gas containing bromine into the processing chamber after the first process step. | 10-29-2015 |
20150323862 | PARTICLE REMOVAL SYSTEM AND METHOD THEREOF - A method of removing particles from a surface of a reticle is disclosed. The reticle is placed in a carrier, a source gas is flowed into the carrier, and a plasma is generated within the carrier. Particles are then removed from a surface of the reticle using the generated plasma. A system of removing particles from a surface includes a carrier configured to house a reticle, a reticle stocker including the carrier, a power supply configured to apply a potential between an inner cover and an inner baseplate of the carrier, and a gas source configured to flow a gas into the carrier. A plasma may be generated within the carrier, and particles can be removed from a surface of the reticle using the generated plasma. An acoustic energy source configured to agitate at least one of the source gas and the generated plasma may be provided to facilitate particle removal using an agitated plasma. | 11-12-2015 |
20150357165 | PLASMA PROCESSING APPARATUS AND CLEANING METHOD - Disclosed is a plasma processing apparatus including: a processing container; a susceptor configured to serve as a lower electrode and mount a processing target substrate thereon; a shower head provided above the susceptor to supply a processing gas into the processing container; an upper electrode provided above the placing table; a high frequency power supply configured to apply a high frequency power to the susceptor to generate plasma of the processing gas within the processing container; and a DC voltage application unit configured to apply a DC voltage to the upper electrode. The shower head includes a UEL base, and a CEL provided on the UEL base at susceptor side, and an insulating portion provided between the UEL base and the CEL. The DC power supply applies the DC voltage to the CEL. | 12-10-2015 |
20150361547 | METHOD AND APPARATUS FOR CLEANING CHEMICAL VAPOR DEPOSITION CHAMBER - A method and an apparatus for forming a cleaning a chemical vapor deposition (CVD) chamber are provided. The method includes providing a chemical vapor deposition (CVD) chamber. The method further includes introducing a remote plasma source into the CVD chamber. The method also includes performing a plasma cleaning process to the CVD chamber by applying a radio-frequency (RF) power in the CVD chamber. | 12-17-2015 |
20150376780 | PLASMA CORROSION RESISTIVE HEATER FOR HIGH TEMPERATURE PROCESSING - Implementations described herein protect a substrate support from corrosive cleaning gases used at high temperatures. In one embodiment, a substrate support has a shaft and a heater. The heater has a body. The body has a top surface, a side surface and a bottom surface. The top surface is configured to support a substrate during plasma processing of the substrate. A covering is provided for at least two of the top surface, side surface and bottom surface. The covering is selected to resist corrosion of the body at temperatures in excess of about 400 degrees Celsius. | 12-31-2015 |
20160032451 | REMOTE PLASMA CLEAN SOURCE FEED BETWEEN BACKING PLATE AND DIFFUSER - Embodiments of the present disclosure provide an apparatus having a remote plasma clean source in which the remote plasma clean source delivers radicals from the remotely generated plasma to the chamber at a location disposed between a backing plate and a diffuser. | 02-04-2016 |
20160097119 | ATOMIC LAYER DEPOSITION CHAMBER WITH THERMAL LID - Methods and apparatus for cleaning an atomic layer deposition chamber are provided herein. In some embodiments, a chamber lid assembly includes: a housing enclosing a central channel that extends along a central axis and has an upper portion and a lower portion; a lid plate coupled to the housing and having a contoured bottom surface that extends downwardly and outwardly from a central opening coupled to the lower portion of the central channel to a peripheral portion of the lid plate; a first heating element to heat the central channel; a second heating element to heat the bottom surface of the lid plate; a remote plasma source fluidly coupled to the central channel; and an isolation collar coupled between the remote plasma source and the housing, wherein the isolation collar has an inner channel extending through the isolation collar to fluidly couple the remote plasma source and the central channel. | 04-07-2016 |
20160107203 | CLEARING OF APERTURES BY PLASMA JETS - Clearing of apertures by plasma jets is described herein. One disclosed method includes applying a pulsed voltage to electrodes proximate an aperture of a surface to substantially clear the aperture of debris. | 04-21-2016 |
20160133443 | METHODS OF DRY STRIPPING BORON-CARBON FILMS - Embodiments of the invention generally relate to methods of dry stripping boron-carbon films. In one embodiment, alternating plasmas of hydrogen and oxygen are used to remove a boron-carbon film. In another embodiment, co-flowed oxygen and hydrogen plasma is used to remove a boron-carbon containing film. A nitrous oxide plasma may be used in addition to or as an alternative to either of the above oxygen plasmas. In another embodiment, a plasma generated from water vapor is used to remove a boron-carbon film. The boron-carbon removal processes may also include an optional polymer removal process prior to removal of the boron-carbon films. The polymer removal process includes exposing the boron-carbon film to NF | 05-12-2016 |
20160151809 | INSTALLATION AND PROCESS FOR THE TREATMENT OF METALLIC PIECES BY A PLASMA REACTOR | 06-02-2016 |
20170232483 | Contamination Removal Apparatus and Method | 08-17-2017 |
20180023193 | A METHOD AND SYSTEM FOR HIGH TEMPERATURE CLEAN | 01-25-2018 |
20080295871 | Chemical Supply System - A chemical supply system comprises, as principal elements, a chemical storage tank in which a liquid chemical for cleaning is stored in the state of its formulated concentrate, a chemical supply apparatus connected to the chemical storage tank for positively performing chemical supply, a piping system connected to the chemical supply apparatus to form a supply flow passage that is a passage for ultrapure water which the liquid chemical is to be mixed with, a pair of discharge nozzles disposed at end portions of the piping system so as to oppose surfaces of a wafer set in a cleaning chamber to supply a cleaning liquid onto the surfaces. Thereby, remarkable miniaturization/simplification of a cleaning liquid supply system including chemical tanks is intended, it is made possible easily and rapidly to compound and supply a cleaning liquid at an accurate chemical concentration, and particles or the like being generated and mixing in a cleaning liquid, are suppressed to the extremity. | 12-04-2008 |
20120125377 | STETHOSCOPE CLEANING ASSEMBLY - An assembly structured to clean the head portion of a stethoscope comprising a housing including a path of travel along which the head portion passes during cleaning. A supply of cleaning fluid associated with a dispenser assembly is cooperatively disposed relative to an activating assembly. The activating assembly is operated in moveable engagement with the head portion, and activates the dispenser assembly when engaged by the head portion as it passes along the path of travel. The dispenser assembly delivers the cleaning fluid to an applicator assembly which distributes the cleaning fluid to the head portion and facilitates the cleaning thereof and removal of excess cleaning fluid there from. At least one disinfectant agent is dispersed within or comprises a portion of the housing and is formulated to be destructively effective against pathogenic bacteria, such as in the spore form, which is generally not affected by the cleaning fluid. | 05-24-2012 |
20120167926 | CLEANING STERILIZATION APPARATUS - To provide a cleaning sterilization apparatus capable of sterilizing an object to be cleaned such as a medical instrument effectively in a short time by generating and using ozone water containing ozone with particle diameters hard to disappear in water, the cleaning sterilization apparatus is provided with cleaning water supply means, ozone water generating means, and squirting means for squirting cleaning water and ozone water toward the object to be cleaned inside a container, where the ozone water generating means is provided with a mixing pump which takes in ozone and water to mix and generates ozone-mixed water, ozone supply means for supplying ozone to the mixing pump, stirring means for colliding the ozone-mixed water from the mixing pump sequentially with a plurality of protrusions with running-water pressure applied inside an enclosed running-water channel with the plurality of protrusions arranged therein, and thereby making ozone contained in the ozone-mixed water finer to generate ozone water, and a line mixer which causes the stirring means to circulate and stir the ozone-mixed water generated by the mixing pump and thereby adjusts particle diameters of ozone contained in the ozone-mixed water. | 07-05-2012 |
20130014787 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHODAANM URATA; ShingoAACI Kyoto-shiAACO JPAAGP URATA; Shingo Kyoto-shi JPAANM TAKI; AkihikoAACI Kyoto-shiAACO JPAAGP TAKI; Akihiko Kyoto-shi JPAANM TSUJIKAWA; HirokiAACI Kyoto-shiAACO JPAAGP TSUJIKAWA; Hiroki Kyoto-shi JPAANM FUJITA; EriAACI Kyoto-shiAACO JPAAGP FUJITA; Eri Kyoto-shi JPAANM FUJITANI; YoshiyukiAACI Kyoto-shiAACO JPAAGP FUJITANI; Yoshiyuki Kyoto-shi JP - A substrate processing apparatus supplies a resist stripping solution, formed by mixing sulfuric acid and a hydrogen peroxide solution, to a surface of a substrate. The substrate processing apparatus includes a nozzle that discharges the resist stripping solution toward the substrate, a hydrogen peroxide solution supply passage through which the hydrogen peroxide solution flows toward the nozzle, a plurality of sulfuric acid supply passages respectively connected to a plurality of mixing positions along the hydrogen peroxide solution supply passage that differ in flow passage length to the nozzle, and a sulfuric acid supply passage selecting unit that introduces the sulfuric acid from a sulfuric acid supply source to a sulfuric acid supply passage selected from among the plurality of sulfuric acid supply passages. | 01-17-2013 |