Patent application number | Description | Published |
20130178043 | Integrated Circuit Including DRAM and SRAM/Logic - A method includes providing a substrate having an N+ type layer; forming a P type region in the N+ type layer disposed within the N+ type layer; forming a first deep trench isolation structure extending through a silicon layer and into the N+ type layer to a depth that is greater than a depth of the P type layer; forming a dynamic RAM FET in the silicon layer, forming a first logic/static RAM FET in the silicon layer above the P type region, the P type region being functional as a P-type back gate of the first logic/static RAM FET; and forming a first contact through the silicon layer and an insulating layer to electrically connect to the N+ type layer and a second contact through the silicon layer and the insulating layer to electrically connect to the P type region. | 07-11-2013 |
20130205588 | Method and System for Improving Alignment Precision of Parts in MEMS - Methods for improving alignment precision of two parts of an electronic device are disclosed. Two parts are stacked with an intervening rolling element having a first diameter. One part can pivot relative to the other part about the rolling element to bring the two parts into alignment. The pivoting can be achieved by imposing a z-direction force on the parts, such as a magnetic force. The aligned parts can be locked by solidification of solder. Prior to the pivoting step, the one rolling element can be held on one of said two parts using a thermally dissipative material that comprises glycerol. | 08-15-2013 |
20130206833 | MAPPING AN IMAGE TO AN OBJECT USING A MATRIX CODE - Embodiments of the invention may provide an approach for mapping an image to an object using a matrix code. An associated method generally may include decoding a matrix code on a surface of the object to determine a network location of the image; downloading the image from the network location; retrieving a plurality of coordinate sets referenced by the matrix code; mapping the image to at least one region of the object according to the plurality of coordinate sets; and displaying the object with the mapped image. In one embodiment, the step of mapping the image to at least one region of the object according to the plurality of coordinate sets includes mapping a plurality of portions of the image to respective regions of the object according to respective pluralities of coordinate sets. | 08-15-2013 |
20130207272 | AIRGAP-CONTAINING INTERCONNECT STRUCTURE WITH PATTERNABLE LOW-K MATERIAL AND METHOD OF FABRICATING - An interconnect structure is provided that includes at least one patterned and cured low-k dielectric material located on a surface of a patterned inorganic antireflective coating that is located atop a substrate. The inorganic antireflective coating comprises atoms of M, C and H wherein M is at least one of Si, Ge, B, Sn, Fe, Ta, Ti, Ni, Hf and La. The at least one cured and patterned low-k dielectric material and the patterned inorganic antireflective coating have conductively filled regions embedded therein and the at least one cured and patterned low-k dielectric material has at least one airgap located adjacent, but not directly in contact with the conductively filled regions. | 08-15-2013 |
20130207278 | PHOTO-PATTERNABLE DIELECTRIC MATERIALS CURABLE TO POROUS DIELECTRIC MATERIALS, FORMULATIONS, PRECURSORS AND METHODS OF USE THEREOF - Silsesquioxane polymers that cure to porous silsesquioxane polymers, silsesquioxane polymers that cure to porous silsesquioxane polymers in negative tone photo-patternable dielectric formulations, methods of forming structures using negative tone photo-patternable dielectric formulations containing silsesquioxane polymers that cure to porous silsesquioxane polymers, structures containing porous silsesquioxane polymers and monomers and method of preparing monomers for silsesquioxane polymers that cure to porous silsesquioxane polymers. | 08-15-2013 |
20130207486 | POWER-SAVING VOLTAGE CONVERTER OPERATION - Whether a connector element electrically connected to a direct-current output of a converter that converts alternating current into direct current is connected to an external device may be detected. The converter may be disabled in response to the electrical connector component not being connected to the external device. In response to the electrical connector component being connected to the external device, the converter may be enabled. | 08-15-2013 |
20130208141 | MULTIPLE IMAGE HIGH DYNAMIC RANGE IMAGING FROM A SINGLE SENSOR ARRAY - A single array of pixels is used to obtain a plurality of different images at different levels of admitted exposure light from a common source level of exposure light. More particularly, first and second matrices of light-admitting elements are deployed in a single camera and disposed relative to focal lens light in front of corresponding first and second matrices of light-sensitive image sensors that are arrayed in a singular focal plane array in the camera and react equally to equal levels of color image information. The respective matrices of light-admitting elements transmit color image information from exposed focal lens light at different levels of brightness to their corresponding matrices of light-sensitive image sensors, wherein first and second images are acquired at the respective different levels of brightness from the respective matrices of the image sensors, and pixel data from the images combined to produce an HDR image. | 08-15-2013 |
20130208187 | DIGITAL VIDEO RECORDER BROADCAST OVERLAYS - A secondary media stream is displayed within a primary media stream. In response to receiving a first user selection of two media streams for simultaneous viewing on a display device, a second user selection of a primary media stream and a secondary media stream from the two media streams selected for simultaneous viewing is received. A set of inset definitions is extracted from metadata in the secondary media stream. A third user selection of an inset definition from the set of inset definitions is received. A user input is received to display the primary media stream to accommodate a size of the inset definition selected. The primary media stream is displayed to create an inset area for displaying the secondary media stream within the primary media stream based on the user input. The secondary media stream is displayed within the inset area of the displayed primary media stream for user viewing. | 08-15-2013 |
20130208580 | HOSTING EDGE APPLICATIONS AT THE EDGE OF A MOBILE DATA NETWORK - Mobile network services are performed in a mobile data network in a way that is transparent to most of the existing equipment in the mobile data network. The mobile data network includes a radio access network and a core network. A breakout component in the radio access network breaks out data coming from a basestation, and hosts edge applications, including third party edge applications, that perform one or more mobile network services at the edge of the mobile data network based on the broken out data. | 08-15-2013 |
20130208674 | HOSTING EDGE APPLICATIONS AT THE EDGE OF A MOBILE DATA NETWORK - Mobile network services are performed in a mobile data network in a way that is transparent to most of the existing equipment in the mobile data network. The mobile data network includes a radio access network and a core network. A breakout component in the radio access network breaks out data coming from a basestation, and hosts edge applications, including third party edge applications, that perform one or more mobile network services at the edge of the mobile data network based on the broken out data. | 08-15-2013 |
20130208722 | PACKET ROUTING WITH ANALYSIS ASSIST FOR EMBEDDED APPLICATIONS SHARING A SINGLE NETWORK INTERFACE OVER MULTIPLE VIRTUAL NETWORKS - Techniques are provided for packet routing in a distributed network switch. The distributed network switch includes multiple switch modules operatively connected to one another, and each switch module includes multiple bridge elements and a management controller. In one embodiment, a shared interface routing (SIR) framework is provided that includes an analysis and bifurcation layer, at least one packet interface, and an analysis assist layer. A packet is received over a first logical network and via a physical port, the packet being destined for at least a first application executing on the management controller. The analysis assist layer analyzes the packet to determine a reason code to assign to the packet. The analysis and bifurcation layer then analyzes the packet based at least in part on the reason code. | 08-15-2013 |
20130208728 | PACKET ROUTING FOR EMBEDDED APPLICATIONS SHARING A SINGLE NETWORK INTERFACE OVER MULTIPLE VIRTUAL NETWORKS - Techniques are provided for packet routing in a distributed network switch. The distributed network switch includes multiple switch modules operatively connected to one another, and each switch module includes multiple bridge elements and a management controller. In one embodiment, a shared interface routing (SIR) framework is provided that includes an analysis and bifurcation layer and at least one packet interface. A packet is received over a first logical network and via a physical port, the packet being destined for at least a first application executing on the management controller. The analysis and bifurcation layer analyzes the packet and sends the packet to the packet interface, which then routes the packet to the first application. | 08-15-2013 |
20130208779 | FEED-FORWARD EQUALIZER ARCHITECTURES - Circuits and methods are provided for efficient feed-forward equalization when sample-and-hold circuitry is employed to generate n time-delayed versions of an input data signal to be equalized. To equalize the input data signal, m data signals are input to m feed-forward equalization (FFE) taps of a current-integrating summer circuit, wherein each of the m data signals corresponds to one of the n time-delayed versions of the input data signal. A capacitance is precharged to a precharge level during a reset period of the current-integrating summer circuit. An output current is generated by each of the m FFE taps during an integration period of the current-integrating summer circuit, wherein the output currents from the m FFE taps collectively charge or discharge the capacitance during the integration period. A gating control signal is applied to an FFE tap during the integration period to disable the FFE tap during a portion of the integration period in which the data signal input to the FFE tap is invalid. | 08-15-2013 |
20130208782 | TIME DOMAIN ANALOG MULTIPLICATION TECHNIQUES FOR ADJUSTING TAP WEIGHTS OF FEED-FORWARD EQUALIZERS - Feed-forward equalizer (FFE) circuits and methods are provided which implement time domain analog multiplication for adjusting FFE tap weights. For example, a method includes inputting data signals to FFE taps of a current-integrating summer circuit, wherein the data signals are time-delayed versions of an analog input data signal. A capacitance is charged to a precharge level during a reset period of the current-integrating summer circuit. An output current is generated by each FFE tap during an integration period of the current-integrating summer circuit. The output currents from the FFE taps collectively charge or discharge the capacitance during the integration period. A gating control signal is applied to a given FFE tap during the integration period to enable the given FFE tap during a portion of the integration period in which the gating control signal overlaps the integration period so as to effectively multiply the data signal input to the given FFE tap with an FFE coefficient value corresponding to a period of overlap between the gating control signal and the integration period. | 08-15-2013 |
20130209086 | CLEARING A FAULT CONDITION OVER A FIBRE CHANNEL PATH - A method for clearing a fault condition at a target device is disclosed herein. In selected embodiments, such a method includes detecting a fault condition at a target device and receiving N instructions before the fault condition is cleared, where the N instructions are unexecutable due to the fault condition. N fault condition indicators are transmitted in response to the N instructions. Clearing of the fault condition is detected when the fault condition no longer exists. Acknowledgments corresponding to the fault condition indicators are received, where each acknowledgment indicates that one of the fault condition indicators has been received. A fault clear indicator is transmitted only after both all N fault condition indicators have been received and clearing of the fault condition has been detected. A corresponding system and computer program product are also disclosed herein. | 08-15-2013 |
20130210206 | BULK FIN-FIELD EFFECT TRANSISTORS WITH WELL DEFINED ISOLATION - A fin field-effect-transistor fabricated by forming a dummy fin structure on a semiconductor substrate. A dielectric layer is formed on the semiconductor substrate. The dielectric layer surrounds the dummy fin structure. The dummy fin structure is removed to form a cavity within the dielectric layer. The cavity exposes a portion of the semiconductor substrate thereby forming an exposed portion of the semiconductor substrate within the cavity. A dopant is implanted into the exposed portion of the semiconductor substrate within the cavity thereby creating a dopant implanted exposed portion of the semiconductor substrate within the cavity. A semiconductor layer is epitaxially grown within the cavity atop the dopant implanted exposed portion of the semiconductor substrate. | 08-15-2013 |
20130210210 | SILICON GERMANIUM HETEROJUNCTION BIPOLAR TRANSISTOR STRUCTURE AND METHOD - Disclosed is an improved semiconductor structure (e.g., a silicon germanium (SiGe) hetero-junction bipolar transistor) having a narrow essentially interstitial-free SIC pedestal with minimal overlap of the extrinsic base. Also, disclosed is a method of forming the transistor which uses laser annealing, as opposed to rapid thermal annealing, of the SIC pedestal to produce both a narrow SIC pedestal and an essentially interstitial-free collector. Thus, the resulting SiGe HBT transistor can be produced with narrower base and collector space-charge regions than can be achieved with conventional technology. | 08-15-2013 |
20130210227 | USE OF CONTACTS TO CREATE DIFFERENTIAL STRESSES ON DEVICES - Disclosed herein are various methods and structures using contacts to create differential stresses on devices in an integrated circuit (IC) chip. An IC chip is disclosed having a p-type field effect transistor (PFET) and an n-type field effect transistor (NFET), a PFET contact to a source/drain region of the PFET and an NFET contact to a source/drain region of the NFET. In a first embodiment, a silicon germanium (SiGe) layer is included only under the PFET contact, between the PFET contact and the source/drain region of the PFET. In a second embodiment, either the PFET contact extends into the source/drain region of the PFET or the NFET contact extends into the source/drain region of the NFET. | 08-15-2013 |
20130211229 | METHOD AND SYSTEM FOR MODELING AND PROCESSING FMRI IMAGE DATA USING A BAG-OF-WORDS APPROACH - Systems and methods for processing image data are provided. A computer implemented method for processing image data, comprises gathering 4-D image data from a subject, extracting time series data, and spatial and degree data of each voxel of the subject, deriving at least one feature from the time series data, deriving at least one feature from the spatial and degree data, combining the at least one feature from the time series data, and the at least one feature from the spatial and degree data to generate combined data, and inputting the combined data to a classifier, wherein the classifier outputs a classification based on the combined data. | 08-15-2013 |
20130211388 | Apparatus for Minimizing Sample Damage During the Ablation of Biological Tissue Using a Focused Ultrashort Pulsed Laser Beam Wherein the Slope of Fluence Breakdown is a Function of the Pulse Width - An apparatus for laser induced breakdown of a tissue. The apparatus has a source of a beam of one or more laser pulses in which each pulse has a pulse width equal to or less than a pulse width value corresponding to a change in slope of a curve of fluence breakdown threshold (Fth) as a function of laser pulse width (T), the change occurring at a point between first and second portions of the curve, the first portion spanning a range of relatively long pulse width where Fth varies with the square root of pulse width (T1/2) and the second portion spanning a range of short pulse width relative to the first portion with Fth versus T slope which differs from that of the first portion; and an optical system focusing the one or more pulses of the beam to a point above the surface of the tissue to cause laser induced break down of the tissue. | 08-15-2013 |
20130211810 | COUPLING ARCHITECTURAL AND IMPLEMENTATION/BEHAVIORAL MODELS OF A COMPUTER-BASED SYSTEM - Coupling architectural and implementation/behavioral models of a computer-based system by creating a bridge element, defining a port of the bridge element, associating the port of the bridge element with a port of an implementable element of an architectural model of a computer-based system and a port of an implementation element of an implementation/behavioral model of the computer-based system, mapping an interface member of the implementation element port to an interface member of the implementable element port, generating, from a template, skeleton source code applicable for the mapped interface member, generating a usage description element for the architectural model corresponding to the mapped interface member, generating an architectural description document from the architectural model, generating implementation code from the implementation/behavioral model and the generated skeleton source code, and generating a declaration file for an operating system service for use by the implementation code. | 08-15-2013 |
20130211888 | TIMER-BASED AD PLACEMENT IN CONTENT RETRIEVAL APPLICATIONS - Techniques are described for incorporating advertisements into content in a content retrieval application. Embodiments receive a request to view content retrieved from source content containing one or more advertisements. In response to receiving the request, a timer is initiated. The timer is configured to expire after a period of time has elapsed. Embodiments determining whether to display any of the one or more advertisements, based upon whether the timer has expired. | 08-15-2013 |
20130211982 | ESTABLISHING A DATA MANGEMENT FEE STRUCTURE BASED ON FINE GRAINED DATA ENTITIES - Systems, methods and articles of manufacture for accessing data for a fee are provided. Fee schedules are defined for any arbitrary granularity of data, including for fields and data structures (e.g., tables in a database). Fees may be calculated based on the type of operation to be performed. Fees may also be calculated per operation and/or per data item involved in the operation. | 08-15-2013 |
20130212106 | APPARATUS FOR CLUSTERING A PLURALITY OF DOCUMENTS - According to an aspect, there are provided an apparatus, a program for causing a computer to function as such an apparatus, and a method, wherein the apparatus includes a selection section for selecting a plurality of sample documents from a plurality of documents and a first parameter generation section for analyzing the plurality of sample documents to generate an initial parameter matrix expressing a probability that each of a plurality of words included in the plurality of sample documents is included in each of a plurality of topics. The apparatus also includes a second parameter generation section for analyzing the plurality of documents by using each value included in the initial parameter matrix as an initial value to generate a parameter matrix expressing a probability that each of a plurality of words included in the plurality of documents is included in each of a plurality of topics. | 08-15-2013 |
20130212258 | CALCULATING A CHECKSUM WITH INACTIVE NETWORKING COMPONENTS IN A COMPUTING SYSTEM - Calculating a checksum utilizing inactive networking components in a computing system, including: identifying, by a checksum distribution manager, an inactive networking component, wherein the inactive networking component includes a checksum calculation engine for computing a checksum; sending, to the inactive networking component by the checksum distribution manager, metadata describing a block of data to be transmitted by an active networking component; calculating, by the inactive networking component, a checksum for the block of data; transmitting, to the checksum distribution manager from the inactive networking component, the checksum for the block of data; and sending, by the active networking component, a data communications message that includes the block of data and the checksum for the block of data. | 08-15-2013 |
20130212264 | Controlling access of clients to service in cluster environment - First, second, and third sets of addresses are created. The first set includes addresses registered in a name server; both the second and third sets include addresses not registered in the name server and that are disjoint. A first address of a first server that has failed and to which access is to be prohibited is moved from the first to the third set., is removed from the first server, assigned to a second server, and removed from the name server. Usage parameter values of the first address are monitored to determine whether at least one is below a value. If so, the first address is removed from the second server and moved from the third to the second set. Upon access to the first server no longer being prohibited, a second address of the second set is assigned to the first server and added to the name server. | 08-15-2013 |
20130212267 | Dynamically Balancing Resources In A Server Farm - Dynamically balancing resources in a server farm, where the server farm includes servers organized in functional server groups with the servers in each functional server group assigned a same type of data processing task, the servers operatively coupled to data storage through a packet switching fabric, the servers operatively coupled to a system management server, and the balancing of resources includes: monitoring, by a resource balancing module of the system management server, CPU utilization in at least one of the functional server groups; identifying, by the resource balancing module in dependence upon the monitored CPU utilization, an overburdened functional server group, the overburdened functional server group's CPU utilization exceeding a CPU overburden threshold; and increasing, by the resource balancing module, CPU resources of the overburdened functional server group, including moving a transfer server from a source functional server group into the overburdened functional server group. | 08-15-2013 |
20130212294 | Processing Computer Graphics Generated By A Remote Computer For Streaming To A Client Computer - Processing computer graphics generated by a remote computer for streaming to a client computer for display on a display device operatively coupled to the client computer, the graphics streamed to the client computer in a plurality of frames, including, for each frame: selecting, by a graphics module of the remote computer in dependence upon a compression profile, regions of the frame to compress at a lower compression rate than other regions of the frame; compressing the frame for streaming; streaming the compressed frame to the client computer; receiving the compressed frame in the stream; decompressing the compressed frame; and displaying the decompressed frame on the display device operatively coupled to the client computer. | 08-15-2013 |
20130212308 | MEMORY MAPPED INPUT/OUTPUT BUS ADDRESS RANGE TRANSLATION - In an embodiment, a north chip receives a secondary bus identifier that identifies a bus that is immediately downstream from a bridge in a south chip, a subordinate bus identifier that identifies a highest bus identifier of all of buses reachable downstream of the bridge, and an MMIO bus address range that comprises a memory base and a memory limit. The north chip writes a translation of a bridge identifier and a south chip identifier to the secondary bus identifier, the subordinate bus identifier, and the MMIO bus address range. The north chip sends the secondary bus identifier, the subordinate bus identifier, the memory base, and the memory limit to the bridge. The bridge stores the secondary bus identifier, the subordinate bus identifier, the memory base, and the memory limit in the bridge. | 08-15-2013 |
20130212347 | MULTI-TARGET, POINT-IN-TIME-COPY ARCHITECTURE WITH DATA DEDUPLICATION - A method for performing a write to a source volume in a multi-target architecture is described. The multi-target architecture includes a source volume and multiple target volumes mapped thereto. In one embodiment, such a method includes copying data in a track of the source volume to a corresponding track of a target volume (target x). The method enables one or more sibling target volumes (siblings) mapped to the source volume to inherit the data from the target x. When the data is successfully copied to the target x, the method performs a write to the track of the source volume. Other methods for reading and writing data to volumes in the multi-target architecture are also described. | 08-15-2013 |
20130212376 | DATA ACCESS MANAGEMENT IN A HYBRID MEMORY SERVER - Once or more embodiments manage access to data by accelerator systems in an out-of-core processing environment. In one embodiment, a request from an accelerator system is received for access to a given data set. An access context associated with the given data set is determined. The accelerator system is dynamically configured, based on the access context that has been determined, based on the access context that has been determined, to one of access the given data set directly from the server system; locally store a portion of the given data set in a memory; and locally store all of the given data set in the memory. | 08-15-2013 |
20130212400 | DOUBLE AUTHENTICATION FOR CONTROLLING DISRUPTIVE OPERATIONS ON STORAGE RESOURCES - A storage controller and program product is provided for performing double authentication for controlling disruptive operations on storage resources generated by a system administrator. A first request is received from a first user for generation of a first key. A first key is generated, provided to the first user and associated with the storage resource. An input is received from the administrator, the input comprises a second key and a command for performing the disruptive operation. The second key and the first key are compared. It is verified that the administrator is authorized as an administrator of the storage resource. The disruptive operation is performed on the storage resource if the second key and the first key match and the administrator is authorized. Otherwise, the performance of the disruptive operation is denied. | 08-15-2013 |
20130212415 | Partition Level Power Management Using Fully Asynchronous Cores with Software that has Limited Asynchronous Support - A partition that is executed by multiple processing nodes. Each node includes multiple cores and each of the cores has a frequency that can be set. A first frequency range is provided to the cores. Each core, when executing the identified partition, sets its frequency within the first frequency range. Frequency metrics are gathered from the cores running the partition by the nodes. The gathered frequency metrics are received and analyzed by a hypervisor that determines a second frequency range to use for the partition, with the second frequency range being different from the first frequency range. The second frequency range is provided to the cores at the nodes executing the identified partition. When the cores execute the identified partition, they use a frequencies within the second frequency range. | 08-15-2013 |
20130212554 | PARALLELIZATION METHOD, SYSTEM AND PROGRAM - A method, system, and article of manufacture for solving ordinary differential equations described in a graphical model with nodes as blocks and dependencies as links using the processing of a computer with a plurality of processors. The method includes: generating segments of block with or without duplication for each block with an internal state and for each block without any output by traversing the graphical model from each block with an internal state to each block without any output; merging the segment to reduce duplication; compiling and converting each segment from the merged results in an executable code; and individually allocating the executable code for each segment to a plurality of processors for parallel execution. | 08-15-2013 |
20130212561 | DEVELOPING COLLECTIVE OPERATIONS FOR A PARALLEL COMPUTER - Developing collective operations for a parallel computer that includes compute nodes includes: presenting, by a collective development tool, a graphical user interface (‘GUI’) to a collective developer; receiving, by the collective development tool from the collective developer through the GUI, a selection of one or more collective primitives; receiving, by the collective development tool from the collective developer through the GUI, a specification of a serial order of the collective primitives and a specification of input and output buffers for each collective primitive; and generating, by the collective development tool in dependence upon the selection of collective primitives, the serial order of the collective primitives, and the input and output buffers for each collective primitive, executable code that carries out the collective operation specified by the collective primitives. | 08-15-2013 |
20130212573 | IMPLEMENTING UPDATES TO SOURCE CODE EXECUTING ON A PLURALITY OF COMPUTE NODES - Methods, apparatuses, and computer program products for implementing updates to source code executing on a plurality of compute nodes are provided. Embodiments include receiving, by a compute node, a broadcast update-notification message indicating there is an update to the source code executing on the plurality of compute nodes; in response to receiving the update-notification message, implementing a distributed barrier; based on the distributed barrier, halting execution of the source code at a particular location within the source code; based on the distributed barrier, updating in-place the source code including retaining workpiece data in memory of the compute node, the workpiece data corresponding to the execution of the source code; and based on completion of the updating of the source code, resuming with the retained workpiece data execution of the source code at the particular location within the source code where execution was halted. | 08-15-2013 |
20130212587 | SHARED RESOURCES IN A DOCKED MOBILE ENVIRONMENT - Sharing resources in a docked mobile environment comprises maintaining a set of execution tasks within a first data handling system having a system dock interface to physically couple to a second data handling system and assigning a task to be executed by the second data handling system while the two systems are physically coupled. The described method further comprises detecting a physical decoupling of the first and second data handling systems and displaying an execution result of the task via a first display element of the first data handling system in response to such a detection. | 08-15-2013 |
20130212592 | SYSTEM AND METHOD FOR TIME-AWARE RUN-TIME TO GUARANTEE TIMELINESS IN COMPONENT-ORIENTED DISTRIBUTED SYSTEMS - A method and system for achieving time-awareness in the highly available, fault-tolerant execution of components in a distributed computing system, without requiring the writer of these components to explicitly write code (such as entity beans or database transactions) to make component state persistent. It is achieved by converting the intrinsically non-deterministic behavior of the distributed system to a deterministic behavior, thus enabling state recovery to be achieved by advantageously efficient checkpoint-replay techniques. The system is deterministic by repeating the execution of the receiving component by processing the messages in the same order as their associated timestamps and time-aware by allowing adjustment of message execution based on time. | 08-15-2013 |
20130212597 | Method and Apparatus to Transmit a Calendar Event in Target Calendaring System Format - An agent may receive an event from a source calendaring system, wherein the event specifies a person, perhaps including a uniform resource locator, having disparate calendaring system. The disparate calendaring system may use a native event format for coordinating events. The agent may lookup a template to convert the event to a native event. The agent may transmit a message with attributes such as a unique identifier to the disparate calendaring system. If the disparate calendaring system acknowledges the event with a success, the agent may log the occurrence of a success. Agent may transmit additional messages having native events to additional disparate systems. | 08-15-2013 |
20130212651 | Double Authentication for Controlling Disruptive Operations on Storage Resources - A storage controller and program product is provided for performing double authentication for controlling disruptive operations on storage resources generated by a system administrator. A first request is received from a first user for generation of a first key. A first key is generated, provided to the first user and associated with the storage resource. An input is received from the administrator, the input comprises a second key and a command for performing the disruptive operation. The second key and the first key are compared. It is verified that the administrator is authorized as an administrator of the storage resource. The disruptive operation is performed on the storage resource if the second key and the first key match and the administrator is authorized. Otherwise, the performance of the disruptive operation is denied. | 08-15-2013 |
20130212685 | NETWORk THREAT RISK ASSESSMENT TOOL - A method, system and computer program product is disclosed that provides timely, accurate and summarized information about possible threats to information technology environments. It is a tool that looks at multiple aspects of an IT threat, including both specific (traditional) IT threats and general (non-traditional) IT threats, and rates each threat's overall potential to do harm. A matrix is created that identifies a “threat score” to allow prioritization and reaction to the threats. The matrix takes both traditional IT threats and non-traditional IT threats and normalizes them on the same scale, giving users of the matrix the ability to understand the risks of both. | 08-15-2013 |
20130212867 | MITIGATING ELECTROMAGNETIC INTERFERENCE USING DUMMY PLUGS - A method of mitigating EMI in an electronic device includes selecting a subset of surface-mount socket connectors on an electronic device to be connected with corresponding working plug connectors and connecting the working plug connectors with the selected subset of socket connectors by inserting the working plug connectors into the selected subset of socket connectors. The method further includes positioning a dummy plug in each of the unselected surface-mount socket connectors, the dummy plug comprising a flexible foam material configured to attenuate electromagnetic radiation by absorption and having a dummy plug profile generally matched to a socket profile of the corresponding socket connector. | 08-22-2013 |
20130212917 | REORGANIZING DISPLAY OF A RAILWAY TIMETABLE DIAGRAM - A method and apparatus for reorganizing display of a railway timetable diagram. A method of reorganizing the display of a railway timetable diagram, including: generating a relational graph by using a multiple of stations in the railway timetable diagram and correlations between the stations; partitioning the relational graph according to a partition rule, where the partition rule reduces lines crossing in at least one page and/or section in at least one page in a reorganized railway timetable diagram, where the lines representing an association between respective stations along a path in the reorganized railway timetable diagram; and displaying a reorganized railway timetable diagram based on a result of the partitioning. An apparatus for reorganizing the display of a railway timetable diagram. | 08-22-2013 |
20130214382 | METHOD OF FORMING SUBSTRATE CONTACT FOR SEMICONDUCTOR ON INSULATOR (SOI) SUBSTRATE - A semiconductor structure is provided that includes a material stack including an epitaxially grown semiconductor layer on a base semiconductor layer, a dielectric layer on the epitaxially grown semiconductor layer, and an upper semiconductor layer present on the dielectric layer. A capacitor is present extending from the upper semiconductor layer through the dielectric layer into contact with the epitaxially grown semiconductor layer. The capacitor includes a node dielectric present on the sidewalls of the trench and an upper electrode filling at least a portion of the trench. A substrate contact is present in a contact trench extending from the upper semiconductor layer through the dielectric layer and the epitaxially semiconductor layer to a doped region of the base semiconductor layer. A substrate contact is also provided that contacts the base semiconductor layer through the sidewall of a trench. Methods for forming the above-described structures are also provided. | 08-22-2013 |
20130214384 | LOW HARMONIC RF SWITCH IN SOI - A low harmonic radio-frequency (RF) switch in a silicon-on-insulator (SOI) substrate and methods of manufacture. A method includes forming at least one trench through an insulator layer. The at least one trench is adjacent a device formed in an active region on the insulator layer. The method also includes forming at least one cavity in a substrate under the insulator layer and extending laterally from the at least one trench to underneath the device. | 08-22-2013 |
20130214416 | INTERCONNECT STRUCTURES AND METHODS OF MANUFACTURING OF INTERCONNECT STRUCTURES - Interconnect structures and methods of manufacturing the same are disclosed herein. The method includes forming a barrier layer within a structure and forming an alloy metal on the barrier layer. The method further includes forming a pure metal on the alloy metal, and reflowing the pure metal such that the pure metal migrates to a bottom of the structure, while the alloy metal prevents exposure of the barrier layer. The method further includes completely filling in the structure with additional metal. | 08-22-2013 |
20130214855 | Integrated Circuit Die Stacks With Rotationally Symmetric VIAS - An integrated circuit die stack including a first integrated circuit die mounted upon a substrate, the first die including pass-through vias (‘PTVs’) composed of conductive pathways through the first die with no connection to any circuitry on the first die; and a second integrated circuit die, identical to the first die, rotated with respect to the first die and mounted upon the first die, with the PTVs in the first die connecting signal lines from the substrate through the first die to through silicon vias (‘TSVs’) in the second die composed of conductive pathways through the second die connected to electronic circuitry on the second die; with the TSVs and PTVs disposed upon each identical die so that the positions of the TSVs and PTVs on each identical die are rotationally symmetrical with respect to the TSVs and PTVs on the other identical die. | 08-22-2013 |
20130214897 | Prismatic Lock And Key Security - Methods and apparatus for lock and key security, the lock including a light receptacle, a scatter pattern detecting module, and a locking mechanism, the key including a light source and a prism, the lock and key security including receiving, in the light receptacle of the lock, light transmitted by the light source in the key through the prism; identifying, by the scatter pattern detecting module, a scatter pattern of the received light; comparing, by the scatter pattern detecting module, the scatter pattern to a unique preauthorized pattern for operating the lock; if the scatter pattern matches the unique preauthorized pattern, switching, by the scatter pattern detecting module, the current locking state of the locking mechanism; and if the scatter pattern does not match the unique preauthorized pattern, maintaining the current locking state of the locking mechanism. | 08-22-2013 |
20130216044 | HOMOMORPHIC EVALUATION INCLUDING KEY SWITCHING, MODULUS SWITCHING, AND DYNAMIC NOISE MANAGEMENT - Homomorphic evaluations of functions are performed. The functions include operation(s). Variants of key switching and modulus switching are described and are performed prior to or after the operation(s). A key switching transformation converts a ciphertext with respect to a first secret key and a first modulus to a ciphertext with respect to a second secret key and a second modulus. A key switching transformation converts a first version of a ciphertext with respect to a first secret key and with some number r bits of precision to a second version of the selected ciphertext with respect to a second keys and with some other number r′ bits of precision. The ciphertexts may be operated on as polynomials represented using evaluation representation, which has benefits for multiplication and automorphism. Further, ciphertexts are associated with an estimate of noise, which is used to determine when to perform modulus switching on the ciphertexts. | 08-22-2013 |
20130217198 | LOCALIZED IMPLANT INTO ACTIVE REGION FOR ENHANCED STRESS - Methods for enhancing strain in an integrated circuit are provided. Embodiments of the invention include using a localized implant into an active region prior to a gate etch. In another embodiment, source/drain regions adjacent to the gates are recessed to allow the strain to expand to full potential. New source/drain regions are allowed to grow back to maximize stress in the active region. | 08-22-2013 |
20130217219 | REPLACEMENT GATE WITH REDUCED GATE LEAKAGE CURRENT - Replacement gate work function material stacks are provided, which provides a work function about the energy level of the conduction band of silicon. After removal of a disposable gate stack, a gate dielectric layer is formed in a gate cavity. A metallic compound layer including a metal and a non-metal element is deposited directly on the gate dielectric layer. At least one barrier layer and a conductive material layer is deposited and planarized to fill the gate cavity. The metallic compound layer includes a material having a work function about 4.4 eV or less, and can include a material selected from tantalum carbide and a hafnium-silicon alloy. Thus, the metallic compound layer can provide a work function that enhances the performance of an n-type field effect transistor employing a silicon channel. | 08-22-2013 |
20130217853 | POLYMERS BEARING PENDANT PENTAFLUOROPHENYL ESTER GROUPS, AND METHODS OF SYNTHESIS AND FUNCTIONALIZATION THEREOF - A one pot method of preparing cyclic carbonyl compounds comprising an active pendant pentafluorophenyl ester group is disclosed. The cyclic carbonyl compounds can be polymerized by ring opening methods to form ROP polymers comprising repeat units comprising a side chain pentafluorophenyl ester group. Using a suitable nucleophile, the pendant pentafluorophenyl ester group can be selectively transformed into a variety of other functional groups before or after the ring opening polymerization. | 08-22-2013 |
20130218555 | DEVICE FOR ANALYZING TEXT DOCUMENTS - An analysis device for analyzing a text document is provided. The analysis device includes a context storage unit configured to store context information that shows a position of a character set of a predetermined context in the text document. The analysis device also includes an index storage unit configured to store index information that shows a position of a word in the text document, for each word of a plurality of words contained in the text document. An input unit is configured to input a target word. A position detection unit is configured to detect from the index information a position of the target word contained in the text document. A frequency detection unit is configured to detect an appearance frequency of the target word per each type of context in the text document based on the position of the target word and on the context information. | 08-22-2013 |
20130218808 | SYSTEM AND METHOD FOR EXTRACTING VALUE FROM A PORTFOLIO OF ASSETS - A system and methods for extracting value from a portfolio of assets, for example a patent portfolio, are described. By granting floating privileges described herein, a portfolio owner can extend an opportunity for obtaining an interest in selected assets from the portfolio to a client who lacks the resources to accumulate and maintain such a portfolio, in return for an annuity stream to the portfolio owner. The floating privilege can take many forms, depending on the needs of the client and the nature of the assets in the portfolio. The privilege is executed for a set of assets selected by the client and approved by the portfolio owner in accordance with a floating privilege agreement controlling the floating privilege | 08-22-2013 |
20130218810 | ESTABLISHING A DATA MANGEMENT FEE STRUCTURE BASED ON FINE GRAINED DATA ENTITIES - Systems, methods and articles of manufacture for accessing data for a fee are provided. Fee schedules are defined for any arbitrary granularity of data, including for fields and data structures (e.g., tables in a database). Fees may be calculated based on the type of operation to be performed. Fees may also be calculated per operation and/or per data item involved in the operation. | 08-22-2013 |
20130218834 | DYNAMIC VVDS EXPANSION - A method for addressing an out-of-space condition for a VSAM Volume Data Set (VVDS) includes detecting an out-of-space condition in a VVDS on a volume. The method creates, in response to the out-of-space condition, a new VVDS on the volume without adding a record to the old VVDS (e.g., by bypassing “catalog services”). The new VVDS is assigned a temporary name. The method then copies records from the old VVDS to the new VVDS. The method may then rename the old VVDS to a temporary name and rename the new VVDS to the original name of the old VVDS. The old VVDS may then be deleted. Alternatively, instead of renaming the old VVDS to a temporary name, the old VVDS may be deleted. The new VVDS may then be renamed to the original name of the old VVDS. A corresponding apparatus and computer program product are also described. | 08-22-2013 |
20130218844 | SPECULATIVE THREAD EXECUTION AND ASYNCHRONOUS CONFLICT EVENTS - In an embodiment, asynchronous conflict events are received during a previous rollback period. Each of the asynchronous conflict events represent conflicts encountered by speculative execution of a first plurality of work units and may be received out-of-order. During a current rollback period, a first work unit is determined whose speculative execution raised one of the asynchronous conflict events, and the first work unit is older than all other of the first plurality of work units. A second plurality of work units are determined, whose ages are equal to or older than the first work unit, wherein each of the second plurality of work units are assigned to respective executing threads. Rollbacks of the second plurality of work units are performed. After the rollbacks of the second plurality of work units are performed, speculative executions of the second plurality of work units are initiated in age order, from oldest to youngest. | 08-22-2013 |
20130218850 | DYNAMIC REWRITE OF FILES WITHIN DEDUPLICATION SYSTEM - An original deduplication file system (DFS) file is partitioned into a first set of sections being sections including data affected by rewrite operations and a second set of sections being sections including data unaffected by rewrite operations. A new DFS file to be stored as part of a plurality of user files is created, the plurality of user files including the original DFS file and being accessible by a sequential DFS and a dynamic non-DFS, the dynamic non-DFS including a plurality of dynamic metadata files having entries pointing to corresponding sections of the original DFS files. The first set of sections of the original DFS file including data affected by rewrite operations is directly written into the new DFS file. The second set of sections from the original DFS file including data unaffected by rewrite operations is quoted into the new DFS file. The original DFS file is deleted. | 08-22-2013 |
20130218857 | FILE SERVER FOR EXTRACTING AND DISPLAYING FILE LIST ON CLIENT, METHOD OF PROVIDING DISPLAY ON CLIENT, AND COMPUTER PROGRAM EXECUTABLE ON FILE SERVER - A file server for displaying, on a client, files to be retrieved, a method of providing a display on the client, and a computer program product with program instructions executable on the file server. The number of files to be displayed and start point information related to the start point of a file list to be extracted is acquired from a client, and a file list is extracted from files stored as a partitioned data set in a storage device based on the number of files and start point information acquired. The numbers of preceding and succeeding files stored before and behind the extracted file list are calculated, the calculated numbers of the preceding and succeeding files and the extracted file list are sent to the client. The client displays the file list and the received numbers of remaining files before and behind the received file list, respectively. | 08-22-2013 |
20130218892 | HYBRID STORAGE SUBSYSTEM WITH MIXED PLACEMENT OF FILE CONTENTS - A storage subsystem combining solid state drive (SSD) and hard disk drive (HDD) technologies provides low access latency and low complexity. Separate free lists are maintained for the SSD and the HDD and blocks of file system data are stored uniquely on either the SSD or the HDD. When a read access is made to the subsystem, if the data is present on the SSD, the data is returned, but if the block is present on the HDD, it is migrated to the SSD and the block on the HDD is returned to the HDD free list. On a write access, if the block is present in the either the SSD or HDD, the block is overwritten, but if the block is not present in the subsystem, the block is written to the HDD. | 08-22-2013 |
20130218953 | Determining and assigning a default value to a current server response if the current server response is not received from the server within a time-limit - Provided are a computer program, method, and system for determining and assigning a default value to a current server response if the current server response is not received from the server within a time-limit. An indication of a submission of a request is transmitted to the server for receiving a current server response. A default value is assigned to the current server response if the current server response is not received from the server within a time-limit with respect to the current submission of the request. A set of operations being performed from the assignment of the default value to the current server response is logged. An actual value of the current server response is received from the server and the logged operations are rolled-back and the current server response reset to the actual value if the actual value is different from the default value. | 08-22-2013 |
20130219002 | AUTOMATICALLY POPULATING RECIPIENTS IN AN INSTANT MESSAGING OR OTHER COMPUTER COMMUNICATION SYSTEM - A system and a method for automatically populating chat recipients in an instant messaging system in which chat recipients are automatically populated from external data sources, e.g. clipboard, spreadsheet, document, database, directory, etc., and a chat session started with the click of a single user interface button or the like. A pop-up window is generated that allows the user to select a data source from which the chat recipients are to be obtained. The data source may be scanned to identify candidate names matching a predetermined name format, or the user is prompted to provide at least a portion of a search string. The user can then select which of the returned names are to be used in initiating the chat session. The disclosed system then generates a user interface object allowing the initiating user to perform an action with the selected recipients, including initiating a chat session. | 08-22-2013 |
20130219028 | DYNAMIC HTTP LOAD BALANCING - The invention is a method and apparatus for facilitating facilitates load balancing in a server farm. In accordance with various aspects of the invention, all of the information about each server necessary for a load balancing program to define and execute a load balancing algorithm is stored at a predetermined URL for each server. The load balancer can query the predefined URL, which returns the necessary information. The load balancer then dynamically configures the load balancing algorithm in accordance with the returned information. | 08-22-2013 |
20130219033 | END-TO-END PROVISIONING OF STORAGE CLOUDS - Embodiments discussed in this disclosure provide an integrated provisioning framework that automates the process of provisioning storage resources, end-to-end, for an enterprise storage cloud environment. Such embodiments configure and orchestrate the deployment of a user's workload and, at the same time, provide optimization across a multitude of storage cloud resources. Along these lines, input is received in the form of workload requirements and configuration information for available system resources. Based on the input, a set (at least one) of storage cloud configuration plans is developed that satisfy the workload requirements. A set of scripts is then generated that orchestrate the deployment and configuration of different software and hardware components based on the plans. | 08-22-2013 |
20130219040 | STORAGE AREA NETWORK CONFIGURATION OF VITUAL FIBRE CHANNEL PORTS PRIOR TO CLIENT CREATION - Provided are techniques for providing a user interface on a storage virtualizer, the user interface configured to enable a worldwide unique identifier (WWPN) to be selectively activated on a storage area network (SAN), and setting up a zoning for the WWPN on the SAN prior to installation of a virtual I/O client and without a requirement that the user manually enter the WWPN. | 08-22-2013 |
20130219054 | WORKLOAD MANAGEMENT IN HETEROGENEOUS ENVIRONMENTS - A system for managing a workload in a heterogeneous environment comprising at least one application, an interoperability layer for inter-application communication, and at least one adapter for connecting the at least one application to the interoperability layer, is disclosed. Such a system includes a self-adapting application connector management component which includes the following: a monitoring component for monitoring the performance and workload characteristics of one or more applications and/or one or more adapters; a computing component for computing a configuration change based on the monitored performance and workload characteristics; a change component for changing the configuration of the one or more adapters in accordance with the computed configuration change; and a change component for changing the configuration of the one or more applications in accordance with the computed configuration change. | 08-22-2013 |
20130219077 | EMULATING FICON OVER IP - A Fibre Connection (FICON) information unit is received by a host processor executing a host internet protocol (IP) software library. The FICON information unit is written to a transport layer. Data including the FICON information unit is then transmitted to a storage control unit over an IP network. | 08-22-2013 |
20130219079 | STORAGE AREA NETWORK MULTI-PATHING - Provided are a method, computer program product and system for network multi-pathing. Paths to a storage system are through a first network type and a second network type. The first network type has a higher Input/Output (I/O) per second performance characteristic than the second network type. A length is determined from a received I/O request. The I/O request is sent on one of the paths to the storage system through the first network type in response to determining that the length of the I/O request is below a threshold length. The I/O request is sent on one of the paths to the storage system through the second network type in response to determining that the length of the I/O request is above the threshold length. | 08-22-2013 |
20130219118 | RESTORE IN CASCADED COPY ENVIRONMENT - In one aspect of the present description, handling multiple backup processes comprises detecting that a defined storage volume is present in a first cascade of storage volumes; detecting that the defined storage volume is present in a second cascade of storage volumes; receiving a data write for a last storage volume in the first cascade of storage volumes; and performing a cleaning data write on the defined storage volume in the second cascade of storage volumes, wherein the cleaning data write corresponds to the received data write. Other aspects may be utilized, depending upon the particular application. | 08-22-2013 |
20130219121 | METHOD AND APPARATUS FOR IMPLEMENTING A TRANSACTIONAL STORE SYSTEM USING A HELPER THREAD - A method, apparatus, and computer readable article of manufacture for executing a transaction by a processor apparatus that includes a plurality of hardware threads. The method includes the steps of: executing, by the processor apparatus using the plurality of hardware threads, a main software thread for executing the transaction and a helper software thread for executing a barrier function; and deciding, by the processor apparatus, whether or not the barrier function is required to be executed when the main software thread encounters a transactional load or store operation that requires the main software thread to read or write data. | 08-22-2013 |
20130219122 | MULTI-STAGE CACHE DIRECTORY AND VARIABLE CACHE-LINE SIZE FOR TIERED STORAGE ARCHITECTURES - A method in accordance with the invention includes providing first, second, and third storage tiers, wherein the first storage tier acts as a cache for the second storage tier, and the second storage tier acts as a cache for the third storage tier. The first storage tier uses a first cache line size corresponding to an extent size of the second storage tier. The second storage tier uses a second cache line size corresponding to an extent size of the third storage tier. The second cache line size is significantly larger than the first cache line size. The method further maintains, in the first storage tier, a first cache directory indicating which extents from the second storage tier are cached in the first storage tier, and a second cache directory indicating which extents from the third storage tier are cached in the second storage tier. | 08-22-2013 |
20130219124 | EFFICIENT DISCARD SCANS - A plurality of tracks is examined for meeting criteria for a discard scan. In lieu of waiting for a completion of a track access operation, at least one of the plurality of tracks is marked for demotion. An additional discard scan may be subsequently performed for tracks not previously demoted. The discard and additional discard scans may proceed in two phases. | 08-22-2013 |
20130219141 | CASCADED, POINT-IN-TIME-COPY ARCHITECTURE WITH DATA DEDUPLICATION - A method for performing a write to a volume x in a cascaded architecture is described. In one embodiment, such a method includes determining whether the volume x has a child volume, wherein each of the volume x and the child volume have a target bit map (TBM) associated therewith. The method then determines whether the TBMs of both the volume x and the child volume are set. If the TBMs are set, the method finds a higher source (HS) volume from which to copy the desired data to the child volume. Finding the HS volume includes travelling up the cascaded architecture until the source of the data is found. Once the HS volume is found, the method copies the data from the HS volume to the child volume and performs the write to the volume x. A method for performing a read is also disclosed herein. | 08-22-2013 |
20130219142 | DELETING RELATIONS IN MULTI-TARGET, POINT-IN-TIME-COPY ARCHITECTURES WITH DATA DEDUPLICATION - A method for deleting a relation between a source and a target in a multi-target architecture is described. The multi-target architecture includes a source and multiple targets mapped thereto. In one embodiment, such a method includes initially identifying a relation for deletion from the multi-target architecture. A target associated with the relation is then identified. The method then identifies a sibling target that inherits data from the target. Once the target and the sibling target are identified, the method copies the data from the target to the sibling target. The relation between the source and the target is then deleted. A corresponding computer program product is also disclosed and claimed herein. | 08-22-2013 |
20130219150 | Parsing Data Representative of a Hardware Design into Commands of a Hardware Design Environment - A method for implementing a hardware design that includes using a computer for receiving structured data that includes a representation of a basic hardware structure and a complex hardware structure that includes the basic hardware structure, parsing the structured data and generating, based on a result of the parsing, commands of a hardware design environment. | 08-22-2013 |
20130219165 | SYSTEM AND METHOD FOR PROCESSING FEEDBACK ENTRIES RECEIVED FROM SOFTWARE - A method and system for processing feedback entries received from software provided by a vendor to an end user machine. The end user machine includes the software, a feedback module, and a database. The feedback module: generates an encryption E | 08-22-2013 |
20130219171 | NETWORK NODE WITH NETWORK-ATTACHED STATELESS SECURITY OFFLOAD DEVICE EMPLOYING IN-BAND PROCESSING - A network node for communicating data packets secured with a security protocol over a communications network includes a host information handling system (IHS) and one or more external security offload devices coupled by a secure data link. The host IHS communicates state information about data packets, and the external offload security device provides stateless secure data encapsulation and decapsulation of packets using a security protocol. An external network interface controller or internal network interface controller communicates encapsulated data packets over the communications network to a final destination. Encapsulation and decapsulation of packets by the external security offload device reduces network latency and reduces the computational load on the processor in the host IHS. Maintaining state information in the host IHS allows hot-swapping of external security offload devices without information loss. The external security offload device may be included in a firewall, or intrusion detection device, and may implement IPsec protocol. | 08-22-2013 |
20130219175 | NETWORK NODE WITH NETWORK-ATTACHED STATELESS SECURITY OFFLOAD DEVICE EMPLOYING OUT-OF-BAND PROCESSING - A network node for communicating data packets secured with a security protocol over a communications network includes a host information handling system (IHS) and one or more external security offload devices coupled by a secure data link. The host IHS communicates state information about data packets, and the external offload security device provides stateless secure data encapsulation and decapsulation of packets using a security protocol. An external network interface controller or internal network interface controller communicates encapsulated data packets over the communications network to a final destination. Encapsulation and decapsulation of packets by the external security offload device reduces network latency and reduces the computational load on the processor in the host IHS. Maintaining state information in the host IHS allows hot-swapping of external security offload devices without information loss. The external security offload device may be included in a firewall, or intrusion detection device, and may implement IPsec protocol. | 08-22-2013 |
20130219183 | VALlDATING A SYSTEM WITH MULTIPLE SUBSYSTEMS USING TRUSTED PLATFORM MODULES AND VIRTUAL PLATFORM MODULES - Software validation is provided for a breakout system having multiple subsystems at the edge of a mobile data network. The software validation utilizes one or more trusted platform modules (TPM) to secure multiple subsystems including virtual machines in the breakout system. Hash values for the software in the various subsystems are placed in Platform Configuration Registers (PCRs) of the TPM. The TPM cryptographically signs quotes, which are a collection of hash values from the PCRs. The breakout system produces an extensible markup language (XML) file with the signed quotes related to the subsystems and sends them to a network management system for verification. The network management system validates the software configured on the breakout system using a public key to access the quotes and compares the values to known good values stored in an inventory record associated with the specific breakout system being validated. | 08-22-2013 |
20130219236 | Controlling Scan Access to a Scan Chain - A technique for controlling scan access of multiple scan devices (including or more slave scan devices and a master scan device) to a scan chain includes sending, by a requesting slave scan device included in the one or more slave scan devices, a first request for access to the scan chain to the master scan device. The master scan device and the one or more slave scan devices are connected to the scan chain. The technique also includes receiving, at the requesting slave scan device, an evaluation result from the master scan device and accessing, by the requesting slave scan device, the scan chain in response to the evaluation result indicating access granted. Finally, the technique includes sending, by the requesting slave scan device, one or more second requests for access to the scan chain to the master scan device in response to the evaluation result indicating access denied. | 08-22-2013 |
20130219358 | SYSTEM AND METHOD FOR AUTOMATICALLY DETERMINING RELATIONSHIPS BETWEEN SOFTWARE ARTIFACTS USING MULTIPLE EVIDENCE SOURCES - A method (which can be computer implemented) for inferring whether at least a first relationship exists between at least first and second entities includes the steps of applying a first assessor to obtain a first confidence level pertaining to putative existence of said at least first relationship between said at least first and second entities, applying a second assessor to obtain a second confidence level pertaining to putative existence of said at least first relationship between said at least first and second entities, and combining said first and second confidence levels to obtain an overall inference whether said at least first relationship exists between said at least first and second entities. | 08-22-2013 |
20130219368 | Automatically Testing a Web Application - A mechanism is provided for automatically testing a web application running inside a web browser. Pieces of test code are included in the web application code. An HTTP server provides a test service to the web application instrumented with test code. Through an API interface, the test code requests to the HTTP server that user inputs be simulated and sent to the browser. The HTTP server generates simulated system events corresponding to the user input and sends them to the browser. The browser processes the system events for the web application as usual. In this way the test code will check the data structure of the web application and the entire environment of the web application will be included as well. | 08-22-2013 |
20130219371 | TIME-BASED TRACE FACILITY - Method, system, and computer program product embodiments of a time-based trace facility for facilitating software debugging without interfering with the run-time behavior, performance or resource usage of the traced software are provided. The trace facility resides in a different address space than the target address space and uses different time-slices of CPU resources to execute the instructions in the address spaces. The trace facility uses a cross-memory mode to read the state data from the target address space in accordance with a time schedule. The trace facility writes the state data to a trace area, which may be located in either the target or trace address spaces or external storage. With this approach, the trace facility can read a large amount of state data frequently to construct the type of historical record needed to analysis run-time behavior, performance and resource usage. | 08-22-2013 |
20130219373 | STACK OVERFLOW PROTECTION DEVICE, METHOD, AND RELATED COMPILER AND COMPUTING DEVICE - The present disclosure relates to a stack overflow protection device and a stack protection method. According to the present disclosure, a stack overflow protection device is provided, which includes a dividing unit configured to divide at least one function in the input codes into a code region with a string operation and a code region without a string operation. The device also includes a stack protection unit configured to set up stack protection in the code region with a string operation. The present disclosure further provides a stack protection method. | 08-22-2013 |
20130219401 | PRIORITIZING JOBS WITHIN A CLOUD COMPUTING ENVIRONMENT - Embodiments of the present invention provide an approach to prioritize jobs (e.g., within a cloud computing environment) so as to maximize positive financial impacts (or to minimize negative financial impacts) for cloud service providers, while not exceeding processing capacity or failing to meet terms of applicable Service Level Agreements (SLAs). Specifically, under the present invention a respective income (i.e., a cost to the customer), a processing need, and set of SLA terms (e.g., predetermined priorities, time constraints, etc.) will be determined for each of a plurality of jobs to be performed. The jobs will then be prioritized in a way that: maximizes cumulative/collective income; stays within the total processing capacity of the cloud computing environment; and meets the SLA terms. | 08-22-2013 |
20130219500 | NETWORK INTRUSION DETECTION IN A NETWORK THAT INCLUDES A DISTRIBUTED VIRTUAL SWITCH FABRIC - A network intrusion detection system (NIDS) works in conjunction with a distributed virtual switch fabric to provide enhanced network intrusion detection in a way that does not require as much human intervention, autonomically adjusts to hardware changes in the network, and responds much more quickly than known network intrusion detection systems. The NIDS accesses network information from the distributed virtual switch fabric, which gives the NIDS access to a virtual view that includes hardware information for all networking devices in the network. This allows the NIDS to automatically determine network topology, update itself as hardware in the network is added or changed, and promptly take automated service actions in response to detected network intrusions. The result is a NIDS that is easier to configure, maintain, and use, and that provides enhanced network security. | 08-22-2013 |
20130219502 | MANAGING A DDOS ATTACK - A method, system, and/or computer program product manages a distributed denial of service attack in a multiprocessor environment. A determination is made of (a) a first upper threshold for a normal number of packets from the multiprocessor environment to multiple destination addresses, (b) a second upper threshold for a normal ratio of the packets from the multiprocessor environment to a single destination address compared to the packets from the multiprocessor environment to the multiple destination addresses, and (c) a third upper threshold for a normal ratio of packets from the multiprocessor environment to a single port at a single destination address compared to packets from the multiprocessor environment to the multiple destination addresses. In response to the first and second thresholds being exceeded, a specific port is monitored to determine if the third upper threshold is being exceeded at that port, thus indicating an apparent distributed denial of service attack. | 08-22-2013 |
20130220408 | High Efficiency Flexible Solar Cells For Consumer Electronics - A flexible solar cell comprises an epitaxially grown III-V layer having a first layer grown on a base substrate, at least one intermediate layer grown on the first layer, and a cap layer grown on the at least one intermediate layer, the III-V layer being separated from the base substrate by controllably spalling the first layer from the base substrate; and a flexible substrate coupled to the epitaxially grown III-V layer. The flexible solar cell may be used to provide power to an electronic device. | 08-29-2013 |
20130221068 | IMPLEMENTING INTERLEAVED-DIELECTRIC JOINING OF MULTI-LAYER LAMINATES - A method and apparatus are provided for implementing interleaved-dielectric joining of multi-layer laminates. First and second multi-layer laminates are provided, each having with a laminated portion and an unlaminated portion. The first and second multi-layer laminates are joined together at the unlaminated portions by interleaving a plurality of dielectric layers of the first and second multi-layer laminates. Respective conductors carried by adjacent dielectric layers are connected. The interleaved unlaminated portions are laminated together with heat and pressure, to create a larger laminate of the joined first and second multi-layer laminates. | 08-29-2013 |
20130221096 | ACQUIRING INFORMATION DYNAMICALLY REGARDING A HARDWARE COMPONENT IN THE CLOUD COMPUTING SYSTEM WHILE ON THE FLOOR OF THE DATA CENTER - A method, system and computer program product for managing hardware components in a cloud computing environment. A mobile device captures an image of a bar code placed on a rack that is associated with an identifier that references an Internet resource of the management software that manages the rack. The mobile device transmits a request to the management software for information regarding a component in the rack, where the request includes a unique identifier (e.g., serial number) associated with the component. The management software uses the unique identifier to identify the component of interest and transmits information regarding the component of interest to the mobile device. In this manner, the client device can conveniently access the management software as well as conveniently acquire information dynamically from the management software about specific hardware components in these racks while the user is on the floor of the data center. | 08-29-2013 |
20130221454 | PLANAR CAVITY MEMS AND RELATED STRUCTURES, METHODS OF MANUFACTURE AND DESIGN STRUCTURES - A Micro-Electro-Mechanical System (MEMS). The MEMS includes a lower chamber with a wiring layer and an upper chamber which is connected to the lower chamber. A MEMS beam is suspended between the upper chamber and the lower chamber. A lid structure encloses the upper chamber, which is devoid of structures that interfere with a MEMS beam. The lid structure has a surface that is conformal to a sacrificial material vented from the upper chamber. | 08-29-2013 |
20130221479 | CMOS STRUCTURE AND METHOD OF MANUFACTURE - CMOS structures with a replacement substrate and methods of manufacture are disclosed herein. The method includes forming a device on a temporary substrate. The method further includes removing the temporary substrate. The method further includes bonding a permanent electrically insulative substrate to the device with a bonding structure. | 08-29-2013 |
20130221529 | HYBRID INTERCONNECT STRUCTURE FOR PERFORMANCE IMPROVEMENT AND RELIABILITY ENHANCEMENT - A hybrid interconnect structure (of the single or dual damascene type) is provided in which a dense (i.e., non-porous) dielectric spacer is present on the sidewalls of a dielectric material. More specifically, the structure includes a dielectric material having a conductive material embedded within at least one opening in the dielectric material, wherein the conductive material is laterally spaced apart from the dielectric material by a diffusion barrier, a dense dielectric spacer and, optionally, an air gap. The presence of the dense dielectric spacer results in a hybrid interconnect structure that has improved reliability and performance. Moreover, the hybrid interconnect structure provides for better process control which leads to the potential for high volume manufacturing. | 08-29-2013 |
20130222136 | RADIO FREQUENCY IDENTIFICATION TAG GRIPPER DEVICE - The present invention provides a radio frequency identification tag gripper device, the technical scheme including: a first gripper portion; a second gripper portion, which generates a gripping force together with the first gripper portion; a radio frequency identification tag, a circuit portion of which is divided into at least a first section and a second section, an upper surface of the first section and a lower surface of the second section being coated with strong glue, wherein the upper surface of the first section is used to bond with a grip surface of the first gripper portion when gripped tightly, and the lower surface of the second section is used to produce a coupling force with a surface of the gripped object when gripped tightly. Utilizing the technical solution of the present invention, it is possible to further improve the security of monitoring of the radio frequency identification tag. | 08-29-2013 |
20130222380 | ANIMATING A MOVING OBJECT IN A THREE-DIMENSIONAL COORDINATE SYSTEM - A method for modeling and animating an object trajectory in three-dimensional (3D) space. The trajectory includes at least one course which represents a 3D model mesh. A course includes at least one segment which is a display unit of the 3D model mesh. A segment includes two 3D points. Multiple vertices are generated for a first 3D point of the segment to specify a plane such that a normal vector of the specified plane is parallel to a vector directed from the first 3D point of the segment to a second 3D point of the segment. The generated vertices are added to the 3D model mesh so that the generated vertices can be subsequently displayed as an extension of the 3D model mesh. | 08-29-2013 |
20130222940 | MAGNETIC TAPE SERVO FORMAT ALLOWING FOR INCREASED LINEAR TAPE DENSITY AND SYSTEMS THEREOF - In one general embodiment, a magnetic recording tape includes a plurality of servo tracks, each servo track comprising a series of magnetically defined bars, wherein an average stripe width of the bars is between about 1.0 micron and about 2.2 microns, where an average servo frame length of groups of the bars comprising a servo frame is between about 120 microns and about 180 microns. In another general embodiment, a system includes a head having at least one servo reader and an array of data transducers of a type selected from a group consisting of readers and writers; and a controller operative to selectively enable every other transducer of a particular type in the array in a first mode of operation, and operative to selectively enable every transducer of the particular type in the array in a second mode of operation. | 08-29-2013 |
20130223030 | PROCESS FOR MAKING STUBLESS PRINTED CIRCUIT BOARDS - A process of copper plating a through-hole in a printed circuit board, and the printed circuit board made from such process. The process comprises: providing a printed circuit board with at least two copper interconnect lines separated by an insulator in the vertical direction; providing a through-hole in the printed circuit board in the vertical direction such that the interconnect lines provide a copper land in the through-hole; applying a seed layer to an interior surface of the through-hole; removing an outermost portion of the seed layer from the interior surface of the through-hole with a laser; applying copper on the seed layer. | 08-29-2013 |
20130223172 | SELF-REPAIR INTEGRATED CIRCUIT AND REPAIR METHOD - A method for repairing degraded field effect transistors includes forward biasing PN junctions of one of a source and a drain of a field effect transistor (FET), and a body of the FET. Charge is injected from a substrate to a gate region to neutralize charge in the gate region. The method is applicable to CMOS devices. Repair circuits are disclosed for implementing the repairs. | 08-29-2013 |
20130224896 | MICRO-ELECTRO-MECHANICAL SYSTEM TILTABLE LENS - A tiltable micro-electro-mechanical (MEMS) system lens comprises a microscopic lens located on a front surface of a semiconductor-on-insulator (SOI) substrate and a semiconductor rim surrounding the periphery of the microscopic lens. Two horizontal semiconductor beams located at different heights are provided within a top semiconductor layer. The microscopic lens may be tilted by applying an electrical bias between the lens rim and one of the two semiconductor beams, thereby altering the path of an optical beam through the microscopic lens. An array of tiltable microscopic lenses may be employed to form a composite lens having a variable focal length may be formed. A design structure for such a tiltable MEMS lens is also provided. | 08-29-2013 |
20130224915 | GATE-ALL AROUND SEMICONDUCTOR NANOWIRE FETs ON BULK SEMICONDUCTOR WAFERS - Non-planar semiconductor devices are provided that include at least one semiconductor nanowire suspended above a semiconductor oxide layer that is present on a first portion of a bulk semiconductor substrate. An end segment of the at least one semiconductor nanowire is attached to a first semiconductor pad region and another end segment of the at least one semiconductor nanowire is attached to a second semiconductor pad region. The first and second pad regions are located above and are in direct contact with a second portion of the bulk semiconductor substrate which is vertically offsets from the first portion. The structure further includes a gate surrounding a central portion of the at least one semiconductor nanowire, a source region located on a first side of the gate, and a drain region located on a second side of the gate which is opposite the first side of the gate. | 08-29-2013 |
20130224924 | PAD-LESS GATE-ALL AROUND SEMICONDUCTOR NANOWIRE FETS ON BULK SEMICONDUCTOR WAFERS - A non-planar semiconductor device is provided including at least one semiconductor nanowire suspended above a semiconductor oxide layer present within a portion of a bulk semiconductor substrate. The semiconductor oxide layer has a topmost surface that is coplanar with a topmost surface of the bulk semiconductor substrate. A gate surrounds a portion of the at least one suspended semiconductor nanowire, a source region located on a first side of the gate, and a drain region located on a second side of the gate. The source region is in direct contact with an exposed end portion of the at least one suspended semiconductor nanowire, and the drain region is in direct contact with another exposed end portion of the at least one suspended semiconductor nanowire. The source and drain regions have an epitaxial relationship with the exposed end portions of the suspended semiconductor nanowire. | 08-29-2013 |
20130224959 | Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION - Disclosed are a method and a system for processing a semiconductor structure of the type including a substrate, a dielectric layer, and a TaN—Ta liner on the dielectric layer. The method comprises the step of using XeF2 to remove at least a portion of the TaN—Ta liner completely to the dielectric layer. In the preferred embodiments, the present invention uses XeF2 selective gas phase etching as alternatives to Ta—TaN Chemical Mechanical Polishing (CMP) as a basic “liner removal process” and as a “selective cap plating base removal process.” In this first use, XeF2 is used to remove the metal liner, TaN—Ta, after copper CMP. In the second use, the XeF2 etch is used to selectively remove a plating base (TaN—Ta) that was used to form a metal cap layer over the copper conductor. | 08-29-2013 |
20130225198 | ESTIMATING LOCATION BASED ON SOCIAL MEDIA - A method for processing a first message group and a second message group submitted by a user on the basis of the date and time submitted with each message includes executing, with a computer, a learning operation and an estimating operation. The learning operation includes extracting a first location message having a specifiable location from the first message group, extracting a first expression message including a specific expression from the first message group; and associating the location and the expression on the basis of the date and time submitted with each message, and for generating learning data. The estimating operation includes extracting a second expression message including a predetermined expression from the second message group, accepting input of a specified date and time for estimating a location; associating the expressions with each other on the basis of the specified date and time; and estimating a location at the specified date and time using coordination filtering on the basis of the learning data and the input data. | 08-29-2013 |
20130225217 | Interference Coordination in Wireless Network Cloud Environment - A method, system and base station for implementing interference coordination. The method includes the steps of obtaining an interference coordination object; receiving interference coordination information from an object base station, parsing the interference coordination information based on the interference coordination object, and performing interference coordination by utilizing the parsed interference coordination information. The method and base station for implementing interference coordination according to the present invention can significantly improve the spectral resource utilization and the cell edge user's performance. | 08-29-2013 |
20130226333 | FAST-ACCESS SELF-REPAIRING TAPE LIBRARY USING MOBILE ROBOTS - In some embodiments, a system includes a plurality of tape reels positioned on a lower surface, a tape drive for reading data from a tape on one of the plurality of tape reels, and at least one mobile robot configured for selectively retrieving at least one of the plurality of tape reels and transporting the at least one retrieved tape reel to the tape drive. | 08-29-2013 |
20130226446 | METHOD FOR ROUTING IN A NETWORK, ROUTING SYSTEM, AND TRANSPORT SYSTEM - A method for routing in a network including a plurality of nodes and links between nodes, includes the steps of: setting a start node and a destination node; for each node, assigning a waiting time distribution for at least one means of transport for at least one intermediate node between the start node and the destination node; and providing a list of alternative means of transport linking the intermediate node to a subsequent node as a function of the waiting time distribution assigned to the at least one means of transport at the intermediate node. | 08-29-2013 |
20130226526 | Automated Performance Data Management and Collection - Performance data for a healthy system is captured and used to create benchmarks that represent behavior of the computing environment in a healthy state. Current behavior (e.g, run-time characteristics) of the computing environment are monitored in an on-going manner, and are compared to the benchmarks. When a benchmark is violated, performance data capture is dynamically and automatically enabled. Or, if performance data is already being captured, then performance data capture may be enabled for a larger subset of possible data. Optionally, performance data capture may be automatically disabled upon detecting that the current behavior of the computing environment has returned to a healthy state where benchmarks are no longer violated. | 08-29-2013 |
20130226551 | PREDICTING SYSTEM PERFORMANCE AND CAPACITY USING SOFTWARE MODULE PERFORMANCE STATISTICS - An approach is presented for predicting performance and capacity of an information technology (IT) system. Sets of component-level and middleware-based performance statistics are retrieved. The sets of component-level performance statistics measure individual performances of reusable software modules in test runs of the software modules. The middleware-based performance statistics represent a contribution of customized middleware to the performance of the IT system in a test run of the customized middleware. Based on the sets of component-level and middleware-based performance statistics and before the IT system is built, a prediction of computing resource requirements and characteristics of the performance of the IT system is generated. Generating the prediction results in a recommendation of computing resources required to build the IT system without over-specifying the computing resources. | 08-29-2013 |
20130226650 | APPARATUS FOR VALIDATING PROCESSES FOR INFORMATION COMPLETENESS - Embodiments of the present invention provide a method, system and computer program product for modeling and validating a user process. In an embodiment of the invention, a model validation method can include linking in memory of a computer a task of an activity modeled within a business process model to a defined role specifying information accessible to end users associated with the defined role and additionally linking in the memory of the computer the task of the activity to an object model specifying information required by an end user to complete a corresponding task. The method also can include generating a mapping from the linkings. Finally, the method an include validating the business process by determining from the mapping whether or not an end user associated with the role can access information necessary to perform the task. | 08-29-2013 |
20130226848 | MODIFYING CONSTRAINT-COMPLIANT POPULATIONS IN POPULATION-BASED OPTIMIZATION - An example system and process with some operations that include determining a plurality of values, wherein assignment of any of the plurality of values to one or more constrained variables of a candidate solution causes the candidate solution to comply with a constraint condition of an optimization problem, wherein the candidate solution complies with the constraint condition prior to being mutated via a computer-based simulation that tests fitness of the candidate solution. The operations further include after the candidate solution is mutated, determining that the candidate solution fails to comply with the constraint condition. The operations further include assigning at least one value, which is randomly selected from the plurality of values, to the one or more constrained variables of the candidate solution. | 08-29-2013 |
20130226852 | SMART SURVEY WITH PROGRESSIVE DISCOVERY - Aspects of the invention collect data or information from a dynamic and adaptive target group selection. Sets of experts are selected. Responses to a survey are used to expand the set of experts by adding experts noted in answer referrals. The survey is updated by removing satisfied questions, and expert selections, answers analysis and survey updating and resending steps are iteratively repeated until each question is satisfied, wherein the expert sets may be dynamically revised in each iteration. | 08-29-2013 |
20130226889 | DATABASE QUERY USING A USER-DEFINED FUNCTION - A database query by using a user-defined function (UDF) is provided. A computer-implemented method for performing the database query by using the UDF includes detecting whether a command for invoking the UDF to transmit a parameter value has been received. Based on detecting that the command for invoking the UDF to transmit the parameter value has been received: a first interface of the UDF is started; the parameter value is received through the first interface; and the received parameter value is transmitted to an external data source. The method further includes returning to detecting whether the command for invoking the UDF to transmit the parameter value has been received. | 08-29-2013 |
20130226901 | PROCESSING ABSTRACT DERIVED ENTITIES DEFINED IN A DATA ABSTRACTION MODEL - A method, apparatus and article of manufacture for utilizing an abstract derived entity are disclosed. An abstract derived entity is a data object present in an abstract data model that may be referenced by other entities in the abstract data model as though it were a relational table present in a physical data source. In one embodiment, an abstract data model provides data access independent of the manner in which the data is physically represented in a set of physical data sources. In addition, an abstract derived entity may be used to provide aggregate data joined with other non-aggregate data. Aggregate data values are calculated from the column of a relational table, or other sets of input data. In one embodiment, an abstract derived entity may describe a describe relational table comprising a set of aggregate data used to form a column of the relational table joined to other columns formed from the sets of input data specified by the abstract derived entity. The resulting abstract derived table may be queried as though it were an actual relational table stored in a physical data source. | 08-29-2013 |
20130226902 | SYSTEM AND PROGRAM FOR JOINING SOURCE TABLE ROWS WITH TARGET TABLE ROWS - A data processing system, and an article of manufacturing, join rows associated with a source table column with rows associated with a target table column. A source node and a target node contain the source and target tables, respectively. A reduced representation of selected rows associated with the source table column is generated, as is a representation of the target table column. A filtering module filters the generated reduced representation of selected rows associated with the source table column through the generated representation of the target table column, the filtered generated reduced representation of selected rows identifying source table rows that do not have to be joined with the target table. The rows associated with the source table column minus the filtered generated reduced representation of selected rows are joined to the rows associated with the target table column. | 08-29-2013 |
20130226922 | Identification of Complementary Data Objects - In one aspect, the description relates to identifying complementary data objects, including providing a plurality of data objects, applying a clustering algorithm for grouping at least some of the data objects into two or more clusters, for each of the clusters, calculating a cluster center, calculating, for at least a first one of the cluster centers, a complementary cluster center, determining a second cluster center of a second cluster, the second cluster center being determined as the one of the cluster centers having the smallest distance in respect to the complementary cluster center, selecting at least one data object of the determined second cluster. Other features and aspects may be realized, depending upon the particular application. | 08-29-2013 |
20130226951 | Interactive Visualization Of Sender and Recipient Information In Electronic Communications - An approach is provided that visually distinguishes between entity types in a communication system. A Data store with entities is queried, with entities connected to one another as senders and receivers, resulting in a query result. A node data structure corresponding to each of the entities is created and an edge data structure corresponding to each of the connections between the entities is also created. Nodes corresponding to the node data structures are displayed, along with edges (e.g., connection lines) corresponding to the edge data structures. A user selects one of the nodes that is displayed, and the selected node is visually highlighted. Different types of non-selected nodes are identified based on the selected node. Types of nodes may include sender type nodes, receiver type nodes, and sender/receiver type nodes. The types of identified nodes are highlighted in a manner that visually distinguishes each type from the other types. | 08-29-2013 |
20130226965 | Acquiring Customized Information from a Server - A mechanism is provided for acquiring information from a server based on search condition input by a search performing user and communication results with other information terminals. Input search conditions are received from a search performing user. Communication is performed with other information terminals. A search request is transmitted to the server based on the input search conditions and the communication results from the other information terminals. Information is received from the server that matches input search results and the communication results. The acquired information is customized based the communication results with the other information terminals. The customized information is then displayed on the information terminal. | 08-29-2013 |
20130226981 | Round for Reround Mode in a Decimal Floating Point Instruction - A round-for-reround mode (preferably in a BID encoded Decimal format) of a floating point instruction prepares a result for later rounding to a variable number of digits by detecting that the least significant digit may be a 0, and if so changing it to 1 when the trailing digits are not all 0. A subsequent reround instruction is then able to round the result to any number of digits at least 2 fewer than the number of digits of the result. An optional embodiment saves a tag indicating the fact that the low order digit of the result is 0 or 5 if the trailing bits are non-zero in a tag field rather than modify the result. Another optional embodiment also saves a half-way-and-above indicator when the trailing digits represent a decimal with a most significant digit having a value of 5. An optional subsequent reround instruction is able to round the result to any number of digits fewer or equal to the number of digits of the result using the saved tags. | 08-29-2013 |
20130227025 | E-MEETING SUMMARIES - A method, computer program product, and system for determining a custom summary of a web conference is described. A first input associated with a first portion of a web conference is received. A first content associated with the web conference is selected based upon, at least in part, the first input. A custom summary of the web conference is determined based upon, at least in part, the selected first content and a relation associated with a socially-connected group. | 08-29-2013 |
20130227034 | EFFICIENT EMAIL PROCESSING BY INSTANT STATE NOTIFICATION - Processing an email includes receiving, using a processor, operation status information of an operation on an email by a user. The operation status information includes user identification information of the user, email identification information of the email, and the current operation status on the email by the user. The operation status information of the operation on the email by the user is stored. Using the processor, the stored operation status information is transmitted to other users associated with the email. | 08-29-2013 |
20130227094 | VIRTUAL NETWORK CONFIGURATION AND MANAGEMENT - A Hardware Management Console (HMC) configures virtual networks. The HMC extends Internal Queued Direct I/O to an intra-ensemble data network (IEDN) within a cluster of virtual servers to define a single channel path identifier, and to define a channel parameter. The HMC defines at least one virtual network. The HMC defines a bridge port within each CPC that supports at least one of the virtual servers. The HMC defines a network interface for each virtual server within each cluster. The HMC grants access to a corresponding virtual network for each virtual server. | 08-29-2013 |
20130227105 | Policy-Based Resource Management with Target-Driven Remediation on Server - A resource management solution for use in a data-processing infrastructure comprising a server data-processing entity and a set of target data-processing entities that is operable for downloading a set of management policies, executing, a verification action indicated in each one of the corresponding management policies for verifying a compliance of the target data-processing entity with the management policy, executing a target-side remediation action indicated in each violated one of the corresponding target-side management policies which the target data-processing entity is not compliant with, for remedying the non-compliance of the target data-processing entity with the violated target-side management policy, sending an indication of a remediation request for each violated server-side management policies, which each target data-processing entity is not compliant with, and executing, a corresponding server-side remediation action in response to each remediation request for remedying the non-compliance of the corresponding target data-processing entity with the corresponding violated server-side management policy. | 08-29-2013 |
20130227109 | Policy-Based Resource Management with Target-Driven Remediation on Server - A resource management solution for use in a data-processing infrastructure comprising a server data-processing entity and a set of target data-processing entities that is operable for downloading a set of management policies, executing a verification action indicated in each one of the corresponding management policies for verifying a compliance of the target data-processing entity with the management policy, executing a target-side remediation action indicated in each violated one of the corresponding target-side management policies which the target data-processing entity is not compliant with, for remedying the non-compliance of the target data-processing entity with the violated target-side management policy, sending an indication of a remediation request for each violated server-side management policies, which each target data-processing entity is not compliant with, and executing a corresponding server-side remediation action in response to each remediation request for remedying the non-compliance of the corresponding target data-processing entity with the corresponding violated server-side management policy. | 08-29-2013 |
20130227110 | HANDLING A SERVICE REQUEST IN A HYBRID COMPUTER SYSTEM - A method and associated systems for handling a service request in a hybrid computer system. The hybrid computer system includes an application server computer and at least two mainframe computers. The server computer receives a service request from a user application, determines that the service request does not request a memory-management function, associates the request with a single-digit numeric category, and selects one of the mainframe computers as being able to most efficiently provide the requested service. The server then translates the service request into a configuration compatible with the selected mainframe and with a mainframe operating system running on the selected mainframe, and transmits the translated request to the selected mainframe. The server receives a result from the mainframe and translates the result into a configuration compatible with the application server computer, with the user application, and with a server operating system running on the application server computer. | 08-29-2013 |
20130227121 | MONITORING A PATH OF A TRANSACTION ACROSS A COMPOSITE APPLICATION - At each intermediate transaction hop from among multiple transaction hops in a transaction flow through a composite application, an entry with tracking data for a current transaction hop of the multiple transaction hops is inserted into a tracking envelope associated with the transaction flow and the tracking envelope is passed to a next transaction hop of the transaction hops in the transaction flow. At a final transaction hop of the multiple transaction hops, a final entry with tracking data for the final transaction hop is inserted into the tracking envelope and the multiple entries with tracking data for each of the transaction hops in the tracking envelope are exposed in a single tracking event. | 08-29-2013 |
20130227130 | MANAGING SERVICE LEVELS PROVIDED BY SERVICE PROVIDERS - A method and system for managing at least one service level of a service provided by a service provider to a customer of the service provider under a service level agreement. The service level agreement is a contract between the service provider and the customer. Measurement data and at least one adjudication element associated with a service level of the at least one service level are retrieved in an electronic format. The measurement data and the at least one adjudication element are stored in a datastore. The measurement data includes at least one data point measured on a respective resource that provides the service. The retrieved measurement data are adjudicated for the service level in accordance with the at least one adjudication element such that the adjudication generates a respective adjudicated data point for each data point of the measurement data. | 08-29-2013 |
20130227131 | DYNAMICALLY BUILDING A SET OF COMPUTE NODES TO HOST THE USER'S WORKLOAD - A method, system and computer program product for dynamically building a set of compute nodes to host a user's workload. An administrative server receives workload definitions that include the types of workloads that are to be run in a cloud group as well as a number of instances of each workload the cloud group should support. These workload definitions are used to determine the virtual machine demands that the cloud group will place on the cloud environment. The administrative server further receives the demand constraints, placement constraints and license enforcement policies. The administrative server identifies a set of compute nodes to host the user's workload based on the virtual machines demands, the demand constraints, the placement constraints and the license enforcement policies. In this manner, a set of compute nodes is dynamically built for consideration in forming a cloud group without the user requiring knowledge of the cloud's composition. | 08-29-2013 |
20130227132 | AUTOMATICALLY SELECTING APPROPRIATE PLATFORM TO RUN APPLICATION IN CLOUD COMPUTING ENVIRONMENT - A method, system and computer program product for selecting an appropriate platform to run an application deployed in a cloud computing environment. The appropriate platform is selected by employing a two phase process, where the first phase occurs prior to the deployment of the application and the second phase occurs after the application has been deployed. In the first phase, the cloud computing node selects a platform using various factors, such as application binaries, application metadata and artifacts, and qualities of service and application requirements. In the second phase, the cloud computing node determines whether an alternative platform needs to be implemented for subsequent deployments of the application using various factors, such as application runtime metrics and garbage collection metrics. In this manner, an appropriate platform is automatically selected thereby removing the requirement for the user to indicate the type of platform for the target environment. | 08-29-2013 |
20130227140 | Identity provider discovery service using a publish-subscribe model - A proxy is integrated within an F-SSO environment and interacts with an external identity provider (IdP) instance discovery service. The proxy proxies IdP instance requests to the discovery service and receives responses that include the IdP instance assignments. The proxy maintains a cache of the instance assignment(s). As new instance requests are received, the cached assignment data is used to provide appropriate responses in lieu of proxying these requests to the discovery service, thereby reducing the time needed to identify the required IdP instance. The proxy dynamically maintains and manages its cache by subscribing to updates from the discovery service. The updates identify IdP instance changes (such as servers being taken offline for maintenance, new services being added, etc.) occurring within the set of geographically-distributed instances that comprise the IdP service. The updates are provided via a publication-subscription model such that the proxy receives change notifications proactively. | 08-29-2013 |
20130227178 | Extended Input/Output Measurement Word Facility for Obtaining Measurement Data - An Extended Input/output (I/O) measurement word facility is provided. Provision is made for emulation of the Extended I/O measurement word facility. The facility provides for storing measurement data associated with a single I/O operation in an extended measurement word associated with an I/O response block. In a further aspect, the stored data may have a resolution of approximately one-half microsecond. | 08-29-2013 |
20130227188 | Dynamic Resource Management in Mobile Computing Devices - Provided are techniques for physically coupling, via a docking port, a first stand-alone computing device to a communication bus coupled to a set of processing resources; detecting, by the communication bus, the coupling; responsive to the detecting of the coupling, correlating the stand-alone computing device to a subset of the set of processing resources; signaling, by the communication bus, each resource of the subset of the coupling; and responsive to the signaling, dynamically configuring the stand-alone computing device and each resource of the subset to enable the stand-alone computing device to utilize, each resource of the subset. | 08-29-2013 |
20130227216 | DATA STORAGE SYSTEM HAVING MULTIPLE CONTROLLER MODULES - A data storage system includes a data storage module having at least a first I/O port and a second I/O port, a first controller module directly connected to the first I/O port, and a second controller module directly connected to the second I/O port. The second controller module is also in communication with the first controller module via a communication link, wherein the first and second controller modules are redundant controllers for the data storage module, and wherein, when the data storage module is controlled by the first controller module, the first controller module writes data to, or reads data from, the data storage module via a direct path to the first I/O port, and writes data to, or reads data from, the data storage module via an indirect path through the communication link and the second controller module to the second I/O port. | 08-29-2013 |
20130227232 | PARTITION AWARE QUALITY OF SERVICE FEATURE - A method for providing a partition aware quality of service feature may include receiving an indication of data to be stored in a distributed memory grid, determining a quality of service policy rule to be applied in relation to storage of the data in the memory grid based on the indication, and initiating storage of data blocks of the data in the memory grid. The data blocks may be provided with corresponding partition identifiers that facilitate retrieval of the data by indicating a location of storage of respective ones of the data blocks within the memory grid. The method may further include providing a quality of service token in association with the partition identifier based on the quality of service policy rule. | 08-29-2013 |
20130227234 | TEMPORARY VTOC LOCKING DURING DEFRAGMENTATION - Various embodiments for defragmentation of a storage volume in a computing storage environment by a processor device are provided. A volume table of contents (VTOC) is serialized for a volume scan operation. At least one dummy data set in the storage volume is allocated, to be subsequently filled with actual data pursuant to a degragmentation operation. Input/output (I/O) operations are allowed to occur. | 08-29-2013 |
20130227242 | PROVIDING VERSIONING IN A STORAGE DEVICE - Provided are a computer program product, system and method for managing Input/Output (I/O) requests to a storage device. In response to a write request, a determination is made as to whether preserve mode is enabled. A first entry is located in a volume control table for the logical address to write indicating a version number of the data and a first physical location in the storage device. The write data is written to a second physical location in the storage device. If the preserve mode is enabled, a second entry is added to the volume control table for the logical address, the volume control table is updated to have the first or second entry for the logical address point to the second physical location and indicate a current version, and the first or second entry not indicating the current version indicates the first physical location and a previous version. | 08-29-2013 |
20130227249 | Three-Dimensional Permute Unit for a Single-Instruction Multiple-Data Processor - A three-dimensional (3D) permute unit for a single-instruction-multiple-data stacked processor includes a first vector permute subunit and a second vector permute subunit. The first and second vector permute subunits are arranged in different layers of a 3D chip package. The vector permute subunits are each configured to process a portion of at least two input vectors. A first contact sub-field of the first vector permute subunit is configured to connect output ports of a first crossbar of the first vector permute subunit, holding an intermediate result of the first vector permute subunit, to a second contact sub-field of the second vector permute subunit. A first contact sub-field of the second vector permute subunit is configured to connect output ports of a first crossbar of the second vector permute subunit, holding an intermediate result of the second vector permute subunit, to a second contact sub-field of the first vector permute subunit. | 08-29-2013 |
20130227278 | GENERATION OF RELATIVE PRIME NUMBERS FOR USE IN CRYPTOGRAPHY - The disclosed technology generates two relative prime numbers and, then, using the relative prime numbers converts a super-increasing (SI) knapsack into a non-super increasing (NSI) knapsack. The NSI knapsack becomes a public key and the corresponding SI knapsack, along with the two relative prime numbers, becomes a private key. A message is encrypted using a subset S of the private key that totals a number N. The message, the public key and the number N are transmitted to a recipient, who knows the value of the two relative prime numbers. The recipient uses the relative prime numbers to convert the public key into the private key and, then, generates the subset S by solving the private key with respect to the number N. Using the subset, the message is decrypted. | 08-29-2013 |
20130227305 | BORN ENCRYPTED OPTICAL DATA - A device for generating a born encrypted optical file includes a photovoltaic matrix for converting an optical image into a digital file. The digital file is a collection of digital data that has not been processed by any image processing logic and thus cannot be used to directly generate a reproduced image of the object. An encryption logic converts the digital file into an encrypted digital file that can be exported from the device to an authorized device to create a decrypted digital file. This decrypted digital file is capable of being used by a display logic to display an image of the object. | 08-29-2013 |
20130227359 | Managing failover in clustered systems - Failover in a clustered system is managed. The clustered system includes sub-clusters connected with one another by a first set of links. Each sub-cluster includes nodes connected with one another by a second set of links. At least one of the second set of links is used to determine that a suspect node within a same sub-cluster has stopped. In response, a lease relinquish message is transmitted on behalf of the suspect node. | 08-29-2013 |
20130227375 | Techniques for Reusing Components of a Logical Operations Functional Block as an Error Correction Code Correction Unit - A logical operations functional block for an execution unit of a processor includes a first input data link for a first operand and a second input data link for a second operand. The execution unit includes a register connected to an error correction code detection unit. The logical operations functional block includes a look-up table configured to receive an error correction code syndrome from the error correction code detection unit. The logical operations functional block also includes a multiplexer configured to receive an output signal from the look-up table at a first input and the first operand at a second input, wherein an output of the multiplexer is coupled to the first input data link of a logical functional unit. | 08-29-2013 |
20130227380 | READ-DETECTION IN SOLID-STATE STORAGE DEVICES - A method for detecting codewords of a length-N, q | 08-29-2013 |
20130227484 | CUSTOMIZING A TAG CLOUD - A method for customizing a tag cloud includes assigning a score to each tag in a source of tags, the score being based on a tag attribute; temporarily increasing the score for a new tag during a time period measured from a creation of the new tag; and customizing said tag cloud in a graphical user interface by emphasizing each tag according to its score. | 08-29-2013 |
20130227542 | VERSION CONFLICT CHECKING TO INSTALLABLE UNIT - According to an aspect of the present invention, there is provided a method, system, and computer program product for version conflict checking of installable units (IUs). The method includes receiving a request for version conflict checking of specified IU, the request including a version dependency relationship between IUs that are in a dependency relationship with the specified IU. A version dependency relationship between installed IUs is obtained. The version dependency relationship between the installed IUs is updated according to the version dependency relationships between the IUs that are in a dependency relationship with the specified IU. It is checked whether the specified IU has a version conflict with the updated version dependency relationship between the installed IUs. | 08-29-2013 |
20130227582 | Prediction Based Priority Scheduling - Systems and methods are provided that schedule task requests within a computing system based upon the history of task requests. The history of task requests can be represented by a historical log that monitors the receipt of high priority task request submissions over time. This historical log in combination with other user defined scheduling rules is used to schedule the task requests. Task requests in the computer system are maintained in a list that can be divided into a hierarchy of queues differentiated by the level of priority associated with the task requests contained within that queue. The user-defined scheduling rules give scheduling priority to the higher priority task requests, and the historical log is used to predict subsequent submissions of high priority task requests so that lower priority task requests that would interfere with the higher priority task requests will be delayed or will not be scheduled for processing. | 08-29-2013 |
20130227590 | MANAGING AND OPTIMIZING WORKFLOWS AMONG COMPUTER APPLICATIONS - A middleware system, method and program product for managing workflows among computer applications. The middleware system includes: a common construct unit and a workflow export unit. The common construct unit (i) retrieves a mapping between a component of a macro workflow of the middleware system and a micro workflow component of a first computer application and (ii) executes the macro workflow which orchestrates micro workflows of the first computer application and a second computer application. The workflow export unit generates an output that enables the second computer application to execute a workflow that corresponds to the workflow of the first computer application. | 08-29-2013 |
20130227676 | ACCESS REQUEST AUTHENTICATION AND AUTHORIZATION INFORMATION GENERATION - An access request authentication method, an authorization information generation method, an access request authentication system, and a hardware device. The access request authentication method includes: obtaining the current clock information; receiving a first access request, where the first access request includes a first input code; and determining whether to authorize the first access request based on the current clock information and the first input code. | 08-29-2013 |
20130229919 | MANAGING DATA ON INFINIBAND (IB) NETWORKS - Mechanisms are provided to improve efficiency of systems operating on internet protocol (IP) over Infiniband (IB) networks. One mechanism includes multicasting a first ARP request from a source node, the first ARP request including an IP address of a source port of the source node, and performing load-balancing of host traffic across a plurality of ports of a plurality of nodes by sending a reply from a destination node corresponding to the IP address included in the first ARP request, the reply being sent over the source port to the source node. | 09-05-2013 |
20130230983 | HYBRID INTERCONNECT STRUCTURE FOR PERFORMANCE IMPROVEMENT AND RELIABILITY ENHANCEMENT - A method of forming a hybrid interconnect structure including dielectric spacers is provided. The method includes forming at least one opening in a dielectric material utilizing a patterned hard mask located on a surface of the dielectric material as a mask, wherein an undercut is present beneath said patterned hard mask. Next, a dense dielectric spacer is formed in the at least one opening and at least partially on exposed sidewalls of the dielectric material. A diffusion barrier and a conductive material are then formed within the at least one opening. | 09-05-2013 |
20130232010 | MOBILE DEVICE CLUSTER ADVERTISEMENT DELIVERY - Methods provide advertisement delivery to a cluster of mobile devices with computer readable program code, when read by a processor, configured for identifying, among a plurality of mobile devices associated with a network, a cluster of two or more mobile devices. The identification may be based at least in part on a relative displacement of the two or more mobile devices during a period of time being less than a maximum relative displacement. The methods may further include displaying an advertising message on each mobile device in the cluster. | 09-05-2013 |
20130232111 | OPTIMIZING DISASTER RECOVERY SYSTEMS DURING TAKEOVER OPERATIONS - Exemplary method, system, and computer program product embodiments for optimizing disaster recovery systems during takeover operations are provided. In one embodiment, by way of example only, a flag is set in a replication grid manager to identify replication grid members to consult in a reconciliation process for resolving intersecting and non-intersecting data amongst the disaster recovery systems for a takeover operation. The replication grid members are consulted for the takeover operation to accommodate a coordination of an ownership synchronization process for cartridges not distributed on-time to the replication grid members. Additional system and computer program product embodiments are disclosed and provide related advantages. | 09-05-2013 |
20130232116 | CALCULATING DEDUPLICATION DIGESTS FOR A SYNTHETIC BACKUP BY A DEDUPLICATION STORAGE SYSTEM - Input backup data is deduplicated with data of a synthetic backup previously constructed by a deduplication storage. A synthetic backup is constructed by processing metadata instructions provided by a backup application. Deduplication digests are calculated based on the data of the synthetic backup and the deduplication digests are stored in a digests index. When new backup data is processed, deduplication digests of the new data are calculated and searched in the digests index. Matching digests of previously constructed synthetic backups are located in the digests index. Each of the located matching digest references stored data are included in the synthetic backup, and the stored data is similar to the input backup data. Data matches are found in the input data and data in the synthetic backup. | 09-05-2013 |
20130232131 | MANAGING SEARCH-ENGINE-OPTIMIZATION CONTENT IN WEB PAGES - A method for managing the Search Engine Optimization (SEO) content of web pages is disclosed. In one embodiment, such a method includes providing a set of web pages organized in a hierarchical structure. Each web page has an SEO content pattern associated therewith. The method establishes an inheritance scheme for the hierarchical structure such that the SEO content patterns of parent web pages are inherited by children web pages. The method further enables a user to override the inheritance scheme for selected web pages such that the SEO content patterns of the selected web pages override the SEO content patterns of their respective parent web pages. A corresponding apparatus and computer program product are also disclosed. | 09-05-2013 |
20130232241 | Method And System For Configuring A Device That Has Failed To Obtain Network Address - Method and system for configuring a device that has failed to obtain a network address. In one aspect of the invention, a method for remotely configuring a device includes attempting to obtain a network address from a network server over a network, and receiving a valid network address over the network from a remote device connected to the network in response to failing to obtain the network address from the network server. | 09-05-2013 |
20130232244 | INTELLIGENT ROLLING UPGRADE FOR DATA STORAGE SYSTEMS - Various method, system, and computer program product embodiments for facilitating upgrades in a computing storage environment are provided. In one such embodiment, one of an available plurality of rolling upgrade policies registering at least one selectable upgrade parameter for an upgrade window is selected. A node down tolerance factor is set for at least one node in the computing storage environment. The node down tolerance factor specifies a percentage of elements of the at least one node taken offline to apply the selected one of the available plurality of rolling upgrade policies during the upgrade window. | 09-05-2013 |
20130233305 | METHOD OF TRACKING AND COLLECTING SOLAR ENERGY - A method of tracking and collecting solar energy includes receiving solar energy on at least two solar energy receivers, measuring an energy output from each of the at least two solar energy receivers, comparing the energy output from one of the at least two solar energy receiver with the energy output from another of the at least two solar energy receivers, and shifting the at least two solar energy receivers until the energy output from the one of the at least two solar receivers is substantially equal to the another of the at least two solar receivers. | 09-12-2013 |
20130234767 | 3D INTEGRATED CIRCUIT STACK-WIDE SYNCHRONIZATION CIRCUIT - There is provided a synchronization circuit for a 3D chip stack having multiple circuits and multiple strata interconnected using a first and a second stack-wide broadcast connection chain. The synchronization circuit includes the following, on each stratum. A synchronizer connected to the first connection chain receives an asynchronous signal therefrom and performs a synchronization to provide a synchronous signal. A driver is connected to the second chain for driving the synchronous signal. A latch connected to the second chain receives the synchronous signal driven by the driver on a same or different stratum within a next clock cycle from the synchronization to provide the stack-wide synchronous signal to a circuit on a same stratum. An output of a single driver on one stratum is selected at any given time for use by the latch on all strata. | 09-12-2013 |
20130237701 | METHOD OF PREPARING CYCLIC CARBONATES, CYCLIC CARBAMATES, CYCLIC UREAS, CYCLIC THIOCARBONATES, CYCLIC THIOCARBAMATES, AND CYCLIC DITHIOCARBONATES - A method of preparing a cyclic monomer, comprising: forming a first mixture comprising a precursor compound, bis(pentafluorophenyl)carbonate, and a catalyst; wherein the precursor compound has a structure comprising a) two or more carbons, and b) two functional groups selected from the group consisting of primary amine, secondary amine, thiol group, hydroxyl group, and combinations thereof; and agitating the first mixture at a temperature effective to form a second mixture comprising the cyclic monomer, the cyclic monomer selected from the group consisting of a cyclic carbonate, a cyclic carbamate, a cyclic urea, a cyclic thiocarbonate, a cyclic thiocarbamate, and a cyclic dithiocarbonate. | 09-12-2013 |
20130238860 | Administering Registered Virtual Addresses In A Hybrid Computing Environment Including Maintaining A Watch List Of Currently Registered Virtual Addresses By An Operating System - Administering registered virtual addresses in a hybrid computing environment that includes a host computer and an accelerator, the accelerator architecture optimized, with respect to the host computer architecture, for speed of execution of a particular class of computing functions, the host computer and the accelerator adapted to one another for data communications by a system level message passing module, where administering registered virtual addresses includes maintaining, by an operating system, a watch list of ranges of currently registered virtual addresses; upon a change in physical to virtual address mappings of a particular range of virtual addresses falling within the ranges included in the watch list, notifying the system level message passing module by the operating system of the change; and updating, by the system level message passing module, a cache of ranges of currently registered virtual addresses to reflect the change in physical to virtual address mappings. | 09-12-2013 |
20130239117 | MANAGING OPERATION REQUESTS USING DIFFERENT RESOURCES - Provided is a method for managing operation requests using different resources. In one embodiment, a first queue is provided for operations which utilize a first resource of a first and second resource. A second queue is provided for operations which utilize the second resource. An operation is queued on the first queue until the first resource is acquired. The first resource is released if the second resource is not also acquired. The operation is queued on the second queue when the first resource is acquired but the second resource is not. In addition, the first resource is released until the operation acquires both the first resource and the second resource. | 09-12-2013 |
20130240336 | HYBRID MEMS RF SWITCH AND METHOD OF FABRICATING SAME - Structures having a hybrid MEMS RF switch and method of fabricating such structures using existing wiring layers of a device is provided. The method of manufacturing a MEMS switch includes forming a forcing electrode from a lower wiring layer of a device and forming a lower electrode from an upper wiring layer of the device. The method further includes forming a flexible cantilever arm over the forcing electrode and the lower electrode such that upon application of a voltage to the forcing electrode, the flexible cantilever arm will contact the lower electrode to close the MEMS switch. | 09-19-2013 |
20130244424 | INTERCONNECT STRUCTURES AND METHODS OF MANUFACTURING OF INTERCONNECT STRUCTURES - Interconnect structures and methods of manufacturing the same are disclosed herein. The method includes forming a barrier layer within a structure and forming an alloy metal on the barrier layer. The method further includes forming a pure metal on the alloy metal, and reflowing the pure metal such that the pure metal migrates to a bottom of the structure, while the alloy metal prevents exposure of the barrier layer. The method further includes completely filling in the structure with additional metal. | 09-19-2013 |
20130245993 | ALIGNMENT CORRECTION SYSTEM AND METHOD OF USE - A system and method is provided for correcting alignment of a product on a tool and, more particularly, to a system and method for correcting alignment of a wafer on a chuck of a tool. The system is a tool including at least one contact near a circumference of the tool and a grounded contact proximate to the at least one contact. | 09-19-2013 |
20130246691 | ADAPTIVE PRESTAGING IN A STORAGE CONTROLLER - In one aspect of the present description, at least one of the value of a prestage trigger and the value of the prestage amount, may be modified as a function of the drive speed of the storage drive from which the units of read data are prestaged into a cache memory. Thus, cache prestaging operations in accordance with another aspect of the present description may take into account storage devices of varying speeds and bandwidths for purposes of modifying a prestage trigger and the prestage amount. Other features and aspects may be realized, depending upon the particular application. | 09-19-2013 |
20130246759 | VECTOR FIND ELEMENT NOT EQUAL INSTRUCTION - Processing of character data is facilitated. A Find Element Not Equal instruction is provided that compares data of multiple vectors for inequality and provides an indication of inequality, if inequality exists. An index associated with the unequal element is stored in a target vector register. Further, the same instruction, the Find Element Not Equal instruction, also searches a selected vector for null elements, also referred to as zero elements. A result of the instruction is dependent on whether the null search is provided, or just the compare. | 09-19-2013 |
20130246760 | CONDITIONAL IMMEDIATE VALUE LOADING INSTRUCTIONS - A data processor comprising a plurality of registers, and instruction execution circuitry having an associated instruction set, wherein the instruction set includes an instruction specifying at least a mask operand, a register operand and an immediate value operand, and the instruction execution circuitry, in response to an instance of the instruction, determines a Boolean value based on the mask operand and sets a respective one of a plurality of registers specified by the register operand of the instance to a value of the immediate value operand if the Boolean value is true. The instruction execution circuitry, in response to the instance of the instruction, may set the respective one of the plurality of registers specified by the register operand of the instance to zero if the Boolean value is false. | 09-19-2013 |
20130246763 | INSTRUCTION TO COMPUTE THE DISTANCE TO A SPECIFIED MEMORY BOUNDARY - A Load Count to Block Boundary instruction is provided that provides a distance from a specified memory address to a specified memory boundary. The memory boundary is a boundary that is not to be crossed in loading data. The boundary may be specified a number of ways, including, but not limited to, a variable value in the instruction text, a fixed instruction text value encoded in the opcode, or a register based boundary; or it may be dynamically determined. | 09-19-2013 |
20130246766 | TRANSFORMING NON-CONTIGUOUS INSTRUCTION SPECIFIERS TO CONTIGUOUS INSTRUCTION SPECIFIERS - Emulation of instructions that include non-contiguous specifiers is facilitated. A non-contiguous specifier specifies a resource of an instruction, such as a register, using multiple fields of the instruction. For example, multiple fields of the instruction (e.g., two fields) include bits that together designate a particular register to be used by the instruction. Non-contiguous specifiers of instructions defined in one computer system architecture are transformed to contiguous specifiers usable by instructions defined in another computer system architecture. The instructions defined in the another computer system architecture emulate the instructions defined for the one computer system architecture. | 09-19-2013 |
20130247013 | CONTROLLING OPERATION OF A RUN-TIME INSTRUMENTATION FACILITY FROM A LESSER-PRIVILEGED STATE - Embodiments of the invention relate to enabling and disabling execution of a run-time instrumentation facility. An instruction for execution by the processor in a lesser privileged state is fetched by the processor. It is determined, by the processor, that the run-time instrumentation facility permits execution of the instruction in the lesser-privileged state and that controls associated with the run-time instrumentation facility are valid. The run-time instrumentation facility is disabled based on the instruction being a run-time instrumentation facility off (RIOFF) instruction. The disabling includes updating a bit in a program status word (PSW) of the processor to indicate that run-time instrumentation data should not be captured by the processor. The run-time instrumentation facility is enabled based on the instruction being a run-time instrumentation facility on (RION) instruction. The enabling includes updating the bit in the PSW to indicate that run-time instrumentation data should be captured by the processor. | 09-19-2013 |
20130247018 | EFFICIENT INTERPRETER PROFILING TO OBTAIN ACCURATE CALL-PATH INFORMATION - A method for obtaining accurate call path information in a mixed-mode environment where interpreted methods and non-interpreted methods can call one another is disclosed. In one embodiment, such a method includes generating an event and recording it in a buffer when an interpreted method calls an interpreted method. The method also generates an event and records it in the buffer when an interpreted method calls a non-interpreted method. The method further generates an event and records it in the buffer when a non-interpreted method calls an interpreted method. The method refrains from generating an event when a non- interpreted method calls a non-interpreted method. A corresponding apparatus and computer program product are also disclosed. | 09-19-2013 |
20130254742 | Software Portfolio Management Based on Feature Usage - An approach is provided to gather items of usage data that pertain a number of instrumented software modules that are included in a software offering. The usage data is gathered from a number of customer installations of the software offering. Usage statistics are computed for the software modules and are used to determine support levels for the instrumented software modules. In another aspect, an approach is provided that detects execution of the software modules included in a software offering. Usage statistics are recorded in a local data store. One of the usage statistics is a module identifier that the software module that was executed. In addition, the usage statistics track the number of times each of the software modules was executed. The usage statistics are periodically transmitting a computer network to a software provider that develops and maintains the software offering. | 09-26-2013 |
20130254759 | INSTALLING AN OPERATING SYSTEM IN A HOST SYSTEM - Method and system for installing an operating system (OS) in a host computer system. The method comprises: receiving user parameters required during OS installation in a pre-boot execution environment and generating an unattended installation pack; transferring the unattended installation pack to a baseboard management controller (BMC); sending a control command to the BMC to instruct the BMC to mount the installation pack as a universal serial bus (USB) key; and installing the OS in the host in response to receiving a reply from the BMC, wherein during the OS installation process, the unattended pack is obtained from the USB key so as to acquire the user parameters in the installation process. The method and system make it possible for the OS installation to use no external storage or network apparatus and thus the required resources are reduced in the OS installation for a host. | 09-26-2013 |
20130255753 | PHOTOVOLTAIC THERMAL HYBRID SYSTEMS AND METHOD OF OPERATION THEREOF - A method is disclosed for operating a photovoltaic thermal hybrid system having a hybrid solar receiver with a photovoltaic module, operatively coupled to the system to deliver an electrical output power for a power user, a thermal collector distinct from the photovoltaic module, wherein the photovoltaic module and/or the thermal collector are movably mounted in the system, a collector thermal storage thermally connected to the thermal collector to store heat collected at the thermal collector, and a positioning mechanism adapted to move the photovoltaic module and/or the thermal collector. The method includes instructing the positioning mechanism to move the photovoltaic module and/or the thermal collector to change a ratio of an intensity of radiation received at the photovoltaic module to an intensity of radiation received at the thermal collector. | 10-03-2013 |
20130259048 | LAYER 2 PACKET SWITCHING WITHOUT LOOK-UP TABLE FOR ETHERNET SWITCHES - In one embodiment, a method for assigning a media access control (MAC) address includes receiving a request from a device for a MAC address at a port of a switching device, determining a MAC address to assign to the device based at least partially on the port, and responding to the request with the MAC address. In another embodiment, a method for retrieving a media access control (MAC) address includes sending a request for a MAC address to a MAC allocation server (MAAS), waiting a predetermined amount of time to receive a response to the request, wherein the response comprises the MAC address, and using the MAC address when the response to the request is received within the predetermined amount of time. Other systems, methods, and computer program products are presented according to more embodiments. | 10-03-2013 |
20130263028 | Designing a GUI Development Toolkit - Designing a GUI development toolkit includes creating a windows event class with a property of window predefined condition events and a property of window drag and drop events; creating a windows adapter class with two trigger methods; creating a windows class with a window listener method configured to cause the window to enter into an edit mode in response to detecting the property of window predefined condition events is triggered; and to obtain and save initial location, dragged-to location and the mapping relationship between thereof for each component in the window in response to detecting that the property of window drag and drop events is triggered; and creating a component class with an action listener method defined to cause components in a window to be dragged to other locations of the window in which the components are located in response to detecting that the window is under the edit mode. | 10-03-2013 |
20130263736 | SILICONE-BASED CHEMICAL FILTER AND SILICONE-BASED CHEMICAL BATH FOR REMOVING SULFUR CONTAMINANTS - Sulfur contaminants, such as elemental sulfur (S | 10-10-2013 |
20130265719 | STRUCTURAL CONFIGURATION OF A HEAT EXCHANGER DOOR FOR AN ELECTRONICS RACK - A method is provided which includes providing a heat exchanger door that includes a door assembly spanning at least a portion of the air inlet or outlet side of an electronics rack. The door assembly includes an airflow opening which facilitates air ingress or egress of airflow through the electronics rack. The door assembly further includes an air-to-coolant heat exchanger and a structural support. The heat exchanger is disposed so that airflow through the airflow opening passes across the heat exchanger. The heat exchanger includes a heat exchanger core and a heat exchanger casing coupled to the core. The core includes at least one coolant-carrying channel which loops through the casing. The structural support is attached to the heat exchanger casing to define with the casing a tubular door support structure. The looping of the coolant-carrying channel(s) through the heat exchanger casing resides within the tubular door support structure. | 10-10-2013 |
20130268734 | CACHE HANDLING IN A DATABASE SYSTEM - Embodiments relate to cache handling in a database system. An aspect includes controlling operations of a set of caches in the database system and determining whether a value of a cache quality parameter of a first cache out of the set of caches meets a cache image creation criterion relating to the first cache. Moreover, an aspect includes selecting at least one cache entry from the first cache, if a value of a related cache entry parameter meets a cache entry criterion, and if the value of the cache quality parameter of the first cache exceeds the predefined value of the cache image creation criterion, and creating a cache image based on the selected at least one cache entry and storing the cache image for further use. | 10-10-2013 |
20130268921 | OPTIMIZATION OF AN APPLICATION TO REDUCE LOCAL MEMORY USAGE - Optimizing an application to reduce local memory usage. At least one executable class file of the application can be instrumented with analysis code, the executable class file including bytecode. The class file can be executed on a virtual machine, wherein during execution the analysis code generates data related to the application's use of local memory. The data related to the application's use of the local memory can be analyzed to generate a memory profile analysis. Based on the memory profile analysis, at least one portion of the bytecode can be automatically revised to reduce an amount of the local memory used by the application. | 10-10-2013 |
20130275096 | Solder Joint Fatigue Life Prediction Method - A solder joint fatigue life predicting method includes: establishing a maximum temperature, a minimum temperature, and a temperature cycle frequency in a field environment; establishing a maximum temperature, a minimum temperature, and a temperature cycle frequency in a laboratory environment for accelerated testing; implementing the accelerated testing to measure test fatigue life until failure of the product; determining exponents for the ramp rate and dwell time in a novel acceleration factor equation which is represented using the ramp rates and dwell times of the field environment and the laboratory environment from profile data of the temperature cycle in the field environment, from profile data of the temperature cycle in the laboratory environment, and from test fatigue life data, and calculating an acceleration factor by plugging these exponents into the acceleration factor equation; and calculating field fatigue life of the product from the calculated acceleration factor and measured test fatigue life. | 10-17-2013 |
20130275274 | Order Processing System, Method and Program Product - An order processing system, method, and program product that generates an order receipt based on an order request. The system, method, and program product include a plurality of request handlers for processing different types of order requests. One of the plurality of request handlers is selected to process the order request based on the type of order request. Once processing is complete, an order receipt is generated. | 10-17-2013 |
20130275584 | AUTONOMIC DISCOVERY AND INTEGRATION OF COMPLEMENTARY INTERNET SERVICES - Autonomic discovery and integration of complementary Internet services can include discovering a plurality of services that are complementary to an offered service and selecting a service from the plurality of services as a candidate for integration with the offered service. A new service can be automatically generated by integrating the candidate service with the offered service. The new service can be included in a service catalog. | 10-17-2013 |
20130275704 | ADDRESS SPACE MANAGEMENT WHILE SWITCHING OPTICALLY-CONNECTED MEMORY - A remote processor is signaled for receiving a remote machine memory address (RMMA) space that contains data to be transferred. The RMMA space is mapped to a free portion of a system memory address (SMA) space of the remote processor. The entries of a page table corresponding to the address space are created. | 10-17-2013 |
20130282673 | PRESERVING REDUNDANCY IN DATA DEDUPLICATION SYSTEMS BY INDICATOR - Various embodiments for preserving data redundancy in a data deduplication system in a computing environment are provided. In one embodiment, a method for such preservation is disclosed. An indicator is configured. The indicator is provided with a selected data segment to be written through the data deduplication system to designate that the selected data segment must not be subject to a deduplication operation, such that repetitive data can be written stored on physical locations despite being identical. | 10-24-2013 |
20130282743 | OPTIMIZED RESOURCE ANALYTICS - A computer program product and system, which on determination of an entity replacement request is configured to identify an optimized entity as a replacement based on a predefined set of metadata, wherein the metadata comprises a profile associated with the entity, wherein the profile of the entity is further based on a graph, such as a graphical representation of social links, associated with the entity; and provide the optimized entity as a replacement for the entity to be replaced. Other embodiments are also disclosed. | 10-24-2013 |
20130283011 | Computer Program Instruction Analysis - Disclosed is a method of analysis of a computer program instruction for use in a central processing unit having a decoding unit. The method comprises receiving an address of an instruction to be analysed, fetching said instruction stored at said address, decoding by a decoding unit associated with the central processing unit, the fetched instruction; and returning the results of said decoding of said fetched instruction. The decoded results are returned as a data block stored in memory associated with the central processing unit or in one or more registers of the central processing unit. The decoded results include the type of the instruction and/or the instruction length. The method optionally further comprises analysing the decoded results to determine whether the instruction may be replaced with one of a trap or a break point. Also disclosed is a system and computer program for analysis of a computer program instruction for use in a central processing unit having a decoding unit. | 10-24-2013 |
20130288516 | AN ELECTRICAL ADAPTER FOR IDENTIFYING THE CONNECTION STATE TO A NETWORK - An electrical adapter for identifying the connection state to a network is presented. The adapter comprises a first member and a second member. The first member comprises a male end that is substantially similar in shape to a connector plug, and which electrically connects to a wall outlet or a female receptacle. A first female end is disposed at another end of the first member, which includes a hollow cylinder member and a display window. The second member comprises a second female end which comprises a push latch and a mark for identifying a connection state to a network. When the second member is pushed forward, the second female end is engaged with the first female end via the push latch, and a display window displays the mark that identifies the electrical adapter's connection state to the network. | 10-31-2013 |
20130290564 | DETERMINING A NETWORK ADDRESS FOR MANAGED DEVICES TO USE TO COMMUNICATE WITH MANAGER SERVER IN RESPONSE TO A CHANGE IN A CURRENTLY USED NETWORK ADDRESS - A plurality of network addresses identify a source device in the network. A plurality of subscriptions for the source device to receive messages from the target devices each identify one of the target devices and one of the source network addresses the identified target device uses to communicate with the source device. Upon detecting a change to a changed network address, a determination is made of a subscription indicating the changed network address and the target device indicated in the determined subscription is determined. A selection is made of a selected network address, other than the changed network address is selected. If the selected network address can be used to communicate between the determined target device and the source device, then the selected network address is used and provided to the determined target device. | 10-31-2013 |
20130290673 | PERFORMING A DETERMINISTIC REDUCTION OPERATION IN A PARALLEL COMPUTER - Performing a deterministic reduction operation in a parallel computer that includes compute nodes, each of which includes computer processors and a CAU (Collectives Acceleration Unit) that couples computer processors to one another for data communications, including organizing processors and a CAU into a branched tree topology in which the CAU is a root and the processors are children; receiving, from each of the processors in any order, dummy contribution data, where each processor is restricted from sending any other data to the root CAU prior to receiving an acknowledgement of receipt from the root CAU; sending, by the root CAU to the processors in the branched tree topology, in a predefined order, acknowledgements of receipt of the dummy contribution data; receiving, by the root CAU from the processors in the predefined order, the processors' contribution data to the reduction operation; and reducing, by the root CAU, the processors' contribution data. | 10-31-2013 |
20130290803 | VARIABLE ACKNOWLEDGE RATE TO REDUCE BUS CONTENTION IN PRESENCE OF COMMUNICATION ERRORS - A variable write back indicator control is provided to control the amount of data to be re-transmitted when a packet error occurs. A hardware controller obtains an indication that an acknowledge rate or an amount of set write back indicators of a data frame is to be adjusted. The indication is based on an error rate of data transmission over a communication bus. Based on obtaining the indication that the amount of set write back indicators is to be adjusted, one or more write back indicators are adjusted. | 10-31-2013 |
20130297873 | ENHANCING TIERING STORAGE PERFORMANCE - Various embodiments for improving data storage and retrieval performance, for a tiered storage environment having levels corresponding to storage performance, are provided. In one embodiment, by way of example only, reference count information of at least one data segment maintained in the tiered storage environment is used to determine which of the levels in the tiered storage environment the at least one data segment is assigned. Those of the at least one data segment having higher reference counts are assigned to a higher performance level than those having lower reference counts. | 11-07-2013 |
20130297980 | Method of Diagnosable Scan Chain - Embodiments of the present invention relate to a method and apparatus for diagnosing a scan chain. Specifically, a method for a scan chain according to one embodiment of the present invention comprises: obtaining an initial structure of the scan chain; determining at least one scan register pair with backward dependency, according to function modules corresponding to scan registers on the scan chain; and adjusting the structure of the scan chain such that the at least one scan register pair with backward dependency becomes a scan register pair with forward dependency. By means of the solution according to embodiments of the present invention, the diagnosability of a scan chain may be enhanced. | 11-07-2013 |
20130298042 | PROVIDING A REQUISITE LEVEL OF SERVICE FOR AN ELECTRONIC MEETING - Providing a requisite level of service for an electronic meeting. An embodiment can include receiving a request to schedule a first electronic meeting in a time slot, identifying an electronic meeting system to host the first electronic meeting, identifying a second electronic meeting scheduled to be hosted by the electronic meeting system in the time slot, determining an estimate of resource usage within the electronic meeting system during the time slot at least based on the second electronic meeting being scheduled to be hosted by the electronic meeting system in the time slot, comparing the estimate of resource usage with a threshold value, responsive to determining that the estimate of resource usage exceeds the threshold value, providing the requisite level of service to the first electronic meeting in the time slot by reducing anticipated resource usage of the electronic meeting system by the second electronic meeting. | 11-07-2013 |
20130298109 | INSTRUMENTATION OF SOFTWARE APPLICATIONS FOR CONFIGURATION THEREOF - A solution is proposed for instrumenting a software application for configuration thereof. A corresponding method includes scanning a source code of the software application for detecting an indication of a set of configuration parameters each one being identified by a pre-defined non-executable statement, creating a set of instances of a pre-defined configuration object each one mapping a corresponding one of the configuration parameters, the configuration object being designed to manage the corresponding configuration parameter, and creating a set of configuration interfaces for editing the configuration parameters, the configuration interfaces being operable to interact with each instance of the configuration object to get and set the corresponding configuration parameter. | 11-07-2013 |
20130299881 | ASYMMETRIC WEDGE JFET, RELATED METHOD AND DESIGN STRUCTURE - A junction gate field-effect transistor (JFET) for an integrated circuit (IC) chip is provided comprising a source region, a drain region, a lower gate, and a channel, with an insulating shallow trench isolation (STI) region extending from an inner edge of an upper surface of the source region to an inner edge of an upper surface of the drain region, without an intentionally doped region, e.g., an upper gate, coplanar with an upper surface of the IC chip between the source/drain regions. In addition, an asymmetrical quasi-buried upper gate can be included, disposed under a portion of the STI region, but not extending under a portion of the STI region proximate to the drain region. Embodiments of this invention also include providing an implantation layer, under the source region, to reduce R | 11-14-2013 |
20130301347 | Shared Bit Line SMT MRAM Array with Shunting Transistors Between Bit Lines - An array of rows and columns of SMT MRAM cells has each of the columns associated with one of its adjacent columns. Each of the SMT MRAM cells of the column is connected to a true data bit line and each of the SMT MRAM cells of the associated pair of columns is connected to a shared complement data bit line. A shunting switch device is connected between each of the true data bit lines and the shared complement data bit line for selectively connecting one of the true data bit lines to the shared complement data bit line to effectively reduce the resistance of the complement data bit line and to eliminate program disturb effects in adjacent non-selected columns of the SMT MRAM cells. | 11-14-2013 |
20130302940 | Graphene Channel-Based Devices and Methods for Fabrication Thereof - Graphene-channel based devices and techniques for the fabrication thereof are provided. In one aspect, a semiconductor device includes a first wafer having at least one graphene channel formed on a first substrate, a first oxide layer surrounding the graphene channel and source and drain contacts to the graphene channel that extend through the first oxide layer; and a second wafer having a CMOS device layer formed in a second substrate, a second oxide layer surrounding the CMOS device layer and a plurality of contacts to the CMOS device layer that extend through the second oxide layer, the wafers being bonded together by way of an oxide-to-oxide bond between the oxide layers. One or more of the contacts to the CMOS device layer are in contact with the source and drain contacts. One or more other of the contacts to the CMOS device layer are gate contacts for the graphene channel. | 11-14-2013 |
20130305034 | ANONYMIZATION OF DATA WITHIN A STREAMS ENVIRONMENT - Streams applications may decrypt encrypted data even though the decrypted data is not used by an operator. Operator properties are defined to permit decryption of data within the operator based on a number of criteria. By limiting the number of operators that decrypt encrypted data, the anonymous nature of the data is further preserved. Operator properties also indicate whether an operator should send encrypted or decrypted data to a downstream operator. | 11-14-2013 |
20130305230 | OPTIMIZATION APPARATUS, OPTIMIZATION METHOD AND OPTIMIZATION PROGRAM - An optimization apparatus includes an insertion unit inserting a method test for each of the virtual methods included in a code sequence, an acquisition unit acquiring one or more profiled run-time classes of a receiver object used for calling each of the virtual methods at execution of the code sequence into which the method tests have been inserted, and an optimization unit inserting, in place of the inserted method test, a class test that sets a recording-time class and the run-time class of a corresponding receiver object as classes to be permitted on condition that the run-time class of the corresponding receiver object has successfully called all virtual methods requesting the method test for the receiver object. | 11-14-2013 |
20130311164 | DYNAMIC GENERATION OF TEST SEGMENTS - A computerized apparatus, method and computer product for generating tests. The apparatus comprises: a processor; an interface for obtaining a test template associated with a target computerized system, the test template comprises a template segment, the template segment comprising one or more instruction and one or more directives or control constructs related to the instructions; a test generator for generating a test associated with the template segment, said test generator comprises: a state simulator for determining a state of the target computerized system associated with an execution of the test; a template instruction or segment selector for selecting a template instruction or segment from the test template based on the state of the target system determined by said state simulator; and an instruction template segment generator configured to generate a multiplicity of instructions based on the state of the target computerized system and the template segment selected by said template instruction selector, wherein the test generator further comprises an instruction verifier configured to verify that a previously generated instruction is in line with the current state of the target computerized system and with the template instruction or segment selected by said template instruction or segment selector. | 11-21-2013 |
20130311659 | CONTROLLING ACCESS TO A SHARED STORAGE SYSTEM - Provided are a system, method, and computer program product for controlling access to a shared storage system in communication with at least one cluster of host nodes. Cluster membership metadata is stored in a storage independent from the shared storage for at least one cluster. The cluster membership metadata is updated in response to an inclusion or exclusion request from a requesting host node comprising one of the host nodes identifying at least one other host node to include or exclude from a cluster. Access to at least one storage volume in the shared storage system is managed in response to the updating of the cluster membership metadata for the inclusion or exclusion request. | 11-21-2013 |
20130311829 | PERFORMANCE TESTING OF WEB COMPONENTS USING IDENTITY INFORMATION - Performance testing of web components using identity information includes providing a web component for testing having business logic code and an associated authorization layer code, locating, using a processor, branches in the authorization layer code and the business logic code which are dependent on identity information, and creating, using the processor, symbolic identities with claims or attributes having values corresponding to the branch options of the located branches. The method also includes propagating the symbolic identities downstream from the branch locations through the authorization layer code and the business logic code and analyzing, using the processor, the performance of each symbolic identity. | 11-21-2013 |
20130311975 | Automated tagging and tracking of defect codes based on customer problem management record - A routine for tagging and tracking source code may be implemented in a software development environment. The routine begins upon the identification of a defect in a software product or system. Once the defect has been identified and rectified, a search of other source code (typically located in a source code management system that supports version control) is specified. Using the search specification, the system automatically identifies the code snippet to be searched and begins searching the identified codebase for duplicates. A ticket or service record is then created for every duplicate/similar code snippet found during the search. The ticket/record enables software defect tracking to be carried out on the newly-located instances of the code. The system also automatically manages the code snippets identified by the duplicate detection. | 11-21-2013 |
20130313552 | HETEROJUNCTION BIPOLAR TRANSISTORS WITH THIN EPITAXIAL CONTACTS - Heterojunction bipolar transistors are provided that include at least one contact (e.g., collector, and/or emitter, and/or base) formed by a heterojunction between a crystalline semiconductor material and a doped non-crystalline semiconductor material layer. A highly doped epitaxial semiconductor layer comprising a highly doped hydrogenated crystalline semiconductor material layer portion is present at the heterojunction between the crystalline semiconductor material and the doped non-crystalline semiconductor material layer. Minority carriers within the highly doped epitaxial semiconductor layer have a diffusion length that is larger than a thickness of the highly doped epitaxial semiconductor layer. | 11-28-2013 |
20130316150 | EMBEDDED NANOPARTICLE FILMS AND METHOD FOR THEIR FORMATION IN SELECTIVE AREAS ON A SURFACE - The invention is directed to a method of positioning nanoparticles on a patterned substrate. The method comprises providing a patterned substrate with selectively positioned recesses, and applying a solution or suspension of nanoparticles to the patterned substrate to form a wetted substrate. A wiper member is dragged across the surface of the wetted substrate to remove a portion of the applied nanoparticles from the wetted substrate, and leaving a substantial number of the remaining portion of the applied nanoparticles disposed in the selectively positioned recesses of the substrate. The invention is also directed to a method of making carbon nanotubes from the positioned nanoparticles. | 11-28-2013 |
20130316503 | STRUCTURE AND METHOD TO MODULATE THRESHOLD VOLTAGE FOR HIGH-K METAL GATE FIELD EFFECT TRANSISTORS (FETs) - A method for forming an electrical device that includes forming a high-k gate dielectric layer over a semiconductor substrate that is patterned to separate a first portion of the high-k gate dielectric layer that is present on a first conductivity device region from a second portion of the high-k gate dielectric layer that is present on a second conductivity device region. A connecting gate conductor is formed on the first portion and the second portion of the high-k gate dielectric layer. The connecting gate conductor extends from the first conductivity device region over the isolation region to the second conductivity device region. One of the first conductivity device region and the second conductivity device region may then be exposed to an oxygen containing atmosphere. Exposure with the oxygen containing atmosphere modifies a threshold voltage of the semiconductor device that is exposed. | 11-28-2013 |
20130318052 | DATA DEPULICATION USING SHORT TERM HISTORY - Exemplary embodiments for data deduplication using short term history in a computing environment are provided. In one embodiment, by way of example only, a hash value is calculated on data chunks for a read operation. The calculated hash value is stored in a storage media. The calculated hash value is looked up in the storage media to verify if a current write operation was previously written and/or read. Additional system and computer program product embodiments are disclosed and provide related advantages. | 11-28-2013 |
20130318209 | DISTRIBUTED DECISION SERVICE - A method, system and/or computer program product creates a distributed decision service. A call is received from a client requesting a decision service. A thin data model of the data required for that decision service is built and sent to the requesting client. A thin data set, based on the thin data model, is received from the client. A decision is formed by performing a decision service on the thin data set, and the decision is sent to the client. | 11-28-2013 |
20130318404 | DYNAMIC ADMINISTRATION OF COMPONENT EVENT REPORTING IN A DISTRIBUTED PROCESSING SYSTEM - Methods, systems and products are provided for dynamic administration of component event reporting in a distributed processing system including receiving, by an events analyzer from an events queue, a plurality of events from one or more components of the distributed processing system; determining, by the events analyzer in dependence upon the received events and one or more event analysis rules, to change the event reporting rules of one or more components; and instructing, by the events analyzer, the one or more components to change the event reporting rules. | 11-28-2013 |
20130321352 | MODIFYING INFORMATION ON A HAND WRITABLE PHYSICAL MEDIUM WITH A DIGITAL PEN - One embodiment provides a method for modifying information stored in storage by modifying information on a hand writable physical medium with a digital pen, wherein the hand writable physical medium includes a predetermined field including one or more item field name and one or more first region for information entry associated with the one or more item field name. The information stored in the storage includes attribute values corresponding to each of the one or more item field name of the physical medium, and attribute values corresponding to information entered into each of the one or more first region. The method for modifying includes an apparatus communicable with the digital pen detecting that a modification symbol is added, by using the digital pen, to information which has been entered into any of the one or more first region in the predetermined field. | 12-05-2013 |
20130322292 | Multipath effectuation within singly contiguous network fabric via switching device routing logic programming - Each of a network fabric controller device and a network fabric forwarder devices includes network connecting hardware and network managing logic. The network connecting hardware of the devices connects them to a singly contiguous network fabric including switching devices that route data between initiator nodes and target nodes and that have routing logic programmable by the controller device. The controller device does not directly route the data themselves. The network managing logic of the devices effects multipaths for transmission of the data through the singly contiguous network fabric from the initiator node to the target nodes via programming of the routing logic of the switching devices. | 12-05-2013 |
20130325801 | APPLICATION-CONTROLLED SUB-LUN LEVEL DATA MIGRATION - An application programming interface (API) is used to migrate, from a specified source LUN to a specified target LUN, at least one specified sub-LUN data chunk, the API functioning as an interface between a storage controller and an owning application. | 12-05-2013 |
20130325882 | SUPPLEMENTING STRUCTURED INFORMATION ABOUT ENTITIES WITH INFORMATION FROM UNSTRUCTURED DATA SOURCES - A method for supplementing structured information within a data system for entities based on unstructured data analyzes a document with unstructured data and extracts attribute values from the unstructured data for one or more entities of the data system. Entity records with structured information are retrieved from the data system based on the extracted attribute values. Entity references for corresponding entities of the data system are constructed based on a comparison of the retrieved entity records and the extracted attribute values. The entity references are linked to the corresponding entities within the data system, with the entity references including extracted attributes from the unstructured data for corresponding linked entities. | 12-05-2013 |
20130326183 | APPLICATION-CONTROLLED SUB-LUN LEVEL DATA MIGRATION - A source code-based specification is implemented for use as an interface between a storage controller and an owning application to migrate a specified size of data from physical storage behind the LUN to a new physical location. | 12-05-2013 |
20130326529 | Optimizing the utilization of computer system's resources - The present invention optimizes the utilization of computer system resources by considering predefined performance targets of multithreaded applications using the resources. The performance and utilization information for a set of multithreaded applications is provided. Using the performance and utilization information, the invention determines overutilized resources. Using the performance information, the invention also identifies threads and corresponding applications using an overutilized resource. The priority of the identified threads using said overutilized resource is adjusted to maximise a number of applications meeting their performance targets. The adjustments of priorities are executed via a channel that provides the performance and utilization information. | 12-05-2013 |
20130328205 | INTEGRATED CIRCUITS HAVING A CONTINUOUS ACTIVE AREA AND METHODS FOR FABRICATING SAME - Integrated circuits and methods for fabricating integrated circuits are provided. In an embodiment, an integrated circuit includes a standard cell having a first boundary, a second boundary opposite the first boundary, a third boundary interconnecting the first and second boundaries, and a fourth boundary opposite the third boundary and interconnecting the first and second boundaries. The standard cell further includes parallel active areas extending from the first boundary to the second boundary. Also, the standard cell has parallel gate strips extending from the third boundary to the fourth boundary and over the active areas. A cut mask overlies the gate strips. An interconnect is positioned overlying the cut mask and forms an electrical connection with a selected gate strip. | 12-12-2013 |
20130332416 | REDUCING DATA TRANSFERS WHILE ELIMINATING DATA LOSS FOR ASYNCHRONOUS REPLICATION OF DATABASES - A method for reducing data transfers while eliminating data loss during database replication includes receiving one or more database log write operations caused by an application making updates to a database. The method also includes writing the one or more database log write operations on a database log stored at a primary site and asynchronously mirroring the database log to a secondary storage device located at a secondary site. The method also includes synchronously storing the one or more database log write operations on a secure storage unit at the primary site and receiving an indication of a disaster event at the primary site. In response to the indication of the disaster event, transmitting only the one or more database log write operations stored to the secure storage unit during a time interval to the secondary storage device. | 12-12-2013 |
20130332536 | METHODS FOR RETRIEVING CONTENT IN A UNIFIED COMMUNICATIONS ENVIORNMENT - Described are a system and method for processing content in a unified communications environment. As part of an electronic communication session between a first party electronic device and at least one second party electronic device, an identifier is received from the first party electronic device at the at least one second party electronic device. A search is performed for collaborative history data at the second party electronic device using the identifier. Data related to the electronic communication session and/or the collaborative history data is presented at the second party electronic device. | 12-12-2013 |
20130332673 | SELECTING A VIRTUAL TAPE SERVER IN A STORAGE SYSTEM TO PROVIDE DATA COPY WHILE MINIMIZING SYSTEM JOB LOAD - In a storage system including plural source storage devices, a target storage device selects which source storage device to accept a copy request from the target storage device so as to minimize the load on the entire system. The system calculates first and second load values for job loads being processed. System load values for the system are derived from job load value of a specific data, and respective load values for first and second source storage devices. The system compares the system load values to select a storage device to provide the data copy so as to minimize the load on the entire system. | 12-12-2013 |
20130332678 | SHARED PHYSICAL MEMORY PROTOCOL - A computer implemented method for receiving data to a targeted logical partition. A computer locates buffer element in reliance on a connection status bit array. The computer copies control information to the targeted logical partition's local storage. The computer updates a targeted logical partition's local producer cursor based on the control information. The computer copies data to an application receive buffer. The computer determines that an application completes a receive operation. Responsive to a determination that the application completed the receive operation, the computer a targeted logical partition's local consumer cursor to match the targeted logical partition's producer cursor. | 12-12-2013 |
20130332901 | DESIGNING AND CROSS-CONFIGURING SOFTWARE - Various embodiments create a cross-configuration software module for cross-configuring software entities. In one embodiment, a first set of requirements and at least a second set of requirements are obtained. Each of the first and second set of requirements identify at least one of a set of software entities and a set of hardware components required to be present on at least one system including software entities to be cross-configured. At least one set of operations is obtained. The set of operations includes at least one executable instruction that configures a first software entity with a second software entity. A first configuration definition is generated including at least the first set of requirements and the at least one set of operations. A second configuration definition is generated including at least the second set of requirements. The first and second configuration definitions are stored within a cross-configuration software module. | 12-12-2013 |
20130339330 | FACILITATING TRANSACTION COMPLETION SUBSEQUENT TO REPEATED ABORTS OF THE TRANSACTION - Processing of transactions within a computing environment is facilitated by taking actions to increase the chances of successfully executing a transaction. A counter is maintained that provides a count of how often a transaction has aborted. The counter increments the count each time the transaction is aborted, and it is reset to zero upon successful completion of the transaction or an interruption leading to no more re-executions of the transaction. If the count reaches a threshold value, then an interrupt is presented and transaction execution is unsuccessful. However, before the count reaches the threshold, a number of actions may be taken to increase the chances of successfully executing the transaction. These actions include actions to be performed within the processor executing the transaction, and/or actions to be performed against conflicting processors. | 12-19-2013 |
20130339669 | NONTRANSACTIONAL STORE INSTRUCTION - A NONTRANSACTIONAL STORE instruction, executed in transactional execution mode, performs stores that are retained, even if a transaction associated with the instruction aborts. The stores include user-specified information that may facilitate debugging of an aborted transaction. | 12-19-2013 |
20130339685 | RESTRICTED INSTRUCTIONS IN TRANSACTIONAL EXECUTION - Restricted instructions are prohibited from execution within a transaction. There are classes of instructions that are restricted regardless of type of transaction: constrained or nonconstrained. There are instructions only restricted in constrained transactions, and there are instructions that are selectively restricted for given transactions based on controls specified on instructions used to initiate the transactions. | 12-19-2013 |
20130339690 | TRANSACTIONAL EXECUTION BRANCH INDICATIONS - Transactional execution branch indications are placed into one or more transaction diagnostic blocks when a transaction is aborted. Each branch indication specifies whether a branch was taken, as a result of executing a branch instruction within the transaction. As the transaction executes and a branch instruction is encountered, a branch indication is set in a vector indicating whether the branch was taken. Then, if the transaction aborts, the indicators are stored in one or more transaction diagnostic blocks providing a branch history usable in diagnosing the failure. | 12-19-2013 |
20130339703 | RESTRICTING PROCESSING WITHIN A PROCESSOR TO FACILITATE TRANSACTION COMPLETION - Processing of transactions within a computing environment is facilitated by taking actions to increase the chances of successfully executing a transaction. A counter is maintained that provides a count of how often a transaction has aborted. The counter increments the count each time the transaction is aborted, and it is reset to zero upon successful completion of the transaction or an interruption leading to no more re-executions of the transaction. If the count reaches a threshold value, then an interrupt is presented and transaction execution is unsuccessful. However, before the count reaches the threshold, a number of actions may be taken to increase the chances of successfully executing the transaction. These actions include actions to be performed within the processor executing the transaction, and/or actions to be performed against conflicting processors. | 12-19-2013 |
20130339704 | SAVING/RESTORING SELECTED REGISTERS IN TRANSACTIONAL PROCESSING - A TRANSACTION BEGIN instruction begins execution of a transaction and includes a general register save mask having bits, that when set, indicate registers to be saved in the event the transaction is aborted. At the beginning of the transaction, contents of the registers are saved in memory not accessible to the program, and if the transaction is aborted, the saved contents are copied to the registers. | 12-19-2013 |
20130339705 | RANDOMIZED TESTING WITHIN TRANSACTIONAL EXECUTION - Task specific diagnostic controls are provided to facilitate the debugging of certain types of abort conditions. The diagnostic controls may be set to cause transactions to be selectively aborted, allowing a transaction to drive its abort handler routine for testing purposes. The controls include, for instance, a transaction diagnostic scope and a transaction diagnostic control. The transaction diagnostic scope indicates when the transaction diagnostic control is to be applied, and the transaction diagnostic control indicates whether transactions are to selectively aborted. | 12-19-2013 |
20130339708 | PROGRAM INTERRUPTION FILTERING IN TRANSACTIONAL EXECUTION - Program exception conditions cause a transaction to abort and typically result in an interruption in which the operating system obtains control. A program interruption filtering control is provided to selectively present the interrupt. That is, the interrupt from the program exception condition may or may not be presented depending at least on the program interruption filtering control and a transaction class associated with the program exception condition. The program interruption filtering control is provided by a TRANSACTION BEGIN instruction. | 12-19-2013 |
20130339709 | TRANSACTION ABORT INSTRUCTION - A TRANSACTION ABORT instruction is used to abort a transaction that is executing in a computing environment. The TRANSACTION ABORT instruction includes at least one field used to specify a user-defined abort code that indicates the specific reason for aborting the transaction. Based on executing the TRANSACTION ABORT instruction, a condition code is provided that indicates whether re-execution of the transaction is recommended. | 12-19-2013 |
20130339961 | TRANSACTIONAL PROCESSING - A transaction is initiated via a transaction begin instruction. During execution of the transaction, the transaction may abort. If the transaction aborts, a determination is made as to the type of transaction. Based on the transaction being a first type of transaction, resuming execution at the transaction begin instruction, and based on the transaction being a second type, resuming execution at an instruction following the transaction begin instruction. Regardless of transaction type, resuming execution includes restoring one or more registers specified in the transaction begin instruction and discarding transactional stores. For one type of transaction, the nonconstrained transaction, the resuming includes storing information in a transaction diagnostic block. | 12-19-2013 |
20130340176 | MANAGING MATTRESS PRESSURE ON WOUNDS - A method for adjusting a mattress to minimize pressure on a patient wound including detecting a location of the patient wound relative to the mattress, and responsive to detecting the location of the patient wound relative to the mattress, adjusting the mattress to minimize pressure on the patient wound. | 12-26-2013 |
20130343539 | Avoiding padding oracle attacks - A method to prevent information leakage in a cryptographic protocol is implemented in a network device. The method implements an error message processing strategy to mask information otherwise useful to an attacker and that has been generated (by decryption processes) as a consequence of an attacker's exploit. The technique avoids information leakage associated with a padding oracle attack. In one aspect each error message (irrespective of its content) is replaced with a generic error message so that the attacker does not obtain the specific error message content(s) that might otherwise provide useful information. In addition to masking the error message content, the technique preferably implements a “delay” policy that delays the transmission of particular error messages (or message types) to hide (from the attacker's point-of-view) whether a particular error message is relevant to (or a consequence of) the attacker's exploit. | 12-26-2013 |
20130346823 | ENCODING OF DATA FOR TRANSMISSION - A data encoding method for encoding a sequence of N input blocks of bits into an output block for transmission includes adding an L-bit control indicator, indicating whether the sequence contains any control blocks, and if so, producing an output block in which the order of data and control blocks is preserved by deleting a set of bits from the block-type field of at least one control block, adding to the sequence an N-bit position indicator indicating positions of data and control blocks in the sequence, and providing in bit positions of remaining bits of the block-type field of the at least one control block an indication of the type of that control block; wherein the position indicator bits are added at bit-positions such that, in a header-first transmission order of the output block, all data and control blocks succeed the position indicator bits indicating positions of those blocks. | 12-26-2013 |
20130346880 | DISTRIBUTED MULTI-USER MASHUP - A mashup session manager maintains state of the mashup session to ensure presentation consistency/uniformity across the execution environments. The mashup session manager also tracks the participating execution environments associated with a mashup session (e.g., usernames, device identifiers, network addresses, etc.), and transmits data for presentation consistency to the participating execution environments. In some cases, a view of the mashup session at a participating execution environment may not be current (“stale mashup session view”). The mashup session manager can detect if a view at a participating execution environment is of a past mashup session state, and provide data for the stale mashup session view to become current. In addition, the mashup session manager can propagate design modifications to the participants of the mashup session. | 12-26-2013 |
20140002719 | Digital Image Capture Under Conditions Of Varying Light Intensity | 01-02-2014 |
20140006013 | TEXT MINING FOR LARGE MEDICAL TEXT DATASETS AND CORRESPONDING MEDICAL TEXT CLASSIFICATION USING INFORMATIVE FEATURE SELECTION | 01-02-2014 |
20140006541 | PERSISTENT MESSAGING | 01-02-2014 |
20140006708 | SECURE ACCESS TO SHARED STORAGE RESOURCES | 01-02-2014 |
20140006735 | SPACE RESERVATION IN A DEDUPLICATION SYSTEM | 01-02-2014 |
20140007062 | STRENGTH REDUCTION COMPILER OPTIMIZATIONS FOR OPERATIONS WITH UNKNOWN STRIDES | 01-02-2014 |
20140007095 | ADJUSTING ADMINSTRATIVE ACCESS BASED ON WORKLOAD MIGRATION | 01-02-2014 |
20140012835 | GENERATING STATISTICAL VIEWS IN A DATABASE SYSTEM - Techniques are disclosed for generating statistical views in a database system. In one embodiment, a request is received to execute a database workload. One or more constraints pertaining to executing the database workload is retrieved. The database workload is evaluated to generate multiple statistical view candidates. The statistical view candidates are refined based on the one or more constraints. One or more statistical views are then generated based on the refined statistical view candidates. | 01-09-2014 |
20140019802 | MULTIPLE HYPERSWAP REPLICATION SESSIONS - A method includes monitoring a plurality of HyperSwap sessions between one or more storage systems located at a first location and one or more storage systems located at a second location, wherein at least one of the one or more storage systems located at the first location and at the second location are designated as a primary storage system. The method includes detecting an error event and freezing communications between the storage systems located at the first location and the second location in response to the error event. The method also includes designating either the first location or the second location as a preferred location and modifying the designation of all of storage systems at the preferred location to be primary storage systems in response to the error event. | 01-16-2014 |
20140025351 | PLANNING ECONOMIC ENERGY DISPATCH IN ELECTRICAL GRID UNDER UNCERTAINTY - A method for solving a two-stage non-linear stochastic formulation for the economic dispatch problem under renewable-generation uncertainty. Certain generation decisions are made only in the first stage and fixed for the subsequent (second) stage, where the actual renewable generation is realized. The uncertainty in renewable output is captured by a finite number of scenarios. Any resulting supply-demand mis-match must then be alleviated using high marginal-cost power sources that can be tapped in short time frames. The solution implements two outer approximation algorithms to solve this nonconvex optimization problem to optimality. Under certain conditions the sequence of optimal solutions obtained under both alternatives has a limit point that is a globally-optimal solution to the original two-stage nonconvex program. A further decomposition approach derived from the Alternating Direction Method of Multipliers algorithm is implemented. | 01-23-2014 |
20140025412 | AUTOMATIC CONFIGURATION OF PROCESS DEFINITION METRICS - Various embodiments manage metrics in a business process management environment. In one embodiment, a business process is instantiated for execution. A set of process elements associated with the business process are identified. A set of metric configurations are accessed based on the business process being instantiated. A set of metrics is identified based on the set of metric configurations. Each of the set of metrics is associated with a process element type. At least one process elements in the set of process elements is automatically configured to collect at least one metric in the set of metrics based on the process element type of the at least one process element matching the process element type associated with the at least one metric. | 01-23-2014 |
20140025617 | DETERMINING SOFT GRAPH CORRESPONDENCE - A method for determining a correspondence between a first node set of a first graph and a second node of a second graph includes building a feature representation for each of the first graph and the second graph, and inferring the correspondence between the first node set and the second node set based on the feature representations. | 01-23-2014 |
20140025689 | DETERMINING A SIMILARITY BETWEEN GRAPHS - A method for determining a similarity between a plurality of graphs includes inferring a low-rank representation of a first graph, inferring a low-rank representation of a second graph, wherein the low-rank representations of the first and second graphs are stored in memory, estimating a left interaction between the first and second graphs, estimating a middle interaction between the first and second graphs, estimating a right interaction between the first and second graphs, wherein the estimations are based on the low-rank representations of the first and second graphs stored in memory, and aggregating the left interaction, the middle interaction and the right interaction into a kernel, wherein the kernel is indicative of the similarity between the first and second graphs. | 01-23-2014 |
20140032611 | RELATIONSHIP DISCOVERY IN BUSINESS ANALYTICS - A subset of (k−1)-dimensional tables are received, wherein k is greater than 1. A set of k-dimensional tables is created by combining each of the (k−1)-dimensional tables with a non-included dimension corresponding to a 1-dimensional table. Significance of interaction and interaction effect size is computed for the created set of k-dimensional tables to determine dimension and measure interactions. | 01-30-2014 |
20140040185 | INTENT BASED AUTOMATION OF DATA MANAGEMENT OPERATIONS BY A DATA MANAGEMENT ENGINE - Provided are a method, computer program product, and system for processing a data management request. User intent that defines properties of target data is determined. Policies and constraints for the data management request are determined. An abstract data management request that identifies source data, the target data, and the polices and constraints is created. A technology to use to process the data management request based on the user intent, policies, and constraints is determined. | 02-06-2014 |
20140040228 | DISPLAYING BROWSE SEQUENCE WITH SEARCH RESULTS - Methods may display a browse sequence for a plurality of statically paginated web pages with search engine results. The method may include identifying, in the source code of one web page, one or more links to at least another web page, and identifying, in the source code of the at least another web page, one or more links to the one web page. The method may additionally include determining a browse sequence for the one web page and the at least another web page based on the identified one or more links to the at least another web page and to the one web page. The method may further include displaying the browse sequence along with search results on a search engine results page, the search results including information regarding one or more of the one web page and the at least another web page. | 02-06-2014 |
20140052703 | Gap Detection in a Temporally Unique Index in a Relational Database - Methods for gap detection in a temporally unique index in a relational database. A temporally unique index is provided in a relational database. The index includes a first set of keys, each including one or more non-temporal and two temporal key parts. In response to receiving an insert, update, or delete statement for a changed row, the rows with identical non-temporal key parts to the changed row are identified. The temporal key parts of the identified rows are compared to the temporal key parts of the changed row to determine whether: the changed row is directly adjacent to a temporally earlier row and temporally later row, a gap is detected between the changed row and a temporally earlier row, or a gap is detected between the changed row and a temporally later row. | 02-20-2014 |
20140052744 | CUSTOM OBJECT-IN-MEMORY FORMAT IN DATA GRID NETWORK APPLIANCE - Techniques are disclosed for implementing custom object-in-memory formats in a data grid network appliance. The techniques include maintaining a record of format definitions on a client device of the data grid and a corresponding record of format definitions on a server device of the data grid. Each format definition may indicate one or more attributes of an object class and data types and byte ranges of the attributes. The client device may serialize one or more objects for storage in the data grid based on respective format definitions associated with the one or more objects and retrieved from the record of format definitions maintained on the client device. Further, the server device may perform one or more data grid operations using format definitions retrieved from the record of format definitions maintained on the server device. | 02-20-2014 |
20140059081 | Attribute Value Properties for Test Selection with Cartesian Product Models - A method for modeling a test space is provided. The method comprises defining a coverage model including one or more attributes, wherein respective values for the attributes are assigned, one or more definitions of value properties for said attributes with assigned values, and one or more requirements that limit combination of attribute values that are legal for the model, wherein at least one of said requirements is defined using at least one value property. | 02-27-2014 |
20140059100 | REDUCING BIAS IN HARDWARE GENERATED RANDOM NUMBERS - A random number generator of a processor comprises a whitener for reducing the bias in random numbers generated by the random number generator. The whitener receives a random number of a first length read by an array of latches with inputs from an array of oscillators. The whitener dynamically creates a mask of the first length based on a parity of at least one previous random number read from the array of latches during at least one cycle prior to reading the random number. The whitener applies a compare operation between the random number and the mask to generate a whitened random number of the first length, with reduced bias, without reducing randomness. | 02-27-2014 |
20140064320 | CALIBRATED AIRFLOW SENSOR FACILTIATING MONITORING OF ELECTRONIC SYSTEM COOLING - A calibrated airflow monitoring method is provided. The monitoring method which includes: providing an airflow sensor positioned within an electronic system to be at least partially air-cooled, the airflow sensor including at least one temperature sensor and a heater associated with one temperature sensor of the at least one temperature sensor; calibrating, with the airflow sensor positioned within the electronic system, a duty cycle for use in powering the heater associated with the one temperature sensor; and providing a controller configured to use the calibrated duty cycle in powering the heater of the temperature sensor during airflow monitoring of the electronic system, and to obtain a hot temperature (T | 03-06-2014 |
20140068148 | LEVEL PLACEMENT IN SOLID-STATE MEMORY - Methods and apparatus are provided for determining level placement in q-level cells of solid-state memory, where q>2. Groups of the cells are programmed to respective levels of a predetermined plurality of programming levels, and each cell is then read at a series of time instants to obtain a sequence of read metric values for that cell. The sequences of read metric values for the group of cells programmed to each programming level are processed to derive statistical data as a function of time for that level. The statistical data for each programming level is processed to determine for that level at least one parameter of a model defining variation with time of the statistical data for programming levels. The parameters for the levels are extrapolated to define parameter variation as a function of level. A set of q programming levels which has a desired property over time is then calculated from said parameter variation and said model. | 03-06-2014 |
20140068347 | RESTARTING EVENT AND ALERT ANALYSIS AFTER A SHUTDOWN IN A DISTRIBUTED PROCESSING SYSTEM - Restarting event and alert analysis after a shutdown in a distributed processing system includes identifying a shutdown condition of the distributed processing system; and determining whether the shutdown was a planned shutdown or an unplanned shutdown; if the shutdown was planned, storing an identification of the last event in an event log that was injected in an event queue at the time of the planned shutdown and restarting event and alert analysis using the next event identified in the event log; if the shutdown was unplanned, identifying a previously configured restart mode; selecting an identification of a restart event in the event log according to the previously configured restart mode; and restarting event and alert analysis using the restart event identified in the event log. | 03-06-2014 |
20140068579 | JAVA NATIVE INTERFACE ARRAY HANDLING IN A DISTRIBUTED JAVA VIRTUAL MACHINE - A method for executing native code in a distributed Java Virtual Machine (JVM) is disclosed herein. The method may include receiving, in a first thread executing in a remote execution container, a first native code-generated call, such as a Java Native Interface (JNI) call, to a second thread, the first call including a first array write request. The first call may be stored in an instruction cache and bundled with a second native code-generated call and sent to the second thread. The calls are unbundled and executed in the second thread. An opaque handle to an array returned by the second call is bundled with corresponding array data and returned to the first thread. The array data of the bundle is stored in a data cache and retrieved in response to requests for the array data addressed to the second thread. A corresponding computer program product is also disclosed. | 03-06-2014 |
20140068942 | VAPOR CONDENSER WITH THREE-DIMENSIONAL FOLDED STRUCTURE - A method of fabricating a vapor condenser is provided which includes a three-dimensional folded structure which defines, at least in part, a set of coolant-carrying channels and a set of vapor condensing channels, with the coolant-carrying channels being interleaved with and extending parallel to the vapor condensing channels. The folded structure includes a thermally conductive sheet with multiple folds in the sheet. One side of the sheet is a vapor condensing surface, and the opposite side of the sheet is a coolant-cooled surface, with at least a portion of the coolant-cooled surface defining the coolant-carrying channels, and being in contact with coolant within the coolant-carrying channels. The vapor condenser further includes, in one embodiment, a top plate, and first and second end manifolds which are coupled to opposite ends of the folded structure and in fluid communication with the coolant-carrying channels to facilitate flow of coolant through the coolant-carrying channels. | 03-13-2014 |
20140075424 | EFFICIENTLY SOLVING THE "USE-DEF" PROBLEM INVOLVING LABEL VARIABLES - A method for efficiently solving the “use-def” problem involving label variables performs a data-flow analysis on a control flow graph that includes calculating an inset for each node as follows: if a predecessor node directly branches to the node, the method includes an outset of the predecessor node in the inset of the node; if a predecessor node indirectly branches to the node via a label variable and the node is in definitions of the label variable in the outset of the predecessor node, the method includes the outset of the predecessor node in the inset of the node; if a predecessor node indirectly branches to the node via a label variable and the node is not in definitions of the label variable in the outset of the predecessor node, the method does not include the outset of the predecessor node in the inset of the node. | 03-13-2014 |
20140081589 | METHOD FOR MEASURING PERFORMANCE OF AN APPLIANCE - A method that measures performance of an information appliance comprises a test module receiving, from a client, and recording a request for processing of a sample data by an information appliance. The test module sends the sample data received from the client to the information appliance for processing. If a request to a backend application is present, the test module also sends the request to the backend application for processing and receives and records a response from the backend application. The test module sends the response from the backend application to the information appliance for processing. A generated correlation ID is used to update a performance data table with start time and a stop time of a response for transaction sample data processed by the information appliance. | 03-20-2014 |
20140082194 | BUSINESS INTELLIGENCE-INFUSED SMART RETRANSMISSION PROCESSING - Business intelligence (BI) information that includes at least one application-level directive associated with a received application-level request is collected at a BI framework situated between a transport adapter layer and a network layer of a computing device. A determination is made as to whether to defer transmission of the received application-level request based upon an evaluation of the at least one application-level directive within the collected BI information relative to current network transmission conditions. The received application-level request is stored locally in response to determining to defer transmission of the received application-level request based upon the evaluation of the at least one application-level directive within the collected BI information relative to the current network transmission conditions. | 03-20-2014 |
20140082195 | PRIORITY RESOLUTION FOR ACCESS CONTROL LIST POLICIES IN A NETWORKING DEVICE - Access control lists (ACLs) permit network administrators to manage network traffic flowing through a networking element to optimize network security, performance, quality of service (QoS), and the like. If a networking element has multiple ACLs directed towards different types of network optimization, each ACL may return a separate action set that identifies one or more actions the networking element should perform based on a received frame. In some cases, these action sets may conflict. To resolve the conflicts, a networking element may include resolution logic that selects one of the conflicting actions based on a predefined precedence value assigned to each action in an action set. By comparing the different precedence values, the resolution logic generates a new action set based on the actions with the highest precedence value. | 03-20-2014 |
20140082303 | MANAGEMENT OF DESTAGE TASKS WITH LARGE NUMBER OF RANKS - A processor, operable in a computing storage environment, for each rank in a storage management device in the computing storage environment, allocates a lower maximum count, and a higher maximum count, of Task Control Blocks (TCBs) to be implemented for performing a storage operation, and performs the storage operation using up to the lower maximum count of TCBs, yet only allows those TCBs above the lower maximum count to be allocated for performing the storage operation satisfying at least one criterion. | 03-20-2014 |
20140082734 | CERTIFYING SERVER SIDE WEB APPLICATIONS AGAINST SECURITY VULNERABILITIES - Methods for server security verification include acquiring a public key associated with a received report that includes an indication regarding the presence of a vulnerability for each vulnerability, the report having been generated at a server; decrypting the received report using the public key; determining a level of server-side security based on the decrypted report using a processor; and reconfiguring a browser at the client responsive to the determined level of server-side security. | 03-20-2014 |
20140082942 | WICKING AND COUPLING ELEMENT(S) FACILITATING EVAPORATIVE COOLING OF COMPONENT(S) - A method is provided for facilitating cooling of electronic components of an electronic system. The method includes: providing a housing at least partially surrounding and forming a compartment about the components, and providing an immersion-cooling fluid is disposed within the compartment, at least one component of the electronic system being at least partially non-immersed within the fluid in the compartment; providing a wicking film element physically coupled to a main surface of the at least one component and partially disposed within the fluid within the compartment; and securing, via a coupling element, the wicking film element in physical coupling to the main surface of the at least one component without the coupling element overlying the main surface of the component(s). As an enhancement, the wicking film element wraps over the component to physically couple to two opposite main sides of the component. | 03-27-2014 |
20140084453 | OVERCOMING CHIP WARPING TO ENHANCE WETTING OF SOLDER BUMPS AND FLIP CHIP ATTACHES IN A FLIP CHIP PACKAGE - Structures and methods for forming good electrical connections between an integrated circuit (IC) chip and a chip carrier of a flip chip package include forming one of: a tensile layer on a front side of the IC chip, which faces a tops surface of the chip carrier, and a compressive layer on the backside of the IC chip. Addition of one of: a tensile layer to the front side of the IC chip and a compressive layer the backside of the IC chip, may reduce or modulate warpage of the IC chip and enhance wetting of opposing solder surfaces of solder bumps on the IC chip and solder formed on flip chip (FC) attaches of a chip carrier during making of the flip chip package. | 03-27-2014 |
20140084950 | CANCELLATION OF SECONDARY REVERSE REFLECTIONS IN A VERY-FAST TRANSMISSION LINE PULSE SYSTEM - An approach for cancelling reverse reflections in very-fast transmission line pulse (VFTLP) testing of an electrostatic discharge (ESD) device in a semiconductor is provided. A method includes generating an incident pulse in a VFTLP system for applying to a device under test (DUT). The method also includes generating a delayed replica of the incident pulse. The method also includes cancelling a portion of a reverse reflection of the incident pulse by combining the delayed replica with the reverse reflection at a power divider. | 03-27-2014 |
20140086051 | FENCING OFF SWITCH DOMAINS - Techniques are disclosed to reduce crossover between traffic from switch elements of different switch domains in a distributed switch. Addition of a first switch element to the distributed switch is detected. The distributed switch includes multiple switch elements at least subsequent to the addition, and each switch element has a switch element identifier and a fabric identifier. The respective fabric identifiers of the first switch element and of a second switch element are retrieved. The second switch element is communicably connected to the first switch element via a link, and the link is configured to allow only command traffic to be transmitted via the link. Upon a determination that the fabric identifier of the first switch element does not match the fabric identifier of the second switch element, then no command is issued specifying to reconfigure the link to allow at least one additional traffic type, different from command traffic. | 03-27-2014 |
20140086065 | DISJOINT MULTI-PATHS WITH SERVICE GUARANTEE EXTENSION - In one embodiment, a system includes a network manager including logic configured for determining at least one pair of disjoint paths between an ingress node and an egress node from the plurality of nodes in the network, each disjoint path having an ingress node, an egress node, and a number of nodes and connecting links therebetween, wherein each pair of disjoint paths shares no common nodes or links except for the ingress node and the egress node, and logic configured for determining characteristics of each of the at least one pair of disjoint paths based on an assigned cost of each link in the at least one pair of disjoint paths. In other embodiments, methods are described for providing disjoint multi-paths in a network. | 03-27-2014 |
20140087704 | BLOCKING INCOMING COMMUNICATION INTERRUPTIONS - Embodiments of the present invention provide a system, method, and program product for blocking an alert of an incoming communication external to an in-progress conference call which includes telecommunication devices connected to a communications network. A telecommunication device determines that the device is party to a conference call. The telecommunication device receives an incoming communication external to the conference call while it is party to the conference call. The telecommunication device blocks an alert of the incoming communication, so as to avoid interruption of the conference call. The telecommunication device determines that the conference call has concluded and the telecommunication device presents the blocked alert. | 03-27-2014 |
20140089381 | REBUILD SYSTEM FOR A STORAGE NETWORK - One rebuild system includes a client device including an event handler and an indication listener associated with the event handler and a server device in communication with the client device. The server device includes an indication subscription associated with the event handler and a self-registration trigger (SRT) module in communication with the indication subscription for rebuilding the event handler and the indication listener. Also provided are physical computer storage mediums including a computer program product rebuild method for a network. | 03-27-2014 |
20140089629 | SOLID STATE MEMORY DEVICE LOGICAL AND PHYSICAL PARTITIONING - Embodiments relate to solid state memory device including a storage array having a plurality of physical storage devices and the storage array includes a plurality of partitions. The solid state memory device also includes a controller comprising a plurality of mapping tables, wherein each of the plurality of mapping tables corresponds to one of the plurality of partitions. Each of the plurality of mapping tables is configured to store a physical location and a logical location of data stored in its corresponding partition. | 03-27-2014 |
20140089750 | TEST COVERAGE OF INTEGRATED CIRCUITS WITH TEST VECTOR INPUT SPREADING - An apparatus and method is provided for switching input pins to scan channels to increase test coverage. In one embodiment, a scan system connects a small number of input pins to several scan channels so that the input pins may be selectively switched. The input pins may transmit independent test vectors to test a large number of test areas on a semiconductor chip. The scan system may include a switching device such as a multiplexer (MUX). | 03-27-2014 |
20140089892 | DYNAMICALLY BUILDING SUBSECTIONS OF LOCALE OBJECTS AT RUN-TIME - Subsections of locale objects are dynamically built from locale source files when requested at run-time without building all subsections of the locale object. When a subsection of a locale object is dynamically built, the subsection is stored in global memory so it may be read by multiple applications. Dynamically building subsections of locale objects when requested allows software to operate with a relatively small number of locale objects instead of with hundreds or thousands of locale objects, as is known in the art, and requires fewer system resources because only the requested subsection of the locale object is built when it is requested. The result is significant savings in system-wide resources while still allowing for access to a large number of possible locales. | 03-27-2014 |
20140090067 | CUSTOMIZING A SECURITY REPORT USING STATIC ANALYSIS - Respective edge weights are assigned to edges of a plurality of paths in a control flow graph representing a model of data flow of a computer program. Edge weights assigned to each edge are processed to determine a total edge weight for the respective paths, the total edge weight for a respective path being a sum of the edge weights assigned to the respective edges of the path. At least one path in the control flow graph whose total edge weight satisfies a particular total edge weight criteria can be identified, and the control flow graph can be updated to indicate to the user the at least one path in the control flow graph whose total edge weight satisfies the particular total edge weight criteria. The updated control flow graph can be presented to the user. | 03-27-2014 |
20140092303 | Image Signal Processing Method and Apparatus - The present invention enables an image signal processing that can improve color representation of an image signal converted from YCrCb 4:2:2 format to YCrCb 4:2:0 format. An image signal processing apparatus | 04-03-2014 |
20140092728 | FAULTY CORE RECOVERY MECHANISMS FOR A THREE-DIMENSIONAL NETWORK ON A PROCESSOR ARRAY - Embodiments of the invention relate to faulty recovery mechanisms for a three-dimensional (3-D) network on a processor array. One embodiment comprises a multidimensional switch network for a processor array. The switch network comprises multiple switches for routing packets between multiple core circuits of the processor array. The switches are organized into multiple planes. The switch network further comprises a redundant plane including multiple redundant switches. Multiple data paths interconnect the switches. The redundant plane is used to facilitate full operation of the processor array in the event of one or more component failures. | 04-03-2014 |
20140094118 | EVALUATING WIRELESS NETWORK ACCESS CONNECTIONS VIA NEAR FIELD COMMUNICATIONS - Evaluating wireless network access connections via near field communications, including: identifying, by a requesting device, one or more network connections available to the requesting device; requesting, by the requesting device to one or more responding devices using a near field communications connection, information describing the performance of the network connections experienced by the one or more responding devices; receiving, by the requesting device, the information describing the performance of the network connections experienced by the one or more responding devices; and connecting, by the requesting device, to a preferred network connection in dependence upon the information describing the performance of the network connections experienced by the one or more responding devices. | 04-03-2014 |
20140095143 | TRANSLITERATION PAIR MATCHING - Feature sequences are extracted, as individual letters separated by spaces, from a digital representation of a proper name in a first language to obtain a first orthographic feature sequence set; and from a digital representation of a proper name in a second language to obtain a second orthographic feature sequence set. The first and second orthographic feature sequence sets (a transliteration pair) are compared to determine a similarity score, based on a similarity model including a plurality of conditional probabilities of known orthographic feature sequences in the first language given known orthographic feature sequences in the second language and a plurality of conditional probabilities of known orthographic feature sequences in the second language given known orthographic feature sequences in the first language. Based on at least one threshold value, it is determined whether the transliteration pair belong to an identical actual proper name. | 04-03-2014 |
20140095789 | MANAGEMENT OF DATA USING INHERITABLE ATTRIBUTES - Embodiments relate to a system and computer program product for data management. An aspect includes a method for assigning storage types to data based on access frequency. Past or historical data associated with current data usage is also considered prior to assignment. Once data frequency access is determined, the current data is assigned to a first tier of a plurality of hierarchical ordered tiers, each tier corresponding to at least one class of storage. In one embodiment, there may be a condition that overrides the assignment with option to override it. The tier assignment may also be preserved so that the current data can be appropriately assigned in the future. | 04-03-2014 |
20140095796 | PERFORMANCE-DRIVEN CACHE LINE MEMORY ACCESS - According to one aspect of the present disclosure, a method and technique for performance-driven cache line memory access is disclosed. The method includes: receiving, by a memory controller of a data processing system, a request for a cache line; dividing the request into a plurality of cache subline requests, wherein at least one of the cache subline requests comprises a high priority data request and at least one of the cache subline requests comprises a low priority data request; servicing the high priority data request; and delaying servicing of the low priority data request until a low priority condition has been satisfied. | 04-03-2014 |
20140096240 | IDENTIFYING WHETHER AN APPLICATION IS MALICIOUS - Identifying whether a first application is malicious. The first application can be presented for installation on a processing system. The first application can be scanned, via a static analysis implemented by a processor, to determine whether a user interface layout of the first application is suspiciously similar to a user interface layout of a second application installed on the processing system. When the user interface layout of the first application is suspiciously similar to the user interface layout of the second application installed on the processing system, an alert can be generated indicating that the first application is malicious. | 04-03-2014 |
20140096255 | CORRECTING WORKFLOW SECURITY VULNERABILITIES VIA STATIC ANALYSIS AND VIRTUAL PATCHING - A computer program can be statically analyzed to determine an order in which client side workflows are intended to be implemented by the computer program. A virtual patch can be generated. When executed by a processor, the virtual patch can track web service calls from a client to the computer program, and determine whether the order of the web service calls from the client to the computer program correlate to the order in which client side workflows are intended to be implemented by the computer program. If the order of the web service calls from the client to the computer program do not correlate to the order in which client side workflows are intended to be implemented by the computer program, an alert can be generated. | 04-03-2014 |
20140096266 | Protecting Online Meeting Access Using Secure Personal Universal Resource Locators - Access to online collaborative resources such as an online meeting, web conference, online chat room, an online video conference, an online audio conference, a collaboratively edited document, a collaborative browsing session, an online social networking group, or a web site is secured by providing a first user-specific URL to a first user for addressing collaborative resource; responsive to the first user accessing the first user-specific URL, granting by a computing system access to the collaborative event to the first user; and responsive to a second user accessing the first user-specific URL, preventing by a computing system access to the collaborative event to the second user. Optionally, time criteria for accessing the first user-specific URL may be used to invalidating the first user-specific URL, wherein access to the collaborative resource is disabled. | 04-03-2014 |
20140096820 | Laser Doping of Crystalline Semiconductors Using a Dopant-Containing Amorphous Silicon Stack For Dopant Source and Passivation - Techniques and structures for laser doping of crystalline semiconductors using a dopant-containing amorphous silicon stack for dopant source and passivation. A structure includes a crystalline semiconductor having at least one surface, a doped crystalline region disposed in at least one selected area of the semiconductor surface, and a dopant-containing amorphous silicon layer stack containing a same dopant as present in the doped crystalline region on at least a portion of the semiconductor surface outside the selected area, wherein the dopant-containing amorphous silicon layer stack passivates the portion of the semiconductor surface on which it is disposed. | 04-10-2014 |
20140097467 | COMPRESSIVELY STRAINED SOI SUBSTRATE - A method of forming a strained silicon-on-insulator includes forming a first wafer having a compressively strained active semiconductor layer, forming a second wafer having an insulation layer formed above a bulk semiconductor layer, and bonding the compressively strained active semiconductor layer of the first wafer to the insulation layer of the second wafer. | 04-10-2014 |
20140098680 | MOBILITY SUPPORT IN A MOBILE DATA NETWORK - A mobility event is supported on a flat or next generation mobile data networks with data breakout or offload at the edge of the mobile data network. Packet data is buffered while a complete set of the PDP context information is transferred from the source eNodeB to the target eNodeB and the mobile core network is updated with the information about the handover in a timely manner to prevent packet loss and thus provide a seamless user experience. The breakout system supports the PDP context transfer by shifting the end point of the TCP communication from the local breakout entity (MIOP@eNodeB) to the core breakout entity (MIOP@GW), transferring the TCP connection to the core breakout entity, and supporting the network initiated control flows in a way that is seamless from the perspective of the UE and the core network. | 04-10-2014 |
20140099610 | VISUALIZING THE MOOD OF A GROUP OF INDIVIDUALS - Techniques are described for visualizing the mood of a group of individuals. In one example, a graphical display is divided into regions representing different emotions. Input indicative of an emotion is received from individuals located in an area of interest. As the input is received, a set of indicators is output in each of the regions of the graphical display. Each indicator represents a different one of the individuals who is experiencing the emotion associated with the region in which the indicator is displayed and is displayed with a color assigned to the emotion. The indicators are animated to move over time toward a center of the graphical display and are removed upon reaching the center of the graphical display. In this way, the graphical display depicts a current mood for the group and indicates a rate of change of each of the different emotions. | 04-10-2014 |
20140099776 | COMPRESSIVELY STRAINED SOI SUBSTRATE - A method of forming a strained silicon-on-insulator includes forming a first wafer having a compressively strained active semiconductor layer, forming a second wafer having an insulation layer formed above a bulk semiconductor layer, and bonding the compressively strained active semiconductor layer of the first wafer to the insulation layer of the second wafer. | 04-10-2014 |
20140099780 | Laser Doping of Crystalline Semiconductors Using a Dopant-Containing Amorphous Silicon Stack For Dopant Source and Passivation - Techniques and structures for laser doping of crystalline semiconductors using a dopant-containing amorphous silicon stack for dopant source and passivation. A method includes forming a dopant-containing amorphous silicon layer stack on at least one portion of a surface of a crystalline semiconductor layer, and irradiating a selected area of the dopant-containing amorphous silicon layer stack, wherein the selected area of the dopant-containing amorphous silicon layer stack interacts with an upper portion of the underlying crystalline semiconductor layer to form a doped, conductive crystalline region, and each non-selected area of the dopant-containing amorphous silicon layer stack remains intact on the at least one portion of the surface of the crystalline semiconductor layer. | 04-10-2014 |
20140101133 | ESTIMATING ROWS RETURNED BY RECURSIVE QUERIES USING FANOUT - In an embodiment, a recursive query is received that comprises a first select statement with a seed select statement and a second select statement with a recursive reference, wherein the recursive query further identifies at least two columns in at least one table, wherein the at least two columns have parent-child relationships represented by nodes in a graph, wherein the graph represents the organization of values in rows in the at least one table. A target recursion depth is calculated for the graph based on a fanout of the graph. In an embodiment, the target recursion depth is calculated by summing the fanout at each recursion depth of the graph multiplied by a number of nodes at each recursion depth of the graph. An estimated number of rows that the recursive query will return is estimated based on the target recursion depth. | 04-10-2014 |
20140101179 | Mapping Infrastructure Layout Between Non-Corresponding Datasets - A system or computer usable program product for mapping infrastructure layout between non-corresponding datasets including accessing from a memory a set of long links with points that trace the curvature of a set of linestrings, accessing from the memory a set of short links that are short enough for analytical purposes wherein each short link represents a straight line, and from the set of long links and the set of short links, using a processor to create a set of hybrid links for storage in the memory, each hybrid link corresponding to one short link for analytic purposes, a plurality of the hybrid links including at least one of the points for tracing the curvature of the set of linestrings. | 04-10-2014 |
20140101180 | Mapping Infrastructure Layout Between Non-Corresponding Datasets - A method for mapping infrastructure layout between non-corresponding datasets including accessing from a memory a set of long links with points that trace the curvature of a set of linestrings, accessing from the memory a set of short links that are short enough for analytical purposes wherein each short link represents a straight line, and from the set of long links and the set of short links, using a processor to create a set of hybrid links for storage in the memory, each hybrid link corresponding to one short link for analytic purposes, a plurality of the hybrid links including at least one of the points for tracing the curvature of the set of linestrings. | 04-10-2014 |
20140101323 | MANAGING MID-DIALOG SESSION INITIATION PROTOCOL (SIP) MESSAGES - Processing mid-dialog SIP messages by receiving a mid-dialog SIP message from a SIP user agent client, creating a new SIP session, associating the new SIP session with the mid-dialog SIP message, identifying an application that is associated with the mid-dialog SIP message, providing to the application the mid-dialog SIP message in the context of the new SIP session, receiving an acknowledgement from the application that the application will accept the mid-dialog SIP message, and responsive to receiving the acknowledgement, providing to the application the mid-dialog SIP message in the context of the new SIP session. | 04-10-2014 |
20140101325 | DYNAMIC VIRTUAL PRIVATE NETWORK - Various embodiments establish a virtual private network (VPN) between a remote network and a private network. In one embodiment, a first system in the remote network establishes a connection with a central system through a public network. The central system is situated between the first system and a second system in the private network. The first system receives, from the central system and based on establishing the connection, a set of VPN information associated with at least the second system. The first system disconnects from the central system and establishes a VPN directly with the second system through the public network based on the set of VPN information. | 04-10-2014 |
20140101402 | SYSTEM SUPPORTING MULTIPLE PARTITIONS WITH DIFFERING TRANSLATION FORMATS - A system configuration is provided with multiple partitions that supports different types of address translation structure formats. The configuration may include partitions that use a single level of translation and those that use a nested level of translation. Further, differing types of translation structures may be used. The different partitions are supported by a single hypervisor. | 04-10-2014 |
20140101406 | ADJUNCT COMPONENT TO PROVIDE FULL VIRTUALIZATION USING PARAVIRTUALIZED HYPERVISORS - A system configuration is provided with a paravirtualizing hypervisor that supports different types of guests, including those that use a single level of translation and those that use a nested level of translation. When an address translation fault occurs during a nested level of translation, an indication of the fault is received by an adjunct component. The adjunct component addresses the address translation fault, at least in part, on behalf of the guest. | 04-10-2014 |
20140101408 | ASYMMETRIC CO-EXISTENT ADDRESS TRANSLATION STRUCTURE FORMATS - An address translation capability is provided in which translation structures of different types are used to translate memory addresses from one format to another format. Multiple translation structure formats (e.g., multiple page table formats, such as hash page tables and hierarchical page tables) are concurrently supported in a system configuration. This facilitates provision of guest access in virtualized operating systems, and/or the mixing of translation formats to better match the data access patterns being translated. | 04-10-2014 |
20140101429 | DYNAMIC PROTECTION OF A MASTER OPERATING SYSTEM IMAGE - Methods, apparatuses, and computer program products for dynamic protection of a master operating system image are provided. Embodiments include monitoring, by an image deployment monitor, an operational state of a deployed copy of a master operating system (OS) image; detecting, by the image deployment monitor, a change in the operational state of the deployed copy of the master OS image; in response to detecting the change, generating, by the image deployment monitor, a configuration recommendation to prevent the change from occurring in operational states of future deployed copies of the master OS image; and providing, by the image deployment monitor, the configuration recommendation to the master OS image. | 04-10-2014 |
20140101430 | DYNAMICALLY RECOMMENDING CONFIGURATION CHANGES TO AN OPERATING SYSTEM IMAGE - Methods, apparatuses, and computer program products for dynamically recommending configuration changes to an operating system image are provided. Embodiments include monitoring, by an image deployment monitor, configurations of a plurality of deployed copies of a master operating system (OS) image; detecting, by the image deployment monitor, a change in one or more configurations of a first set of deployed copies of the plurality of deployed copies; and in response to detecting the change, generating, by the image deployment monitor, a configuration recommendation to recommend the change to an OS image. | 04-10-2014 |
20140102511 | ASSEMBLY FOR COLLECTING LIGHT - Disclosed is a novel solar collecting assembly that in which more than one mirror and/or photovoltaic is linked mechanically so that many mirrors share a few actuators, rather than equipping each mirror with individual actuators. One example includes a set of structures, such as poles or pipes. Each of the support structures has a first end and a second end, and each of the support structures is associated with at least one solar collecting assembly. A first set of cables is arranged to move the solar collecting assemblies in a first direction, each of the first set of cables includes a set of first alignment fittings disposed thereon. The first end of each of the solar collecting assemblies is attached to one of the first alignment fittings in the first set of cables. A second set of cables arranged to move the solar collecting assemblies in a second direction. | 04-17-2014 |
20140105005 | PERFORMING VALUE AND CONTEXT AWARE COMMUNICATIONS NETWORKING - An aspect of this invention is a computer-executable method for distributing one or more features associated with information to be transported by a communications network that includes a plurality of end nodes interconnected via a plurality of network nodes. The method includes receiving one or more features associated with information to be transported by the communications network, wherein the one or more features are specified at an end node of the plurality of end nodes for receipt by a network node of the plurality of network nodes; responsive to the one or more received features, configuring at least a portion of the communications network to perform actions on information based upon the features; receiving the information using the plurality of network nodes; and based at least on the received features and the configuring, performing one or more actions with the information. Illustratively, the one or more actions comprise sending the information to one or more edge entity nodes in accordance with the configuring and the received features. | 04-17-2014 |
20140108380 | Iterative Refinement of Cohorts Using Visual Exploration and Data Analytics - Methods and apparatus are provided for iterative refinement of cohorts using visual exploration and data analytics. A cohort comprised of multiple data objects is defined by obtaining an initial cohort seeding; visualizing the initial cohort using a selected view to present a current cohort; reducing the current cohort using one or more visual filters; visualizing the current cohort using a selected view; expanding the current cohort using one or more selected analytics; and determining whether the current cohort should be further modified using one or more of additional reductions and additional expansions. Cohorts can be passed between views and analytics via drag-and-drop interactions as an analysis unfolds. | 04-17-2014 |
20140108648 | TRANSPARENTLY ENFORCING POLICIES IN HADOOP-STYLE PROCESSING INFRASTRUCTURES - Method, system, and computer program product to facilitate selection of data nodes configured to satisfy a set of requirements for processing client data in a distributed computing environment by providing, for each data node of a plurality of data nodes in the distributed computing environment, nodal data describing the respective data node of the plurality of data nodes, receiving a request to process the client data, the client data being identified in the request, retrieving the set of requirements for processing the client data, and analyzing the retrieved data policy and the nodal data describing at least one of the data nodes, to select a first data node of the plurality of data nodes as a delegation target, the first data node selected based on having a higher suitability level for satisfying the set of requirements than a second data node of the plurality of data nodes. | 04-17-2014 |
20140108732 | CACHE LAYER OPTIMIZATIONS FOR VIRTUALIZED ENVIRONMENTS - Embodiments of the invention relate to optimizing the storage of data in a multi-cache level environment. In one aspect, data is classified into primary and secondary cache sections. Data is differentiated based on an inherent sharing characteristic of the data within a system comprising virtual machines. The data is then placed into the classified sections of the cache storage layer and/or persistent data, reflective of how the data is shared among virtual disk images access by virtual machines. | 04-17-2014 |
20140108779 | DYNAMICALLY RECOMMENDING CHANGES TO AN ASSOCIATION BETWEEN AN OPERATING SYSTEM IMAGE AND AN UPDATE GROUP - Dynamically recommending changes to an association between an operating system image and an update group includes monitoring a configuration of a deployed copy of a first master operating system (OS) image; detecting a modification in the configuration of the deployed copy; determining that the configuration of the deployed copy with the modification more closely matches a configuration of a second master OS image than a configuration of the first master OS image; in response to determining that the configuration of the deployed copy with the modification more closely matches the configuration of the second master OS image, generating an association recommendation that recommends associating the deployed copy with a second update group of the second master OS image; and associating the deployed copy with the second update group of the second master OS image instead of the first update group of the first master OS image. | 04-17-2014 |
20140109168 | Automated role and entitlements mining using network observations - A role and entitlements mining system uses network intelligence to facilitate role definition. The system records traffic on a network. The traffic is analyzed to identify the user and application involved. The matched data is then provided to an analytics engine, which analyzes that data to attempt to derive an initial set of one or more roles and the application entitlements for each role. Each role derived by the analytics engine identifies one or more users who are identified as belonging to the role, as well as one or more application entitlements. Preferably, one or more directory services are then interrogated for known group and user relationships to detect whether the roles identified by the analytics engine can be modified or enriched. Evaluation of the known group and user relationships provides a way to identify a more granular set of role definitions. A role-based access control policy is then generated. | 04-17-2014 |
20140114956 | INCORPORATING RELATED SEARCHES BY OTHER USERS IN A SOCIAL NETWORK IN A SEARCH REQUEST - Provided are a computer program product, system, and method for incorporating related searches by other users in a social network in a search request. A search request is received including keywords from a searching user including a keyword to search. A determination is made of at least one other user in a trust relationship with the searching user. A determination is made of related searches submitted by the determined at least one other user having related keywords related to the keywords included in the received search request. Information is returned to the searching user on the related keywords in the related searches in response to determining that the determined at least one other submitted related searches. | 04-24-2014 |
20140115069 | GENERATING A USER UNAVAILABILITY ALERT IN A COLLABORATIVE ENVIRONMENT - Generating a user unavailability alert in a collaborative environment. An embodiment can include receiving a user input from a user indicating an unavailability of the user. Responsive to the user input, activity of the user in the collaborative environment can be analyzed to identify whether any pending actions are allocated to the user which relate to other people identified by the user's participation in the collaborative environment. Responsive to determining at least one pending action is allocated to the user which relates to at least one other person identified by the user's participation in the collaborative environment, a first message can be generated to be communicated to the at least one other person indicating the unavailability of the user, and the first message can be communicated to the at least one other person. | 04-24-2014 |
20140115138 | RECOMMENDING A POLICY FOR AN IT ASSET - When a policy for an IT asset is created in a system manager that manages one or more IT assets, other IT assets that are related to the selected IT asset are determined based on at least one relation criteria related to system topology. The system administrator is prompted to apply the same policy to the related systems. When the system administrator accepts the recommendation to apply the same policy to the related systems, a system group is defined that includes the selected computer system and the related systems, and the system group and its corresponding policy are displayed to the system administrator. | 04-24-2014 |
20140115215 | TIERED LOCKING OF RESOURCES - In an embodiment, a lock command is received from a thread that specifies a resource. If tier status in a nodal lock indicates the nodal lock is currently owned, an identifier of the thread is added to a nodal waiters list, and if the thread's lock wait indicator indicates that the thread owns the nodal lock, then a successful completion status is returned for the lock command to the thread after waiting until a next tier wait indicator in the nodal lock indicates that any thread owns a global lock on the resource. If the tier status indicates no thread holds the nodal lock, the tier status is changed to indicate the nodal lock is owned, and if a global waiters and holder list is empty, an identifier of a node at which the thread executes is added to the global waiters and holder list. | 04-24-2014 |
20140115382 | Scheduling Workloads Based on Detected Hardware Errors - Scheduling workloads based on detected hardware errors is provided. In response to determining that a hardware error is detected, it is determined whether the hardware error is a cache error. In response to determining that the hardware error is a cache error, it is determined whether execution of a workload on a processor is changing contents of a cache associated with the cache error more than a threshold value. In response to determining that the execution of the workload on the processor is changing the contents of the cache associated with the cache error more than the threshold value, it is determined whether the cache associated with the cache error is private to a core in the processor. In response to determining that the cache associated with the cache error is private to a core, the execution of the workload is scheduled on a different core of the processor. | 04-24-2014 |
20140117236 | SUSPENDED WIDEBAND PLANAR SKIRT ANTENNA HAVING LOW THERMAL MASS FOR DETECTION OF TERAHERTZ RADIATION - A novel and useful THz radiation detector comprising a suspended wideband planar skirt antenna for achieving low thermal mass and high electrical performance. The antenna comprises only the perimeter or “skirt” of the antenna. The antenna has multiple loops where each loop comprises a conductor that covers the perimeter or skirt and includes multiple inner and outer arms. The total length of each loop has a length substantially one wavelength. One or more ports or load impedances are connected at the center of the antenna and shared by one or more loops. A thermal sensor detects the heat generated in the load resister and converts the heat energy to an electrical signal which is transmitted to read out circuitry via signal lines that run together with a holding arm. The holding arm functions as both a path for the read out signals as well as providing mechanical support for and effectively suspending the antenna. | 05-01-2014 |
20140120513 | Question and Answer System Providing Indications of Information Gaps - Mechanisms are provided for identifying information gaps in electronic content. These mechanisms receive the electronic content to be analyzed and analyze the electronic content to identify at least one of topics or questions within the electronic content to produce a collection of at least one of topics or questions associated with the electronic content. These mechanisms further compare the collection to the electronic content, and to a corpus of previously analyzed electronic content, to produce a set of information gaps in the electronic content. Moreover, the mechanisms output a notification of the set of information gaps to a user associated with the electronic content. | 05-01-2014 |
20140122395 | DIFFERENTIAL DYNAMIC HOST CONFIGURATION PROTOCOL LEASE ALLOCATION - Disclosed is a novel passive fingerprinting technique based on DHCP messages to determine the device type and operating system. DHCP implementations are shown to vary among device types and have an effect on DHCP lease durations. To improve network address utilization, without introducing any protocol changes, the present invention provides a new leasing strategy which takes into account device types. This strategy, compared to current approaches, improves the address utilization sixfold without considerably increasing DHCP overhead. | 05-01-2014 |
20140122693 | Web Navigation Tracing - A navigation to a plurality of visited web sites is traced and a navigation map is generated comprised of a node for each visited web site. Each node in the navigation map is connected by an edge to at least one other node in the navigation map, and the edge is associated with the link from the given visited web site to the next web site. For each visited web site, the corresponding node stores an address for the given web site, a time stamp of the visit to the given visited web site, a link from the given visited web site to a next web site and optionally a title of the web site. A keyword search of the nodes can be processed to identify a given web site in the navigation map. A signature portion of the given web site can be used to identify a new location of the given web site. A navigation map can be designated as a searchable public navigation map. | 05-01-2014 |
20140122722 | ALLOCATION OF RESOURCES IN A NETWORKED COMPUTING ENVIRONMENT - Systems and methods for resource allocation in a network are provided. In one embodiment, the method comprises generating one or more workload parameters for one or more components in a network comprising a plurality of network nodes, wherein a network node comprises a plurality of the components; allocating one or more resources to the one or more components; and modifying the one or more workload parameters for the one or more components, in response to determining that one or more predetermined performance goals are not optimally supported for at least one of the plurality of network nodes. | 05-01-2014 |
20140122776 | DYNAMIC TUNING OF INTERNAL PARAMETERS FOR SOLID-STATE DISK BASED ON WORKLOAD ACCESS PATTERNS - A system and method for tuning a solid state disk memory includes computing a metric representing a usage trend of a solid state disk memory. Whether one or more parameters need to be adjusted to provide a change in performance is determined. The parameter is adjusted in accordance with the metric to impact the performance of running workloads. These steps are repeated after an elapsed time interval. | 05-01-2014 |
20140122827 | MANAGEMENT OF MEMORY USAGE USING USAGE ANALYTICS - An approach for managing memory usage in cloud and traditional environments using usage analytics is disclosed. The approach may be implemented in a computer infrastructure including a combination of hardware and software. The approach includes determining that space is available within one or more tables which have schema definitions with string fields having a predefined length. The approach further includes creating a virtual table and mapping the available space to the virtual table for population by one or more records. | 05-01-2014 |
20140122841 | EFFICIENT USAGE OF A REGISTER FILE MAPPER AND FIRST-LEVEL DATA REGISTER FILE - A processor includes a first level register file, second level register file, and register file mapper. The first and second level register files are comprised of physical registers, with the first level register file more efficiently accessed relative to the second level register file. The register file mapper is coupled with the first and second level register files. The register file mapper comprises a mapping structure and register file mapper controller. The mapping structure hosts mappings between logical registers and physical registers of the first level register file. The register file mapper controller determines whether to map a destination logical register of an instruction to a physical register in the first level register file. The register file mapper controller also determines, based on metadata associated with the instruction, whether to write data associated with the destination logical register to one of the physical registers of the second level register file. | 05-01-2014 |
20140122842 | EFFICIENT USAGE OF A REGISTER FILE MAPPER MAPPING STRUCTURE - A processor with a register file mapper can use a hasher to improve the distribution of mappings within a mapping structure. The hasher generates a value based, at least in part, on a thread identifier and logical register identifier. The hash value is used as an index value into the mapping structure. The hashing algorithm is chosen to provide a more even distribution of mappings within the mapping structure, reducing the amount of data written from a first level register file to a second level register file. | 05-01-2014 |
20140122921 | DATA STORE CAPABLE OF EFFICIENT STORING OF KEYS - Embodiments relate to a computer implemented information processing system, method and program product for data access. The information processing system includes a data store having a top tier store and at least another tier store with the top tier store including a counter for each entry of a symbol and another tier store including a representative frequency value defined for the another tier store. A sorter is also provided configured to sort the symbol in the top tier store and the another tier stores according to a value generated in the counter for the assessed symbol. The said sorter is also configured to restore entry of the symbol in the top tier store, in response to a symbol having moved from said top tier store to another tier store, by using the representative frequency value defined for said another store to which said symbol was moved. | 05-01-2014 |
20140123027 | VIRTUAL MEETINGS - A method for managing virtual meetings includes initiating participation with a first user interface in a first meeting, initiating participation with the first user interface in a second meeting, determining whether attention of a user is directed towards the first meeting or the second meeting, and configuring the user interface such that the user interacts with meeting resources associated with the first meeting responsive to determining that the attention of the user is directed towards the first meeting. | 05-01-2014 |
20140123091 | HIERARCHICAL DESIGN OF INTEGRATED CIRCUITS WITH MULTI-PATTERNING REQUIREMENTS - Systems and methods for avoiding restrictions on cell placement in a hierarchical design of integrated circuits with multi-patterning requirements are described. The method may be provided implemented in a computer infrastructure having computer executable code tangibly embodied on a computer readable storage medium having programming instructions operable to assign a color to each pattern shape in a first cell, assign a color to each pattern shape in a second cell, characterize quantities of interest for each pattern shape in the first cell, determine that the colors assigned in the first cell are all one to one mappable to the colors assigned in the second cells, characterize quantities of interest for each pattern shape in the second cell using the quantities of interest characterized for the first cell, and model the quantities of interest for the first cell and the second cell. | 05-01-2014 |
20140123153 | EFFICIENT ROLLBACK AND RETRY OF CONFLICTED SPECULATIVE THREADS USING DISTRIBUTED TOKENS - A method for rolling back speculative threads in symmetric-multiprocessing (SMP) environments is disclosed. In one embodiment, such a method includes detecting an aborted thread at runtime and determining whether the aborted thread is an oldest aborted thread. In the event the aborted thread is the oldest aborted thread, the method sets a high-priority request for allocation to an absolute thread number associated with the oldest aborted thread. The method further detects that the high-priority request is set and, in response, modifies a local allocation token of the oldest aborted thread. The modification prompts the oldest aborted thread to retry a work unit associated with its absolute thread number. The oldest aborted thread subsequently initiates the retry of a successor thread by updating the successor thread's local allocation token. A corresponding apparatus and computer program product are also disclosed. | 05-01-2014 |
20140124019 | LOW VACUUM FABRICATION OF MICROCRYSTALLINE SOLAR CELLS - A device and method for forming a photovoltaic device include forming a photovoltaic stack of layers on a transparent substrate wherein at least one layer of the photovoltaic stack of layers includes a microcrystalline layer. The microcrystalline layer is formed by purging a vacuum chamber with a gettering gas to remove contaminant species from the chamber prior to forming the microcrystalline layer. The microcrystalline layer is deposited at a vacuum base pressure of greater than about 10 | 05-08-2014 |
20140124164 | GROUND-BASED HEAT SINK FACILITATING ELECTRONIC SYSTEM COOLING - Cooling methods are provided which include providing a heat sink having a housing with a compartment, a coolant inlet, and a coolant outlet. The housing is configured for a coolant to flow from the coolant inlet through the compartment to the coolant outlet, wherein the coolant is transferring heat extracted from one or more electronic components. The heat sink further includes one or more heat pipes having a first portion disposed within the compartment of the housing and a second portion disposed outside the housing. The heat pipe(s) is configured to extract heat from the coolant flowing through the compartment, and to transfer the extracted heat to the second portion disposed outside the housing. The second portion outside the housing is disposed to facilitate conducting the extracted heat into the ground. | 05-08-2014 |
20140126151 | SEPARATE CONTROL OF COOLANT FLOW THROUGH COOLANT CIRCUITS - Methods are provided for automated coolant flow control for, for instance, facilitating cooling of multiple different electronic systems. The methods include, for instance, automatically controlling coolant flow to a plurality of coolant circuits, and for a coolant circuit i of the coolant circuits: automatically determining the heat load transferred to coolant flowing through coolant circuit i, and automatically controlling coolant flow through coolant circuit i based on the determined heat load transferred to the coolant. The different coolant circuits may have the same or different coolant flow impedances, and flow through the different coolant circuits may be controlled using different heat load-to-coolant ranges for the different circuits. | 05-08-2014 |
20140128112 | CONVERGED DIALOG IN HYBRID MOBILE APPLICATIONS - According to one aspect of the present disclosure, a method and technique for converged dialog in hybrid mobile applications is disclosed. The method includes: responsive to receiving a short message service (SMS) request from an SMS device, determining a data policy corresponding to the request; initiating an SMS communication dialog with the SMS device to collect data corresponding to the data policy; determining whether the data policy indicates a need for a dialog with a hybrid device; and responsive to determining that the data policy indicates a need for a dialog with a hybrid device, initiating a non-SMS communication dialog with a hybrid device corresponding to the collected data. | 05-08-2014 |
20140129278 | Methods and Apparatus for Schedule Management - Systems and techniques for schedule management are described. Previously scheduled and proposed events for a user are examined to determine if multiple events occupy the same time period, and the presence of such multiple events is identified as a conflict affecting the time period. A severity value is determined for each time period affected by such multiple events, with the determination suitably employing a recursive function based at least in part on a number of conflicting events and their severities. Conflict and conflict severity information may be presented to users in the form of a display indicating relative severities of conflicts, or alerts having characteristics based at least in part on conflict severity. | 05-08-2014 |
20140129717 | ALLOCATION OF STORAGE RESOURCES IN A NETWORKED COMPUTING ENVIRONMENT BASED ON ENERGY UTILIZATION - The present invention provides an approach to provision storage resources (e.g., across an enterprise storage system) for different workloads in an energy efficient manner. Typically, energy consumption characteristics for handling a particular storage workload will be determined. Thereafter, a type of storage device capable of handling the workload will be determined. Then, an allocation plan that results in the most efficient energy consumption for handling the workload will be developed. The allocation plan is based upon the energy consumption characteristics and an energy efficiency algorithm. The energy efficiency algorithm serves to identify storage device(s) that can handle the workload in such a way as to reduce total energy consumption and, accordingly, costs. The energy efficiency algorithm may also consider other factors such as capacity and load of storage devices and service level agreement (SLA) terms. At least one storage device can then be selected for handling the storage workload. | 05-08-2014 |
20140129878 | INDICATING COVERAGE OF WEB APPLICATION TESTING - Testing a system under test includes intercepting, within a proxy system, a request from a client system sent to the system under test. The request is analyzed within the proxy system and sent to the system under test. Within the proxy system, a response from the system under test sent to the client system is intercepted. The response is instrumented creating a modified response indicating test coverage according to the request. The modified response is sent to the client system. | 05-08-2014 |
20140130025 | COMPILER OPTIMIZATION BASED ON COLLECTIVITY ANALYSIS - An embodiment is directed to determining, by a compiler, that a call to a named barrier is matched across all of a plurality of threads, and based at least in part on determining that the call to the named barrier is matched across all of the plurality of threads, replacing, by the compiler, the named barrier with an unnamed barrier. | 05-08-2014 |
20140130027 | DATA PLACEMENT FOR EXECUTION OF AN EXECUTABLE - According to one embodiment, a system including a compiler to produce an executable module to be executed by a computer system including a main processor and active memory devices is provided. The system configured to perform a method including dividing source code into code sections, identifying a first code section to be executed by the active memory devices and identifying data structures that are used by the first code section. The method also includes classifying the data structures based on pre-defined attributes, formulating, by the compiler, a storage mapping plan for the data structures based on the classifying and generating, by the compiler, mapping code that implements the storage mapping plan, wherein the mapping code is part of the executable module and wherein the mapping code maps storing of the data structures to storage locations in the active memory devices. | 05-08-2014 |
20140130180 | CONTROL OF ACCESS TO FILES - A method, system and program product for using access-control lists to control access to categorized computer files. Two or more computer files are each associated with one of a set of possible classifications that fall within a single category and an access-control list associates a user with a subset of these classifications. In response to the user's request for access to one of these files, where the request specifies the requested file but does not specify the category of the requested file, the processor identifies the requested file's category based on that file's associated classifications, checks the access-control list to determine that the user is authorized to access files of the identified category, and then grants the requesting user access to the requested file. | 05-08-2014 |
20140131008 | INLET-AIR-COOLING DOOR ASSEMBLY FOR AN ELECTRONICS RACK - A method is provided which includes providing a cooling apparatus for an electronics rack which includes a door assembly configured to couple to an air inlet side of the electronics rack. The door assembly includes: one or more airflow openings facilitating passage of airflow through the door assembly and into the electronics rack; one or more air-to-coolant heat exchangers disposed so that airflow through the airflow opening(s) passes across the heat exchanger(s), which is configured to extract heat from airflow passing thereacross; and one or more airflow redistributors disposed in a direction of airflow through the airflow opening(s) downstream of, and at least partially aligned to, the heat exchanger(s). The airflow redistributor(s) facilitates redistribution of the airflow passing across the air-to-liquid heat exchanger(s) to a desired airflow pattern at the air inlet side of the electronics rack, such as a uniform airflow distribution across the air inlet side of the rack. | 05-15-2014 |
20140131724 | SELECTIVE GALLIUM NITRIDE REGROWTH ON (100) SILICON - A method for selective formation of a gallium nitride material on a (100) silicon substrate. The method includes forming a blanket layer of dielectric material on a surface of a (100) silicon substrate. The blanket layer of dielectric material is then patterned forming a plurality of patterned dielectric material structures on silicon substrate. An etch is employed that selectively removes exposed portions of the silicon substrate. The etch forms openings within the silicon substrate that expose a surface of the silicon substrate having a (111) crystal plane. A contiguous AlN buffer layer is then formed on exposed surfaces of each patterned dielectric material structure and on exposed surfaces of the silicon substrate. A gallium nitride material is then formed on a portion of the contiguous AlN buffer layer and surrounding each sidewall of each patterned dielectric material structure. | 05-15-2014 |
20140132627 | Automatic Tuning of Value-Series Analysis Tasks Based on Visual Feedback - A method for selecting an analysis procedure for a value series, including displaying a value series on a computer display monitor, receiving one or more sequences of user provided annotations, where the annotations overlay at least a sub-interval of the value series on the computer display monitor, using the sequences of user provided annotations to select an optimal value series analysis method from a set of value series analysis methods, where selecting an optimal value series analysis method includes determining parameter values for the optimal value series analysis method, and presenting the selected optimal value series analysis method and parameters, and the optimal reconstruction of the annotation sequences to the user. | 05-15-2014 |
20140133096 | AIR-COOLING AND VAPOR-CONDENSING DOOR ASSEMBLY - A cooling apparatus for an electronics rack is provided which includes a door assembly coupled to the electronics rack at an inlet or air outlet side of the rack. The door assembly includes: an airflow opening configured to facilitate ingress or egress of airflow through the electronics rack with the door assembly mounted to the rack; an air-to-coolant heat exchanger disposed so that airflow through the airflow opening passes across the air-to-coolant heat exchanger, the air-to-coolant heat exchanger being configured to extract heat from the airflow passing thereacross; and a vapor condenser configured to facilitate condensing of dielectric fluid vapor egressing from at least one immersion-cooled electronic component section of the electronics rack. The cooling apparatus, including the door assembly, facilitates air-cooling and immersion-cooling of different electronic components of the electronics rack. | 05-15-2014 |
20140133098 | INLET-AIR-COOLING DOOR ASSEMBLY FOR AN ELECTRONICS RACK - A cooling apparatus for an electronics rack is provided which includes a door assembly configured to couple to an air inlet side of the electronics rack. The door assembly includes: one or more airflow openings facilitating passage of airflow through the door assembly and into the electronics rack; one or more air-to-coolant heat exchangers disposed so that airflow through the airflow opening(s) passes across the heat exchanger(s), which is configured to extract heat from airflow passing thereacross; and one or more airflow redistributors disposed in a direction of airflow through the airflow opening(s) downstream of, and at least partially aligned to, the heat exchanger(s). The airflow redistributor(s) facilitates redistribution of the airflow passing across the air-to-liquid heat exchanger(s) to a desired airflow pattern at the air inlet side of the electronics rack, such as a uniform airflow distribution across the air inlet side of the rack. | 05-15-2014 |
20140133348 | PERFORMING VALUE AND CONTEXT AWARE COMMUNICATIONS NETWORKING - An aspect of this invention is a computer-executable method for distributing one or more features associated with information to be transported by a communications network that includes a plurality of end nodes interconnected via a plurality of network nodes. The method includes receiving one or more features associated with information to be transported by the communications network, wherein the one or more features are specified at an end node of the plurality of end nodes for receipt by a network node of the plurality of network nodes; responsive to the one or more received features, configuring at least a portion of the communications network to perform actions on information based upon the features; receiving the information using the plurality of network nodes; and based at least on the received features and the configuring, performing one or more actions with the information. Illustratively, the one or more actions comprise sending the information to one or more edge entity nodes in accordance with the configuring and the received features. | 05-15-2014 |
20140136261 | SELECTING COLLABORATORS FOR PROJECTS - A method, computer program product, and system for selecting collaborators is described. A set of candidates for collaboration with an initiator is received. Initial contact scores for one or more member of the set of candidates are determined based upon, at least in part, one or more of initial availability and initial willingness. An initial ranking order for the set of candidates is determined. A member is selected from the set based upon, at least in part, the initial ranking order. An invitation to collaborate is provided to the selected member. If the invitation is accepted, a communication channel connection is established between the initiator and the selected member. | 05-15-2014 |
20140136475 | AUTOMATICALLY ADDRESSING PERFORMANCE ISSUES IN A DISTRIBUTED DATABASE - A database performance monitor monitors performance of a distributed database, identifies a database performance issue for the distributed database, determines from a distributed virtual network mechanism which physical networks are related to the database performance issue, determines a potential solution to the database performance issue that changes configuration of the distributed database, and applies the potential solution by automatically changing configuration of the distributed database without intervention of a user to address the database performance issue. | 05-15-2014 |
20140136501 | DATABASE USAGE TRENDS BASED ON DATABASE LOCK REQUESTS - An enterprise locking service coordinates multiple cooperating applications to ensure that one and only one user is modifying a database record at a given time. The database records may be stored in multiple databases having potentially different database record locking protocols. Through monitoring and tracking requests for database locks, the enterprise locking service is also able to determine database usage trends under various metrics. | 05-15-2014 |
20140136545 | Multi-tier Indexing Methodology for Scalable Mobile Device Data Collection - A mobile device indexing system includes a plurality of edge nodes in communication with each other across a communication network and a plurality of mobile devices configured to communicate with the edge nodes. Each mobile device has both computing and communication functionalities. A separate mobile device index is maintained at each one of the plurality of edge nodes. Each mobile device index is a geographical spatio-temporal index having entries for a unique set of mobile devices derived from the plurality of mobile devices. Each unique set contains only mobile devices registered to the edge node associated with that mobile device index. | 05-15-2014 |
20140136919 | RECONSTRUCTIVE ERROR RECOVERY PROCEDURE (ERP) FOR MULTIPLE DATA SETS USING RESERVED BUFFER - In one embodiment, a system includes logic adapted to read a plurality of data sets from a medium one or more times; logic adapted to store portions of some of the data sets to a reserved data buffer when the portions are correctable using C1-error correction code (ECC); logic adapted to aggregate all stored portions for each of the complete data sets to form assembled data sets; logic adapted to determine whether C2-ECC is capable of correcting all errors in the assembled data sets, to correct any remaining errors in the assembled data sets, and to send the corrected data sets to a host when C2-ECC is capable of correcting all errors in the assembled data sets; and logic adapted to reread at least a first uncorrected data set from the medium using a different setting when an error in the first uncorrected data set is not correctable. | 05-15-2014 |
20140137076 | INDICATING HIERARCHY DIVERSION IN A CLASS DIAGRAM - Indicating hierarchy diversion in a class diagram. A class inheritance structure for at least one parent class contained in computer program code can be identified to determine a class hierarchy corresponding to the parent class. For at least one child class in the class hierarchy that is a child of the parent class, a parent-child similarity value corresponding to a parent-child diversion of the child class from the parent class can be calculated via a processor. The class diagram can be generated. The class diagram can indicate at least the parent class, the child class and the parent-child similarity value. The class diagram can be presented. | 05-15-2014 |
20140137219 | AUTOMATICALLY GENERATING CHALLENGE QUESTIONS INFERRED FROM USER HISTORY DATA FOR USER AUTHENTICATION - User authentication is provided. At least one of a social network and a business network of each user in a plurality of users is accessed. User history data of each user in the plurality of users is monitored in the at least one of the social network and the business network. Challenge questions requiring a user response are generated based on monitoring the user history data of the users. The user response to a generated challenge question is evaluated. A set of events is triggered based on evaluating the user response. | 05-15-2014 |
20140138751 | METAL GATE STRUCTURES FOR CMOS TRANSISTOR DEVICES HAVING REDUCED PARASITIC CAPACITANCE - A method of forming a field effect transistor (FET) device includes forming a gate structure over a substrate, the gate structure including a wide bottom portion and a narrow portion formed on top of the bottom portion; the wide bottom portion comprising a metal material and having a first width that corresponds substantially to a transistor channel length, and the narrow portion also including a metal material having a second width smaller than the first width. | 05-22-2014 |
20140140204 | RESILIENT ROUTING BASED ON A MULTI-CHANNEL MODEL FOR EMERGENCY MANAGEMENT - There is provided a system and method for resilient routing based on a multi-channel model for emergency management. The system includes a packet delivery anomaly detector for determining an existence of an anomaly in a mandated routing infrastructure for a packet that renders the packet incapable of reaching a destination node designated for the packet through the mandated routing infrastructure. The system further includes a dynamic alternate route identifier for dynamically identifying alternate routes for the packet responsive to a determination of the existence of the anomaly. The alternate routes are outside the mandated routing infrastructure, are provided using one or more mobile devices external to and not part of the mandated routing infrastructure, and are dynamically identified responsive to at least geographic location information. The system also includes a wireless transmitter for wirelessly routing the packet using at least one of the alternate routes. | 05-22-2014 |
20140140439 | Power-Scalable Skew Compensation in Source-Synchronous Parallel Interfaces - A parallel receiver interface includes a plurality of parallel data receivers, each receiver receiving input data. A clock receiver is configured to receive a forwarded clock. A phase interpolator has an input coupled to the output of the clock receiver and has an output coupled to each of the parallel receivers. Parallel clock delay elements are within each of the parallel data receivers, each clock delay element configured to provide varying amounts of clock phase adjustment. Inputs of a multiplexer circuit within each of the parallel data receivers are coupled to the outputs of each of the parallel clock delay elements within a respective parallel data receiver. An output of the multiplexer circuit is coupled to a data sampler within the respective parallel data receiver, the multiplexer circuit being configured to be controlled by a logic signal. | 05-22-2014 |
20140142742 | EFFICIENT DISTRIBUTION AND SELECTION OF STORAGE MEDIA IN A STORAGE MEDIUM LIBRARY - An apparatus includes a network interface, a processor and a computer readable storage medium. The processor is coupled with the computer readable storage medium. The computer readable storage medium has computer readable program code embodied therewith. The computer readable program code, when executed by the processor, causes the processor to determine the state of a storage medium library and determine distribution for a plurality of distributable components within the storage medium library based, at least in part, on the state of the storage medium library. The computer readable program code also causes the processor to, responsive to a determination of the distribution for the plurality of distributable components within the storage medium library, issue commands distributing the plurality of distributable components within the storage medium library in accordance with the distribution. | 05-22-2014 |
20140143103 | METHODS AND APPARATUS FOR COMPLEMENTING USER ENTRIES ASSOCIATED WITH EVENTS OF INTEREST THROUGH CONTEXT - Data validation techniques are provided. For example, such techniques complement user entries associated with events of interest through context. In one aspect of the invention, a technique for processing one or more user entries associated with one or more events of interest includes the following steps/operations. Context associated with the one or more events of interest is obtained. At least a portion of the obtained context is associated with one or more user entries representing events of interest. At least a portion of the one or more user entries is evaluated, responsive to at least a portion of the context. An indication of the one or more events of interest is provided, responsive to the evaluation. | 05-22-2014 |
20140143208 | MAINTAINING ACCESS CONTROL LISTS IN NON-IDENTITY-PRESERVING REPLICATED DATA REPOSITORIES - Managing access control lists (ACLs) across replicated data repositories can include selecting, from a first data processing system, a controlled object and an ACL object bound to the controlled object, wherein the first data processing system is associated with a first user identity space, and creating, using a processor, a replicated version of the controlled object within a second data processing system associated with a second user identity space, wherein the second user identity space is different from the first user identity space. Managing ACLs further includes, creating, using the processor, a replicated version of the ACL object within the second data processing system and substituting, within the replicated version of the ACL object, an identity from the first user identity space with a selected identity from the second user identity space. | 05-22-2014 |
20140143614 | SELECTIVE POSTED DATA ERROR DETECTION BASED ON HISTORY - In a data processing system, a selection is made, based at least on addresses of previously detected errors in a memory subsystem, between at least a first timing and a second timing of data transmission with respect to completion of error detection processing on a target memory block of the memory access request. In response to receipt of the memory access request and selection of the first timing, data from the target memory block is transmitted to a requestor prior to completion of error detection processing on the target memory block. In response to receipt of the memory access request and selection of the second timing, data from the target memory block is transmitted to the requestor after and in response to completion of error detection processing on the target memory block. | 05-22-2014 |
20140143756 | AFFINITY RECOMMENDATION IN SOFTWARE LIFECYCLE MANAGEMENT - Software lifecycle management includes, searching, using a processor, historical development data including prior development tasks for a software system. The searching is performed according to a current development task for the software system. A determination is made as to whether the current development task has an affinity with a selected prior development task implemented within the software system. A recommendation is provided for the current development task based upon the selected prior development task. | 05-22-2014 |
20140145883 | MILLIMETER-WAVE RADIO FREQUENCY INTEGRATED CIRCUIT PACKAGES WITH INTEGRATED ANTENNAS - A package structure includes a planar core structure, an antenna structure disposed on one side of the planar core structure, and an interface structure disposed on an opposite side of the planar core structure. The antenna structure and interface structure are each formed of a plurality of laminated layers, each laminated layer having a patterned conductive layer formed on an insulating layer. The antenna structure includes a planar antenna formed on one or more patterned conductive layers of the laminated layers. The interface structure includes a power plane, a ground plane, signal lines, and contact pads formed on one or more patterned conductive layers of the laminated layers of the interface structure. The package structure further includes an antenna feed line structure formed in, and routed through, the interface structure and the planar core structure, and connected to the planar antenna. | 05-29-2014 |
20140146672 | DYNAMIC NETWORK TRAFFIC MANAGEMENT IN RESPONSE TO NON-NETWORK CONDITIONS INPUT - An appropriate quality of service policy is automatically selected as a function of network and business conditions. A current loading condition of an internet protocol network of devices operating, a current condition of radio frequency communications between wireless devices, and a current business condition that indicates a cost or availability of a resource used in device operation remotely controlled via data packet communications, are each determined under current network control and routing rules. If the resource cost or availability exceeds a specified market condition constraint, a special resource control rule is automatically selected to preempt a current network or routing rule to cause one or more of the internet protocol devices to reduce an amount of the resource used or distributed by the first internet protocol device while operating within the current determined internet protocol network loading and radio frequency communications conditions. | 05-29-2014 |
20140146824 | MANAGEMENT OF ROUTING TABLES SHARED BY LOGICAL SWITCH PARTITIONS IN A DISTRIBUTED NETWORK SWITCH - Techniques are provided for managing a routing table in a distributed network switch. The distributed network switch is divided into logical switch partitions, or logical networks, that may share a routing table. The shared routing table is configured with counters and thresholds to control utilization of the routing table on a per-logical network basis. When counters exceed certain threshold, the routing table is modified to reduce routing entries within the routing table or pause insertion of new routing entries. | 05-29-2014 |
20140149761 | DISTRIBUTED POWER BUDGETING - Embodiments include collecting, from each of a plurality of controllers of a node having a plurality of components, component power consumption. Each of the plurality of controllers is associated with one or more of the components. The component power consumptions are provided to the controllers. A node power consumption for the node is determined based, at least in part, on the component power consumption. The power cap is determined for the plurality of components. A power differential power is determined as a difference between the node power consumption and the power cap for the plurality of components. A proportion of the node power consumption consumed by the component is determined based on the component power consumption of the component. A local power budget is computed for the component based, at least in part, on the power differential and the proportion of the node power consumption consumed by the component. | 05-29-2014 |
20140149818 | DIAGNOSTIC TESTING FOR A DOUBLE-PUMPED MEMORY ARRAY - A semiconductor chip and method for diagnostic testing of combinational logic in a logic and array system including Logic Built in Self Test (LBIST) diagnostics are provided. The semiconductor chip includes a logic and array system, an LBIST system, a clocking module, and an addressing module. The method for diagnostic testing includes providing an initialization pattern to an array in the logic and array system, applying a diagnostic control setup, and running the diagnostic test. The diagnostic control setup includes firing a clock every diagnostic test clock cycle and selecting an address from a subset of an address space. | 05-29-2014 |
20140149983 | REPLACING VIRTUAL MACHINE DISKS - At least one target virtual disk descriptor that describes at least one virtual disk associated with an existing target virtual machine in a target virtualized environment is merged with at least one source virtual disk descriptor that describes at least one virtual disk associated with a source. The merging is carried out to obtain at least one merged virtual disk descriptor compatible with the target virtualized environment. The at least one virtual disk associated with the existing target virtual machine in the target virtualized environment is replaced with the at least one virtual disk associated with the source, in accordance with the at least one merged virtual disk descriptor. | 05-29-2014 |
20140151638 | HYBRID NANOMESH STRUCTURES - An alternating stack of first and second semiconductor layers is formed. Fin-defining mask structures are formed over the alternating stack. A planarization dielectric layer and first and second gate cavities therein are subsequently formed. The first and second gate cavities are extended downward by etching the alternating stack employing a combination of the planarization layer and the fin-defining mask structures as an etch mask. The second semiconductor material is isotropically etched to laterally expand the first gate cavity and to form a first array of semiconductor nanowires including the first semiconductor material, and the first semiconductor material is isotropically etched to laterally expand the second gate cavity and to form a second array of semiconductor nanowires including the second semiconductor material. The first and second gate cavities are filled with replacement gate structures. Each replacement gate structure laterally can surround a two-dimensional array of semiconductor nanowires. | 06-05-2014 |
20140151639 | NANOMESH COMPLEMENTARY METAL-OXIDE-SEMICONDUCTOR FIELD EFFECT TRANSISTORS - An alternating stack of first and second semiconductor layers is formed. Fin-defining mask structures are formed over the alternating stack. A planarization dielectric layer and first and second gate cavities therein are subsequently formed. The first and second gate cavities are extended downward by etching the alternating stack employing a combination of the planarization layer and the fin-defining mask structures as an etch mask. The germanium-free silicon material is isotropically etched to laterally expand the first gate cavity and to form a first array of semiconductor nanowires including the silicon-germanium alloy, and the silicon-germanium alloy is isotropically etched to laterally expand the second gate cavity and to form a second array of semiconductor nanowires including the germanium-free silicon material. The first and second gate cavities are filled with replacement gate structures. Each replacement gate structure laterally can surround a two-dimensional array of semiconductor nanowires. | 06-05-2014 |
20140151803 | Inducing Channel Stress in Semiconductor-on-Insulator Devices by Base Substrate Oxidation - Embodiments include semiconductor-on-insulator (SOI) substrates having SOI layers strained by oxidation of the base substrate layer and methods of forming the same. The method may include forming a strained channel region in a semiconductor-on-insulator (SOI) substrate including a buried insulator (BOX) layer above a base substrate layer and a SOI layer above the BOX layer by first etching the SOI layer and the BOX layer to form a first isolation recess region and a second isolation recess region. A portion of the SOI layer between the first isolation recess region and the second isolation recess region defines a channel region in the SOI layer. A portion of the base substrate layer below the first isolation recess region and below the second isolation recess region may then be oxidized to form a first oxide region and a second oxide region, respectively, that apply compressive strain to the channel region. | 06-05-2014 |
20140151824 | SELF-ALIGNED WIRE FOR SPINTRONIC DEVICE - A method for fabricating a spintronic cell includes forming a cavity in a substrate, forming a wire in the cavity, depositing a spacer layer over exposed portions of the substrate and the conductive field line, depositing a layer of conductive material on a portion of the spacer layer, removing portions of the layer of conductive material to define a conductive strap portion, wherein the conductive strap portion has a first distal region a second distal region and a medial region arranged therebetween, wherein the medial region has a cross sectional area that is less than a cross sectional area of the first distal region and a cross sectional area of the second distal region, and forming an spintronic device stack on the conductive strap portion above the conductive field line. | 06-05-2014 |
20140152382 | RECONFIGURABLE SWITCHED-CAPACITOR VOLTAGE CONVERTER CIRCUIT, INTEGRATED CIRCUIT (IC) CHIP INCLUDING THE CIRCUIT AND METHOD OF SWITCHING VOLTAGE ON CHIP - A configurable-voltage converter circuit that may be CMOS and an integrated circuit chip including the converter circuit and method of operating the IC chip and circuit. A transistor totem, e.g., of 6 or more field effect transistors, PFETs and NFETs, connected (PNPNPN) between a first supply (V | 06-05-2014 |
20140156826 | Parallel Top-K Simple Shortest Paths Discovery - A method for searching the top-K simple shortest paths between a specified source node and a specified target node in a graph, with graph data partitioned and distributed across a plurality of computing servers, the method including a parallel path search initialized from either one or both of the source and target nodes and traversing the graph by building likely path sequences for a match. Each computing server determines and forwards a path sequence as discovery progresses until the top-K paths are discovered. | 06-05-2014 |
20140156857 | BINDING MULTIPLE ADDRESSES TO A SOCKET IN A NETWORK SYSTEM - A TCP/IP socket extension may bind a single socket to more than one IP address. The socket API extension may allow a user to bind a socket to a list of IP addresses instead of a single IP address. Additional socket APIs may allow applications to bind an existing socket to one or more additional IP addresses. Bind-specific support may enhance a user's capability to specify one or more IPv4 addresses and/or IPv6 addresses or other addresses to bind to a generic server information handling system (IHS). The method may convert a generic server IHS into a bind-specific server IHS that accepts new connections over both the IPv4 and IPv6 network transports and/or other network transports without requiring changes to the server IHS. A modified TCP/IP stack may provide support for multiple sockets. The method may map multiple address/port/protocol tuples to the same socket. | 06-05-2014 |
20140156939 | METHODOLOGY FOR FAST DETECTION OF FALSE SHARING IN THREADED SCIENTIFIC CODES - A profiling tool identifies a code region with a false sharing potential. A static analysis tool classifies variables and arrays in the identified code region. A mapping detection library correlates memory access instructions in the identified code region with variables and arrays in the identified code region while a processor is running the identified code region. The mapping detection library identifies one or more instructions at risk, in the identified code region, which are subject to an analysis by a false sharing detection library. A false sharing detection library performs a run-time analysis of the one or more instructions at risk while the processor is re-running the identified code region. The false sharing detection library determines, based on the performed run-time analysis, whether two different portions of the cache memory line are accessed by the generated binary code. | 06-05-2014 |
20140157063 | Evaluating Reliability of a Software Module Using Development Life Cycle - Reliability of one or more software modules is projected according to a current state in a development life cycle of the software modules and any of various additional indicators. Preferably, a data processing support provider separate from the service-providing enterprise maintains historical field support data concerning significant field defect events with respect to various resources, and uses this data for projecting reliability of the resources. Preferably, software module reliability projections are used to support an analysis of risk of degradation of a service specified in a service requirements specification when provided by a configuration of data processing resources specified in a configuration specification. | 06-05-2014 |
20140157257 | USER DATAGRAM PROTOCOL (UDP) PACKET MIGRATION IN A VIRTUAL MACHINE (VM) MIGRATION - Embodiments of the invention relate to receiving, by a first processor comprising a processing device, an indication that a migration of a virtual machine from the first processor to a second processor is to occur. The first processor transmits user datagram protocol (UDP) packets intended for the virtual machine to the second processor based on the indication. A signal is transmitted to the virtual machine to enter an offline state, wherein the offline states comprises a transfer of at least one of a central processing unit (CPU) state and a memory state, and wherein the virtual machine is configured to halt a processing of the UDP packets in response to receiving the signal. The virtual machine is reactivated once the migration of the virtual machine from the first processor to the second processor is complete. The virtual machine is instructed to resume the processing of the UDP packets. | 06-05-2014 |
20140157420 | DISCOVERY OF APPLICATION VULNERABILITIES INVOLVING MULTIPLE EXECUTION FLOWS - Methods and systems for security analysis of an application are disclosed. One system includes a flow-insensitive analyzer, a control flow assessment module and a flow-sensitive analyzer. The flow-insensitive analyzer is configured to conduct a flow-insensitive analysis on the application to obtain a set of potential vulnerabilities in the application. In addition, the control flow assessment module is configured to determine, for each of the potential vulnerabilities, a relevant set of control flows that include the respective vulnerability. Further, the flow-sensitive analyzer is configured to perform, by a hardware processor, for each relevant set of control flows, a flow-sensitive analysis of at least one of the control flows in the corresponding relevant set to assess the validity of the respective vulnerability. | 06-05-2014 |
20140158341 | THERMOSTAT-CONTROLLED COOLANT FLOW WITHIN A HEAT SINK - Methods are presented for facilitating dissipation of heat generated by one or more electronic components. The methods include providing a coolant-cooled heat sink and a thermostat-controlled valve. The heat sink includes one or more coolant-carrying channels and one or more valve wells intersecting the channels. The thermostat-controlled valve is disposed, at least partially, within a respective valve well so as to intersect a respective coolant-carrying channel, and includes a valve disk and a thermal-sensitive actuator mechanically coupled to rotate the valve disk. The valve disk is rotatable between an open position where coolant is allowed to flow through the respective coolant-carrying channel, and a closed position where coolant is blocked from flowing through the respective channel. The actuator rotates the valve disk between the open position and the closed position, dependent on heating of the thermal-sensitive actuator by the electronic component(s). | 06-12-2014 |
20140162380 | Pattern Improvement in Multiprocess Patterning - Improved fidelity to an integrated circuit pattern design in a semiconductor structure ultimately produced is achieved by modeling material removal and deposition processes in regard to materials, reactant, feature size, feature density, process parameters and the like as well as the effects of such parameters on etch and material deposition bias due to microloading and RIE lag (including inverse RIE lag) and using the models to work backward through the intended manufacturing method steps, including hard mask pattern decomposition, to morphologically develop feature patterns for use in most or all process steps which will result in the desired feature sizes and shapes at the completion of the overall process. Modeling of processes may be simplified through use of process assist features to locally adjust rates of material deposition and removal. | 06-12-2014 |
20140162452 | BORDERLESS CONTACTS FOR SEMICONDUCTOR TRANSISTORS - Embodiments of the invention include methods of forming borderless contacts for semiconductor transistors. Embodiments may include providing a transistor structure including a gate, a spacer on a sidewall of the gate, a hard cap above the gate, a source/drain region adjacent to the spacer, and an interlevel dielectric layer around the gate, forming a contact hole above the source/drain region, forming a protective layer on portions of the hard cap and of the spacer exposed by the contact hole; deepening the contact hole by etching the interlevel dielectric layer while the spacer and the hard cap are protected by the protective layer, so that at least a portion of the source/drain region is exposed by the deepening of the contact hole; removing the protective layer; and forming a metal contact in the contact hole. | 06-12-2014 |
20140164374 | STREAMING DATA PATTERN RECOGNITION AND PROCESSING - When processing data tuples, operators of a streaming application may identify certain tuples as being relevant. To determine relevant tuples, the operators may, for example, process the received tuples and determine if they meet certain thresholds. If so, the tuples are deemed relevant, but if not they are characterized as irrelevant. The streaming application may use a pattern detector to parse the relevant data tuples to identify a pattern, such as a shared trait between the tuples. Based on this commonality, the pattern detector may generate filtering criteria that may be used to process subsequently received tuples. In one embodiment, the filtering criteria identified by one operator is transmitted to a second operator to be used to process tuples received there. Thus, once one of the operators determines a pattern, the operator generates filtering criteria that another, related operator uses for filtering received tuples. | 06-12-2014 |
20140164389 | MINING TRAJECTORY FOR SPATIAL TEMPORAL ANALYTICS - Embodiments relate to generating a trajectory heat map at an aggregated level using computed transit points is provided. An aspect includes generating, by a processing device, a trajectory database from time-stamped global positioning system (GPS) sample points. According to exemplary embodiments, transit points are computed for each trajectory in the trajectory database. A temporal transit graph is constructed from the transit points. The transit graph of embodiments captures the shortest paths among these transit points. The transit graph is then indexed and stored in a spatial-temporal database for online analytic processing. | 06-12-2014 |
20140164701 | VIRTUAL MACHINES FAILOVER - Disclosed is a computer system ( | 06-12-2014 |
20140164710 | VIRTUAL MACHINES FAILOVER - Disclosed is a computer system ( | 06-12-2014 |
20140164721 | CLOUD MANAGEMENT OF DEVICE MEMORY BASED ON GEOGRAPHICAL LOCATION - A method for managing memory of a device is disclosed. A computer system collects information about use, by the device, of data in the memory of the device. The information collected by the computer system includes a time and a location for which each portion of the data is used by the device. The computer system identifies patterns of use, by the device, of each portion of the data based on the information collected. The computer system then selects one or more portions of the data that are not needed in the memory of the device based on the patterns of use by the device. | 06-12-2014 |
20140164731 | TRANSLATION MANAGEMENT INSTRUCTIONS FOR UPDATING ADDRESS TRANSLATION DATA STRUCTURES IN REMOTE PROCESSING NODES - Translation management instructions are used in a multi-node data processing system to facilitate remote management of address translation data structures distributed throughout such a system. Thus, in multi-node data processing systems where multiple processing nodes collectively handle a workload, the address translation data structures for such nodes may be collectively managed to minimize translation misses and the performance penalties typically associated therewith. | 06-12-2014 |
20140164810 | SYSTEM AND METHODS FOR DIMM-TARGETED POWER SAVING FOR HYPERVISOR SYSTEMS - A method of saving power in a computing system having a plurality of dial in-line memory modules (DIMMs) and employing a suspend-to-RAM sleep mode includes, when entering suspend-to-RAM sleep mode, consolidating selected information into a subset of DIMMs, and turning off power to all other DIMMs. A DIMM power rail may be coupled to each of the DIMMs, the DIMM power rail being configured to selectively have power being supplied to respective DIMMs turned off in response to enable/disable logic signals. | 06-12-2014 |
20140164819 | MEMORY OPERATION OF PAIRED MEMORY DEVICES - A method and apparatus for operation of a memory module for storage of a data word is provided. The apparatus includes a memory module having a set of paired memory devices including a first memory device to store a first section of a data word and a second memory device to store a second section of the data word when used in failure free operation. The apparatus may further include a first logic module to perform a write operation by writing the first and second sections of the data word to both the first memory device and the second memory device upon the determination of certain types of failure. The determination may include that a failure exists in the word section storage of either the first or second memory devices but that no failures exist in equivalent locations of word section storage in the two memory devices. | 06-12-2014 |
20140164842 | ROLE-ORIENTED TESTBED ENVIRONMENTS FOR USE IN TEST AUTOMATION - In managing testing on a testbed environment a test automator executes an operation specified in a test script to be performed on a testbed environment, wherein the operation refers to a particular role identifier identifying one of a plurality of roles hosted within the testbed environment by at least one host in the testbed environment, wherein the operation does not refer to any of the at least one host. The test automator performs the operation on a particular host of the at least one host of the testbed environment using at least one value from a host description file for calling the particular host assigned to the particular role identifier in a configuration file. | 06-12-2014 |
20140164853 | MEMORY OPERATION OF PAIRED MEMORY DEVICES - A method and apparatus for operation of a memory module for storage of a data word is provided. The apparatus includes a memory module having a set of paired memory devices including a first memory device to store a first section of a data word and a second memory device to store a second section of the data word when used in failure free operation. The apparatus may further include a first logic module to perform a write operation by writing the first and second sections of the data word to both the first memory device and the second memory device upon the determination of certain types of failure. The determination may include that a failure exists in the word section storage of either the first or second memory devices but that no failures exist in equivalent locations of word section storage in the two memory devices. | 06-12-2014 |
20140165076 | EXECUTING A COLLECTIVE OPERATION ALGORITHM IN A PARALLEL COMPUTER - Executing a collective operation algorithm in a parallel computer includes a compute node of an operational group determining a required number of participants for execution of a collective operation algorithm and determining a number of contributing nodes having data to participate in the algorithm. Embodiments also include the compute node calculating a number of ghost nodes to participate in the algorithm. According to embodiments of the present invention, the number of ghost nodes is the required number of participants minus the number of contributing nodes having data to participate. Embodiments also include the compute node selecting from a plurality of ghost nodes, the calculated number of ghost nodes for participation in the execution of the algorithm and executing the algorithm with both the selected ghost nodes and the contributing nodes. | 06-12-2014 |
20140167213 | Moat Construction to Reduce Noise Coupling to a Quiet Supply - A semiconductor chip having a P− substrate and an N+ epitaxial layer grown on the P− substrate is shown. A P− circuit layer is grown on top of the N+ epitaxial layer. A first moat having an electrically quiet ground connected to a first N+ epitaxial region is created by isolating the first N+ epitaxial region with a first deep trench. The first moat is surrounded, except for a DC path, by a second moat with a second N+ epitaxial region, created by isolating the second N+ epitaxial region with a second deep trench. The second moat may be arranged as a rectangular spiral around the first moat. | 06-19-2014 |
20140169517 | TRACKING A RELATIVE ARRIVAL ORDER OF EVENTS BEING STORED IN MULTIPLE QUEUES USING A COUNTER - An order controller stores each received event in a separate entry in one of at least two queues with a separate counter value set from an arrival order counter at the time of storage, wherein the arrival order counter is incremented after storage of each of the received events and on overflow the arrival order counter wraps back to zero. The order controller calculates an absolute value of the difference between a first counter value stored with an active first next entry in a first queue from among the at least two queues and a second counter value stored with an active second next entry in a second queue from among the at least two queues. The order controller compares the absolute value with a counter midpoint value to determine whether the first counter value was stored before the second counter value. | 06-19-2014 |
20140170614 | PROVIDING A USER-SPECIFIC EFFORT VALUE ASSOCIATED WITH DIGITAL TEXTUAL CONTENT - Methods, apparatuses, and computer program products are provided for providing a user-specific effort value associated with digital textual content. Typical embodiments include identifying a user read speed value; identifying a complexity value for a particular work of authorship; and calculating, in dependence upon the user read speed value and the complexity value, an individualized effort value for the work of authorship. | 06-19-2014 |
20140170881 | DIMM EXTRACTION TOOL - Aspects of the present invention disclose a DIMM extraction tool for extracting a DIMM from a DIMM socket. Exemplary embodiments of the DIMM extraction tool include a frame adapted for use as an air baffle within the DIMM socket, a first arm and a second arm pivotably connected to the frame. When the first arm and second arm are in a resting position, the first and second arm respectively engage a first resting detent and a second resting detent to prevent pivotable rotation of the first arm and second arm in exemplary embodiments of the DIMM extraction tool. When the first arm and second arm are in a working position, the first arm and second arm respectively are adapted to releasably engage the DIMM and bias resilient latching arm of the DIMM socket. | 06-19-2014 |
20140172768 | DETERMINING A REPLACEMENT DOCUMENT OWNER - Provided are a method, computer program product, and system for generating a recommendation for transfer of ownership of content. At least one characteristic of a first owner is determined. At least one characteristic of the content is determined. A second owner for the content is determined based on the at least one characteristic of the first owner, and the at least one content characteristic. The recommendation for transfer of ownership is generated, based at least in part on the determination of the second owner. | 06-19-2014 |
20140172938 | SELECTED ALERT DELIVERY IN A DISTRIBUTED PROCESSING SYSTEM - Methods, apparatuses, and computer program products for selected alert delivery in a distributed processing system are provided. Embodiments include receiving a plurality of events from one or more event producing components of the distributed processing system; creating, by an incident analyzer, in dependence upon the events a truth space representing events that make one or more conditional event processing rules true, the truth space including a set of truth points, each truth point including a set of events and a set of event locations; creating, by the incident analyzer, in dependence upon the truth space one or more alerts; and sending, by the incident analyzer, the alerts to at least one component of the distributed processing system. | 06-19-2014 |
20140173199 | Enhancing Analytics Performance Using Distributed Multi-Tiering - Embodiments of the invention relate to cluster-centric tiered storage with a flexible tier definition to support performance of transactions. Object data is distributed in a multi-tiered shared-nothing cluster. Hierarchical tiers of data storage are assigned different roles within the hierarchy. The tiers are managed globally across the cluster and objects are placed in tiers according to a flexible tier definition. The probability of object access is computed for objects, and objects are moved to different tiers responsive to the computation to minimize system runtime. The location of an object is further optimized in response to an access request. | 06-19-2014 |
20140173209 | Presenting Enclosure Cache As Local Cache In An Enclosure Attached Server - Presenting enclosure cache as local cache in an enclosure attached server, including: determining, by the enclosure, a cache hit rate for local server cache in each of a plurality of enclosure attached servers; determining, by the enclosure, an amount of available enclosure cache for use by one or more of the enclosure attached servers; and offering, by the enclosure, some portion of the available enclosure cache to an enclosure attached server in dependence upon the cache hit rate and the amount of available enclosure cache. | 06-19-2014 |
20140173336 | CASCADING FAILOVER OF BLADE SERVERS IN A DATA CENTER - Cascading failover of blade servers in a data center that includes transferring by a system management server a data processing workload from a failing blade server to an initial replacement blade server, with the data processing workload characterized by data processing resource requirements and the initial replacement blade server having data processing resources that do not match the data processing resource requirements; and transferring the data processing workload from the initial replacement blade server to a subsequent replacement blade server, where the subsequent replacement blade server has data processing resources that better match the data processing resource requirements than do the data processing resources of the initial replacement blade server, including transferring the workload to the subsequent replacement blade server only if the data processing cost of the transfer of the workload to the subsequent replacement blade is less than the value of a transfer cost threshold. | 06-19-2014 |
20140173354 | Software Installation Method, Apparatus and Program Product - A software preload arrangement uses a central server to store the software repository(ries) for various computer instruction files offered for preload into a system being manufactured. To execute the preload, a client workstation is used to execute the actual preload steps for a system under test (SUT). When the SUT needs a given piece of the software release, data is moved down to the client from the server and cached there for delivery to the system under test. In accordance with an important characteristic of this invention, the caching is predictive. That is, data is held in or moved to the client workstation based upon recent activity, so that the time needed to prepare a preload for a system under test is shortened. | 06-19-2014 |
20140173604 | CONDITIONALLY UPDATING SHARED VARIABLE DIRECTORY (SVD) INFORMATION IN A PARALLEL COMPUTER - Methods, parallel computers, and computer program products for conditionally updating shared variable directory (SVD) information in a parallel computer are provided. Embodiments include a runtime optimizer receiving a broadcast reduction operation header. The broadcast reduction operation header includes an SVD key and a first SVD address. The first SVD address is associated with the SVD key in a first SVD associated with a first task. Embodiments also include the runtime optimizer retrieving from a remote address cache associated with the second task, a second SVD address indicating a location within a memory partition associated with the first SVD, in response to receiving the broadcast reduction operation header. Embodiments also include the runtime optimizer determining that the first SVD address does not match the second SVD address and updating the remote address cache with the first SVD address. | 06-19-2014 |
20140173615 | CONDITIONALLY UPDATING SHARED VARIABLE DIRECTORY (SVD) INFORMATION IN A PARALLEL COMPUTER - Methods, parallel computers, and computer program products for conditionally updating shared variable directory (SVD) information in a parallel computer are provided. Embodiments include a runtime optimizer receiving a broadcast reduction operation header. The broadcast reduction operation header includes an SVD key and a first SVD address. The first SVD address is associated with the SVD key in a first SVD associated with a first task. Embodiments also include the runtime optimizer retrieving from a remote address cache associated with the second task, a second SVD address indicating a location within a memory partition associated with the first SVD, in response to receiving the broadcast reduction operation header. Embodiments also include the runtime optimizer determining that the first SVD address does not match the second SVD address and updating the remote address cache with the first SVD address. | 06-19-2014 |
20140173627 | REQUESTING SHARED VARIABLE DIRECTORY (SVD) INFORMATION FROM A PLURALITY OF THREADS IN A PARALLEL COMPUTER - Methods, parallel computers, and computer program products for requesting shared variable directory (SVD) information from a plurality of threads in a parallel computer are provided. Embodiments include a runtime optimizer detecting that a first thread requires a plurality of updated SVD information associated with shared resource data stored in a plurality of memory partitions. Embodiments also include a runtime optimizer broadcasting, in response to detecting that the first thread requires the updated SVD information, a gather operation message header to the plurality of threads. The gather operation message header indicates an SVD key corresponding to the required updated SVD information and a local address associated with the first thread to receive a plurality of updated SVD information associated with the SVD key. Embodiments also include the runtime optimizer receiving at the local address, the plurality of updated SVD information from the plurality of threads. | 06-19-2014 |
20140173629 | BROADCASTING SHARED VARIABLE DIRECTORY (SVD) INFORMATION IN A PARALLEL COMPUTER - Methods, parallel computers, and computer program products for broadcasting shared variable directory (SVD) information in a parallel computer are provided. Embodiments include a runtime optimizer detecting, by a runtime optimizer of the parallel computer, a change in SVD information within an SVD associated with a first thread. Embodiments also include a runtime optimizer identifying a plurality of threads requiring notification of the change in the SVD information. Embodiments also include the runtime optimizer in response to detecting the change in the SVD information, broadcasting to each thread of the identified plurality of threads, a broadcast message header and update data indicating the change in the SVD information. | 06-19-2014 |
20140173689 | PROVIDING A REAL-TIME INDICATION OF PLATFORM TRUST - Methods and apparatuses for providing a real-time indication of platform trust are provided. Embodiments include an integrity reporting module determining that a platform is currently operating in a system management mode (SMM) and receiving from an integrity measurement module, an integrity measurement results signal. Embodiments also include the integrity reporting module determining whether the received integrity measurement results signal indicates the platform is trusted. If the received integrity measurement results signal indicates that the platform is trusted, the integrity reporting module provides to a user of the platform, a real-time visual indication that the platform is trusted. If the received integrity measurement results signal indicates that the platform is not trusted, the integrity reporting module provides to the user a real time visual indication that the platform is not trusted. | 06-19-2014 |
20140175610 | ELECTROSTATIC DISCHARGE DEVICES FOR INTEGRATED CIRCUITS - A junction diode array for use in protecting integrated circuits from electrostatic discharge can be fabricated to include symmetric and/or asymmetric junction diodes of various sizes. The diodes can be configured to provide low voltage and current discharge via unencapsulated contacts, or high voltage and current discharge via encapsulated contacts. Use of tilted implants in fabricating the junction diode array allows a single hard mask to be used to implant multiple ion species. Furthermore, a different implant tilt angle can be chosen for each species, along with other parameters, (e.g., implant energy, implant mask thickness, and dimensions of the mask openings) so as to craft the shape of the implanted regions. Isolation regions can be inserted between already formed diodes, using the same implant hard mask if desired. A buried oxide layer can be used to prevent diffusion of dopants into the substrate beyond a selected depth. | 06-26-2014 |
20140176315 | Smart Device to Media Device Coupling to Create Virtual Control Environment - An embodiment of the invention provides a method including receiving in an antenna of a smart device first wireless command and control data from a first electronic device. The antenna receives additional wireless command and control data from at least one second electronic device. The first wireless command and control data and the additional wireless command and control data are automatically received from the first electronic device and the at least one second electronic device without input to the smart device from a user. A universal interface is created in the smart device based on the first command and control data and the additional command and control data, wherein the first electronic device and the at least one second electronic device are controllable by the universal interface. | 06-26-2014 |
20140178774 | CATHODE MATERIAL FOR LITHIUM-OXYGEN BATTERY - A lithium-oxygen battery may include an anode, a cathode, and an electrolyte between, and in contact with, the anode and the cathode. The anode may include lithium and/or a lithium alloy. In some examples, the cathode defines a surface that is predominantly metal oxide with an electron conductivity of at least 10 | 06-26-2014 |
20140180739 | SYSTEM AND METHOD FOR ASSET ASSIGNMENT IN A SERVICE DELIVERY ENVIRONMENT WHEN ASSETS HAVE UNIQUE SKILLS AND/OR CAPABILITIES - Systems and methods for determining asset assignment in a service delivery environment are provided. A method for asset assignment in a service delivery environment, comprises identifying a number of worktypes, defining a plurality of asset resource pools and identifying a number of the resource pools, calculating a uniqueness of each resource pool, computing a utilization of each resource pool, and determining a uniqueness adjusted utilization value for each resource pool, wherein one or more steps of the method are performed by a computer system comprising a memory and at least one processor coupled to the memory. | 06-26-2014 |
20140180991 | RECOMMENDING ELECTRONIC CONTENT BASED UPON USER AVAILABILITY - Embodiments of the present invention provide a system, method, and program product for recommending a digital publication. A computing device identifies a time interval for consuming a digital publication, based on schedule information in an electronic calendar. The computing device determines, based on characteristics of the time interval and a criteria, a digital publication, from a plurality of digital publications, for human consumption within the identified time interval. | 06-26-2014 |
20140181058 | APPARATUS FOR AUTHENTICATION OF SOLUTION TOPOLOGY - A computer implemented method is provided to verify an integrity of a solution. The computer implemented method comprises hashing, by a computer, a set of virtual machine instances in a solution topology of the solution. The computer hashes a set of connections in the solution topology. The set of connections comprising a connection between ones of the set of virtual machine instances, a connection between a first component of a first one of the set of virtual machine instances and a second component of a second one of the set of virtual machine instances, and combinations thereof. The computer hashes a set of solution-specific information, and then signs the hashes to create a first signed topology. | 06-26-2014 |
20140181103 | MODIFYING A USER PROFILE - Modifying a user profile, including: receiving, by a content presentation module, a request for one or more elements in a user profile, wherein each element in the user profile includes information identifying attributes associated with a user; presenting, by the content presentation module, content provided by a content provider; receiving, by the content presentation module, a user request to alter the presentation of the content; receiving, by the content presentation module, updated values for the requested one or more elements in the user profile; and updating, by the content presentation module, the user profile in dependence upon the updated values for the requested one or more elements in the user profile. | 06-26-2014 |
20140181638 | Detection and Repositioning of Pop-up Dialogs - An embodiment of the invention provides a method where a file is displayed on a display screen of a mobile device; and, a pop-up is identified outside of the visible area on the display screen of the mobile device. The identification of the pop-up includes detecting a document object model change in the file, the presence of one or more non-active elements in the file, and/or a change in luminance in an area outside of the visible area on the display screen. A controller moves the pop-up to the visible area on the display screen, or the visible area on the display screen is moved to the pop-up. | 06-26-2014 |
20140181696 | ARRANGING A CONVERSATION AMONG A PLURALITY OF PARTICIPANTS - Arrangements disclosed herein relate to arranging a conversation among a plurality of participants. At least one user input related to a conversation intended by at least one user to take place can be identified. The desired conversation can include the plurality of participants. The conversation need not pre-scheduled. Contextual information of the plurality of participants can be monitored in real time to determine whether it is presently appropriate for the conversation to take place. When the contextual information of the plurality of participants indicates that it is presently appropriate for the conversation to take place, initiation of the conversation can be attempted. | 06-26-2014 |
20140181950 | Performance Optimization in a Secured Computing Environment - Systems and methods for associating a first process with a first state and a first computing environment initialized according to a first set of parameters, wherein a first task is to be performed under a first security context. The method further comprising associating a second process with a second state and a second computing environment initialized according to a second set of parameters; in response to the first process submitting a first request, the second process spawning a third process which has the second state; wherein the third process sets a security context for the third process to the first security context and the third process sets the computing environment for the third process according to a first a set of parameters; executing the third process under the first security context and in association with the second state; and executing the first task in the first computing environment. | 06-26-2014 |
20140182513 | HYBRID SCREENING NOZZLE - An extrusion nozzle for applying a paste to a green sheet. The nozzle having a center orifice with a leading edge and a trailing edge. The leading edge comprising a tip having a durometer value of about 40 D shore. The leading edge may comprise a urethane material. The trailing edge may either be a carbide rod or it may comprise a material with a durometer value of about 60 D shore. The trailing edge may also comprise a urethane material. The urethane material may be molded onto the nozzle and ground down to meet the specifications required for the application of the paste. | 07-03-2014 |
20140183603 | SIGNAL PATH AND METHOD OF MANUFACTURING A MULTIPLE-PATTERNED SEMICONDUCTOR DEVICE - A multiple-patterned semiconductor device and a method of manufacture are provided. The semiconductor device includes one or more layers with signal tracks. The signal tracks have a quality characteristic. The semiconductor device also includes repeater banks to repower signals. The method of manufacture includes defining portions of layers with photomasks having signal track patterns, determining a quality characteristic of the signal track patterns, and selecting a photomask for etching vias. | 07-03-2014 |
20140183659 | SIGNAL PATH AND METHOD OF MANUFACTURING A MULTIPLE-PATTERNED SEMICONDUCTOR DEVICE - A multiple-patterned semiconductor device and a method of manufacture are provided. The semiconductor device includes one or more layers with signal tracks. The signal tracks have a quality characteristic. The semiconductor device also includes repeater banks to repower signals. The method of manufacture includes defining portions of layers with photomasks having signal track patterns, determining a quality characteristic of the signal track patterns, and selecting a photomask for etching vias. | 07-03-2014 |
20140183699 | PHASE CHANGING ON-CHIP THERMAL HEAT SINK - A method of forming an on-chip heat sink includes forming a device on a substrate. The method also includes forming a plurality of insulator layers over the device. The method further includes forming a heat sink in at least one of the plurality of insulator layers and proximate to the device. The heat sink includes a reservoir of phase change material having a melting point temperature that is less than an upper limit of a design operating temperature of the chip. | 07-03-2014 |
20140183735 | SYSTEM AND METHOD OF COMBINING DAMASCENES AND SUBTRACT METAL ETCH FOR ADVANCED BACK END OF LINE INTERCONNECTIONS - Metal interconnections are formed in an integrated by combining damascene processes and subtractive metal etching. A wide trench is formed in a dielectric layer. A conductive material is deposited in the wide trench. Trenches are etched in the conductive material to delineate a plurality of metal plugs each contacting a respective metal track exposed by the wide trench. | 07-03-2014 |
20140184258 | HIGH POWER RADIO FREQUENCY (RF) IN-LINE WAFER TESTING - Approaches for performing in line wafer testing are provided. An approach includes a method that includes generating a radio frequency (RF) test signal, and applying the RF test signal to a device under test (DUT) in a wafer using a buckling beam probe set with a predefined pitch. The method also includes detecting an output RF signal from the DUT in response to the applying the RF test signal to the DUT, and sensing at least one frequency component of the detected output RF signal. | 07-03-2014 |
20140184281 | SYSTEM, A METHOD AND A COMPUTER PROGRAM PRODUCT FOR ELECTRONIC SUB-INTEGER FREQUENCY DIVISION - An electronic sub-integer frequency divider circuit, including: a phase rotator circuit, a clock circuitry, a pulse generator which is configured to: (a) receive a plurality of signals having a period TP and of different phases; (b) based on a control command, to process a second clock signal and one or more of the plurality of signals, to produce a second signal which includes S pulses in each period TP; and (c) process the second signal and a first clock signal to produce a regulating signal by which the phase rotator circuit is controlled; and an output interface configured to provide a sub-integer output signal whose frequency is responsive to the regulating signal. | 07-03-2014 |
20140185161 | HUMIDITY AND TEMPERATURE CONTROLLED TAPE DRIVE CLEANING - In one embodiment, a method includes receiving at least one of temperature information and humidity information about an environment in which a tape drive resides, performing an analysis of the at least one of temperature information and humidity information, making a determination whether to modify a cleaning cycle based on the analysis of the at least one of temperature information and humidity information, and making a selection and/or modification of a timing of a cleaning operation of the tape drive based on the determination. | 07-03-2014 |
20140185247 | LATCH TO POSITION AND BIAS A MODULE WITHIN A CHASSIS - A system includes a module having a connector at a leading end and a handle pivotally coupled to a trailing end. The handle pivots between open and closed positions, and includes landing and grip portions. The system further includes a chassis having a bay, a connector disposed in the bay, and a spring latch assembly adjacent an open end of the bay for receiving the leading end of the module. The spring latch has a catch adjacent to a spring element. Receiving the leading end of the module into the bay aligns the module connector with the connector in the bay and aligns the landing of the handle with the catch of the spring latch assembly. Pivoting the handle from the open position to the closed position will then cause the landing of the handle to engage the catch and then load the spring element to couple the connectors. | 07-03-2014 |
20140185621 | ENERGY MANAGEMENT FOR COMMUNICATION NETWORK ELEMENTS - A method of managing data flow may include assigning, along a communication route, a predetermined total energy consumption limit for a network adaptor having a first and a second network adaptor port card, whereby the route transmits data between a first and a second node within the network. Along the route, a total energy consumption value for the network adaptor is determined based on the transmitted data. The assigned total energy consumption limit is compared with the determined total energy consumption value of the network adaptor. The transmitted data is determined as being data of a known duration and data of an unknown duration based on the comparing resulting in the determined total energy consumption value exceeding the assigned total energy consumption limit. The data of a known duration is transferred from the first network adaptor to the second network adaptor and the first network adaptor is powered off. | 07-03-2014 |
20140186084 | CONFIGURABLE ERGONOMIC KEYBOARD FOR COMPUTING DEVICES - A configurable keyboard. The configurable keyboard includes a base with a top face, and a first edge. A position adjustable panel containing keyboard keys. The position adjustable panel is attached to the base by a position adjustment device. The position adjustment device includes a shaft and a first connector. The shaft is attached, towards a bottom end, to the base. The first connector connects the position adjustable panel to the shaft. | 07-03-2014 |
20140188405 | PREDICTING A TIME OF FAILURE OF A DEVICE - The present disclosure relates generally to the field of predicting a time of failure of a device. In various examples, predicting a time of failure of a device may be implemented in the form of systems, methods and/or algorithms. | 07-03-2014 |
20140188594 | USER PHOTOGRAPH BASED ADVERTISEMENT IN A SOCIAL NETWORKING SITE - An apparatus for advertising includes a photograph identification module, a photograph analysis module, a correspondence module, and an advertisement module. The photograph identification module identifies one or more photographs corresponding to a user of a social networking website. The photographs are stored by the social networking website and may include photographs uploaded by the user, photographs depicting the user, and/or photographs in which the user has been tagged. The photograph analysis module electronically analyzes the photographs to determine at least one characteristic of a person, object, and/or location depicted in the photographs. The correspondence module identifies a product and/or service corresponding to the characteristic, and the advertisement module sends an advertisement for the product or service to the user. | 07-03-2014 |
20140188810 | INTERACTION-BASED MANAGEMENT OF CONTACT ENTRIES - Embodiments of the invention relate to interaction-based management of contact entries. In one embodiment, at least one contact in a set of contacts is determined to be associated with an archiving indicator. The archiving indicator indicates that one or more archiving operations are to be performed on the at least one contact. The set of contacts is associated with a user. At least one archiving threshold associated with the at least one contact is identified based on the determination. A determination is made as to whether the at least one archiving threshold has been satisfied. The at least one contact is archived based on the at least one archiving threshold having been satisfied. | 07-03-2014 |
20140189021 | MINIMIZING THE EFFECTS OF EMAIL ATTACHMENTS ON COMMUNICATION NETWORKS - A first computer receives a first message that includes an electronic attachment from a second computer over a communications network. The first computer saves the electronic attachment in a storage device. The first computer removes the electronic attachment from the first message. The first computer adds a link to the first message; wherein the link permits access to download the saved electronic attachment. The first computer sends to a third computer the first message including the link that permits access to download the saved electronic attachment. | 07-03-2014 |
20140189123 | Dynamically selecting an identity provider for a single sign-on request - An identity provider (IdP) discovery service operative at a service provider (SP) is described. In operation, and as valid requests are received by the SP via normal IdP-initiated flows, the SP builds-up knowledge about the relationship between the IdP (that redirected the request) and the initiator of the request. The IdP instance typically is inferred from an HTTP referrer field, and information about the initiator may be ascertained from client-specific information, such as client system IP address, client DNS domain, a domain of a user e-mail address, a target URL for the incoming request, or the value associated with a particular HTTP header field. This knowledge is maintained in one or more mapping table(s) that associate request attributes-to-IdP instance data. The mappings are then used to facilitate IdP discovery for a new incoming request to the SP that has been determined to originate from other than an IdP. | 07-03-2014 |
20140189124 | Extending organizational boundaries throughout a cloud architecture - An information sharing paradigm for a cloud computing solution enables flexible organizational boundaries with respect to cloud resources. Cloud service customers manage their own organization boundary but can extend that boundary selectively by associating cloud resources they own with sets of domain names that may be associated with requests for cloud resources that the organization may be willing to share with other organizations that are using the cloud environment, and by ensuring that any such requests for resources that are shared in this manner are associated with one or more message handling policies that have been defined by (or otherwise associated with) the resource-owning organization. Cloud resources owned by an organization (even those marked as “internal only”) may be selectively shared with one or more other organizations using the cloud environment depending on the domain names associated with the requests. Message handling policies are enforced with respect to shared resources. | 07-03-2014 |
20140189157 | ENERGY MANAGEMENT FOR COMMUNICATION NETWORK ELEMENTS - A method of managing data flow may include determining data flows as one of data flows of known duration (KD) and data flows of arbitrary duration (AD). Profile energy consumption values for each of the KD flows is determined based on transmitting the KD flows between a first and a second node via a first network adaptor port, a second network adaptor port card, and switch port cards. Energy efficient routes for transmitting the KD flows between the nodes are also determined. Based on the profile energy consumption values, network element reference energy consumption values for each of network adaptor port cards and each of switch port cards located along the routes are determined, whereby transmission of the KD flows is based on energy consumption values at each of network adaptor port cards and each of switch port cards not exceeding respective network element reference energy consumption values. | 07-03-2014 |
20140189382 | AUTOMATED SHUTDOWN METHODOLOGY FOR A TIERED SYSTEM - Various aspects of the present invention relate to automated shutdown of a tiered system. In one embodiment, at a host, an instruction is received to execute a command that instructs at least one system at a site and in communication with the host via one or more fibre channels to each execute a script, the script being for automatically shutting down components of the at least one system in an order defined by the script. The command is issued to the at least one system at the site via the one or more fibre channels. | 07-03-2014 |
20140189490 | DYNAMIC WEBPAGE CHANGE ANIMATION - A method, computer program product, and computer system for dynamic webpage change animation. A computer system detects one or more items that are changed on a second version of a webpage relative to a first version of the webpage. The computer system determines an animation order based on user environment. And, on the first version of the webpage and a template for generating the second version of the webpage, the computer system animates changes of the one or more items, according to the animation order. | 07-03-2014 |
20140189630 | SOFT PIN INSERTION DURING PHYSICAL DESIGN - A netlist for an integrated circuit design is constrained by virtual or “soft” pins to control or stabilize the placement of logic such as an architectural logic path. One soft pin is inserted at a fixed location proximate an input net of the path and is interconnected with the input net, and another is inserted at a fixed location proximate the output net and is interconnected with the output net. Cell placement is then optimized while maintaining the virtual pins at their fixed locations. More than two virtual pins may be inserted to bound a cluster of logic. The virtual pins may lie along the input/output nets. Pseudo-net weights are assigned to pseudo-nets formed between a cell and the virtual pins, and the pseudo-net weight can be increased for each placement iteration. | 07-03-2014 |
20140189636 | MIGRATION BETWEEN MODEL ELEMENTS OF DIFFERENT TYPES IN A MODELING ENVIRONMENT - Migration between model elements of different model element types in a model provided within a modeling environment is described herein. A model element of a first model element type is identified to be migrated to a model element of a second model element type. The model element of the first model element type is then migrated to the model element of the second model element type. The migrating migrates one or more relationships that exist between the model element of the first model element type and a related model element to one or more relationships between the model element of the second model element type and the related model element. The migrating also preserves properties of diagrammatic representations of the model element being migrated in diagrams of the model. | 07-03-2014 |
20140189682 | Automatically Managing the Storage of a Virtual Machine - Mechanisms are provided for automatically expanding a virtual storage of a virtual machine. The virtual machine monitors a usage of the virtual storage of the virtual machine. The virtual machine determines, based on the monitoring of the usage of the virtual storage, whether to expand the virtual storage of the virtual machine. In response to the virtual machine determining to expand the virtual storage of the virtual machine, a virtual machine manager executes one or more operations to expand the virtual storage. The monitoring and determining may be performed by a virtual storage management agent executing within the virtual machine and which may send an expansion request to an authorization engine to request expansion of the virtual storage. | 07-03-2014 |
20140189809 | METHOD AND APPARATUS FOR SERVER-SIDE AUTHENTICATION AND AUTHORIZATION FOR MOBILE CLIENTS WITHOUT CLIENT-SIDE APPLICATION MODIFICATION - A method (and structure) for enforcing authentication and authorization includes making a resource access request, by a client application being executed by a processor on a digital device, to invoke authentication and authorization services to evaluate the resource access request by the client application. A security application on the digital device is activated and executed, the security application being separate from the client application, the security application including instructions for processing a challenge-response protocol for the resource access request. The client application communicates outside the digital device using a primary communication channel and the security application uses a secondary communication channel that is out-of-band from the primary communication channel. | 07-03-2014 |
20140192476 | COOLING APPARATUS WITH A RESILIENT HEAT CONDUCTING MEMBER - A cooling structure including a thermally conducting central element having a channel formed therein, the channel being configured for flow of cooling fluid there through, a first pressure plate, and a first thermally conductive resilient member disposed between the thermally conducting central element and the first pressure plate, wherein the first pressure plate, the first thermally conductive resilient member, and the thermally conducting central element form a first heat transfer path. | 07-10-2014 |
20140195207 | ESTIMATING PROBABILITY OF SPREADING INFORMATION BY USERS ON MICRO-WEBLOGS - Methods and systems for estimating a probability of re-sharing information include extracting keywords from a set of documents addressed to a user; weighting the keywords from the set of documents according a metric for the user's interest in the keywords' respective source documents to create an interest model; receiving a new document having one or more keywords; and determining a likelihood that the user will re-share the new document, where the likelihood is based on the interest model and the one or more keywords present in the new document. | 07-10-2014 |
20140195297 | ANALYSIS OF USAGE PATTERNS AND UPGRADE RECOMMENDATIONS - An approach is provided for analyzing usage patterns of computing devices and providing upgrade recommendations. The approach is implemented in a computer infrastructure having computer executable code on a computer readable storage medium having programming instructions operable to: monitor usage on one or more electronic devices; and recommend upgraded functionality on the one or more devices based on the monitored usage based on a risk assessment allocation on selected functionality associated with an upgrade for the one or more electronic devices. | 07-10-2014 |
20140195483 | COPY OF REPLICATION STATUS FOR SYNCHRONIZATION - For synchronizing replication status, a copy module continuously replicates data from a first device designated with a device status of primary to a second device designated with a device status of secondary. A first peer-to-peer remote copy (PPRC) relationship is established between the first and second devices. The first and second devices store replication information comprising a bitmap with a replication status for each data block of the first device. The copy module further copies an instant point-in-time copy of the data from the second device to a copy device. In addition, the copy module copies the replication information from the second device to the copy device and links the replication information of the second device and copy devices. | 07-10-2014 |
20140195493 | PACKING DEDUPLICATED DATA IN A SELF-CONTAINED DEDUPLICATED REPOSITORY - Deduplicated data is packed in a self-contained deduplicated repository having unique data blocks with each being referenced by a globally unique identifier (GUID). The self-contained deduplicated repository has information regarding both deduplicated data files and the unique data blocks of each of the deduplicated data files and a master GUID list containing a location of each of the unique data blocks. | 07-10-2014 |
20140195534 | CREATING DIMENSION/TOPIC TERM SUBGRAPHS - A term graph for a group (G), where G is defined by a given set of values d for a set of dimensions (D) relative to a topic (X) may be created by retrieving a graph (H) comprising terms related to an entity and associated with topic X; identifying a node (N) that represents topic X in graph H; identifying resources (R) associated with topic X in group G (used or accessed by, or otherwise associated with values d in group (G); compiling a list (L) of terms used in the identified resources (R); and creating, starting from node N, a connected subgraph S representing the term graph, wherein each node in subgraph S represents one of the terms from list L and has a path to node N. | 07-10-2014 |
20140195688 | TOKEN-BASED FLOW CONTROL OF MESSAGES IN A PARALLEL COMPUTER - Token-based flow control of messages in a parallel computer, the parallel computer including a plurality of compute nodes, each compute node including one or more computer processors, including: allocating, by a token administration module to a plurality of the computer processors in the parallel computer, a number of data communications tokens; identifying all communicators executing on each computer processor, where each communicator is participating in a distinct parallel operation executing on the parallel computer; allocating, to the communicators, the data communications tokens; determining, by a communicator attempting to send data to the destination, whether the communicator has enough available data communications tokens to send the data to the destination; and responsive to determining that the communicator has enough available data communications tokens to send the data, sending, by the communicator, the data to the destination. | 07-10-2014 |
20140195751 | SETTING COPY PERMISSIONS FOR TARGET DATA IN A COPY RELATIONSHIP - Providing a computer program product, system, and method for setting copy permissions for target data in a copy relationship. Source data is copied from a first storage to a first data copy in a second storage. A request is received to copy requested data from the first data copy to a second data copy. The second copy operation is performed to copy the requested first data copy form the second storage to a second data copy in response to determining that the requested first data copy is not in the state that does not permit the copying. The request is denied in response to determining that the requested first data copy is in the state that does not permit copying. | 07-10-2014 |
20140195845 | FAULT ISOLATION WITH ABSTRACTED OBJECTS - In response to a notification of a fault captured in a system, a fault isolator serially analyzes each clock object to determine captured faults associated with the clock object. For each of the clock objects determined to have a captured fault, the fault isolator initiates a repair action for the chip represented by the clock object. The fault isolator concurrently analyzes the non-clock objects to determine captured faults associated with the non-clock objects after analysis of the clock objects. For each of the non-clock objects determined to have a captured fault, the fault isolator initiates a repair action for the chip represented by the non-clock object. | 07-10-2014 |
20140195871 | SYSTEM AND METHOD FOR IMPROVED ERROR RESPONSE IN DATA MIRRORING - Systems and methods are provided to improve performance in backup data storage systems by improving response to errors. The system and method provide for transferring data from a primary storage controller to a secondary storage controller, where the secondary storage controller is linked to the primary storage controller by a plurality of links. The system and method includes transmitting a first transaction from the primary storage controller to the secondary storage controller using a first of a plurality of links. Then, in response to the first transaction crossing a first timeout boundary without completing, the system and method includes transmitting a second transaction from the primary storage controller to the secondary storage controller using a second of the plurality of links, the second of the plurality of links preferentially selected to be on a different network failure boundary from the first of the plurality of links. | 07-10-2014 |
20140195884 | SYSTEM AND METHOD FOR AUTOMATICALLY DETECTING AND INTERACTIVELY DISPLAYING INFORMATION ABOUT ENTITIES, ACTIVITIES, AND EVENTS FROM MULTIPLE-MODALITY NATURAL LANGUAGE SOURCES - A method for automatically extracting and organizing information by a processing device from a plurality of data sources is provided. A natural language processing information extraction pipeline that includes an automatic detection of entities is applied to the data sources. Information about detected entities is identified by analyzing products of the natural language processing pipeline. Identified information is grouped into equivalence classes containing equivalent information. At least one displayable representation of the equivalence classes is created. An order in which the at least one displayable representation is displayed is computed. A combined representation of the equivalence classes that respects the order in which the displayable representation is displayed is produced. | 07-10-2014 |
20140195995 | SYSTEMS AND METHODS FOR SINGLE CELL PRODUCT PATH DELAY ANALYSIS - Methods and systems for qualifying a single cell with product path delay analysis are provided. A method includes designing a product using a model from an initial test site. The method also includes creating performance path tests for one or more paths on the product. The method further includes measuring performance path parameters of the product. The method includes determining that the measured performance path parameters match predicted performance path parameters. | 07-10-2014 |
20140196011 | AUTOMATIC REGRESSION TESTING BASED ON CYCLOMATIC COMPLEXITY - A processor-implemented method, system, and/or computer program product automates regression testing based on cyclomatic complexity of changed code. A base code change to a software program is identified as having a particular cyclomatic complexity. Multiple different software test routines are mapped to the base code change. A specific quantity of the different software test routines are then run to test the base code change, where the quantity of test routines that is run is based on the cyclomatic complexity of the base code change. | 07-10-2014 |
20140196027 | LOW-RISK SERVER CONSOLIDATION - A method for virtual machine (VM) consolidation includes providing a plurality of resource usage levels for a set of VMs to be consolidated including a first resource usage level and a last resource usage level. An optimization problem is formulated to minimize an objective function such that any of one or more VMs of a set of VMs to be allocated to a target server may be assigned to the first resource level and remaining VMs of the set may be assigned to the last resource level while not exceeding a resource capacity of the target server. The set of VMs are allocated to a number of servers is accordance with the formulating to consolidate the set of VMs. | 07-10-2014 |
20140196031 | PREPROVISIONING USING MUTATED TEMPLATES - Illustrative embodiments include a method for preprovisioning using a mutated template. A subset of templates is selected from a set of templates that can be provisioned to a data processing system, a template in the set of templates including data to create a virtual machine on the data processing system. The mutated template is constructed using the subset of templates. A manifest is constructed such that a template in the subset of templates can be reconstructed from the mutated template using the manifest. Instead of the subset of templates, the mutated template is preprovisioned to the data processing system. | 07-10-2014 |
20140197522 | HYBRID CONDUCTOR THROUGH-SILICON-VIA FOR POWER DISTRIBUTION AND SIGNAL TRANSMISSION - A method of providing signal, power and ground through a through-silicon-via (TSV), and an integrated circuit chip having a TSV that simultaneously provides signal, power and ground. In one embodiment, the method comprises forming a TSV through a semiconductor substrate, including forming a via in the substrate; and forming a multitude of conductive bars in the via. The multitude of conductive bars include at least one signal bar, at least one power bar, and at least one ground bar. The method further comprises connecting the at least one power bar to a power voltage source to apply power through the TSV; connecting the at least one ground bar to a ground voltage; and connecting the at least one signal bar to a source of an electronic signal to conduct the signal through the TSV and to form a hybrid power-ground-signal TSV in the substrate. | 07-17-2014 |
20140197865 | ON-CHIP RANDOMNESS GENERATION - An on-chip true noise generator including an embedded noise source with a low-voltage, high-noise zener diode(s), and an in-situ close-loop zener diode power control circuit. The present invention proposes the use of heavily doped polysilicon and silicon p-n diode(s) structures to minimize the breakdown voltage, increasing noise level and improving reliability. The present invention also proposes an in-situ close-loop zener diode control circuit to safe-guard the zener diode from catastrophic burn-out. | 07-17-2014 |
20140198647 | LINK AGGREGATION (LAG) INFORMATION EXCHANGE PROTOCOL - In one embodiment, a switch includes a processor adapted for executing logic, logic adapted for receiving link aggregation (LAG) information about a first peer switch, logic adapted for storing the LAG information about the first peer switch, and logic adapted for using the LAG information about the first peer switch and LAG information about the switch to determine load balancing across one or more connections between the switch and the first peer switch. In another embodiment, a method for exchanging LAG information between peer switches includes receiving LAG information about a first peer switch at a second peer switch, storing the LAG information about the first peer switch, and using the LAG information about the first peer switch and LAG information about the second peer switch to determine load balancing across one or more connections between the first and second peer switches. | 07-17-2014 |
20140198649 | EXTENDED LINK AGGREGATION (LAG) FOR USE IN MULTIPLE SWITCHES - In one embodiment, a method for providing link aggregation (LAG) to heterogeneous switches includes receiving, at a switch controller, LAG requests forwarded by switches and determining that multiple LAG requests corresponding to a server have been received, grouping the multiple LAG requests into LAG groups according to a switch from which they were received and correlating all the LAG groups with the server, instructing each of the switches to setup a LAG group with the server according to the LAG groups determined by the switch controller, and creating alternate flows that correspond to flows through each of the switches to the server through direction from the switch controller. The switches may rely upon OpenFlow to communicate with the switch controller, in some approaches. In addition, other methods for providing LAG to heterogeneous switches are also described, along with systems and computer program products which provide LAG to heterogeneous switches. | 07-17-2014 |
20140198668 | DATA LINK LAYER SWITCH FRAME FORWARDING ANALYSIS - Systems and methods to analyze layer-2 data frame switch forwarding are provided. A first switch may be coupled to a second switch. The first switch may maintain state information mirroring the state of the second switch. A sequence number may be appended to a data frame that is received at the first switch. Forwarding compliance of the second switch may be determined by analyzing a runtime attribute of the first switch. | 07-17-2014 |
20140198718 | AVOIDING NETWORK ADDRESS TRANSLATON IN A MOBILE DATA NETWORK - A flow setup table in a basestation breakout component allows for servicing non-cacheable IP data flows at the breakout component without the need for network address translation. For each broken out IP flow at the breakout component, the flow setup table holds a mapping between tunnel IDs and the IP related information. The flow setup table data is sent to the breakout component at the gateway. The gateway breakout component uses the flow setup table to forward non-cacheable data requests to the internet and return data received from the internet back to the basestation breakout component. The basestation component then sends the non-cacheable data in the correct tunnel to the user equipment requesting the data. | 07-17-2014 |
20140198790 | DATA LINK LAYER ANALYSIS WITH PACKET TRACE REPLAY - Systems and methods to analyze layer-2 data frame switch forwarding are provided. A packet replay module may be configured to replay a data frame from at least one of a packet trace file and a live network, and a first switch may include a first port and may be configured to receive the data frame from the packet replay module and to determine a runtime attribute associated with forwarding the data frame in a second switch. | 07-17-2014 |
20140199832 | TITANIUM OXYNITRIDE HARD MASK FOR LITHOGRAPHIC PATTERNING - A vertical stack including a dielectric hard mask layer and a titanium nitride layer is formed over an interconnect-level dielectric material layer such as an organosilicate glass layer. The titanium nitride layer may be partially or fully converted into a titanium oxynitride layer, which is subsequently patterned with a first pattern. Alternately, the titanium nitride layer, with or without a titanium oxynitride layer thereupon, may be patterned with a line pattern, and physically exposed surface portions of the titanium nitride layer may be converted into titanium oxynitride. Titanium oxynitride provides etch resistance during transfer of a combined first and second pattern, but can be readily removed by a wet etch without causing surface damages to copper surfaces. A chamfer may be formed in the interconnect-level dielectric material layer by an anisotropic etch that employs any remnant portion of titanium nitride as an etch mask. | 07-17-2014 |
20140201025 | PERSONALIZED CUSTOMER SHOPPING EXPERIENCE - A system and method that improves and enhances the customer's in-store shopping experience. The consumer product of purchase interest or intent to buy expresses (or self-announces via technology) it's match (or fit based on known or understood buying habits, customer taste, tendencies, etc) against the customer preferences during an in-store shopping experience. | 07-17-2014 |
20140201077 | FRAUD DETECTION EMPLOYING PERSONALIZED FRAUD DETECTION RULES - Detection of fraud in financial transactions is facilitated. A financial transaction is initiated by a user, and based on the financial transaction, information is obtained by an electronic device of the user. Using the information, the electronic device evaluates a set of rules personalized for the user; the set of rules to be used to determine whether the financial transaction is to be approved for the user. The electronic device provides an initial indication, based on the evaluating, of whether the financial transaction is to be approved. | 07-17-2014 |
20140201165 | REWRITING RELATIONAL EXPRESSIONS FOR DIFFERENT TYPE SYSTEMS - A computer determines that the type of one or more of a relational operator and operands of a relational expression originated in a first type system, and determines the sign of at least one of the operands. The computer rewrites the relational expression based on the sign of at least one of the operands, sends the rewritten relational expression for evaluation in a second type system, and receives the evaluated rewritten relational expression after evaluation in the second type system. The computer can rewrite the relational expression by generating a group of terms joined disjunctively, as well as by generating a group of conjunctive terms joined disjunctively. | 07-17-2014 |
20140201224 | FIND REGULAR EXPRESSION INSTRUCTION ON SUBSTRING OF LARGER STRING - A technique for pattern matching is provided. A processing circuit receives an input string streamed in as input, and the input string is designated into substrings according to predefined bytes. A first substring of the substrings is in a first register to be compared against a pattern of the predefined bytes in a second register. The processing circuit compares the first substring in the first register to the pattern in the second register according to a type of evaluations specified in a third register, and determines state information that includes a number of states achieved for the pattern based on the comparison. The state information is stored in a fourth register to be utilized in a next run for a next substring of the substrings making up the input string, where the next run builds from the state information in the fourth register. | 07-17-2014 |
20140201345 | MANAGING USER PRIVILEGES FOR COMPUTER RESOURCES IN A NETWORKED COMPUTING ENVIRONMENT - Approaches for automatically managing user privileges for computer resources based on determined levels of expertise in a networked computing environment (e.g., a cloud computing environment) are provided. In a typical approach, a user profile associated with a prospective user of a set of computer resources in the networked computing environment may be accessed. The user profile may include information pertaining to a skill level of the prospective user with respect to the set of computer resources. Based on the information contained in the user profile, an expertise level of the prospective user with respect to the set of computer resources may be determined, and a corresponding score may be calculated. Based on the score, a level of user privileges for the set of computer resources may be provided. | 07-17-2014 |
20140201348 | VIRTUAL APPLIANCE CHAINING AND MANAGEMENT - Management of virtual resources may be provided by interconnecting a plurality of virtual appliances with common executable software services. A data packet may be sent through multiple virtual appliances invoking services within each if rules stored within respective virtual appliances correspond to a request for service in the data packet. The data packet may be passed through multiple virtual appliances prior to being returned to a hypervisor. | 07-17-2014 |
20140201467 | EPOCH-BASED RECOVERY FOR COHERENT ATTACHED PROCESSOR PROXY - A coherent attached processor proxy (CAPP) participates in coherence communication in a primary coherent system on behalf of an attached processor external to the primary coherent system. The CAPP includes an epoch timer that advances at regular intervals to define epochs of operation of the CAPP. Each of one or more entries in a data structure in the CAPP are associated with a respective epoch. Recovery operations for the CAPP are initiated based on a comparison of an epoch indicated by the epoch timer and the epoch associated with one of the one or more entries in the data structure. | 07-17-2014 |
20140201468 | ACCELERATED RECOVERY FOR SNOOPED ADDRESSES IN A COHERENT ATTACHED PROCESSOR PROXY - A coherent attached processor proxy (CAPP) that participates in coherence communication in a primary coherent system on behalf of an external attached processor maintains, in each of a plurality of entries of a CAPP directory, information regarding a respective associated cache line of data from the primary coherent system cached by the attached processor. In response to initiation of recovery operations, the CAPP transmits, in a generally sequential order with respect to the CAPP directory, multiple memory access requests indicating an error for addresses indicated by the plurality of entries. In response to a snooped memory access request that targets a particular address hitting in the CAPP directory during the transmitting, the CAPP performs a coherence recovery operation for the particular address prior to a time indicated by the generally sequential order. | 07-17-2014 |
20140201501 | DYNAMIC ACCESSING OF EXECUTION ELEMENTS THROUGH MODIFICATION OF ISSUE RULES - Embodiments of the invention relate to dynamically routing instructions to execution units based on detected errors in the execution units. An aspect of the invention includes a computer system including a processor having an instruction issue unit and a plurality of execution units. The processor is configured to detect an error in a first execution unit among the plurality of execution units and adjust instruction dispatch rules of the instruction issue unit based on detecting the error in the first execution unit to restrict access to the first execution unit while leaving un-restricted access to the remaining execution units of the plurality of execution units. | 07-17-2014 |
20140201561 | CLOCK SKEW ANALYSIS AND OPTIMIZATION - A method for adjusting clock skew in a network is disclosed. A model is fit to a first clock input signal received at a first receiver of the network and to a second clock input signal received at a second receiver of the network to obtain a fitted model. A first response signal is simulated using the fitted model and the first clock input signal and a second response signal is simulated using the fitted model and the second clock input signal. A time difference is determined between the simulated first response signal and the simulated second response signal. A parameter of at least one of the network clock network, the first receiver and the second receiver is altered to adjust the determined time difference. | 07-17-2014 |
20140201613 | Converting Text Content to a Set of Graphical Icons - A method, system and program product for analyzing textual information and providing a visual representative of a summary of such textual information in the form of a ranked list of icons. A text to icon engine is used that takes as input a textual document. A plurality of icons are each associated to a specific rule such that when the text to icon engine processes textual input, it will apply the rules associated with the icons and return a value that represents how much the text belongs to a specific icon. | 07-17-2014 |
20140201697 | DETERMINING OVERALL OPTIMAL YIELD POINT FOR A SEMICONDUCTOR WAFER - A computer determines a component optimal yield point for each component of the plurality of components, where the component optimal yield point represents the process parameter values where maximum yield is achieved for a component. The computer determines a weight factor for each component of the plurality of components, where the weight factor represents an importance of a component to the semiconductor device. The computer then determines an overall optimal yield point based on the component yield point and weight factor determined for each component of the plurality of components, the overall optimal yield point representing the process parameter values where maximum yield is achieved for the semiconductor device. | 07-17-2014 |
20140201718 | ANALYZING CONCURRENT DEBUGGING SESSIONS - Methods, apparatuses, and computer program products for analyzing concurrent debugging sessions are provided. Embodiments include a first debugger initiating a conditional breakpoint in a first debug session of a first application. The conditional breakpoint stops execution of the first application based on a condition of a value of a variable of a second application. Embodiments also include the first debugger requesting and receiving the value of the variable from a second debugger. Embodiments also include the first debugger evaluating the condition of the conditional breakpoint based on the received value of the variable. | 07-17-2014 |
20140201733 | SCALABLE NETWORK OVERLAY VIRTUALIZATION USING CONVENTIONAL VIRTUAL SWITCHES - In one embodiment, a system includes a server running a virtualization platform, the virtualization platform including logic adapted for creating one or more virtual machines (VMs) and logic adapted for managing a virtual switch (vSwitch), a controller in communication with the server, the controller including logic adapted for assigning a media access control (MAC) address and a virtual local area network (VLAN) identifier (ID) to each of the one or more VMs, wherein a specific tenant to which the one or more VMs belongs is indicated using a tenant ID derived from the VLAN ID, the MAC address, or a combination thereof. Other systems, methods, and computer program products are also described according to more embodiments. | 07-17-2014 |
20140201840 | IDENTIFYING STORED SECURITY VULNERABILITIES IN COMPUTER SOFTWARE APPLICATIONS - Identifying stored security vulnerabilities in computer software applications by providing via a first interface of a computer software application during execution of the computer software application, test data having a characteristic of a malicious payload, where an interaction performed with the first interface resulted in data being written to a location within a persistent data store, and where an interaction performed with a second interface of the computer software application resulted in data being read from the location within the persistent data store, and identifying a stored security vulnerability associated with the computer software application if the test data are written to the persistent data store at the location. | 07-17-2014 |
20140202746 | COMPOSITE COPPER WIRE INTERCONNECT STRUCTURES AND METHODS OF FORMING - Various embodiments include interconnect structures and methods of forming such structures. The interconnect structures can include a composite copper wire which includes at least two distinct copper sections. The uppermost copper section can have a thickness of approximately 1 micrometer or less, which inhibits surface roughening in that uppermost section, and helps to enhance cap adhesion with overlying layers. | 07-24-2014 |
20140203894 | NOTCH FILTER STRUCTURE WITH OPEN STUBS IN SEMICONDUCTOR SUBSTRATE AND DESIGN STRUCTURE - On-chip millimeter wave (mmW) notch filters with via stubs, methods of manufacture and design structures are disclosed. The notch filter includes a signal line comprising a metal trace line connected to a metal via stub partially extending into a semiconductor substrate. The notch filter further includes a defected ground plane connected to at least one or more additional metal via stubs partially extending into the semiconductor substrate. | 07-24-2014 |
20140207450 | Real-Time Customizable Media Content Filter - According to one embodiment of the present disclosure, an approach is provided in which a processor receives a media stream that includes media content. The processor selects a media stream segment included in the media stream, and generates annotated data based upon a portion of the media content included in the selected media stream segment. The processor, in turn, compares the annotated data with obfuscation preferences that correspond to prohibited content, and modifies the media stream segment in response to the comparison. | 07-24-2014 |
20140207814 | SIMULATING ACCESSES FOR ARCHIVED CONTENT - According to one embodiment of the present invention, a system identifies content for publication by determining a projected usage of unpublished content. The system applies one or more predefined criteria for publication to the projected usage. The content is published in response to the projected usage satisfying the criteria for publication. Embodiments of the present invention further include a method and computer program product for identifying content for publication in substantially the same manners described above. | 07-24-2014 |
20140207878 | USER INTERFACE WITH RECIPIENT STATUS INDICATION - A method of providing a user interface with recipient status information, in one aspect, may comprise detecting a message (e.g., online message such as instant messaging, chat, etc.) being initiated by a first user to a second user; gathering information associated with the second user; analyzing the gathered information; predicting a state of the second user based on the analyzing; and determining a notification action based on the predicted state of the second user, the notification action notifying the first user of the second user's state; and presenting a notification comprising one or more of graphical, textual, auditory, or tactile indications or combinations thereof to the first user. | 07-24-2014 |
20140207955 | STORAGE MANAGMENT IN A MULTI-TIERED STORAGE ARCHITECTURE - Resource management processes are implemented by a computer processor and logic executable by the computer processor. The logic is configured to calculate a performance weight for each end user of an application executing on the computer processor. The performance weight is calculated as a function of an activity level determined for each end user and a type of the application. The logic is also configured to calculate an input/output weight for each end user from a combination of an input/output requirement and the performance weight. The input/output requirement is indicative of an amount of storage resources of a multi-tiered storage system associated with anticipated activities of the end user. The logic is further configured to assign an amount of the storage resources for each end user based on the input/output weight. | 07-24-2014 |
20140207981 | Cached PHY register data access - Ethernet physical sublayer (PHY) devices each provide PHY register data. One or more of the Ethernet PHY devices are connected to each of one or more management data input/output (MDIO)/management data clock (MDC) interfaces to which a number of MDIO/MDC controllers are connected. Each MDIO/MDC controller polls a corresponding MDIO/MDC interface to receive the PHY register data from the one or more Ethernet PHY devices connected thereto. The MDIO/MDC controllers store portions of the PHY register data received from the Ethernet PHY devices to a memory to which an interface is connected. A processor connected to the interface accesses the portions of the PHY register data stored to the memory. The processor can retrieve the portions of the PHY register data over the interface more quickly than the MDIO/MDC controllers can retrieve the PHY register data over the MDIO/MDC interfaces. | 07-24-2014 |
20140208066 | VECTOR GENERATE MASK INSTRUCTION - A Vector Generate Mask instruction. For each element in the first operand, a bit mask is generated. The mask includes bits set to a selected value starting at a position specified by a first field of the instruction and ending at a position specified by a second field of the instruction. | 07-24-2014 |
20140208067 | VECTOR ELEMENT ROTATE AND INSERT UNDER MASK INSTRUCTION - A Vector Element Rotate and Insert Under Mask instruction. Each element of a second operand of the instruction is rotated in a specified direction by a specified number of bits. For each bit in a third operand of the instruction that is set to one, the corresponding bit of the rotated elements in the second operand replaces the corresponding bit in a first operand of the instruction. | 07-24-2014 |
20140210040 | ELECTRONIC FUSE LINE WITH MODIFIED CAP - An electronic fuse structure having an M | 07-31-2014 |
20140210059 | ORGANIC MODULE EMI SHIELDING STRUCTURES AND METHODS - Apparatus and methods for an electronic package incorporating shielding against emissions of electromagnetic interference (EMI). According to an integrated circuit structure, a substrate is on a printed circuit board. An integrated circuit chip is on the substrate. The integrated circuit chip is electrically connected to the substrate. An electromagnetic interference (EMI) shielding unit is on the integrated circuit chip and the substrate. The EMI shielding unit comprises a lid covering the integrated circuit chip and portions of the substrate outside the integrated circuit chip. A fill material can be deposited within a cavity formed between the lid and the substrate. The fill material comprises an EMI absorbing material. A periphery of the lid comprises a side skirt, the side skirt circumscribing the integrated circuit chip and the substrate. EMI absorbing material is on the printed circuit board, and a portion of the side skirt is embedded in the EMI absorbing material. | 07-31-2014 |
20140214739 | CORTICAL SIMULATOR - Embodiments of the invention relate to a function-level simulator for modeling a neurosynaptic chip. One embodiment comprises simulating a neural network using an object-oriented framework including a plurality of object-oriented classes. Each class corresponds to a component of a neural network. Running a simulation model of the neural network includes instantiating multiple simulation objects from the classes. Each simulation object is an instance of one of the classes. | 07-31-2014 |
20140214795 | DYNAMICALLY DETERMINING JOIN ORDER - A weight is determined for each of a plurality of join predicates for a join between one or more first database objects and one or more second database objects based on a join selectivity for each of the plurality of join predicates. The plurality of join predicates are sorted based on the determined weights. The join operation is performed joining the one or more first database objects with the one or more second database objects in accordance with an order of the sorted plurality of join predicates. | 07-31-2014 |
20140214973 | ESTABLISHING AN AUTOMATIC COMMUNICATIONS DELAY BASED ON PREVAILING ACTIVITY FACTORS - Methods and arrangements for imparting a communications delay. A delay is dynamically determined for sending an outgoing communication. The determining includes using information derived from a determination of a prevailing activity level of a sender, and the determined delay is applied to the outgoing communication. | 07-31-2014 |
20140215148 | LIMITING THE EXECUTION OF BACKGROUND MANAGEMENT OPERATIONS IN A DRIVE ARRAY - Limiting the execution of background management operations in a drive array, including: receiving a read instruction to read data from a memory drive in the drive array; determining whether the read instruction is associated with a write instruction to write data to a memory drive in the drive array; responsive to determining that the read instruction is associated with the write instruction, restricting performance of background management operations on the memory drive targeted by the write instruction; determining whether the write instruction has completed; and responsive to determining that the write instruction has completed, removing restrictions associated with the performance of background management operations on the memory drive targeted by the write instruction. | 07-31-2014 |
20140215184 | MEMORY MANAGEMENT IN A STREAMING APPLICATION - One embodiment is directed to a method for processing a stream of tuples. The method may include receiving a stream of tuples to be processed by a plurality of processing elements operating on one or more computer processors. Each of the processing elements has an associated memory space. In addition, the method may include monitoring the plurality of processing elements. The monitoring may include identifying a first performance metric for a first processing element. The method may include modifying the first processing element based on the first performance metric. The modifying of the first processing element may include employing memory management of the associated memory space. | 07-31-2014 |
20140215298 | Creating Tag Clouds Based on User Specified Arbitrary Shape Tags - Mechanisms are provided for generating a shape tag cloud display. A user input is received that specifies an arbitrarily hand-drawn shape tag. A set of shape tag equivalence classes is updated based on the received user input to assign the arbitrarily hand-drawn shape tag to a shape tag equivalence class having similar previously entered arbitrarily hand-drawn shape tags. Rankings of the shape tags relative to one another are generated. The shape tag cloud display is generated based on the rankings. A representation of each shape tag within the shape tag cloud display has display characteristics based on the rankings. The shape tag cloud display is then output. | 07-31-2014 |
20140215299 | Creating Tag Clouds Based on User Specified Arbitrary Shape Tags - Mechanisms are provided for generating a shape tag cloud display. A user input is received that specifies an arbitrarily hand-drawn shape tag. A set of shape tag equivalence classes is updated based on the received user input to assign the arbitrarily hand-drawn shape tag to a shape tag equivalence class having similar previously entered arbitrarily hand-drawn shape tags. Rankings of the shape tags relative to one another are generated. The shape tag cloud display is generated based on the rankings. A representation of each shape tag within the shape tag cloud display has display characteristics based on the rankings. The shape tag cloud display is then output. | 07-31-2014 |
20140215355 | Display of invitee-posted update information regarding meeting within calendaring-oriented computer program - A calendaring-oriented computer program displays a meeting to which a user of the calendar-oriented computer program has been invited to participate. The program visually indicates that a first other user who has also been invited to participate in the meeting has posted first update information relevant to the meeting and that the user is permitted to view. The program permits the user to post second update information relevant to the meeting and to specify a second other user who has also been invited to participate in the meeting as being permitted to view the second update information. The program permits the user to schedule a time at which the second update information is to be posted. The program permits the user to view the first update information and to post the second update information regardless of whether the user accepts, delegates, or declines, or has accepted, delegated, or declined, the meeting. | 07-31-2014 |
20140215376 | SMART INTERACTIVE BOOKMARKS - A method includes, a computer generating at least one bookmark to provide an indication of placement, the computer configuring said at least one bookmark to have multiple functions; and the computer configuring said at least one bookmark to have an image based said placement. | 07-31-2014 |
20140215460 | OPTIMIZATION OF VIRTUAL MACHINE SIZING AND CONSOLIDATION - The sizing of virtual machines is optimized based on projected performance metrics. All virtual machine configuration resources are normalized by a processing device. The normalized resources for the virtual machine configurations are then stored in a catalogue. An application is then profiled to obtain resource demand estimates for each virtual machine configuration and a base performance is calculated for the application. The base performance is used to predict performance estimates on all virtual machine configurations in the catalogue. Accordingly, a virtual machine configuration having a lowest response time is selected. | 07-31-2014 |
20140215603 | AUTOMATED ROLE ADJUSTMENT IN A COMPUTER SYSTEM - An embodiment of the invention is associated with a system having a role for controlling user access, the role comprising users, permissions, and a set of rules. The embodiment records each of a succession of access events in an access log, each event comprising an instance of the system being accessed by a user. The embodiment further analyzes recorded access events in the access log at selected time intervals, to detect a condition or violation of rules of the set of rules. Responsive to detecting a condition or violation, the embodiment selectively determines whether any change to the users or permissions of a specified role is needed. Each needed change is then implemented. | 07-31-2014 |
20140215632 | PREVENTING THE DETECTION AND THEFT OF USER ENTRY ALPHANUMERIC SECURITY CODES ON COMPUTER TOUCH SCREEN KEYPADS - Preventing, discernible patterns of surface marks resulting from the repetitive entry of security codes to computer device touch screen alphanumeric keypads. There is predetermined the number of security code entries after which the positions of the representative keys in the keypad array should be reconfigured. This predetermined number should be the number of security code entries estimated to produce a pattern of distinguishable display surface marks coincident with a users repetitive entry alphanumeric character security code. The number of security code entries is counted. When the count of the predetermined number of security code entries has been reached, the representative keys in the displayed keypad array are reconfigured. This implementation is equally effective against thieves who are visually stealing the security codes over the user's shoulder. | 07-31-2014 |
20140217517 | INTEGRATED CIRCUITS INCLUDING FINFET DEVICES WITH LOWER CONTACT RESISTANCE AND REDUCED PARASITIC CAPACITANCE AND METHODS FOR FABRICATING THE SAME - Integrated circuits and methods for fabricating integrated circuits are provided. In one example, an integrated circuit includes a semiconductor substrate. A first fin and a second fin are adjacent to each other extending from the semiconductor substrate. The first fin has a first upper section and the second fin has a second upper section. A first epi-portion overlies the first upper section and a second epi-portion overlies the second upper section. A first silicide layer overlies the first epi-portion and a second silicide layer overlies the second epi-portion. The first and second silicide layers are spaced apart from each other to define a lateral gap. A dielectric spacer is formed of a dielectric material and spans the lateral gap. A contact-forming material overlies the dielectric spacer and portions of the first and second silicide layers that are laterally above the dielectric spacer. | 08-07-2014 |
20140217574 | COMPOSITES COMPRISED OF ALIGNED CARBON FIBERS IN CHAIN-ALIGNED POLYMER BINDER - A method for enhancing internal layer-layer thermal interface performance and a chip stack of semiconductor chips using the method. The method includes adding a thermosetting polymer to the thermal interface material, dispersing a plurality of nanofibers into the thermal interface material, and un-crosslinking the thermosetting polymer in the thermal interface material. The method further includes extruding the thermal interface material through a die to orient the conductive axis of the nanofibers and polymer chains in the desired direction, and re-crosslinking the thermosetting polymer in the thermal interface material. The chip stack includes a first chip with circuitry on a first side, a second chip coupled to the first chip by a grid of connectors, and a thermal interface material pad between the chips. The thermal interface includes nanofibers and a polymer that allows for optimal alignment of the nanofibers and polymer chains. | 08-07-2014 |
20140220495 | Resist Performance for the Negative Tone Develop Organic Development Process - A process and composition for negative tone development comprises providing a photoresist film that generates acidic sites. Irradiating the photoresist film patternwise provides an irradiated film having exposed and unexposed regions where the exposed regions comprise imaged sites. Baking the irradiated film at elevated temperatures produces a baked-irradiated film comprising the imaged sites which after irradiating, baking, or both irradiating and baking comprise acidic imaged sites. Treating the baked-irradiated film with a liquid, gaseous or vaporous weakly basic compound converts the acidic imaged sites to a base treated film having chemically modified acidic imaged sites. Applying a solvent developer substantially dissolves regions of the film that have not been exposed to the radiant energy, where the solvent developer comprises a substantial non-solvent for the chemically modified acidic imaged sites. One-step simultaneous base treatment and solvent development employs a composition comprising a mix of the basic compound and solvent developer. | 08-07-2014 |
20140220764 | THIN FILM WAFER TRANSFER AND STRUCTURE FOR ELECTRONIC DEVICES - A method for wafer transfer includes forming a spreading layer, including graphene, on a single crystalline SiC substrate. A semiconductor layer including one or more layers is formed on and is lattice matched to the crystalline SiC layer. The semiconductor layer is transferred to a handle substrate, and the spreading layer is split to remove the single crystalline SiC substrate. | 08-07-2014 |
20140220777 | PROCESSING SYSTEM FOR COMBINED METAL DEPOSITION AND REFLOW ANNEAL FOR FORMING INTERCONNECT STRUCTURES - An interconnect conductive metal used in forming an interconnect structure can be formed using a method in which deposition of a metal liner and a reflow anneal are performed in a same multi-chambered processing system without exposing the structure to air between the steps of deposition and reflow annealing. In the disclosure, an interconnect dielectric material including an opening is placed within the multi-chambered processing system and then the interconnect dielectric material is transferred, under vacuum, to a deposition chamber in which the metal liner is deposited. The interconnect dielectric material including the metal liner is then transferred, under the same vacuum, to an annealing chamber in which a reflow anneal is performed. | 08-07-2014 |
20140222375 | CONDITION-BASED MANAGEMENT OF POWER TRANSFORMERS - Methods and arrangements for managing implementation of a power transformer. There is determined at least one parameter currently relating to operation of a transformer, as well as a revenue value currently assigned to the transformer. There is determined a depreciation value currently assigned to the transformer. There is determined a power output for the transformer for a future time slot that increases total revenue with respect to a life cycle of the transformer, the power output being determined based on the at least one parameter, the revenue value, and the depreciation value. | 08-07-2014 |
20140222889 | COLLABORATIVE NEGOTIATION OF SYSTEM RESOURCES AMONG VIRTUAL SERVERS RUNNING IN A NETWORK COMPUTING ENVIRONMENT - Virtual machines within a network computing environment negotiate among themselves with regard to the sharing of resources via respective resource managers. The resource agent monitors resource allocation patterns of its virtual machine and responsively updates usage data in a resource availability map and compares the updated usage data to a minimum operational requirements threshold and a surplus amount threshold. If the updated usage fails to meet the minimum operational requirements threshold, the resource agent determines a needed shortfall amount of resources, searches the resource availability map for offers of the shortfall from resource agents, and sends out a request to a resource agent offering the shortfall amount. If the updated usage data meets the minimum operational requirements threshold, the resource agent determines a surplus amount of a resource that exceeds the surplus amount threshold and creates and broadcasts an offer of the surplus amount to the other resource agents. | 08-07-2014 |
20140223129 | KEY-BASED DATA SECURITY MANAGEMENT - Embodiments of the present invention provide an approach for memory protection at a level of granularity above a “page” level (e.g., enhancing the protection provided by a memory key-based system). The approach further provides such a level of protection at a process or task level by associating the physical page key with a virtual key that corresponds to a particular process/task. When access to the data is requested for a particular process or task, it is determined if a protection bit for the data is set, and if the physical page keys and/or virtual keys submitted pursuant to the request match that previously stored for the data and process/task. If so, access to the data is allowed for the particular process/task. | 08-07-2014 |
20140223137 | STORING A SYSTEM-ABSOLUTE ADDRESS (SAA) IN A FIRST LEVEL TRANSLATION LOOK-ASIDE BUFFER (TLB) - Embodiments relate to a method, system and computer program product for storing a system-absolute address (SAA) in a first level look-aside buffer (TLB). In one embodiment, the system includes a central processor including the TLB and general purpose registers (GPRS). The TLB is configured for storing the SAA. The central processor is configured for issuing a load system-absolute address (LSAA) instruction. The system includes a translation unit that is in communication with the TLB of the central processor. The system is configured to perform a method including determining, based on the LSAA instruction being issued, whether the SAA is stored in the TLB. The method includes sending a translation request to the translation unit from the central processor based on the SAA not being stored in the TLB. The method includes determining the SAA by the translation unit based on receiving the translation request. | 08-07-2014 |
20140223416 | SYSTEM AND METHOD FOR DOCUMENTING APPLICATION EXECUTIONS - An information processing system, computer readable storage medium, and method for documenting the execution of long running applications. A processor of the information processing system operates to continuously collect snapshots monitored from an executing application on a runtime system. The processor selects, based at least on user specified policies, collected snapshots that indicate a significant change in runtime behavior of the executing application on the runtime system. The processor annotates each of the selected snapshots with description of the significant change in runtime behavior of the executing application. The processor operates to store and update documentation of an execution history of the executing application from the annotated snapshots. | 08-07-2014 |
20140223428 | MANAGING VIRTUAL CLUSTERING ENVIRONMENTS ACCORDING TO REQUIREMENTS - Managing virtual machines includes determining an operating parameter of a device during operation of the device as part of a cluster of devices while the device hosts a virtual machine and comparing, using a processor, a requirement for the virtual machine with the operating parameter. A view of the virtual machine operating within the device of the cluster can be displayed. A result of the comparison can be indicated through application of a visualization technique to an identifier representing the virtual machine within the view. | 08-07-2014 |
20140223521 | ALLOWING ACCESS TO UNDERLYING HARDWARE CONSOLES TO CORRECT PROBLEMS EXPERIENCING BY USER - A method, system and computer program product for providing access to underlying hardware consoles to correct problems experiencing by a user. The administrative server receives a request from the user to access a managing system configured to provide access to the underlying hardware consoles that are combined together to service a user's computing requirements. The administrative server presents a list of managing systems for the user to connect that were identified as being able to address the problem(s) the user is experiencing. The administrative server then enables access to managing systems selected in the list in response to the user providing appropriate authentication credentials. An interface is then provided to the user by the selected managing systems to select the underlying hardware consoles to access. In this manner, the user is provided access to the underlying hardware consoles in an easy manner without presenting numerous options and configurations. | 08-07-2014 |
20140233400 | SERVICE AWARENESS AND SEAMLESS SWITCHOVER BETWEEN CLIENT BASED WIFI ACCESS AND MOBILE DATA NETWORK ACCESS - A system and method provides seamless switchover of a user device (UE) between a mobile data network and a wireless network while providing policy and charging control (PCC) of the data session in the mobile data network. A mobile core network component is made ASF aware to process user data traffic related to an auto switching function (ASF) server from a UE client located on the UE using a special access point name (APN). The mobile core network component then uses a dedicated deep packet inspection (ASF DPI) for all data transfers to the special APN. The core network component is then able to process the UE data traffic seamlessly as the traffic is toggled between the ASF tunnel the WiFi tunnel. By monitoring the data traffic on the ASF tunnel, the core component (GGSN/PGW) is able to provide PCC for the data session. | 08-21-2014 |
20140235080 | EXTERNALLY SERVICEABLE IT MEMORY DIMMS FOR SERVER/TOWER ENCLOSURES - An electronic component carrier and method for mounting the electronic component to a circuit board includes a frame including a header having opposing attachment arms extending outwardly therefrom for seating an electronic component within the frame. A latching mechanism of the frame includes latching members at a distal end of each of the attachment arms for releaseably seating the electronic component between the attachment members. The attachment members are resiliently flexible such that the latching members bend to a release position and resiliently return to a grasping position. Handling levers extend upwardly from the header through an outer casing housing the circuit board when in an open position for manually removing the electronic component from the connector, and the handling levers are substantially parallel with a top surface of the header when in a closed position when the electronic component is mated to the connector. | 08-21-2014 |
20140235231 | SYSTEM OF EDGE BYTE CACHING FOR CELLULAR NETWORKS - The present invention is a method and system that reduces the amount of data traffic across communication links in a cellular network. In this system, the cellular device of a user receives and stores state information about a byte of data. During the implementation of the method of this invention, when a user desires to retrieve dam from the Network Core, tokens containing a description of the state information for the requested data are sent to the cellular device. The cellular device can then have these tokens resolved by a Node B cell site that has stored the requested data. This approach reduces the need to resend the data from the Network Core over communications links. Further, the cellular devices can freely move from cell site to cell site with little interruption or loss of information. | 08-21-2014 |
20140236666 | ESTIMATING, LEARNING, AND ENHANCING PROJECT RISK - A method for ranking a plurality of objects includes obtaining an initial set of data relating to the objects, generating an initial set of estimates based on the initial set of data, wherein the initial set of estimates includes, for each of the objects, an initial estimated change in performance and an initial estimated likelihood of decline in the performance, incrementally and dynamically refining the initial set of estimates in accordance with a new set of data from new data sources and relating to the objects to produce a refined set of estimates, wherein the refined set of estimates includes, for each of the objects, a refined estimated change in performance and a refined estimated likelihood of decline in the performance, without modifying or replacing a system used to generate the initial set of estimates, and generating a list that ranks the objects according to the refined set of estimates. | 08-21-2014 |
20140237039 | INTELLIGENTLY DETECTING THE LEADER OF A CO-BROWSING SESSION - Managing a co-browsing session of multiple users where there are multiple roles that each user may have, and each respective user has a role. Software manages a computer system to: (i) monitor the co-browsing session to detect a condition; and (ii) responsive to detection of the condition, change the role(s) of at least one user. The change of role(s) is made automatically and without human intervention. | 08-21-2014 |
20140237302 | CHARACTERIZATION AND FUNCTIONAL TEST IN A PROCESSOR OR SYSTEM UTILIZING CRITICAL PATH MONITOR TO DYNAMICALLY MANAGE OPERATIONAL TIMING MARGIN - Guardband validation for a device having a critical path monitor involves first applying multiple calibration settings to the monitor during functional operation of the processor, and recording corresponding guardbands which result in reduced timing margin. A desired guardband can later be selected for validation. The calibration settings can be based on delays for a critical path. A calibration test procedure can be used to determine the calibration delays for different operating frequencies or voltages that are set or, alternatively, the calibration delays can be set and resultant frequencies measured which are used to calculate the guardband amounts. The critical path monitor may include a modified calibration delay circuit which provides a calibrated delay signal to a critical path synthesis circuit, and the multiple calibration settings can be applied by changing delay taps of the calibration delay circuit in response to a bias delay signal from a power management controller. | 08-21-2014 |
20140237598 | Reducing the Spread of Viruses and Errors in Social Networks and Affinity Groups - An approach is provided to reduce the spread of malware within a group of users. In the approach, a malware program (e.g., virus, Trojan, worm, etc.) is detected at a system that is utilized by one of the users that is a member of a peer affinity group. Event data pertaining to the detected malware program is gathered at the user's system. A notification is provided to the other users included in the peer affinity group. The notification identifies the detected malware program and the event data that was gathered at the user's system. | 08-21-2014 |
20140238640 | THERMAL TRANSFER STRUCTURE(S) AND ATTACHMENT MECHANISM(S) FACILITATING COOLING OF ELECTRONICS CARD(S) - Cooling apparatuses and coolant-cooled electronic assemblies are provided which include a thermal transfer structure configured to couple to one or more sides of an electronics card having one or more electronic components to be cooled. The thermal transfer structure includes a thermal spreader and at least one coolant-carrying channel associated with the thermal spreader to facilitate removal of heat from the thermal spreader to coolant flowing through the coolant-carrying channel(s). The cooling apparatus further includes a coolant manifold structure disposed adjacent to a socket of the electronic system within which the electronics card operatively docks, and a fluidic and mechanical attachment mechanism which facilitates selective, fluidic and mechanical coupling or decoupling the thermal transfer structure and coolant manifold structure, the attachment mechanism facilitating the flow of coolant between the coolant manifold structure and the coolant-carrying channel(s) of the thermal transfer structure. | 08-28-2014 |
20140239439 | ELECTRICAL FUSES AND METHODS OF MAKING ELECTRICAL FUSES - A fuse, a method of making the fuse and a circuit containing the fuse. The fuse includes an electrically conductive and conformal liner on sidewalls and the bottom of a trench; a copper layer on the conformal liner, a first thickness of the copper layer over the bottom of the trench in a lower portion of the trench greater than a second thickness of the copper layer over the sidewalls of the trench in an abutting upper portion of the trench; and a dielectric material on the copper layer in the trench, the dielectric material filling remaining space in the upper portion of said trench. | 08-28-2014 |
20140242526 | POSITIVE TONE ORGANIC SOLVENT DEVELOPED CHEMICALLY AMPLIFIED RESIST - Provided is a method for developing positive-tone chemically amplified resists with an organic developer solvent having at least one polyhydric alcohol, such as ethylene glycol and/or glycerol, alone or in combination with an additional organic solvent, such as isopropyl alcohol, and/or water. The organic solvent developed positive tone resists described herein are useful for lithography pattern forming processes; for producing semiconductor devices, such as integrated circuits (IC); and for applications where basic solvents are not suitable, such as the fabrication of chips patterned with arrays of biomolecules or deprotection applications that do not require the presence of acid moieties. | 08-28-2014 |
20140244202 | CHARACTERIZATION OF INTERFACE RESISTANCE IN A MULTI-LAYER CONDUCTIVE STRUCTURE - Disclosed is a test structure that can be used to characterize a specific interface resistance within a multi-layer conductive structure, such as a multi-layer ohmic contact. In the test structure first and second transmission line model (TLM) structures both incorporate a row of essentially identical contact pads separated by spaces with progressively increasing lengths. Conductive mesas, also with progressively increasing lengths, are positioned within the spaces between all but the initial pair of adjacent contacts pads. The first and second TLM structures differ only with respect to the presence of a single conductive layer on each of the conductive mesas. System, method and computer program product embodiments are able to extract resistance parameters associated with the first and second TLM structures, including conductive mesa to conductive layer interface resistances, based current-voltage measurements acquired from both of the TLM structures. | 08-28-2014 |
20140244574 | ENABLING DYNAMIC POLYMORPHIC ASSET CREATION AND REUSE - An asset within a repository can be identified. The asset can be an electronic file. The asset can include an asset content and an asset metadata. The asset content can include a static content and a dynamic content. The asset can be semantically disassembled into one or more assemblies. The assemblies can each include an asset data and an assembly data. The assembly data can include an assembly identifier, an assembly rule, or an asset data source. A data container format associated with the asset content can be determined. The data container can be a computing data structure. The asset content can be dynamically presented within a different data container format in accordance with the request. | 08-28-2014 |
20140244620 | INLINE GRAPHIC SCOPER INTEGRATED WITH A SEARCH NAVIGATOR - A method for searching data on a computer system is provided. The method includes the displaying of a plurality of navigation nodes in a graphical navigation string on a display. The navigation nodes comprise a navigation hierarchy, having a first level and a second level. The method further includes the displaying of a finder field on the display adjacent to the plurality of navigation nodes in the graphical navigation string for searching the first level of the navigation hierarchy. It also includes the displaying of a navigation scope bar for searching the second level of the navigation hierarchy. Additionally, it includes a processor that determines a refined search criteria based on a movement of the navigation scope bar with respect to a navigation node within the plurality of navigation nodes in the graphical navigation string. The method further includes the sending of the refined search criteria to a search engine. | 08-28-2014 |
20140244740 | Method for Synchronizing, Monitoring and Capturing of System Host Activities Occurring at Locally Installed Applications - The present invention enables the host (presenter) to monitor and identify the current slide of the host presentation that is being displayed on a local user machine. The host is able to receive queries such as instant messages from local user's viewing the presentation and identify a particular presentation slide with contents that formed the basis for the question. The present invention generates a transcript of the local user activities that occurred during the presentation. This transcript helps the host presenter understand the contents of the activities and the basis for queries made to the host presenter. | 08-28-2014 |
20140244777 | DISK MIRRORING FOR PERSONAL STORAGE - Embodiments of the present invention provide a system for backing up personal data between two mated (i.e., paired) network attached storage (NAS) devices. The system includes a local storage device and a secondary storage device that communicate over a network (e.g., the Internet) via a network connection. Any data added or modified on the local storage device will be automatically mirrored (i.e., copied) to the secondary storage device, which may be located at a secure remote site, pursuant to a data mirroring technique. | 08-28-2014 |
20140244971 | ARRAY OF PROCESSOR CORE CIRCUITS WITH REVERSIBLE TIERS - Embodiments of the invention relate to an array of processor core circuits with reversible tiers. One embodiment comprises multiple tiers of core circuits and multiple switches for routing packets between the core circuits. Each tier comprises at least one core circuit. Each switch comprises multiple router channels for routing packets in different directions relative to the switch, and at least one routing circuit configured for reversing a logical direction of at least one router channel. | 08-28-2014 |
20140244974 | Background Collective Operation Management In A Parallel Computer - Background collective operation management in a parallel computer, the parallel computer including one or more compute nodes operatively coupled for data communications over one or more data communications networks, including: determining, by a management availability module, whether a compute node in the parallel computer is available to perform a background collective operation management task; responsive to determining that the compute node is available to perform the background collective operation management task, determining, by the management availability module, whether the compute node has access to sufficient resources to perform the background collective operation management task; and responsive to determining that the compute node has access to sufficient resources to perform the background collective operation management task, initiating, by the management availability module, execution of the background collective operation management task. | 08-28-2014 |
20140245062 | PREVENTING UNRECOVERABLE ERRORS DURING A DISK REGENERATION IN A DISK ARRAY - Exemplary embodiments of the present invention disclose a method and system for reducing a probability of generating an unrecoverable error on a disk array during a disk rebuild. In a step, an exemplary embodiment identifies a disk to be replaced in the disk array, the disk array including a spare disk. In another step, an exemplary embodiment locates a region in the disk array that incurs a high number of reads and writes during a period prior to replacing the disk in the disk array. In another step, an exemplary embodiment scrubs data in a region in the disk array that has incurred a high number of accesses. In another step, an exemplary embodiment replaces the disk identified to be replaced with the spare disk in the disk array. In another step, an exemplary embodiment rebuilds data on the replaced disk on the spare disk in the disk array. | 08-28-2014 |
20140245318 | DATA PROCESSING WORK ALLOCATION - A processor-implemented method, system, and/or computer program product allocates computer processing work. Input data, which has been deemed to be in need of processing, is stored in a first computer. A virtual machine that is capable of processing the input data is stored on a second computer. A first set of constraint rules contains constraint rules against moving the input data from the first computer, and a second set of constraint rules contains constraint rules against moving the virtual machine from the second computer. Based on the first and second constraint rules, either the virtual machine is moved to the first computer or the input data is moved to the second computer. | 08-28-2014 |
20140246652 | PLANAR QUBITS HAVING INCREASED COHERENCE TIMES - An interdigitated capacitor includes a substrate and a pair of comb-like electrodes both formed on the semiconductor substrate and horizontally arranged thereon, each of the pair of comb-like electrodes including finger electrodes having a curved profile. | 09-04-2014 |
20140246676 | BIPOLAR DEVICE HAVING A MONOCRYSTALLINE SEMICONDUCTOR INTRINSIC BASE TO EXTRINSIC BASE LINK-UP REGION - A bipolar device with an entirely monocrystalline intrinsic base to extrinsic base link-up region. To form the device, a first extrinsic base layer, which is amorphous or polycrystalline, is deposited such that it contacts an edge portion of a monocrystalline section of an intrinsic base layer through an opening in a dielectric layer. A second extrinsic base layer is deposited on the first. An anneal is performed, either before or after deposition of the second extrinsic base layer, so that the extrinsic base layers are monocrystalline. An opening is formed through the extrinsic base layers to a dielectric landing pad aligned above a center portion of the monocrystalline section of the intrinsic base layer. The dielectric landing pad is removed and a semiconductor layer is grown epitaxially on exposed monocrystalline surfaces of the extrinsic and intrinsic base layers, thereby forming the entirely monocrystalline intrinsic base to extrinsic base link-up region. | 09-04-2014 |
20140250194 | SYNCHRONIZED DATA CHANGES - A method synchronizes data between a first computer and a second computer. An original first data in a first computer is changed into revised first data in the first computer by inserting a data change into the original first data. The data change in the revised first data is identified and transmitted to a second computer in a message. The message instructs the second computer to convert an original second data stored in the second computer into a revised second data by inserting the data change into the original second data, where the original second data and the revised second data are used and managed by a resident application software on the second computer. The message is executable without use of the resident application software on the second computer, thus allowing changes to be made across disparate platforms. | 09-04-2014 |
20140250275 | SELECTION OF POST-REQUEST ACTION BASED ON COMBINED RESPONSE AND INPUT FROM THE REQUEST SOURCE - A data structure includes a plurality of entries each corresponding to a different systemwide combined response of a data processing system. A particular entry includes identifiers of multiple possible actions that can be taken in response to a systemwide combined response. Master logic issues a memory access request on a system fabric of the data processing system. The master logic, responsive to receiving the systemwide combined response and a selection of one of the multiple possible actions from a source of the memory access request prior to receipt of the systemwide combined response, selects the particular entry based on the systemwide combined response and selects one of the multiple possible actions identified in the particular entry based on the received selection. The master logic services the memory access request in accordance with the systemwide combined response by performing the selected one of the multiple possible actions. | 09-04-2014 |
20140250283 | Balanced Distributed Backup Scheduling - A method for scheduling a backup of digital data includes determining whether a backup has previously been performed within a predetermined period. It is then determined whether a connection to a backup server is available. It is then decided whether to initiate a backup of digital data within a present time slot based at least in part on a randomly generated value when it is determined that a backup has not previously been performed within the predetermined period and it is determined that a connection to the backup server is available. The digital data is backed up to the backup server when it is decided that the data backup is to be initiated. | 09-04-2014 |
20140250489 | Techniques for Policy Aware Service Composition - Techniques for policy-aware service composition are provided. In one aspect, a method for creating a policy-compliant service composition is provided. The method includes the following steps. One or more policy rules related to services in the service composition are created. A service composition graph is created that represents the service composition, wherein vertices of the service composition graph represent the services and directional edges of the service composition graph represent potential flows of data between the vertices. During creation of the service composition graph, it is determined whether one or more candidate services comply with the policy rules. Only those of the candidate services that comply with the policy rules are included in the service composition graph, wherein the services included in the service composition graph comprise policy-compliant services, such that the service composition graph comprises only the policy-compliant services. | 09-04-2014 |
20140250683 | SYSTEM FOR INSERTING AND REMOVING CABLES - A method and system for installing and removing a row of cables from a cable array is provided. The method includes providing an installation tool having a first body with a plurality of arms extending from one side. The plurality of arms being configured to receive a cable connector body, the plurality of arms including at least one first projection extending from one of the plurality of arms. The installation tool is moved onto a row of cables held coupled together by a bracket, each of the cables in the row of cables having a cable connector body. The connector bodies are engaged into receptacles and the bracket is moved with the at least one first projection. | 09-11-2014 |
20140252500 | SACRIFICIAL REPLACEMENT EXTENSION LAYER TO OBTAIN ABRUPT DOPING PROFILE - At least one gate structure having a first spacer located on a vertical sidewall thereof is provided on an uppermost surface of a semiconductor substrate. Exposed portions of the semiconductor substrate are then removed utilizing the at least one gate structure and first spacer as an etch mask. A sacrificial replacement material is formed on each recessed surface of the semiconductor substrate. Next, a second spacer is formed contacting the first spacer. Source/drain trenches are then provided by removing exposed portions of the sacrificial replacement material and an underlying portion of the semiconductor substrate. Remaining sacrificial replacement material located beneath the second spacer is removed providing an opening beneath the second spacer. A doped semiconductor material is formed within the source/drain trenches and the opening. | 09-11-2014 |
20140253093 | SERVER RACK FOR IMPROVED DATA CENTER MANAGEMENT - Methods and systems for data center management include collecting sensor data from one or more sensors in a rack; determining a location and identifying information for each asset in the rack using a set of asset tags associated with respective assets; communicating the sensor and asset location to a communication module; receiving an instruction from the communication module; and executing the received instruction to change a property of the rack. | 09-11-2014 |
20140254290 | Local Evaluation Circuit for Static Random-Access Memory - A local evaluation circuit for a memory array includes first and second NAND gates and first, second, third, and fourth switches. The first switch is configured to couple a first node of the second NAND gate to a first power supply node in response to a first read signal. The second switch is configured to couple a first node of the first NAND gate to the first power supply node in response to a second read signal. The third switch is configured to couple a second node of the first NAND gate to a second power supply node in response to the first read signal. The fourth switch is configured to couple a second node of the second NAND gate to the second power supply node in response to the second read signal. | 09-11-2014 |
20140254981 | GRAPHENE PLASMONIC COMMUNICATION LINK - A signal transfer link includes a first plasmonic coupler, and a second plasmonic coupler spaced apart from the first plasmonic coupler to form a gap. An insulator layer is formed over end portions of the first and second plasmonic couplers and in and over the gap. A plasmonic conductive layer is formed over the gap on the insulator layer to excite plasmons to provide signal transmission between the first and second plasmonic couplers. | 09-11-2014 |
20140258205 | INNER PASSAGE RELEVANCY LAYER FOR LARGE INTAKE CASES IN A DEEP QUESTION ANSWERING SYSTEM - System, computer-implemented method, and computer program product to receive a case by a deep question answering system, identify a policy relevant in generating a response to the case, the policy containing a set of criteria used in generating the response to the case, produce, by a first annotator, of a set of annotators, one or more relevant passages of the case, compute a criteria score for a first criterion, of the set of criteria, based on the one or more relevant passages of the case, an determine that the first criterion is met by the case when the criteria score for the first criterion exceeds a predefined threshold. | 09-11-2014 |
20140258215 | MANAGEMENT OF UPDATES IN A DATABASE SYSTEM - A method, system, and computer program product to efficiently maintain a database is disclosed. The method, system, and computer program product may include structuring the database to have a table, an index associated with the table, and a log associated with the index. The method, system, and computer program product may include receiving a maintenance request related to the index. The method, system, and computer program product may include accounting for the maintenance request when the index is being searched. The method, system, and computer program product may include adding the maintenance request to the log when the index is not being searched. | 09-11-2014 |
20140258216 | MANAGEMENT OF SEARCHES IN A DATABASE SYSTEM - A method, system, and computer program product to efficiently search a database is disclosed. The method, system, and computer program product may include structuring the database to have a table, an index associated with the table, and a log associated with the index, the log adapted to include an entry. The method, system, and computer program product may include receiving a search request related to the index. The method, system, and computer program product may include searching the index when the search request is not associated with the entry. The method, system, and computer program product may include accounting for the entry and searching the index when the search request is associated with the entry. | 09-11-2014 |
20140258502 | TRACKING CONTACTS ACROSS MULTIPLE COMMUNICATIONS SERVICES - A method for tracking at least recent contacts and favorite contacts across multiple communications services may include collecting, by a processor, information associated with a plurality of contact interactions of a user from each of a set of different communications services utilized by the user. The method may also include storing, by a storage device, the information associated with each of the plurality of contact interactions. The method may additionally include analyzing the information associated with each of the plurality of contact interactions. The method may further include determining at least one of a list of most recent contacts and a list of favorite contacts from analyzing the information associated with each of the plurality of contact interactions. | 09-11-2014 |
20140258538 | Collective Operation Management In A Parallel Computer - Methods, apparatuses, and computer program products for collective operation management in a parallel computer are provided. Embodiments include a parallel computer having a first compute node operatively coupled for data communications over a tree data communications network with a plurality of child compute nodes. Embodiments also include each child compute node performing a first collective operation. The first compute rode, for each child compute node, receives from the child compute node, a result of the first collective operation performed by the child compute node. For each result received from a child compute node, the first compute node stores a timestamp indicating a time that the child compute node completed the first collective operation. The first compute node also manages, based on the stored timestamps, execution of a second collective operation over the tree data communications network. | 09-11-2014 |
20140258631 | Allocating Enclosure Cache In A Computing System - Allocating enclosure cache in a computing system that includes an enclosure and a plurality of enclosure attached servers, including: receiving, by the enclosure, memory access information from each of the plurality of enclosure attached servers; determining, by the enclosure in dependence upon the memory access information, an amount of enclosure cache to allocate as shared cache that can be accessed by two or more of the enclosure attached servers; and determining, by the enclosure in dependence upon the memory access information, an amount of enclosure cache to allocate to each enclosure attached server for exclusive use by the enclosure attached server. | 09-11-2014 |
20140258633 | Sharing Cache In A Computing System - Sharing cache in a computing system that includes a plurality of enclosure attached servers, including: identifying, by an enclosure, a first enclosure attached server that is not meeting a first predetermined performance threshold; identifying, by the enclosure, a second enclosure attached server that is meeting a second predetermined performance threshold; blocking, by the enclosure, access to a predetermined amount of cache on the second enclosure attached server by the second enclosure attached server; determining, by the enclosure, whether the second enclosure attached server is meeting the second predetermined performance threshold; responsive to determining that the second enclosure attached server is meeting the second predetermined performance threshold, lending, by the enclosure, the predetermined amount of cache on the second enclosure attached server to the first enclosure attached server. | 09-11-2014 |
20140258634 | Allocating Enclosure Cache In A Computing System - Allocating enclosure cache in a computing system that includes an enclosure and a plurality of enclosure attached servers, including: receiving, by the enclosure, memory access information from each of the plurality of enclosure attached servers; determining, by the enclosure in dependence upon the memory access information, an amount of enclosure cache to allocate as shared cache that can be accessed by two or more of the enclosure attached servers; and determining, by the enclosure in dependence upon the memory access information, an amount of enclosure cache to allocate to each enclosure attached server for exclusive use by the enclosure attached server. | 09-11-2014 |
20140258659 | PROTECTION OF FORMER PRIMARY VOLUMES IN A SYNCHRONOUS REPLICATION RELATIONSHIP - An aspect includes protecting a former primary volume in a synchronous replication relationship. A swap between a primary volume at a first site and a secondary volume at a second site is initiated such that the secondary volume becomes a new primary volume and the primary volume becomes the former primary volume. A fenced state is set as active for the former primary volume. The former primary volume is configured as a fenced volume based on the fenced state being active. Read and write access to the fenced volume is blocked while the fenced state is active. | 09-11-2014 |
20140258681 | ANTICIPATED PREFETCHING FOR A PARENT CORE IN A MULTI-CORE CHIP - Embodiments relate to prefetching data on a chip having a scout core and a parent core coupled to the scout core. The method includes determining that a program executed by the parent core requires content stored in a location remote from the parent core. The method includes sending a fetch table address determined by the parent core to the scout core. The method includes accessing a fetch table that is indicated by the fetch table address by the scout core. The fetch table indicates how many of pieces of content are to be fetched by the scout core and a location of the pieces of content. The method includes based on the fetch table indicating, fetching the pieces of content by the scout core. The method includes returning the fetched pieces of content to the parent core. | 09-11-2014 |
20140258748 | Collective Operation Management In A Parallel Computer - Methods, apparatuses, and computer program products for collective operation management in a parallel computer are provided. Embodiments include a parallel computer having a first compute node operatively coupled for data communications over a tree data communications network with a plurality of child compute nodes. Embodiments also include each child compute node performing a first collective operation. The first compute rode, for each child compute node, receives from the child compute node, a result of the first collective operation performed by the child compute node. In response to receiving at least one result, the first compute node reduces a power consumption level of the child compute node. | 09-11-2014 |
20140258783 | SOFTWARE TESTING USING STATISTICAL ERROR INJECTION - Methods, apparatus and computer program products implement embodiments of the present invention that enable a device such as a disk drive, to receive a configuration message including an error in implementing an operation on the device and a statistical frequency of an occurrence of the error. Upon configuration, the device can receive multiple requests for the operation, and at the statistical frequency, respond to a given one of the requests with the error. In some embodiments the device may convey an error message indicating an occurrence of the error. Alternatively, the device may fail to complete the operation, delay in completing the operation or perform the operation incorrectly. | 09-11-2014 |
20140258789 | COMMUNICATION FAILURE SOURCE ISOLATION IN A DISTRIBUTED COMPUTING SYSTEM - In accordance with one aspect of the present description, an indication that a communication failure reported in a predetermined time interval is more likely the result of a software failure than a hardware failure may be made if the number of communication links reporting a communication failure in the predetermined time interval exceeds a communication link failure threshold, and the number of communication link devices such as nodes or communication paths which have been implicated as causing a communication failure, exceeds an implicated device threshold. Other features and aspects may be realized, depending upon the particular application. | 09-11-2014 |
20140258920 | Graphical User Interface Control for Scrolling Content - A solution for managing a graphical user interface including a panel area is provided. Content included in the graphical user interface can be generated for presentation to a user. The generating can include determining the content to be displayed using the panel area cannot be displayed completely within the panel area using a set of display settings. In response, data corresponding to a visible portion of the content can be added for display in the panel area and a graphical user interface scroll control can be provided for the panel area. The graphical user interface scroll control can enable a user to adjust the portion of the content displayed in the panel area in a first direction by manipulating the graphical user interface scroll control in the first direction. | 09-11-2014 |
20140258977 | METHOD AND SYSTEM FOR SELECTING SOFTWARE COMPONENTS BASED ON A DEGREE OF COHERENCE - Disclosed is a novel system and method to select software components. A set of available software components are accessed. Next, one or more dimensions are defined. Each dimension is an attribute to the set of available software components. A set of coherence distances between each pair of the available software components in the set of available software components is calculated for each of the dimensions that have been defined. Each of the coherence distances are combined between each pair of the available software components that has been calculated in the set of the coherence distances into an overall coherence degree for each of the available software components. Using the overall coherence degree, one or more software components are selected to be included in a software bundle. | 09-11-2014 |
20140259653 | FLEXIBLE REWORK DEVICE - A rework device in form of a flexible circuit is provided in order to repair a printed circuit assembly. The printed circuit assembly includes one or more through vias and interconnections. The flexible circuit includes a lead in portion and a tail portion. The flexible circuit also includes a first distended head portion proximal to the lead in portion and a second distended head portion proximal to the tail portion. Further, the second distended head portion is connected to the first distended head portion through a coverlay portion. The flexible circuit provided is adapted to be threaded through a through via to repair the printed circuit assembly. | 09-18-2014 |
20140262113 | FORMING CONSTANT DIAMETER SPHERICAL METAL BALLS - A process and tools for forming spherical metal balls is described incorporating molds, injection molded solder, a liquid or gaseous environment to reduce or remove metal oxides and an unconstrained reflow of metal in a heated liquid or gas and solidification of molten metal in a cooler liquid or gas. | 09-18-2014 |
20140263357 | Passive Compressed Gas Storage Container Temperature Stabilizer - A liquefied gas system and method can supply gas from a liquefied gas container more efficiently by using an external stabilizing device. The liquefied gas is located under its own vapor pressure in the lower portion of the container. As the vapor is withdrawn from the container at ambient pressure, the liquid evaporates at an equivalent rate to account for the decrease in pressure. The stabilizing device surrounding the liquefied gas container efficiently transfers the ambient external heat to the liquid thus allowing more liquefied gas to be vaporized. | 09-18-2014 |
20140264287 | REMOVAL OF SPURIOUS MICROWAVE MODES VIA FLIP-CHIP CROSSOVER - A coplanar waveguide device includes a coplanar waveguide structure disposed on a substrate, at least one qubit coupled to the coplanar waveguide structure and an add-on chip having a metallized trench, and disposed over the substrate. | 09-18-2014 |
20140264522 | SEMICONDUCTOR STRUCTURES WITH DEEP TRENCH CAPACITOR AND METHODS OF MANUFACTURE - An integrated FinFET and deep trench capacitor structure and methods of manufacture are disclosed. The method includes forming at least one deep trench capacitor in a silicon on insulator (SOI) substrate. The method further includes simultaneously forming polysilicon fins from material of the at least one deep trench capacitor and SOI fins from the SOI substrate. The method further includes forming an insulator layer on the polysilicon fins. The method further includes forming gate structures over the SOI fins and the insulator layer on the polysilicon fins. | 09-18-2014 |
20140264595 | FORMING STRAINED AND RELAXED SILICON AND SILICON GERMANIUM FINS ON THE SAME WAFER - Various embodiments form strained and relaxed silicon and silicon germanium fins on a semiconductor wafer. In one embodiment a semiconductor wafer is formed. The semiconductor wafer comprises a substrate, a dielectric layer, and a strained silicon germanium (SiGe) layer. At least one region of the strained SiGe layer is transformed into a relaxed SiGe region. At least one strained SiGe fin is formed from a first strained SiGe region of the strained SiGe layer. At least one relaxed SiGe fin is formed from a first portion of the relaxed SiGe region. Relaxed silicon is epitaxially grown on a second strained SiGe region of the strained SiGe layer. Strained silicon is epitaxially grown on a second portion of the relaxed SiGe region. At least one relaxed silicon fin is formed from the relaxed silicon. At least one strained silicon fin is formed from the strained silicon. | 09-18-2014 |
20140264755 | STRAINED SILICON NFET AND SILICON GERMANIUM PFET ON SAME WAFER - Various embodiments form silicon and silicon germanium fins on a semiconductor wafer. In one embodiment a semiconductor wafer is obtained. The semiconductor wafer comprises a substrate, a dielectric layer, and a semiconductor layer including silicon germanium (SiGe). At least one SiGe fin is formed from at least a first SiGe region of the semiconductor layer in at least one PFET region of the semiconductor wafer. Strained silicon is epitaxially grown on at least a second SiGe region of the semiconductor layer. At least one strained silicon fin is formed from the strained silicon in at least one NFET region of the semiconductor wafer. | 09-18-2014 |
20140264787 | DIFFERENTIAL EXCITATION OF PORTS TO CONTROL CHIP-MODE MEDIATED CROSSTALK - A differential port and a method of arranging the differential port are described. The method includes arranging a first electrode to receive a drive signal, and arranging a second electrode to receive a guard signal, the guard signal having a different phase than the drive signal and the first electrode and the second electrode having a gap therebetween. The method also includes disposing a signal line from the first electrode to drive a radio frequency (RF) device. | 09-18-2014 |
20140264889 | SEMICONDUCTOR DEVICE CHANNELS - A semiconductor device and a method of manufacture are provided. The semiconductor device includes one or more layers having channels adapted to carry signals or deliver power. The semiconductor device may include at least two channels having a substantially equivalent cross-sectional area. Conductors in separate channels may have different cross-sectional areas. A spacer dielectric on a side of a channel may be included. The method of manufacture includes establishing a signal conductor layer including a first channel and a second channel having a substantially equivalent cross-sectional area, introducing a spacer dielectric on a side of the second channel, introducing a first conductor in the first channel having a first cross-sectional area, and introducing a second conductor in the second channel having a second cross-sectional area where the second cross-sectional area is smaller than the first cross-sectional area. | 09-18-2014 |
20140266041 | DISTRIBUTED CHARGING OF ELECTRICAL ASSETS - The present disclosure relates generally to the field of distributed charging of electrical assets. In various examples, distributed charging of electrical assets may be implemented in the form of systems, methods and/or algorithms. | 09-18-2014 |
20140266242 | SCREENING METHODOLOGY TO ELIMINATE WIRE SWEEP IN BOND AND ASSEMBLY MODULE PACKAGING - Quality control testing for a batch of electronic modules. A series of tests are performed on manufactured electronic modules, including tests sensitive to the failure rate of previously tested modules. Specifically, a first test comprised of two phases is performed on the module batch. Further screening is then performed responsive to detection of a wire sweep failure in a subset of failed modules from the first test phase. The further screening is on modules that passed the first test phase and excludes modules that failed the first test phase. | 09-18-2014 |
20140266406 | SYMMETRIC PLACEMENT OF COMPONENTS ON A CHIP TO REDUCE CROSSTALK INDUCED BY CHIP MODES - A method and system to control crosstalk among qubits on a chip are described. The method includes placing two or more components symmetrically on the chip, the chip including the qubits, and driving two or more ports symmetrically to control the crosstalk based on controlling coupling of chip mode frequencies and qubit frequencies. | 09-18-2014 |
20140266496 | MICROWAVE CONNECTOR WITH FILTERING PROPERTIES - A microwave connector is provided. The microwave connector includes an outer conductor, an inner conductor disposed within the outer conductor and dielectric materials interposed between the outer conductor and the inner conductor, the dielectric materials including a non-dissipative dielectric material and a dissipative dielectric material. | 09-18-2014 |
20140268075 | SOURCE, TARGET AND MASK OPTIMIZATION BY INCORPORATING COUNTOUR BASED ASSESSMENTS AND INTEGRATION OVER PROCESS VARIATIONS - Methods and systems for determining a source shape, a mask shape and a target shape for a lithography process are disclosed. One such method includes receiving source, mask and target constraints and formulating an optimization problem that is based on the source, mask and target constraints and incorporates contour-based assessments for the target shape that are based on physical design quality of a circuit. Further, the optimization problem is solved by integrating over process condition variations to simultaneously determine the source shape, the mask shape and the target shape. In addition, the determined source shape and mask shape are output | 09-18-2014 |
20140269288 | SOFTWARE DEFINED NETWORK-BASED LOAD BALANCING FOR PHYSICAL AND VIRTUAL NETWORKS - A method of monitoring transmission of data in a network is provided. The method includes: receiving data packets for one or more data flows by at least one of a plurality of network switches; sampling queue data in each of the plurality of network switches, the sampled queue data taken from at least one queue attached to a switch port to which the one or more data packets have been forwarded; and transmitting the sampled queue data to a logically centralized network controller connected to the plurality of switches, the network controller configured to control the plurality of network switches, the network controller configured to determine whether a congestion, unfairness or Service Level Agreement (SLA) infringement condition exists in one or more switches based on the sampled queue data and send a control message to one or more data flow sources based on the congestion, unfairness or SLA infringement condition. | 09-18-2014 |
20140269320 | Scalable Flow and Cogestion Control with OpenFlow - A method of performing congestion management in a network is provided. The method includes monitoring a congestion status at a switch in the network. It is determined at the switch that the congestion status indicates that there is congestion at the switch. Based on the congestion being related to data received at the switch from a source at a first rate, a first message is transmitted from the switch to the source requesting the source to send the data at a second rate that is slower than the first rate. Based on the congestion being related to data requests received at the switch from a destination at third rate, a second message is transmitted from the switch to the destination requesting the destination to request the data at a fourth rate slower than the third rate. | 09-18-2014 |
20140269415 | CREDIT-BASED FLOW CONTROL FOR MULTICAST PACKETS IN LOSSLESS ETHERNET NETWORKS - Methods, systems, and computer program products presented herein provide for self-routing multicast. In one embodiment, a system includes a switch controller including a hardware processor and logic integrated with and/or executable by the processor, the logic being adapted to receive a multicast data stream join request from a source device in a network, create a multipath identifier (ID) for the multipath data stream, create a series of path identifiers (IDs) for each intermediate switch in the network based on a local network topology database, each path ID being associated with a desired output port bitmap, and transmit the multipath ID and a path ID to a source of the multicast data stream, the path ID corresponding to the source of the multicast data stream join request. | 09-18-2014 |
20140269685 | SYSTEM GUIDED SURROGATING CONTROL IN BROADCAST AND MULTICAST - Method, computer program product, and system to assist in distribution of packets between a plurality of switch elements in a distributed switch, by monitoring an attribute of each of the plurality of switch elements including a first switch element and a first surrogate switch element, the first surrogate switch element in a first level of a hierarchy and configured using a default hierarchy configuration, the default hierarchy configuration forwarding a data frame to at least one of: a destination switch element of the data frame, and a second surrogate switch element, the second surrogate switch element in a second hierarchy level; computing a score, based on the monitored attribute, for each of the switch elements; and upon determining the score of the first switch element is greater than the score of the first surrogate switch element, designating the first switch element as the first surrogate switch element. | 09-18-2014 |
20140270162 | INSTRUCTION FOR PERFORMING A PSEUDORANDOM NUMBER GENERATE OPERATION - A machine instruction is provided that includes an opcode field to provide an opcode, the opcode to identify a perform pseudorandom number operation, and a register field to be used to identify a register, the register to specify a location in memory of a first operand to be used. The machine instruction is executed, and execution includes for each block of memory of one or more blocks of memory of the first operand, generating a hash value using a 512 bit secure hash technique and at least one seed value of a parameter block of the machine instruction; and storing at least a portion of the generated hash value in a corresponding block of memory of the first operand, the generated hash value being at least a portion of a pseudorandom number. | 09-18-2014 |
20140270764 | CONTROL PLANE FOR INTEGRATED SWITCH WAVELENGTH DIVISION MULTIPLEXING - A method of controlling optical data flow in a network is provided. The method includes: receiving, by a switch controller, a data flow including a plurality of data packets used for network management and control, the network switch including at least one wavelength tunable optical data transmission interface to a wavelength division multiplexed optical network; transmitting a control signal indicating which wavelengths are in use and which wavelengths are not in use to the network switch over a control link; receiving a return signal generated by an optical filter in the switch, the return signal indicative of an available wavelength for transmission of the data flow to the switch; allocating a data traffic flow to the available wavelength, and communicating the allocation decision to the network switch; and transmitting the data flow to the switch via an optical carrier signal having the available wavelength indicated by the return signal. | 09-18-2014 |
20140272196 | OXIDATION OF POROUS, CARBON-CONTAINING MATERIALS USING FUEL AND OXIDIZING AGENT - A method may include exposing a porous, carbon-containing material to a fuel source and an oxidizing agent; allowing the porous, carbon-containing material to adsorb at least some of the fuel source; and heating the porous, carbon-containing material to a temperature at which combustion of the adsorbed fuel source occurs, so that the porous, carbon-containing material is homogeneously oxidized throughout its thickness. Another method may include exposing a microporous, carbon-containing material to a fuel and an oxidizing agent, allowing the microporous, carbon-containing material to adsorb at least some of the fuel, and heating the microporous, carbon-containing material to a temperature at which combustion of the fuel occurs, to seal pores of the microporous, carbon-containing material adjacent to its surface. | 09-18-2014 |
20140272884 | Reward Based Ranker Array for Question Answer System - Mechanisms are provided for configuring a ranker array of a question and answer (QA) system. An initial configuration of a ranker array of the QA system is generated which comprises a plurality of rankers with each ranker applying a statistical classification function to candidate answers generated by the QA system. A training question is input to the QA system to generate a training candidate answer set and the ranker array is applied to the training candidate answer set to generate, for each ranker in the ranker array, a ranked listing of candidate answers. For each ranker in the ranker array, a correctness of a corresponding ranked listing of candidate answers is determined and the ranker array is reconfigured based on results of the determined correctness of the corresponding ranked listing of candidate answers for each ranker in the ranker array. | 09-18-2014 |
20140273425 | CYCLICAL PHYSICAL VAPOR DEPOSITION OF DIELECTRIC LAYERS - Embodiments include methods of forming dielectric layers. According to an exemplary embodiment, a dielectric layer may be formed by determining a desired thickness of the dielectric layer, forming a first dielectric sub-layer having a thickness less than the desired thickness by depositing a first metal layer above a substrate and oxidizing the first metal layer, and forming n (where n is greater than 1) additional dielectric sub-layers having a thickness less than the desired thickness above the first dielectric sub-layer by the same method of the first dielectric sub-layer so that a combined thickness of all dielectric sub-layers is approximately equal to the desired thickness. | 09-18-2014 |
20140273437 | SUBTRACTIVE PLASMA ETCHING OF A BLANKET LAYER OF METAL OR METAL ALLOY - A method of forming at least one metal or metal alloy feature in an integrated circuit is provided. In one embodiment, the method includes providing a material stack including at least an etch mask located on a blanker layer of metal or metal alloy. Exposed portions of the blanket layer of metal or metal alloy that are not protected by the etch mask are removed utilizing an etch comprising a plasma that forms a polymeric compound and/or complex which protects a portion of the blanket layer of metal or metal alloy located directly beneath the etch mask during the etch. | 09-18-2014 |
20140273444 | MULTIPLE-PATTERNED SEMICONDUCTOR DEVICE CHANNELS - A semiconductor device and method of manufacture are provided. The semiconductor device may include a multiple-patterned layer which may include multiple channels defined by multiple masks. A width of a first channel may be smaller than a width of a second channel. A conductor in the first channel may have a conductor width substantially equivalent to a conductor width of a conductor in the second channel. A spacer dielectric on a channel side may be included. The method of manufacture includes establishing a signal conductor layer including channels defined masks where a first channel may have a first width smaller than a second width of a second channel, introducing a spacer dielectric on a channel side, introducing a first conductor in the first channel having a first conductor width, and introducing a second conductor in the second channel having a second conductor width substantially equivalent to the first conductor width. | 09-18-2014 |
20140273501 | WET STRIP PROCESS FOR AN ANTIREFLECTIVE COATING LAYER - An silicon-containing antireflective coating (SiARC) material is applied on a substrate. The SiARC material which includes a base polymer and may include a boron silicate polymer including silsesquioxane. An etch sequence is utilized, which includes a first wet etch employing a basic solution, a second wet etch employing an acidic solution, and a third wet etch employing another basic solution. The first wet etch can be employed to break up the boron silicate polymer, and the second wet etch can remove the base polymer material, and the third wet etch can remove the residual boron silicate polymer and other residual materials. The SiARC material can be removed from a substrate employing the etch sequence, and the substrate can be reused for monitoring purposes. | 09-18-2014 |
20140278196 | EFFICIENT METHOD OF RETESTING INTEGRATED CIRCUITS - Efficient production testing of integrated circuits. A first production test is implemented on a group of integrated circuits and failures among the test group are assessed. Specifically, the results of the first test are analyzed such that integrated circuits having a recoverable fail and integrated circuits having a non-recoverable fail are differentiated. The integrated circuits are integrated based on the analyzed results and a second production test is implemented. The second production test tests the integrated circuits responsive to the segregation, such that the second production test is limited only to integrated circuits with a recoverable fail. The next succeeding production test will then use the new test program in the second production test with the handler bin designated as having integrated circuits not to be re-tested. | 09-18-2014 |
20140278210 | Real-time Spatial Path Comparison - Methods and apparatus, including computer program products, implementing and using techniques for processing motion paths for physical entities. For each physical entity among several physical entities, several representations are received of positions of the physical entity. In response to detecting that a number of physical entities among the physical entities traverse a similar motion path, a path record is generated, which represents the motion path traversed by the number of physical entities. | 09-18-2014 |
20140278286 | Selectively Tuning Frequency Modes Of A Structure - Selectively tuning frequency modes of a structure, including: identifying, by a finite element analysis module, a plurality of frequency modes of the structure; and selectively altering, by the finite element analysis module, a target frequency mode a greater amount than the other frequency modes of the structure, including modifying a local attribute of a portion of the structure associated with the target frequency mode without modifying the attribute over the entire structure. | 09-18-2014 |
20140278559 | ADJUDICATION PLATFORM COMPLIANCE MANAGEMENT - A method of verifying compliance of an adjudication platform with a requirement, the method comprising: applying a requirement to an adjudication platform, wherein said adjudication platform comprises a plurality of benefit rules and said requirement comprises a plurality of requirements representing at least one of a law and an insurance policy; identifying at least one of said plurality of benefit rules disagreeing with at least one of said plurality of requirements, responsive to a discrepancy between applied said requirement and said adjudication platform; outputting at least one of identified said plurality of benefit rules and said plurality of requirements. | 09-18-2014 |
20140278632 | PRESENTING A FILTERED LIST OF WORK ITEMS - For each of a plurality of the work items, at least a first parameter to be associated with the work item can be received and associated with the work item. Each of the plurality of work items can be compiled in a work item list. In response to receiving a request to present the work item list to the user, the work item list can be filtered, via a processor, based on the first parameter and at least a second parameter associated with the user that corresponds to the first parameter. The filtered work item list can be presented to the user. | 09-18-2014 |
20140279741 | SCALABLE ONLINE HIERARCHICAL META-LEARNING - A method of meta-learning includes receiving a prediction objective, extracting a plurality of subsets of data from a distributed dataset, generating a plurality of local predictions, wherein each local prediction is based on a different subset of the plurality of subsets of data and the prediction objective, combining the plurality of local predictions, and generating a final prediction based on the combined local predictions. | 09-18-2014 |
20140279783 | EVALUATION OF PREDICTIONS IN THE ABSENCE OF A KNOWN GROUND TRUTH - Disclosed is a novel system, and method to evaluate a prediction of a possibly unknown outcome out of a plurality of predictions of that outcome. The method begins with accessing a particular prediction of an outcome out of a plurality of predictions of that outcome in which the outcome may be unknown. Next, a subsample of the plurality of predictions of the outcome is accessed. The subsample can possibly include the particular prediction. A consensus prediction of the outcome based on the subsample of the plurality of predictions is determined. A proximity of the particular prediction to the consensus prediction is determined. Each prediction is ranked out of the plurality of predictions in an order of a closest in proximity to the consensus prediction to a farthest in proximity to the consensus prediction. | 09-18-2014 |
20140279828 | CONTROL DATA DRIVEN MODIFICATIONS AND GENERATION OF NEW SCHEMA DURING RUNTIME OPERATIONS - A computational device receives input data and control data, where the control data includes instructions to modify one or more operations performed during a runtime execution associated with the input data. The control data is processed to modify the one or more operations during the runtime execution associated of the input data. | 09-18-2014 |
20140279885 | DATA REPLICATION FOR A VIRTUAL NETWORKING SYSTEM - Embodiments of the invention provide a method for data replication in a networking system comprising multiple computing nodes. The method comprises maintaining a data set on at least two computing nodes of the system. The method further comprises receiving a data update request for the data set, wherein the data update request includes a data update for the data set. The data set on the at least two computing nodes is updated based on the data update request received. | 09-18-2014 |
20140279891 | REPLICATION GROUP PARTITIONING - Methods and systems for replication group partitioning include analyzing historical workload data for a plurality of data elements to generate one or more transaction patterns and generating a recommended partitioning of the plurality of data elements into one or more replication groups, based on the one or more transaction patterns, that are optimized toward a partitioning goal. | 09-18-2014 |
20140279934 | SELF-ANALYZING DATA PROCESSING JOB TO DETERMINE DATA QUALITY ISSUES - Techniques are disclosed to determine data quality issues in data processing jobs. The data processing job is received, the data processing job specifying one or more processing steps designed based on one or more data schemas and further specifies one or more desired quality metrics to measure at the one or more processing steps. One or more state machines are provided, that are generated based on the quality metrics and on the data schemas. Input data to the data process job are processed using the one or more state machines, in order to generate output data and a set of data quality records characterizing a set of data quality issues identified during the execution of the data processing job. | 09-18-2014 |
20140279953 | REDUCING DIGEST STORAGE CONSUMPTION IN A DATA DEDUPLICATION SYSTEM - For reducing digests storage consumption in a data deduplication system using a processor device in a computing environment, digest values are calculated for input data. The digest values are used to locate matches with data stored in a repository. The digest values are stored in the repository. The digest values of the data stored in the repository that is determined to be redundant with the input data are removed. | 09-18-2014 |
20140279967 | DATA COMPRESSION USING COMPRESSION BLOCKS AND PARTITIONS - Compression blocks are divided into partitions creating a two dimensional divide of the compression blocks by slicing the compression blocks forming a first dimension and sub-partitioning the compression blocks into the partitions forming a second dimension. Each one of the partitions are compressed in separate compression streams. | 09-18-2014 |
20140279985 | Extending Platform Trust During Program Updates - An approach is provided in which a computer system generates a current hash value of a computer program in response to receiving a request to execute the computer program. Next, the computer system determines that the current hash value fails to match a reference hash value that was previously generated subsequent to installing the computer program on the computer system. Since the two hash values do not match each other, the computer system matches the current hash value to an updated hash value that was previously generated in response to modifying the computer program on the computer system. In turn, the computer system executes the computer program when the current hash value matches the updated hash value. | 09-18-2014 |
20140280038 | DELIVERING A FILTERED SEARCH RESULT - Delivering a filtered search result includes identifying a filtered search result in response to a search query from a user that is associated with at least one member of an augmented social group where the augmented social group including social network connections of the user and individuals other than the social network connections who share a common interest with the user and delivering the filtered search result to the user through a user interface. | 09-18-2014 |
20140280128 | ENDING TUPLE PROCESSING IN A STREAM-BASED COMPUTING APPLICATION - A method includes receiving streaming data to be processed by a plurality of processing elements comprising one or more stream operators. Time metadata may be added to a parent tuple at a first stream operator. A first time metric may be determined for a first child tuple of the parent tuple at a second stream operator. The first time metric may be determined, at least in part, from the time metadata. The second stream operator may receive the first child tuple from the first stream operator. The method may include transmitting a second child tuple of the parent tuple from the second stream operator to a third stream operator if the time metric is inside a time limit. In addition, the method may include ending processing of the first child tuple if the time metric is outside of the time limit. | 09-18-2014 |
20140280129 | SELF PROVISIONING AND APPLYING ROLE-BASED SECURITY TO TEAMSPACES IN CONTENT REPOSITORIES - Provided are techniques for self-provisioning a teamspace instance. A teamspace template including one or more teamspace characteristics and one or more user roles is generated. A teamspace instance is provisioned from a snapshot of the generated teamspace template using the one or more teamspace characteristics and the one or more user roles. | 09-18-2014 |
20140280186 | CROWDSOURCING AND CONSOLIDATING USER NOTES TAKEN IN A VIRTUAL MEETING - Arrangements relate to crowdsourcing and consolidating user notes taken within a virtual meeting. Notes from one or more meeting attendees can be received. The received user notes can be analyzed to identify a key element therein using natural language processing. The analysis of received user notes can be performed by a processor. A consolidated system notes can be generated. The consolidated system notes can include the key element. | 09-18-2014 |
20140280203 | IDENTIFYING A STALE DATA SOURCE TO IMPROVE NLP ACCURACY - In some NLP systems, queries are compared to different data sources stored in a corpus to provide an answer to the query. However, the best data sources for answering the query may not currently be contained within the corpus or the data sources in the corpus may contain stale data that provides an inaccurate answer. When receiving a query, the NLP system may evaluate the query to identify a data source that is likely to contain an answer to the query. If the data source is not currently contained within the corpus, the NLP system may ingest the data source. If the data source is already within the corpus, however, the NLP may determine a time-sensitivity value associated with at least some portion of the query. This value may then be used to determine whether the data source should be re-ingested—e.g., the information contained in the corpus is stale. | 09-18-2014 |
20140280284 | PIVOT FACETS FOR TEXT MINING AND SEARCH - Provided are techniques for providing and using pivot facets for text mining and search. A metadata field is mapped to a pivot facet. In response to executing a first query, at least a first document and the pivot facet are displayed. In response to selection of the pivot facet, a second query that includes a value of the metadata field is generated, and the second query is executed to locate at least a second document that includes the value of the metadata field. | 09-18-2014 |
20140280366 | OUTPUT DRIVEN GENERATION OF A COMBINED SCHEMA FROM A PLURALITY OF INPUT DATA SCHEMAS - A computational device receives a plurality of versions of an input data schema. At least one element is selected from the plurality of versions of the input data schema based on an expected result. A combined schema is generated based on the at least one selected element. The input data is processed according to the combined schema. | 09-18-2014 |
20140280432 | Responding To A Timeout Of A Message In A Parallel Computer - Methods, apparatuses, and computer program products for responding to a timeout of a message in a parallel computer are provided. The parallel computer includes a plurality of compute nodes operatively coupled for data communications over one or more data communications networks. Each compute node includes one or more tasks. Embodiments include a first task of a first node sending a message to a second task on a second node. Embodiments also include the first task sending to the second node a command via a parallel operating environment (POE) in response to a timeout of the message. The command instructs the second node to perform a timeout motivated operation. | 09-18-2014 |
20140280441 | DATA INTEGRATION ON RETARGETABLE ENGINES IN A NETWORKED ENVIRONMENT - Techniques are disclosed for data integration on retargetable engines in a networked environment. The networked environment includes data processing engines of different types and having different sets of characteristics. A request is received execute a data flow model in the networked environment. The data flow model includes data flow objects. A first data processing engine is programmatically selected based on a predefined set of criteria and the sets of characteristics of the data processing engines. The data flow model is executed using the selected data processing engine and responsive to the request. | 09-18-2014 |
20140280601 | Collective Operation Management In A Parallel Computer - Methods, apparatuses, and computer program products for collective operation management in a parallel computer are provided. Embodiments include a parallel computer having a plurality of compute nodes coupled for data communications over a data communications network. Embodiments include a first compute node entering a collective operation. Each compute node of the plurality of compute nodes is associated with the collective operation. In response to entering the collective operation, the first compute node decreases power consumption of the first compute node. | 09-18-2014 |
20140280759 | DATA TRANSMISSION FOR TRANSACTION PROCESSING IN A NETWORKED ENVIRONMENT - Techniques are disclosed to transmit arbitrarily large data units for transaction processing in a networked environment. A request is received to store a data unit of a size exceeding an allocated memory address space of a transaction gateway component of the networked environment. A predefined store function, provided by a repository interface component, is invoked to store the data unit to a data repository component of the networked environment and without segmenting the data unit. A repository handle of the stored data unit is identified. A predefined load function, provided by the repository interface component, is invoked to load a portion of the stored data unit, based on the identified repository handle, where the portion is smaller than the stored data unit. | 09-18-2014 |
20140280820 | Collective Operation Management In A Parallel Computer - Methods, apparatuses, and computer program products for collective operation management in a parallel computer are provided. Embodiments include a parallel computer having a plurality of compute nodes coupled for data communications over a data communications network. Embodiments include a first compute node entering a collective operation. Each compute node of the plurality of compute nodes is associated with the collective operation. In response to entering the collective operation, the first compute node decreases power consumption of the first compute node. | 09-18-2014 |
20140280895 | EVALUATING A STREAM-BASED COMPUTING APPLICATION - A method for evaluating a stream-based computing application includes specifying a simulation condition. In addition, a stream of test tuples may be input to the stream-based computing application. The stream-based computing application may operate on one or more compute nodes. Each compute node may have one or more computer processors and a memory to store one or more processing elements. The method may also include simulating processing of the stream of test tuples by the processing elements using the simulation condition. Further, the method may include monitoring to determine one or more performance metrics for an inter-stream operator communication path. | 09-18-2014 |
20140280939 | MULTI-TENANCY SUPPORT FOR ENTERPRISE SOCIAL BUSINESS COMPUTING - Mechanisms are provided for enabling collaboration across tenants in a multi-tenant environment using single sign-on (SSO) authentication/authorization. Various examples provide for creating a user account and provisioning a subscription to a user (e.g., to enable single sign-on authentication/authorization). The user is allowed to access services (e.g., collaborative services) in a multi-tenant environment by utilizing a subscription authorization of the user without prompting the user to authenticate by logging-in again (that is, without prompting the user to log-in again after the user has already logged-in and been authenticated for a given session). Other examples provide for mapping webspaces through URL hosts where each organization (that is, tenant) has its own set of namespace(s). | 09-18-2014 |
20140281020 | SOURCE-DRIVEN SWITCH PROBING WITH FEEDBACK REQUEST - Embodiments relate to proactively probing the packet queues of elements in a physical or virtual network to predict and prevent the occurrence of congestion points. An aspect includes receiving a first feedback request at a central controller connected to a plurality of switches in a network. The first feedback request includes a request to periodically probe a status of queues of switches in the network. A second feedback request is then transmitted to one or all the switches in a path leading to a designated destination. Responses to the second feedback request are received at the central controller from a designated proxy switch, which aggregated the responses into a single data packet. Accordingly, the responses extracted from the single data packet at the central controller are used to preventing future congestion points. | 09-18-2014 |
20140281021 | ADAPTIVE SETTING OF THE QUANTIZED CONGESTION NOTIFICATION EQUILIBRIUM SETPOINT IN CONVERGED ENHANCED EITHERNET NETWORKS - Embodiments relate to controlling workload flow on converged Ethernet links. An aspect includes coupling, by a processing device, a first control loop to a second control loop. The second control loop monitors the operation of the first control loop. An equilibrium set point is initialized for the second control loop prior to commencing operation of the first control loop. Accordingly, the equilibrium set point value is adjusted in the second control loop continuously based on a rate of operation of the first control loop. | 09-18-2014 |
20140281089 | Servicing A Globally Broadcast Interrupt Signal In A Multi-Threaded Computer - Methods, apparatuses, and computer program products for servicing a globally broadcast interrupt signal in a multi-threaded computer comprising a plurality of processor threads. Embodiments include an interrupt controller indicating in a plurality of local interrupt status locations that a globally broadcast interrupt signal has been received by the interrupt controller. Embodiments also include a thread determining that a local interrupt status location corresponding to the thread indicates that the globally broadcast interrupt signal has been received by the interrupt controller. Embodiments also include the thread processing one or more entries in a global interrupt status bit queue based on whether global interrupt status bits associated with the globally broadcast interrupt signal are locked. Each entry in the global interrupt status bit queue corresponds to a queued global interrupt. | 09-18-2014 |
20140281330 | Apparatus and Method for Resource Alerts - A method of managing resource allocations in a storage system provisioning system, including monitoring write applications that result in new storage block allocations during a current time slice, calculating a time remaining to exceed actual capacity of allocated storage blocks, if the calculated remaining time does exceed length of next time slice immediately transmitting an alert when an estimated number of future write requests exceeds a predetermined threshold, and if the calculated remaining time does exceed length of next time slice immediately transmitting an alert when an estimated number of future write requests exceeds a predetermined threshold, wherein a duration of a time slice is set by a storage system administrator based upon a current storage library setup and a time required to arrange a new storage device when a thin provisioning device is going out of disk space. | 09-18-2014 |
20140281353 | HARDWARE-BASED PRE-PAGE WALK VIRTUAL ADDRESS TRANSFORMATION - An apparatus includes a processor and a virtual address transformation unit coupled with the processor. The virtual address transformation unit includes a register. The virtual address transformation unit is configured to receive an indication of a virtual address and read, from the register, a current page size of a plurality of available page sizes. The virtual address transformation unit is also configured to determine a shift amount based, at least in part, on the current page size and perform a bit shift of the virtual address, wherein the virtual address is bit shifted by, at least, the determined shift amount. | 09-18-2014 |
20140281374 | Identifying Logical Planes Formed Of Compute Nodes Of A Subcommunicator In A Parallel Computer - In a parallel computer, a plurality of logical planes formed of compute nodes of a subcommunicator may be identified by: for each compute node of the subcommunicator and for a number of dimensions beginning with a first dimension: establishing, by a plane building node, in a positive direction of the first dimension, all logical planes that include the plane building node and compute nodes of the subcommunicator in a positive direction of a second dimension, where the second dimension is orthogonal to the first dimension; and establishing, by the plane building node, in a negative direction of the first dimension, all logical planes that include the plane building node and compute nodes of the subcommunicator in the positive direction of the second dimension. | 09-18-2014 |
20140281378 | THREE-DIMENSIONAL COMPUTER PROCESSOR SYSTEMS HAVING MULTIPLE LOCAL POWER AND COOLING LAYERS AND A GLOBAL INTERCONNECTION STRUCTURE - A computer processor system includes a plurality of multi-chip systems that are physically aggregated and conjoined. Each multi-chip system includes a plurality of chips that are conjoined together, and a local interconnection and input/output wiring layer. A global interconnection network is connected to the local interconnection and input/output wiring layer of each multi-chip system to interconnect the multi-chip systems together. One or more of the multi-chip systems includes a plurality of processor chips that are conjoined together. | 09-18-2014 |
20140281386 | CHAINING BETWEEN EXPOSED VECTOR PIPELINES - Embodiments include a method for chaining data in an exposed-pipeline processing element. The method includes separating a multiple instruction word into a first sub-instruction and a second sub-instruction, receiving the first sub-instruction and the second sub-instruction in the exposed-pipeline processing element. The method also includes issuing the first sub-instruction at a first time, issuing the second sub-instruction at a second time different than the first time, the second time being offset to account for a dependency of the second sub-instruction on a first result from the first sub-instruction, the first pipeline performing the first sub-instruction at a first clock cycle and communicating the first result from performing the first sub-instruction to a chaining bus coupled to the first pipeline and a second pipeline, the communicating at a second clock cycle subsequent to the first clock cycle that corresponds to a total number of latch pipeline stages in the first pipeline. | 09-18-2014 |
20140281430 | EXECUTION OF CONDITION-BASED INSTRUCTIONS - Execution of condition-based instructions is facilitated. A condition-based instruction is obtained, as well as a confidence level associated with the instruction. The confidence level is checked, and based on the confidence level being a first value, a predicted operation of the instruction, which is based on a predictor, is unconditionally performed. Further, based on the confidence level being a second value, a specified operation of the instruction, which is based on a determined condition, is conditionally performed. | 09-18-2014 |
20140281451 | RECOVERING FROM A DEFECTIVE BOOT IMAGE - Apparatus and computer program products implement embodiments of the present invention that include detecting, by a first computer having a first memory, a software stack in a second memory of a second computer coupled to the first computer via a network. The software stack is copied from the second memory to the first memory, and the copied software stack is executed by the first computer. | 09-18-2014 |
20140281453 | SELF-HEALING USING A VIRTUAL BOOT DEVICE - Apparatus and computer program products implement embodiments of the present invention that enable a computer system comprising networked computers to self-heal from a boot failure of one of the computers. In some embodiments, upon detecting a first computer having a memory and a physical boot device failing to successfully load a boot image, a second computer defines a virtual boot device in communication with the first computer and the second computer and having a boot image for the first computer. Subsequent to defining the virtual boot device, the second computer can configure the first computer to boot from the virtual boot device. | 09-18-2014 |
20140281454 | FAST HOT BOOT OF A COMPUTER SYSTEM - Apparatus and computer program products implement embodiments of the present invention that enable a computer that is executing a first operating system kernel to load a second operating system kernel and one or more software components to a region in a memory. Using functions incorporated in the kernel, the region in the memory is tagged, and the computer initiates a boot of a second operating system kernel while preserving in the tagged region contents stored therein. Following the boot of the second operating system kernel, the computer executes the one or more software components from the tagged region that was preserved during the boot. | 09-18-2014 |
20140281504 | Authorizing Use Of A Test Key Signed Build - Methods, apparatuses, and computer program products for authorizing use of a test key signed build are provided. Embodiments include transmitting to an update provider system, unique data associated with a target system; receiving from the update provider system, a signed update capsule file; determining, by the target system, that a signature within the signed update capsule file is valid; in response to determining that the signature is valid, determining that the validation data within the signed update capsule file matches the unique data associated with the target system; and in response to determining that the validation data matches the unique data, determining that the target system is authorized to use a test key signed build to update the firmware of the target system. | 09-18-2014 |
20140281754 | ON-SITE VISUALIZATION OF COMPONENT STATUS - Apparatus and computer program products implement embodiments of the present invention that enable a portable computing device such as a smartphone or a tablet computer, to capture one or more codes for one or more corresponding components positioned in proximity to the portable computing device, and to convey the one or more codes to a management system. Upon receiving the one or more codes, the management system can be configured to convey, to the portable computing device, an identification and a status of each of the one or more components. The portable computing device can then present the status of the one or more components on a display. | 09-18-2014 |
20140281892 | SYSTEM AND METHOD FOR WEB CONTENT PRESENTATION MANAGEMENT - A method, computer program product, and computer system for receiving, by a computing device, a selection of one or more presentation templates of a plurality of presentation templates for at least a portion of a web page, wherein the one or more presentation templates define, at least in part, a display format for one or more display types. At least a portion of the one or more display types is mapped to one or more content elements within a content type. At least the portion of the one or more presentation templates is rendered when the one or more content elements are requested, wherein rendering includes retrieving at least the portion of the one or more content elements from one or more of each content item to be rendered within each of the one or more presentation templates, wherein rendering further includes using the content type of the one or more content elements requested to dynamically map the one or more content elements defined in the one or more display types to the one or more presentation templates. | 09-18-2014 |
20140281960 | HELP FOR READING AN E-BOOK - A computer-implemented method, system, and/or computer program product shares information related to passages from an electronic book. An electronic book is opened to a user-selected initial passage. A passage block within the electronic book, which starts at the user-selected initial passage and ends at a community-selected terminal passage, is defined. A determination is made that members of the social community who have read the passage block have input a high incidence of incorrect answers to questions about the passage block, where the high incidence is greater than a predetermined value. Thereafter, additional help is provided to the user of the electronic book, where the additional help is information that members of the social community have deemed to be useful in correctly answering questions that had the high incidence of incorrect answers. | 09-18-2014 |
20140282026 | OBJECT AND CONNECTION ORGANIZATION IN A DIAGRAM - A computer program product includes computer usable program code configured to present, on a display, a diagram. The presenting of the diagram includes presenting a first row that defines a location where objects of a first object type can be created, wherein a first ghosted object of a first object type is displayed in the first row. The first ghosted object represents a first creatable object of the first object type. The presenting of the diagram includes presenting a second row that defines a location where objects of a second object type can be created. The computer program product is configured to, responsive to a first input to create a first object of the first object type in the first row, creating the first object of the first object type. | 09-18-2014 |
20140282089 | ANALYSIS OF MULTI-MODAL PARALLEL COMMUNICATION TIMEBOXES IN ELECTRONIC MEETING FOR AUTOMATED OPPORTUNITY QUALIFICATION AND RESPONSE - A mechanism is provided for a time data capsule from an electronic conference. Operations include identifying meeting input sources related to the electronic conference, capturing respective data of the meeting input sources from a start through an end of the electronic conference, and maintaining and creating timestamps for the respective data that is captured. The timestamps are associated with the respective data. Operations include aligning the respective data along a timeline according to the timestamps associated with the respective data from the meeting input sources, converting the respective data into text equivalents and/or text descriptions with the timestamps retained, and identifying a trigger during the electronic conference that causes a timebox to be created with a beginning and an ending time. The operations include extracting the respective data for the timestamps that correspond to the timebox, and creating a package with the extracted respective data from the meeting input sources. | 09-18-2014 |
20140282097 | TECHNIQUES TO FACILITATE COLLABORATIVE SOCIAL CODING - Embodiments relate to method and program product enabling collaborative social coding. Social networking functionality is embedded and via that social networking functionality information relating to a particular function across a computing network is tracked. The tracked information is then accumulating and organized as relating to a particular function. Upon request receipt, information is then provided relating to the particular function including a list of those accessing that function. | 09-18-2014 |
20140282291 | SOURCE-MASK OPTIMIZATION FOR A LITHOGRAPHY PROCESS - Systems and methods for optimizing a source shape and a mask shape for a lithography process are disclosed. One such method includes performing a mask optimization for the lithography process in accordance with a set of parameters including at least one variable representation, at least one objective and problem constraints. Further, a light source optimization for the lithography process is performed in accordance with the set of parameters. In addition, a joint light source-mask optimization is performed in accordance with the set of parameters. The method further includes iterating at least one of the mask optimization or the light source optimization by changing at least one of the variable representation, the objective or the problem constraints to maximize a common process window for the lithography process. | 09-18-2014 |
20140282359 | AUTOMATED SOFTWARE COMPOSITION - A method for automated composition of an application including: receiving a customizable template for application composition and a composition goal, wherein the goal comprises a plurality of tags and the goal is incomplete such that more than one possible composition matches the goal; refining the goal by automatically adding refinement tags to the goal; and generating an application flow that matches the customizable template and the refined goal, wherein the application flow comprises data sources, data processing operators, and outputs of the application flow. | 09-18-2014 |
20140282386 | CAPTURE AND DISPLAY OF HISTORICAL RUN-TIME EXECUTION TRACES IN A CODE EDITOR - A program code execution is monitored. Variable type and value information the one or more variables in the program code take during the execution of the program code are stored, and compiled over multiple execution of the program code as historical run-time execution traces. A code editor user interface may present the historical run-time execution traces for inspection, whether or not the program code is currently executing. Statistical properties associated with the variable type and value information may be computed. Future variable type and value the one or more variables might take during future execution of the program code may be predicted. | 09-18-2014 |
20140282405 | PROBATIONARY SOFTWARE TESTS - A method, computer program product, and system is described. A continuous integration environment is identified. A first software test associated with the continuous integration environment is identified. A probationary status for the first software test is determined, the probationary status indicating, at least in part, a potential lack of reliability for the first software test. | 09-18-2014 |
20140282429 | Algorithm Selection For Collective Operations In A Parallel Computer - Algorithm selection for collective operations in a parallel computer that includes a plurality of compute nodes may include: profiling a plurality of algorithms for each of a set of collective operations, including for each collective operation: executing the operation a plurality times with each execution varying one or more of: geometry, message size, data type, and algorithm to effect the collective operation, thereby generating performance metrics for each execution; storing the performance metrics in a performance profile; at load time of a parallel application including a plurality of parallel processes configured in a particular geometry, filtering the performance profile in dependence upon the particular geometry; during run-time of the parallel application, selecting, for at least one collective operation, an algorithm to effect the operation in dependence upon characteristics of the parallel application and the performance profile; and executing the operation using the selected algorithm. | 09-18-2014 |
20140282523 | SCALABLE POLICY MANAGEMENT IN AN EDGE VIRTUAL BRIDGING (EVB) ENVIRONMENT - Embodiments of the invention relate to scalable policy management in an edge virtual bridging (EVB) environment. One embodiment includes a system including a physical end station including a hypervisor, wherein the physical end station creates at least one virtual machine (VM). A virtual station interface (VSI) database is coupled to a VM manager server. The VSI database stores policy information comprising one or more rules for different VM types and access rules. A policy management module is coupled to a switch adjacent to the physical end station. The policy management module generates a first table using at least a portion of the policy information, generates a second table with a portion of VM information received from the hypervisor for the VM, and uses the first table and the second table to retrieve and apply rules for the VM. | 09-18-2014 |
20140282552 | SOFTWARE INTERFACE FOR A SPECIALIZED HARDWARD DEVICE - Embodiments of the disclosure include methods, systems and computer program products for performing a data manipulation function. The method includes receiving, by a processor, a request from an application to perform the data manipulation function and based on determining that a specialized hardware device configured to perform the data manipulation function is available, the method includes determining if executing the request on the specialized hardware device is viable. Based on determining that the request is viable to execute on the specialized hardware device, the method includes executing the request on the specialized hardware device. | 09-18-2014 |
20140282557 | Responding To A Timeout Of A Message In A Parallel Computer - Methods, apparatuses, and computer program products for responding to a timeout of a message in a parallel computer are provided. The parallel computer includes a plurality of compute nodes operatively coupled for data communications over one or more data communications networks. Each compute node includes one or more tasks. Embodiments include a first task of a first node sending a message to a second task on a second node. Embodiments also include the first task sending to the second node a command via a parallel operating environment (POE) in response to a timeout of the message. The command instructs the second node to perform a timeout motivated operation. | 09-18-2014 |
20140282558 | SERIALIZING WRAPPING TRACE BUFFER VIA A COMPARE-AND-SWAP INSTRUCTION - Embodiments of the disclosure serializing wrapping of a circularly wrapping trace buffer via a compare-and-swap (CS) instruction by a method including executing a CS loop to advance to a location in the buffer indicated by a next free pointer. The method also includes incrementing a master wrap sequence number each time the next free pointer returns to a top of the buffer and executing another CS loop to increment a wrap number stored in a trace block corresponding to the location indicated by the next free pointer. Based upon determining that the wrap number stored in the trace block is one less than or equal to the master wrap sequence number, the method includes reserving space in a buffer associated with the trace block and storing the wrap number stored in the trace block as an old wrap number and incrementing a use-count of the trace block. | 09-18-2014 |
20140282583 | DYNAMIC MEMORY MANAGEMENT WITH THREAD LOCAL STORAGE USAGE - Methods and arrangements for dynamic memory management. Data are accepted for thread local storage, and memory usage is monitored in thread local storage. A memory block is allocated to thread local storage for storing accepted data, based on the monitored memory usage. Other variants and embodiments are broadly contemplated herein. | 09-18-2014 |
20140282613 | Acknowledging Incoming Messages - Acknowledging incoming messages, including: determining, by an acknowledgement dispatching module, whether an incoming message has been received in an active message queue; responsive to determining that the incoming message has been received in the active message queue, resetting, by the acknowledgement dispatching module, an acknowledgment iteration counter; incrementing, by the acknowledgement dispatching module, the acknowledgment iteration counter; determining, by the acknowledgement dispatching module, whether the acknowledgment iteration counter has reached a predetermined threshold; and responsive to determining that the acknowledgment iteration counter has reached the predetermined threshold, processing, by the acknowledgement dispatching module, all messages in the active message queue. | 09-18-2014 |
20140282826 | MANAGING CO-EDITING SESSIONS - A computer-implemented method, system, and/or computer program mediates a co-editing session for a document. After establishing a co-editing session for a first user and a second user to co-edit a document, a first modification of the document is received from the first user. A second modification of the document, which eliminates the first modification, is subsequently received from the second user. In response to a quantity of subsequent modifications, after the first and second modifications, exceeding a predetermined value, an action is initiated to prevent further modifications to the document. | 09-18-2014 |
20140282870 | Alias-Based Social Media Identity Verification - An approach is provided to use social media content to verify the identity of a user using aliases established by the user in a social media environment. In the approach, a user authentication request pertaining to a user is received. Aliases corresponding to the user's social media contacts are retrieved from a social media data store. User questions and expected answers are prepared based on the user's social media contacts and the corresponding aliases. The user is prompted to provide one or more user answers responsive to the user questions. In response to receiving answers that match the expected answers, the user authentication request is confirmed. Conversely, in response to receiving user answers that fail to match the expected answers, the user authentication request is invalidated. | 09-18-2014 |
20140284547 | SELF-FORMATION OF HIGH-DENSITY ARRAYS OF NANOSTRUCTURES - A method for forming nanostructures includes bonding a flexible substrate to a crystalline semiconductor layer having a two-dimensional material formed on a side opposite the flexible substrate. The crystalline semiconductor layer is stressed in a first direction to initiate first cracks in the crystalline semiconductor layer. The first cracks are propagated through the crystalline semiconductor layer and through the two-dimensional material. The stress of the crystalline semiconductor layer is released to provide parallel structures including the two-dimensional material on the crystalline semiconductor layer. | 09-25-2014 |
20140284667 | FINFET WITH REDUCED CAPACITANCE - An improved finFET structure, and method forming the same, including a plurality of fins etched from a semiconductor substrate, a plurality of gates above and perpendicular to the plurality of fins, each comprising a pair of spacers on opposing sides of the gates, and a gap fill material above the semiconductor substrate, below the gate, and between the plurality of fins, wherein the gate separates the gap fill material from each of the plurality of fins. | 09-25-2014 |
20140293642 | INTEGRATED LIGHT PIPE AND LED - A method and apparatus is provided for an integrated light pipe. In one embodiment, the apparatus may include a light pipe with a cavity positioned at a first end of the light pipe. The apparatus may further include a light emitting diode (LED) coupled to the light pipe within the cavity. The apparatus may further include, the light emitted from the LED is directed through the light pipe. The apparatus may also include a first and second conductive mount coupled to the LED and extending out of the cavity. | 10-02-2014 |
20140295903 | PEER-TO-PEER EMERGENCY COMMUNICATION USING PUBLIC BROADCASTING - A method for emergency communication includes encoding a message for visual display including a message to field and a message from field. The visual display is revealed to a reading device in communication with a broadcast center, which stores the visual display. The messages are decoded and sorted from visual displays at the broadcast center. On an index channel, a time and channel number for when a message body of the message will be broadcast on a message channel is broadcasted. The message body is broadcasted on the message channel. | 10-02-2014 |
20140297585 | Processing Spatial Joins Using a Mapreduce Framework - Techniques, systems, and articles of manufacture for processing spatial joins using a MapReduce framework. A method includes partitioning a spatial data domain based on a distribution of spatial data objects across multiple nodes of a cluster of machines, defining at least one operation to be performed on the partitioned spatial data domain based on one or more predicates of a query, and executing the at least one defined operation on the partitioned spatial data domain to determine a response to the query. | 10-02-2014 |
20140297893 | EMULATING MULTIPLE UNIVERSAL SERIAL BUS (USB) KEYS SO AS TO EFFICIENTLY CONFIGURE DIFFERENT TYPES OF HARDWARE - A method, system and computer program product for efficiently configuring different types of hardware components. A computing device is connected to a hardware component to be configured. The computing device detects the type of the hardware component upon being connected to the hardware component. The computing device then identifies a profile from among multiple profiles associated with the detected type of hardware component, where each profile contains a configuration file(s) for configuring its associated type of hardware component. Upon identifying the profile associated with the connected hardware component, the computing device emulates a Universal Serial Bus (USB) key presenting the configuration file(s) contained in the identified profile to the detected hardware component. Such a process may be repeated for configuring another type of hardware component. In this manner, the user is able to efficiently configure different types of hardware by having the computing device emulate multiple USB keys. | 10-02-2014 |
20140297916 | Preventing Out-Of-Space Errors For Legacy Option ROM In A Computing System - Preventing out of legacy option ROM space errors in a computing system, including: iteratively for each option ROM in the computing system: loading, into an option ROM memory, the option ROM; executing, from the option ROM memory, the option ROM; and removing, from the option ROM memory, the option ROM. | 10-02-2014 |
20140297917 | Preventing Out-Of-Space Errors For Legacy Option ROM In A Computing System - Preventing out of legacy option ROM space errors in a computing system, including: iteratively for each option ROM in the computing system: loading, into an option ROM memory, the option ROM; executing, from the option ROM memory, the option ROM; and removing, from the option ROM memory, the option ROM. | 10-02-2014 |
20140301968 | ANTIMICROBIAL CATIONIC POLYCARBONATES - Antimicrobial cationic polymers having one or two cationic polycarbonate chains were prepared by organocatalyzed ring opening polymerization. One antimicrobial cationic polymer has a polymer chain consisting essentially of cationic carbonate repeat units linked to one or two end groups. The end groups can comprise a covalently bound form of biologically active compound such as cholesterol. Other antimicrobial cationic polymers have a random copolycarbonate chain comprising a minor mole fraction of hydrophobic repeat units bearing a covalently bound form of a vitamin E and/or vitamin D2. The cationic polymers exhibit high activity and selectivity against Gram-negative and Gram-positive microbes and fungi. | 10-09-2014 |
20140304251 | Method and Apparatus for Optimizing the Evaluation of Semantic Web Queries - A semantic query over an RDF database is received with RDF database statistics and access methods for evaluating triple patterns in the query. The semantic query is expressed as a parse tree containing triple patterns and logical relationships among the triple patterns. The parse tree and access methods create a data flow graph containing a plurality of triple pattern and access method pair nodes connected by a plurality of edges, and an optimal flow tree through the data flow graph is determined such that costs are minimized and all triple patterns in the semantic query are contained in the optimal flow tree. A structure independent execution tree defining a sequence of evaluation through the optimal flow tree is created and is transformed into a database structure dependent query plan. This is used to create an SQL query that is used to evaluate the semantic query over the RDF database. | 10-09-2014 |
20140304554 | ENABLING COMMUNICATION OVER CROSS-COUPLED LINKS BETWEEN INDEPENDENTLY MANAGED COMPUTE AND STORAGE NETWORKS - Embodiments relate to providing communication over cross-coupled links between independently managed compute and storage networks. An aspect includes coupling an independently managed local subsystem with an independently managed remote subsystem over cross-coupled links, whereby each subsystem includes compute entities and storage entities. Unique identifiers are assigned to all the compute entities and the storage entities in the local network and the remote network. A determination is then made as to whether each entity is in the local subsystem or the remote subsystem. Accordingly, a global broadcast tree is built to bridge the compute entities in the local subsystem to the storage entities in both the local and remote subsystem. Responsive to an error in a layer of the local subsystem external to a cross-coupled link, the cross-coupled link in the local subsystem is disabled. Accordingly, the remote subsystem may detect that the link has failed. | 10-09-2014 |
20140305183 | AIR-FLOW SENSOR FOR ADAPTER SLOTS IN A DATA PROCESSING SYSTEM - An air-flow sensor is configured to be positioned in an air-flow and attached to a surface in a manner that allows air to flow over an extremity of the sensor. The air-flow sensor includes a base plate, a first heater, a first temperature sensor, a spacer, a second heater, a second temperature sensor, and a cap. The base plate is configured to be the coupled to the surface. The first heater is positioned on the base plate and is configured to heat the base plate. The first temperature sensor is positioned to measure a first temperature of the first heater. The spacer is positioned on the first heater and the second heater is positioned on the spacer. The second temperature sensor is positioned to measure a second temperature of the second heater. The cap is positioned on the second heater, which is configured to heat the cap. | 10-16-2014 |
20140307389 | SEPARABLE AND INTEGRATED HEAT SINKS FACILITATING COOLING MULTI-COMPNENT ELECTRONIC ASSEMBLY - Cooling apparatuses and methods of fabrication thereof are provided which facilitate cooling a multi-component assembly, such as a hub module assembly. The cooling apparatus includes a first liquid-cooled heat sink configured to facilitate removal of heat generated by one or more first electronic components of the multi-component assembly, and a second liquid-cooled heat sink configured to facilitate removal of heat generated by one or more second electronic components of the multi-component assembly. The first liquid-cooled heat sink is separably coupled to the multi-component assembly, and the second liquid-cooled heat sink is fixedly secured to the multi-component assembly. Fluid couplers fluidically couple the first and second liquid-cooled heat sinks to facilitate liquid coolant flow through the fixedly-secured, second liquid-cooled heat sink from the separably-coupled, first liquid-cooled heat sink. | 10-16-2014 |
20140307741 | PROCESSING REQUESTS FOR SERVICES IN A SERVICE REQUEST AT A RECEIVING CONTROLLER AND PROCESSING CONTROLLER IN A SWITCH - Provided are a computer program product, system, and method for processing requests for multiple services in a service request. A receiving controller, comprising one of a controlling forwarder or a data forwarder, receives a service request for a service from an originating device node. The receiving controller forwards an internal service request to a processing controller providing response information for the service request. The processing controller comprises a data forwarder when the receiving controller comprises the controlling forwarder or comprises the controlling forwarder when the receiving controller comprises one of the at least one data forwarder. The processing controller processes the internal service request to generate response information requested by the service request and forwards a reply including the response information to the receiving controller, which forwards the response information in a reply to the service request to the originating device node. | 10-16-2014 |
20140309976 | PERFORMING-TIME-SERIES BASED PREDICTIONS WITH PROJECTION THRESHOLDS USING SECONDARY TIME-SERIES-BASED INFORMATION STREAM - A prediction modeling system, method and computer program product for implementing forecasting models that involve numerous measurement locations, e.g., urban occupancy traffic data. The method invokes a data volatility reduction technique based on computing a congestion threshold for each prediction location, and using that threshold in a filtering scheme. Through the use of calibration, and by obtaining an extremal or other specified solution (e.g., maximization) of empirical volume-occupancy curves as a function of the occupancy level, significant accuracy gains are achieved and at virtually no loss of important information to the end user. The calibration use quantile regression to deal with the asymmetry and scatter of the empirical data. The argmax of each empirical function is used in a unidimensional projection to essentially filter all fully congested occupancy level and treat them as a single state. | 10-16-2014 |
20140310330 | ESTABLISHING COMMUNICATION BETWEEN ENTITIES IN A SHARED NETWORK - A method, system, and/or computer program product establishes a communication session between two entities on a shared network. A request to locate a desired entity on a shared network, which hosts one or more entities that are unknown to a requesting entity, is received from the requesting entity. A desired environment with which the desired entity is associated is selected by the requesting entity. An inquiry packet is broadcast to all entities on the shared network. The inquiry packet, which does not specify a data link layer by which any particular entity communicates with the shared network, includes a request for an identity of any entity on the shared network that is assigned to the desired environment. A response to the inquiry packet provides an identity and data link layer address of the desired entity, thus enabling the establishment of a communication session between the requesting and desired entities. | 10-16-2014 |
20140310419 | ESTABLISHING COMMUNICATION BETWEEN ENTITIES IN A SHARED NETWORK - A method, system, and/or computer program product establishes a communication session between two entities on a shared network. A request to locate a desired entity on a shared network, which hosts one or more entities that are unknown to a requesting entity, is received from the requesting entity. A desired environment with which the desired entity is associated is selected by the requesting entity. An inquiry packet is broadcast to all entities on the shared network. The inquiry packet, which does not specify a data link layer by which any particular entity communicates with the shared network, includes a request for an identity of any entity on the shared network that is assigned to the desired environment. A response to the inquiry packet provides an identity and data link layer address of the desired entity, thus enabling the establishment of a communication session between the requesting and desired entities. | 10-16-2014 |
20140310456 | FINE-GRAINED CONTROL OF DATA PLACEMENT - Methods, apparatus and computer program products implement embodiments of the present invention that include defining, in a storage system including multiple storage devices, multiple redundant array of independent disks (RAID) configurations for data stored on the storage devices. A first one of the multiple RAID configurations is assigned to a first entry in a partition table having multiple entries, and a second one of the multiple RAID configurations is assigned to a second entry in the partition table, the second one of the multiple RAID configurations independent from the first one of the multiple RAID configurations. | 10-16-2014 |
20140310457 | LOGICAL REGION ALLOCATION WITH IMMEDIATE AVAILABILITY - Methods, apparatus and computer program products implement embodiments of the present invention that enable a computer to receive a request to allocate one or more logical regions to a logical volume, and to verify, in response to the request, an availability on one or more storage devices of a number of physical regions corresponding to the one or more requested logical regions. In response to the verification, the one or more logical regions can be activated for write operations to a cache, so that any data written to the logical regions is stored to the cache. Subsequent to activating the one or more logical regions, one or more actual physical regions can be allocated to the logical volume. Upon allocating the one or more actual physical regions, any data stored in the cache can be destaged to the one or more actual physical regions. | 10-16-2014 |
20140310489 | MANAGING METADATA AND DATA FOR A LOGICAL VOLUME IN A DISTRIBUTED AND DECLUSTERED SYSTEM - Methods, apparatus and computer program products for a distributed system include dividing logical volume data into data subsets, and defining at least one distributedly storage configuration for the logical volume. Metadata for the logical volume is written to a first set of first metadata tables, and the first set of first metadata tables is divided into metadata subsets having a one-to-one correspondence with the data subsets. The metadata subsets are distributed among the multiple digital information devices, and the metadata is copied from the first set of first metadata tables to a second set of corresponding second metadata tables in a one-to-one correspondence with the first metadata tables. The second metadata tables are distributed among the multiple digital information devices, and upon modifying the metadata in a one of the first metadata tables while processing a storage request, the corresponding second metadata table is revised with the updated metadata. | 10-16-2014 |
20140310554 | SYSTEM AND METHOD FOR GRAPH BASED K-REDUNDANT RESILIENCY FOR IT CLOUD - A method for enabling resiliency for cloud computing systems is described. The method includes modifying a topology graph of a network architecture by mapping processes flows onto the topology graph. A resiliency graph is created based on the modified topology graph. The method includes modifying the resiliency graph by translating at least one SLA into the resiliency graph. Overlaps and dependencies in the modified resiliency graph are identified. Apparatus and computer readable instructions are also described. | 10-16-2014 |
20140310565 | SCAN COMPRESSION RATIO BASED ON FAULT DENSITY - A processor-implemented method for determining scan compression ratio based on fault density is provided. The processor-implemented method may include calculating, by a processor, a fault density value for each of a plurality of partitions of an integrated circuit. The fault density is computed by the processor based on a ratio of a total number of faults per partition to a total number of flip-flops per partition. The processor-implemented method further includes the processor determining a compression ratio for each of the plurality of partitions based on the fault density value for each of the plurality of partitions and applying the compression ratio to each of the plurality of the partitions of the integrated circuit. | 10-16-2014 |
20140312423 | SIMPLIFIED MULTI-THRESHOLD VOLTAGE SCHEME FOR FULLY DEPLETED SOI MOSFETS - A method for semiconductor fabrication includes providing channel regions on a substrate including at least one Silicon Germanium (SiGe) channel region, the substrate including a plurality of regions including a first region and a second region. Gate structures are formed for a first n-type field effect transistor (NFET) and a first p-type field effect transistor (PFET) in the first region and a second NFET and a second PFET in the second region, the gate structure for the first PFET being formed on the SiGe channel region. The gate structure for the first NFET includes a gate material having a first work function and the gate structures for the first PFET, second NFET and second PFET include a gate material having a second work function such that multi-threshold voltage devices are provided. | 10-23-2014 |
20140312426 | 6T SRAM ARCHITECTURE FOR GATE-ALL-AROUND NANOWIRE DEVICES - A memory device includes a first plurality of semiconductor nanowires tethered between landing pads and suspended over a substrate. A first gate electrode surrounds each of the first plurality of semiconductor nanowires, making them gate-all-around (GAA) semiconductor nanowires. First, second, and third field effect transistors (FETs) are formed by the first plurality of semiconductor nanowires. The memory device also includes a second plurality of semiconductor nanowires tethered between landing pads and suspended over the substrate. A second gate electrode surrounds each of the second plurality of semiconductor nanowires, making them GAA semiconductor nanowires. Fourth, fifth, and sixth FETs are formed by the second plurality of semiconductor nanowires. The first gate electrode is aligned with and cross-coupled to a landing pad of the second plurality of semiconductor nanowires, and the second gate electrode is aligned with and cross-coupled to a landing pad of the first plurality of semiconductor nanowires. | 10-23-2014 |
20140312986 | TUNABLE INTERCONNECT STRUCTURES, AND INTEGRATED CIRCUIT CONTAINING THE SAME - Tunable interconnect structures, integrated circuits containing the tunable interconnect structures and methods of manufacturing the same are disclosed. The interconnect transmission line structure includes a signal conductor and a plurality of conductors in proximity to the signal conductor. The structure further includes one or more switchable conductors in proximity to at least the signal conductor. The one or more switchable conductors has a programmable wiring switch with a terminal connected to the one or more switchable conductors and another terminal connected to ground. | 10-23-2014 |
20140315390 | GRAPHO-EPITAXY DSA PROCESS WITH DIMENSION CONTROL OF TEMPLATE PATTERN - A method for defining a template for directed self-assembly (DSA) materials includes patterning a resist on a stack including an ARC and a mask formed over a hydrophilic layer. A pattern is formed by etching the ARC and the mask to form template lines which are trimmed to less than a minimum feature size (L). Hydrophobic spacers are formed on the template lines and include a fractional width of L. A neutral brush layer is grafted to the hydrophilic layer. A DSA material is deposited between the spacers and annealed to form material domains in a form of alternating lines of a first and a second material wherein the first material in contact with the spacers includes a width less than a width of the lines. A metal is added to the domains forming an etch resistant second material. The first material and the spacers are removed to form a DSA template pattern. | 10-23-2014 |
20140316548 | SIBLING LOT PROCESSING - A supply chain management system for controlling component processes includes a computer device that is adapted to: detect a plurality of component processes; create a relative value of each one of the plurality of component processes; prioritize each one of the plurality of component processes according to sibling relationships; and control a flow of the plurality of component processes in a manufacturing line based on the prioritizing. | 10-23-2014 |
20140316767 | PREVENTING FRUSTRATION IN ONLINE CHAT COMMUNICATION - Monitoring an internet chat in which a text transcript is generated by at least two chat participants, by: (i) performing a simple check on the text transcript for existence of a potential frustration precondition; and (ii) on condition that a frustration precondition is found, performing text analytics type analysis on the text transcript to determine whether potential frustration is evidenced by the text transcript. If it is determined that potential frustration is evidenced by the chat transcript then responsive action is taken to prevent and/or stem the frustration. | 10-23-2014 |
20140316860 | COMMON CONDITIONS FOR PAST PROJECTS AS EVIDENCE FOR SUCCESS CAUSES - A processor-implemented method, system, and/or computer program product identifies a cause of a level of success in multiple projects from a set of past projects. Multiple artifacts describe conditions associated with each project from a set of past projects, where a cause for a level of success in the past projects is initially unknown. Logic identifies a set of common artifacts in multiple projects from the set of past projects, where the set of common artifacts describes common conditions that are common to all of the multiple projects. Additional logic identifies a level of success experienced by each of the multiple projects. In response to a particular set of projects having a same level of success, common conditions identified by the set of common artifacts are identified as the cause for the same level of success experienced by all of the projects in the particular set of projects. | 10-23-2014 |
20140318968 | NANOPORE SENSOR FOR DETECTING MOLECULAR INTERACTIONS - A nanosensor for detecting molecule characteristics includes a membrane having an opening configured to permit a charged carbon nanotube to pass but to block a molecule attached to the carbon nanotube. The opening is filled with an electrolytic solution. An electric field generator is configured to generate an electric field relative to the opening to drive the charged carbon nanotubes through the opening. A sensor circuit is coupled to the electric field generator to sense current changes due to charged carbon nanotubes passing into the opening, and to bias the electric field generator to determine a critical voltage related to a force of separation between the carbon nanotube and the molecule. | 10-30-2014 |
20140319206 | ACCESSING TRANSACTION DOCUMENTS - According to one embodiment of the present invention, a system accesses transaction documents. A unique code is generated for each transaction for one or more products, wherein the unique code is associated with a transaction document including transaction information and each product is associated with a product code. The system stores the unique code and corresponding one or more product codes associated with each transaction, and accesses one or more transaction documents based on an identifier of at least one product associated with a corresponding transaction of the one or more transaction documents. Embodiments of the present invention further include a method and computer program product for accessing transaction documents in substantially the same manners described above. | 10-30-2014 |
20140320607 | Multifunctional Sky Camera System for Total Sky Imaging and Spectral Radiance Measurement - A multifunctional sky camera system and techniques for the use thereof for total sky imaging and spectral irradiance/radiance measurement are provided. In one aspect, a sky camera system is provided. The sky camera system includes an objective lens having a field of view of greater than about 170 degrees; a spatial light modulator at an image plane of the objective lens, wherein the spatial light modulator is configured to attenuate light from objects in images captured by the objective lens; a semiconductor image sensor; and one or more relay lens configured to project the images from the spatial light modulator to the semiconductor image sensor. Techniques for use of the one or more of the sky camera systems for optical flow based cloud tracking and three-dimensional cloud analysis are also provided. | 10-30-2014 |
20140324546 | SIMULTANEOUS RETAIL AND TRANSFER PRICE OPTIMIZATIONS - A request for a simultaneous retail and transfer price optimization is received. The request includes a mutual optimization goal of each of at least two participants of a supply chain. Simultaneous optimization input constraints are selected based upon the mutual optimization goal. The requested simultaneous retail and transfer price optimization is performed using the selected simultaneous optimization input constraints. A set of optimized values of the mutual optimization goal is determined, based upon the simultaneous retail and transfer price optimization, using the selected simultaneous optimization input constraints. Each value of the set of optimized values is associated with one of the at least two participants of the supply chain. Output is generated that identifies the determined set of optimized values of the mutual optimization goal. | 10-30-2014 |
20140324857 | DYNAMIC ASSIGNMENT OF BUSINESS LOGIC BASED ON SCHEMA MAPPING METADATA - Provided are techniques for dynamic assignment of business logic based on schema mapping metadata. A first transformation is performed using a simplified map and a structural transformation map that maps attributes between a source system and a target system to generate simplified map data that includes source attribute and target attribute pairs. A document that includes combined data is generated using the simplified map data and source system metadata containing contextual values of the source system. A second transformation is performed to generate transformed contextual metadata containing contextual values for the target system using a contextual metadata transformation map and the combined data. | 10-30-2014 |
20140325011 | RDMA-OPTIMIZED HIGH-PERFORMANCE DISTRIBUTED CACHE - A server and/or a client stores a metadata hash map that includes one or more entries associated with keys for data records stored in a cache on a server. Each of the entries stores metadata for a corresponding data record, wherein the metadata comprises a server-side remote pointer that references the corresponding data record stored in the cache, as well as a version identifier for the key. A selected data record is accessed using a provided key by: (1) identifying potentially matching entries in the metadata hash map using the provided key; (2) accessing data records stored in the cache using the server-side remote pointers from the potentially matching entries; and (3) determining whether the accessed data records match the selected data record using the provided key and the version identifiers from the potentially matching entries. | 10-30-2014 |
20140325492 | SELECTIVE SPECULATIVE CLASS-BASED OPTIMIZATION - A method, apparatus, and/or computer program product performs selective speculative class-based optimization through the use of a type propagation analysis of class. Responsive to a determination that the type of class is not a fixed type, a determination is made as to whether the class is sub-classed. Responsive to a determination the class is not sub-classed, a virtual synthetic property method is created. The virtual synthetic property method is accommodated in an enhanced java virtual machine using a stack frame type. The stack frame type has associated metadata for throwing an exception and an invisibility property. The virtual synthetic property method, along with a virtual guard, is inlined, and a slow path is created. The slow path handles executions of the virtual synthetic property method when sub-classes of the class, which override an implementation of the virtual synthetic property method, are loaded in the future. | 10-30-2014 |
20140326613 | Techniques for Fabricating Janus Sensors - Electromechanical sensors that employ Janus micro/nano-components and techniques for the fabrication thereof are provided. In one aspect, a method of fabricating an electromechanical sensor includes the following steps. A back gate is formed on a substrate. A gate dielectric is deposited over the back gate. An intermediate layer is formed on the back gate having a micro-fluidic channel formed therein. Top electrodes are formed above the micro-fluidic channel. One or more Janus components are placed in the micro-fluidic channel, wherein each of the Janus components has a first portion having an electrically conductive material and a second portion having an electrically insulating material. The micro-fluidic channel is filled with a fluid. The electrically insulating material has a negative surface charge at a pH of the fluid and an isoelectric point at a pH less than the pH of the fluid. | 11-06-2014 |
20140327468 | PHYSICAL UNCLONABLE FUNCTION GENERATION AND MANAGEMENT - Methods, systems and devices related to authentication of chips using physical physical unclonable functions (PUFs) are disclosed. In preferred systems, differentials of PUFs are employed to minimize sensitivity to temperature variations as well as other factors that affect the reliability of PUF states. In particular, a PUF system can include PUF elements arranged in series and in parallel with respect to each other to facilitate the measurement of the differentials and generation of a resulting bit sequence for purposes of authenticating the chip. Other embodiments are directed to determining and filtering reliable and unreliable states that can be employed to authenticate a chip. | 11-06-2014 |
20140327538 | CABLE TRACING IN A DATACENTER - A cable detection system may be implemented in a datacenter to locate the path of a cable. The cable detection system includes a signal generator and driver circuit having its output in communication with a transformer, wherein the transformer has a first output coupled to a cable shield of an elongated cable and a second output coupled to a dedicated transmission wire extending lengthwise with the cable and exterior to the cable shield. The cable detection system further includes a plurality of detection circuits, wherein each detection circuit includes an electromagnetically-powered receiver coupled to a light emitting diode, and wherein radio frequency signals emitted from the transmission wire activate the light emitting diodes of the detection circuits within a detection distance of the cable. | 11-06-2014 |
20140327985 | ADJUSTING TENSION IN A TAPE MEDIA TO COUNTER TAPE DIMENSIONAL STABILITY (TDS) ERRORS IN A TAPE MEDIA - Provided are a method, system, and program for adjusting tension in a tape media to counter tape dimensional stability (TDS) errors in a tape media. A determination is made of whether to adjust tension of the tape media based on a detected error rate of reading at least one of the tracks. The tension of the tape media is adjusted in response to determining to adjust the tension. | 11-06-2014 |
20140328132 | MEMORY MARGIN MANAGEMENT - A method for testing and correcting a memory system is described. The method includes selecting a target memory unit of the memory system having a timing margin in response to a trigger to start a timing margin measurement. The stored data in the target memory unit is moved to a spare memory unit. The memory system performs reads and writes of user data from the spare memory unit while measuring the target memory unit. The timing margins of the target memory unit are measured. The reliability of the measured timing margins of the target memory unit based on a timing margin profile is determined. | 11-06-2014 |
20140329351 | FABRICATING A SMALL-SCALE RADIATION DETECTOR - A method for a constructing radiation detector includes fabricating a multi-layer structure upon a wafer, the multi-layer structure comprising a plurality of metal layers, a plurality of sacrificial layers, and a plurality of insulating layers, forming a cavity within the multi-layer structure, filling the cavity with a gas that ionizes in response to nuclear radiation, and sealing the gas within the cavity. | 11-06-2014 |
20140330680 | E-COMMERCE SYSTEM THAT ALLOWS FOR SELLER RESTRICTIONS BASED ON SOCIAL MEDIA ACCOUNT CHARACTERISTICS - A computer receives a first restriction on the sale of an item from a seller, wherein the first restriction is based on at least one social media account characteristic. The computer receives an offer for purchase of the item from a buyer, wherein the offer includes characteristics of a social media account of the buyer. The computer determines whether the characteristics of the social media account of the buyer satisfies the first restriction on the sale of the item. Based on the determination of whether the characteristics of the social media account of the buyer satisfies the first restriction on the sale of the item, the computer determines whether to transmit the offer for purchase of the item to the seller. | 11-06-2014 |
20140331016 | APPLICATION-DIRECTED MEMORY DE-DUPLICATION - In a computing system including an application executing on top of a virtualization control layer, wherein the virtualization control layer maps portions of a virtual memory to portions of a physical memory, a method for managing memory including: identifying, by the application, a range of virtual memory whose probability of being replicated in the virtual memory exceeds a given threshold; obtaining, by the application, at least one memory address corresponding to the range of virtual memory; and passing, from the application to the virtualization control layer, an identifier for the range of virtual memory and the memory address corresponding to the range of virtual memory, wherein the identifier is useable by the virtualization control layer to identify similar ranges within the virtual memory. | 11-06-2014 |
20140331220 | LIVE APPLICATION MOBILITY FROM ONE OPERATING SYSTEM LEVEL TO AN UPDATED OPERATING SYSTEM LEVEL - Provided are techniques for comparing a first fileset associated with a first operating system (OS) with a second fileset associated with a second OS; determining, based upon the comparing, that the second OS is a more current version of the first OS; in response to the determining that the second OS is a more current version of the first OS, moving, in conjunction with live application mobility, a virtual machine (VM) workload partition (WPAR) on the first LPAR to a second LPAR, the moving comprising determining a set of overlays associated with the WPAR corresponding to the second OS; removing from the WPAR any overlays associated with the first OS; applying to the WPAR a set of overlays corresponding to the second OS; check pointing processes associated with the WAPR; and copying live data associated with the LPAR from the first LPAR to the second LPAR. | 11-06-2014 |
20140332855 | REDUCED SHORT CHANNEL EFFECT OF III-V FIELD EFFECT TRANSISTOR VIA OXIDIZING ALUMINUM-RICH UNDERLAYER - In one embodiment, a method of forming a semiconductor device is provided that may include forming a semiconductor device including a gate structure on a channel portion of III-V semiconductor substrate. The III-V semiconductor substrate including a III-V base substrate layer, an aluminum containing III-V semiconductor layer that is present on the III-V base substrate layer, and a III-V channel layer. Oxidizing a portion of the aluminum containing III-V semiconductor layer on opposing sides of the gate structure. Forming a raised source region and a raised drain region over the portion of the aluminum containing III-V semiconductor layer that has been oxidized. Forming interconnects to the raised source region and the raised drain region. | 11-13-2014 |
20140335904 | CHAT INTERACTION BETWEEN MOBILE PHONE AND NON-MOBILE PHONE DEVICES - A method, computer program product, and computer system for identifying content on a computing device. A selection of an intended recipient of an electronic message is received. The content is transmitted from the computing device to a first mobile computing device. The content is transmitted from the first mobile computing device to a second mobile computing device via the electronic message. | 11-13-2014 |
20140337009 | ENHANCING TEXT-BASED ELECTRONIC COMMUNICATIONS USING PSYCHO-LINGUISTICS - Embodiments of the present invention relate to enhancing text-based electronic communications using psycho-linguistics. In one embodiment, a first repository that includes a predetermined general personality profile and/or a dictionary that includes words, phrases, and/or sentences that are correlated with the personality profile is generated. A second repository that includes a predetermined participant personality profile for a participant and/or a dictionary of words, phrases, and/or sentences that are correlated with the predetermined participant personality profile is generated. An analysis on the electronic communication using the first repository and/or the second repository is performed. An alternative suggestion for a word, phrase, and/or sentence included in the electronic communication that is correlated with a predetermined participant personality profile and/or a predetermined general personality profile is generated. Words included in the electronic communication that have a correlation with the predetermined participant personality profile of the participant are determined. | 11-13-2014 |
20140337357 | DOCUMENT TAGGING AND RETRIEVAL USING PER-SUBJECT DICTIONARIES INCLUDING SUBJECT-DETERMINING-POWER SCORES FOR ENTRIES - Techniques for managing big data include tagging of documents and subsequent retrieval using per-subject dictionaries having entries with subject-determining-power scores. The subject-determining-power scores provide an indication of the descriptive power of the term with respect to the subject of the dictionary containing the term. The same term may have entries in multiple dictionaries with different subject-determining-power scores in each of the dictionaries. A retrieval request for one or more documents containing search terms descriptive of the one or more documents can be processed identifying a set of candidate documents tagged with subjects and optional terms, and then applying subject-determining-power scores from the multiple dictionaries for the search term to determine a subject for the search term. The method then selects the one or more documents from the candidate documents according to the subject. | 11-13-2014 |
20140337855 | Termination of Requests in a Distributed Coprocessor System - A system and method of terminating processing requests dispatched to a coprocessor hardware accelerator in a multi-processor computer system based on matching various fields in the request made to the coprocessor to identify the process to be terminated. A kill command is initiated by a write operation to a coprocessor block kill register and has match enable and value for each field in the coprocessor request to be terminated. Enabled fields may have one or more values associated with a single request or multiple requests for the same coprocessor. At least one match enable must be set to initiate a kill request. A process kill active signal prevents other coprocessor jobs from moving between operational stages in the coprocessor hardware accelerator. Processing jobs that are idle or do not match the fields with match enables set signal done with no match and continue processing. Processing jobs that do match the fields with match enables set are terminated and signal done with match. When all processing jobs have signaled done, a done bit is set in the coprocessor block kill register to indicate completion of the kill to the initiating software. The register also holds the match status of each processing job. | 11-13-2014 |
20140344845 | SYSTEM AND METHOD FOR REAL-TIME NEW EVENT DETECTION ON VIDEO STREAMS - Techniques are disclosed for detecting new events in a video stream that yield improved detection efficiency in real time. For example, a method determines whether a given event is a new event in a video stream. The video stream includes a plurality of events. A first step extracts a first set of features (e.g., text features) from the given event. The first set of features is computationally less expensive to process as compared to a second set of features (e.g., image features) associated with the given event. A second step computes one or more first dissimilarity values between the given event and one or more previous events in the video stream using only the first set of features when one or more first dissimilarity criteria exist. A third step determines whether the given event is a new event based on the one or more computed first dissimilarity values. | 11-20-2014 |
20140379625 | SPIKE TAGGING FOR DEBUGGING, QUERYING, AND CAUSAL ANALYSIS - Embodiments of the invention relate to spike tagging for a neural network. One embodiment comprises a neural network including multiple electronic neurons and a plurality of weighted synaptic connections interconnecting the neurons. An originating neuron of the neural network generates a spike event and a message tag that includes information relating to said originating neuron. A neuron of the neural network receives a spike event and a message tag from an interconnected neuron. In response to one or more received spike events, a receiving neuron spikes and sends a message tag selected from received message tags to an interconnected neuron. | 12-25-2014 |
20150058614 | Distributed Acceleration Devices Management for Streams Processing - A method for managing distributed computer data stream acceleration devices is provided that utilizes distributed acceleration devices on nodes within the computing system to process inquiries by programs executing on the computing system. The available nodes and available acceleration devices in the computing system are identified. In addition, a plurality of virtual acceleration device definitions is created. Each virtual acceleration device definition includes attributes used to configure at least one of the plurality of identified acceleration devices. When an inquiry containing an identification of computing system resources to be used in processing the inquiry is received, at least one virtual acceleration device definition that is capable of configuring an acceleration device in accordance with the computing system resources identified by the inquiry is identified. That acceleration device is configured in accordance with the identified virtual acceleration device definition and is used to process the inquiry. | 02-26-2015 |