Entries |
Document | Title | Date |
20080214014 | ABSORBER LAYER CANDIDATES AND TECHNIQUES FOR APPLICATION - The present invention generally provides an absorber layer using carbon based materials with increased and stabled thermal absorption coefficient and economical methods to produce such an absorber layer. One embodiment of the present invention provides a method for processing a substrate comprising depositing an absorber layer on a top surface of the substrate, wherein the substrate is maintained under a first temperature, annealing the substrate in a thermal processing chamber, wherein the substrate is heated to a second temperature, and the second temperature is higher than the first temperature, and removing the absorber layer from the substrate. | 09-04-2008 |
20080214015 | Semiconductor devices and methods of manufacture thereof - Semiconductor devices and methods of manufacture thereof are disclosed. In one embodiment, a method of fabricating a semiconductor device includes providing a workpiece, and forming a dielectric material over the workpiece. Forming the dielectric material includes forming a first layer of a first material and forming a second layer of a second material. The first material includes AO | 09-04-2008 |
20080220617 | Deep STI trench and SOI undercut enabling STI oxide stressor - A method for imparting stress to the channel region of a transistor is provided. In accordance with the method, a semiconductor layer ( | 09-11-2008 |
20080233761 | Fabrication method of semiconductor integrated circuit device - An object of the present invention is to provide a fabrication method of a semiconductor integrated circuit device capable of improving the throughput, reducing the cost of a cleaning gas and prolonging the life of a process kit by automatically detecting the end point of cleaning in a chamber. A cleaning gas converted into plasma in a plasma gas generator is introduced into a chamber to remove an unnecessary film deposited over the interior wall of the chamber or electrode. By an RF power source adjusted to low output from the film formation time, a high frequency voltage is applied to a lower electrode and an upper electrode. This voltage is detected by an RF sensor and amplified by an electronic module. The voltage thus amplified by the electronic module is input to a termination controller. The termination controller automatically judges the termination of cleaning when the voltage thus input becomes substantially constant at a predetermined voltage or greater. | 09-25-2008 |
20080242105 | Semiconductor manufacturing apparatus, semiconductor wafer manufacturing method using this apparatus, and recording medium having program of this method recorded therein - Foreign particles are prevented from adhering to a semiconductor wafer in a semiconductor manufacturing apparatus including (a) a hot plate which heats a semiconductor wafer to increase its temperature and which has a suction/discharge hole through which a negative pressure is supplied to suck and hold said semiconductor wafer at a rear surface thereof, and through which a gas is ejected to control the increase in temperature of said semiconductor wafer; and (b) a film forming section which forms a film used for production of a semiconductor device on a front surface of the semiconductor wafer, wherein the gas is ejected from the suction/discharge hole when the hot plate is placed on the film forming section and the hot plate does not hold the semiconductor wafer. | 10-02-2008 |
20080261407 | SEMICONDUCTOR DEVICE WITH HYDROGEN BARRIER AND METHOD THEREFOR - A method of forming a semiconductor device comprises providing a portion of a semiconductor device structure, wherein the portion includes a region susceptible to hydrogen incorporation due to subsequent device processing. For example, the subsequent device processing can include one or more of (i) forming a layer over the region, wherein the layer includes hydrogen and (ii) using gases containing hydrogen in a plasma for the subsequent device processing, wherein the semiconductor device is subject to an undesirable device characteristic alteration by hydrogen incorporation into the region. The method further comprises forming a hydrogen barrier layer overlying the region, wherein the hydrogen barrier layer prevents substantial migration of hydrogen made available due to the subsequent device processing into the underlying region. The method further includes performing the subsequent device processing. | 10-23-2008 |
20080261408 | METHODS FOR FABRICATING A STRESS ENHANCED SEMICONDUCTOR DEVICE HAVING NARROW PITCH AND WIDE PITCH TRANSISTORS - A method is provided for fabricating a semiconductor device on a semiconductor substrate. A plurality of narrow gate pitch transistors (NPTs) and wide gate pitch transistors (WPTs) are formed on and in the semiconductor substrate. The NPTs are spaced apart by a first distance, and the WPTs are spaced apart by a second distance greater than the first distance. A first stress liner layer is deposited overlying the NPTs, the WPTs and the semiconductor layer, an etch stop layer is deposited overlying the first stress liner layer, and a second stress liner layer is deposited overlying the etch stop layer. A portion of the second stress liner layer which overlies the WPTs is covered, and an exposed portion of the second stress liner layer which overlies the NPTs is removed to expose an exposed portion of the etch stop layer. The exposed portion of the etch stop layer which overlies the NPTs is removed. | 10-23-2008 |
20080261409 | PROCESSING DEVICE AND METHOD FOR PROCESSING A SUBSTRATE - A processing device for producing a layer system including at least one layer of an organic light emitting semiconductor material (OLED), comprises (1) a configuration of one or more treatment stations for processing the substrate in the treatment stations and (2) a first encapsulation module for providing an encapsulation element on the layer system deposited on the substrate. Furthermore, the processing device comprises at least a second encapsulation module, and is configured to provide the encapsulation element on the coated substrate in the first or second encapsulation module alternatively. By providing two encapsulation modules, the second module may be cleaned during a continuous operation of the processing device, while the first encapsulation module is generating an encapsulation on a coated substrate. In this way, a continuous operation of a coating device for depositing an OLED coating and an encapsulation element on the OLED coating is provided. | 10-23-2008 |
20080280453 | APPARATUS AND METHOD FOR SUPPORTING, POSITIONING AND ROTATING A SUBSTRATE IN A PROCESSING CHAMBER - Embodiments of the invention contemplate a method, apparatus and system that are used to support, position, and rotate a substrate during processing. Embodiments of the invention may also include a method of controlling the transfer of heat between a substrate and substrate support positioned in a processing chamber. The apparatus and methods described herein remove the need for complex, costly and often unreliable components that would be required to accurately position and rotate a substrate during one or more processing steps, such as an rapid thermal processing (RTP) process, a chemical vapor deposition (CVD) process, a physical vapor deposition (PVD) process, atomic layer deposition (ALD) process, dry etching process, wet clean, and/or laser annealing process. | 11-13-2008 |
20080318436 | Antireflective Coating Material - Antireflective coatings comprising (i) a silsesquioxane resin having the formula (PhSiO (3-x)/2 (OH) x) mHSiO (3-x)/2 (OH) x) n (MeSiO (3-x)/2 (OH) x) p where Ph is a phenyl group, Me is a methyl group, x has a value of 0, 1 or 2; m has a value of 0.01 to 0.99, n has a value of 0.01 to 0.99, p has a value of 0.01 to 0.99, and m+n+p=1; (ii) a polyethylene oxide fluid; and (iii) a solvent; and a method of forming said antireflective coatings on an electronique device. | 12-25-2008 |
20090004877 | SUBSTRATE PROCESSING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - Disclosed is a substrate processing apparatus which includes: a processing chamber to process a substrate; an exhaust path to exhaust the processing chamber; an exhaust device; an exhaust valve to open and close the exhaust path; a raw material gas supply member to supply raw material gas which contributes to film forming into the processing chamber; a cleaning gas supply member to supply cleaning gas which removes an accretion which adheres to an inside of the processing chamber with the raw material gas being supplied, the cleaning gas supply member comprising a supply path to supply the cleaning gas to the processing chamber and a supply valve to open and close the supply path; and a control section which controls the exhaust valve and the supply valve to supply the cleaning gas from the supply path to the processing chamber with exhaustion of the processing chamber being stopped. | 01-01-2009 |
20090011606 | Substrate Processing Apparatus and Semiconductor Device Producing Method - A substrate processing apparatus, comprising: a processing chamber which provides a space for flowing desired gas and for depositing a desired film on a substrate; a lamp unit group having at least one lamp unit which is disposed in the processing chamber and which includes a filament for heating the substrate and a lamp tube surrounding the filament; at least first and second casings which surround the lamp unit, the first casing surrounding the lamp unit and the second casing surrounding the first casing; and a refrigerant flowing apparatus for flowing cooling medium to a first space formed between the lamp unit and the first casing, and to a second space formed between the first casing and the second casing, is disclosed. | 01-08-2009 |
20090017637 | METHOD AND APPARATUS FOR BATCH PROCESSING IN A VERTICAL REACTOR - The present invention generally provides an apparatus and method for the processing a plurality of substrates in a batch processing chamber. One embodiment of the present invention provides a method for processing a plurality of substrates comprising positioning the plurality of substrates in an inner volume of a batch processing chamber, wherein the plurality of substrates are arranged in a substantially parallel manner, and at least a portion of the plurality of substrates are positioned with a device side facing downward, and flowing one or more processing gases cross the plurality of substrates. | 01-15-2009 |
20090017638 | Substrate processing apparatus and method for manufacturing semiconductor device - It is intended to provide a substrate processing apparatus and a semiconductor device manufacturing method capable of suppressing formation of a film inside a nozzle and extending a replacement or maintenance cycle of the nozzle, thereby realizing improvement in operation rate of the apparatus. A substrate processing apparatus comprising: a reaction container for performing a processing for generating a film containing a plurality of elements on a substrate; a heater for heating an inside of the reaction container; at least one nozzle that is provided inside the reaction container in such a fashion that at least a part thereof is opposed to the heater for supplying a first gas containing at least one of the plurality of elements forming the film and capable of depositing a film by itself into the reaction container; and a circulation pipe that is provided in such a fashion as to cover at least the part of the nozzle opposed to the heater for supplying a second gas containing at least one of the plurality of elements forming the film and not capable of depositing a film by itself into the reaction container after circulating the second gas thereinside. | 01-15-2009 |
20090023297 | METHOD AND APPARATUS FOR HMDS TREATMENT OF SUBSTRATE EDGES - A system for dispensing an adhesion promoting chemical includes a support plate configured to support a substrate and a dispense nozzle in fluid communication with a source of the adhesion promoting chemical, for example, HMDS. The dispense nozzle is positioned adjacent a peripheral portion of the substrate and at a first radial distance. The system also includes an exhaust aperture in fluid communication with a system exhaust. The exhaust aperture is positioned adjacent to dispense nozzle and at a second radial distance greater than the first radial distance. | 01-22-2009 |
20090029561 | Semiconductor processing apparatus - There is provided a semiconductor processing apparatus comprising a processing tube for housing a substrate support member that supports a plurality of substrates stacked at a prescribed pitch in a vertical direction; a gas supply part that extends in a direction in which the substrates are stacked in the processing tube and that has a plurality of gas supply openings; an exhaust part that opens onto the processing tube; a gas rectifying plate that is disposed in a space between a penumbra of the substrates supported on the substrate support member and an inner wall of the processing tube, and that extends from the gas supply part in a circumferential direction of the processing tube and in the direction in which the substrates are stacked; and a gas flow regulating part disposed in a space in the processing tube that is above a top-most gas supply opening and a top-most substrate and in a space in the processing tube that is below a bottom-most substrate and a bottom-most gas supply opening. A thin film formed on the substrate can be made more uniform. | 01-29-2009 |
20090035945 | MANUFACTURING METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - In remote plasma cleaning, it is difficult to locally excite a plasma because the condition is not suitable for plasma excitation different from that at the time of film formation and a method using light has a problem of fogginess of a detection window that cannot be avoided in a CVD process and is not suitable for a mass production process. In order to solve these problems, the outline of the present invention is a manufacturing method of a semiconductor integrated circuit device in which a step of depositing a desired film by exciting a reaction gas using a plasma in a reaction chamber and a step of introducing a cleaning gas excited in a remote plasma excitation chamber into the reaction chamber and performing remote plasma cleaning of the reaction chamber in an atmosphere without plasma excitation are repeated, wherein a local plasma is generated in the reaction chamber or a vacuum system for evacuating the reaction chamber by a plasma excitation system of capacitively coupled type and the end point of the remote plasma cleaning is detected by monitoring the electrical characteristic of the plasma. | 02-05-2009 |
20090061643 | SUBSTRATE PROCESSING METHOD AND RECORDING MEDIUM - A substrate processing method using a substrate processing apparatus including: a process container holding a substrate to be processed therein; first gas supplying means having flow rate adjusting means for supplying a first process gas to the process container; and second gas supplying means supplying a second process gas to the process container, the substrate processing method including: a first step of controlling a flow rate of the first process gas to be a first flow rate by the flow rate adjusting means and supplying the first process gas in a first direction; a second step of discharging the first process gas from the process container; a third step of supplying the second process gas to the process container; and a fourth step of discharging the second process gas from the process container, in a repeated manner, wherein a step of stabilizing the flow rate of the process gas is set between a primary first step and a secondary first step performed subsequently to the primary first step. | 03-05-2009 |
20090068848 | SYSTEMS AND METHODS FOR MANIPULATING LIQUID FILMS ON SEMICONDUCTOR SUBSTRATES - A semiconductor substrate undergoing processing to fabricate integrated circuit devices thereon is spun about a rotational axis while introducing liquid onto a surface of the substrate. An annular-shaped sheet of liquid is formed on the surface, the sheet of liquid having an inner diameter defining a liquid-free void. The size of a diameter of the void is reduced by manipulation of the annular-shaped sheet of liquid. The void may then be enlarged until the surface is substantially dry. The annular-shaped sheet of liquid may be formed and altered by selectively moving a contact area on the surface of the substrate on which the liquid is introduced. Systems for processing a substrate and configured to deposit and manipulate a sheet of liquid thereon are also disclosed. | 03-12-2009 |
20090087997 | Passivation film and method of forming the same - A passivation film and a method of forming the same are provided, the passivation film being used in a plasma display panel etc. In the passivation film, a first MgO layer, an intervening layer, and a second MgO layer are laminated and a laser is then irradiated to oxidize the intervening layer. Simultaneously, defects are formed at the interfaces of the first and second MgO layers. Accordingly, a plasma discharge firing voltage greatly decreases, and the total power consumption of the plasma display panel is significantly reduced. | 04-02-2009 |
20090104784 | FUNCTIONAL FILM CONTAINING STRUCTURE AND METHOD OF MANUFACTURING FUNCTIONAL FILM - A method of manufacturing a functional film by which the functional film formed on a film formation substrate can be easily peeled from the film formation substrate. The method includes the steps of: (a) forming a separation layer by using an inorganic material on a substrate containing a material having heat tolerance to a predetermined temperature; (b) forming a layer to be peeled containing a functional film, which is formed by using a functional material, on the separation layer; and (c) performing heat treatment on a structure containing the substrate, the separation layer and the layer to be peeled at the predetermined temperature so as to peel the layer to be peeled from the substrate or reducing bonding strength between the layer to be peeled and the substrate. | 04-23-2009 |
20090111278 | MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE AND MANUFACTURING APPARATUS FOR SEMICONDUCTOR DEVICE - A manufacturing method for a semiconductor device includes retaining a wafer in a reaction chamber, supplying first process gas including source gas and second process gas containing H | 04-30-2009 |
20090124092 | METHODS OF SELECTIVE DEPOSITION OF FINE PARTICLES ONTO SELECTED REGIONS OF A SUBSTRATE - A method for depositing fine particles from a suspension on selected regions of a substrate is disclosed. The particles are deposited on selected regions of a clean hydrophobic semiconductor surface that are surrounded by a wetting boundary which includes a mesa formed by etching through a silicon-on-insulator (SOI) film and an underlying buried oxide of an SOI substrate. The process is well suited for the growth of semiconductor nanowires that nucleates from fine particle used as a catalyst. | 05-14-2009 |
20090142933 | MANUFACTURING APPARATUS FOR SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE - A manufacturing apparatus for a semiconductor device, includes: a reaction chamber to which a wafer w is loaded; a gas supply port for supplying first process gas including source gas from an upper portion of the reaction chamber; a first rectifying plate for supplying the first process gas onto the wafer in a rectifying state; a first gas exhaust port for exhausting gas from a lower portion of the reaction chamber; a second gas exhaust port for exhausting gas from the upper portion of the reaction chamber; a heater for heating the wafer w; a susceptor for retaining the wafer w; and a rotation drive unit for rotating the wafer w. | 06-04-2009 |
20090156015 | DEPOSITION APPARATUS - A deposition apparatus configured to form a thin film on a substrate includes: a reactor wall; a substrate support positioned under the reactor wall; and a showerhead plate positioned above the substrate support. The showerhead plate defines a reaction space together with the substrate support. The apparatus also includes one or more gas conduits configured to open to a periphery of the reaction space at least while an inert gas is supplied therethrough. The one or more gas conduits are configured to supply the inert gas inwardly toward the periphery of the substrate support around the reaction space. This configuration prevents reactant gases from flowing between a substrate and the substrate support during a deposition process, thereby preventing deposition of an undesired thin film and impurity particles on the back side of the substrate. | 06-18-2009 |
20090170337 | Device for Processing Substrate and Method of Manufacturing Semiconductor Device - Provided is a substrate processing apparatus and a method of manufacturing a semiconductor device, which are hard to cause a defect in processing a substrate owing to that a pressure inside a process chamber is not kept constant, and which enable a better processing of a substrate. The substrate processing apparatus has: a process chamber for processing a substrate; a reactive gas-supplying module for supplying a reactive gas into the process chamber; a reactive gas-supplying line for supplying the reactive gas from the reactive gas-supplying module into the process chamber; an exhaust line for exhausting an inside of the process chamber; a pump provided in the exhaust line for vacuumizing the inside of the process chamber; a pressure-adjusting valve provided in the exhaust line for adjusting a pressure in the process chamber; a first pressure-measuring instrument for measuring an inside pressure of the process chamber; a second pressure-measuring instrument for measuring a differential pressure between the inside pressure of the process chamber and an outside pressure thereof; and a controller which controls the pressure-adjusting valve based on a value of the inside pressure of the process chamber measured by the first pressure-measuring instrument so as to keep the inside pressure of the process chamber constant, and controls the reactive gas-supplying module based on a value of the differential pressure measured by the second pressure-measuring instrument so as to allow supply of the reactive gas into the process chamber in a case of the inside pressure of the process chamber being smaller than the outside pressure thereof, and so as to preclude supply of the reactive gas into the process chamber in a case of the inside pressure of the process chamber being larger than the outside pressure thereof when processing the substrate. | 07-02-2009 |
20090170338 | Substrate Treatment Device and Manufacturing Method of Semiconductor Device - An object of the invention is to provide a substrate treatment device that can lengthen the maintenance cycle, and prevent any by-product from falling on substrates even if it is accumulated, and a manufacturing method of such a substrate treatment device, and an embodiment of the invention is directed, comprising: a treatment chamber that subjects a substrate to a treatment while keeping hold of it by a substrate retention member; a reaction tube that configures the treatment chamber; a heating device that is disposed around the reaction tube for heating the treatment chamber; and an exhaust tube that is linked to the reaction tube on an upper side than the substrate inside of the treatment chamber and is extended downward from the heating device, and exhausts a gas inside of the reaction tube in which an extension portion as a result of the extension is disposed away from the reaction tube. | 07-02-2009 |
20090181547 | METHOD OF PRODUCING SEMICONDUCTOR DEVICE - Disclosed is a substrate processing apparatus, including: a processing space to provide a space in which a substrate is to be processed; a heating member to heat the processing space; a gas supply member to supply at least first and second processing gases to the processing space; an exhaust member to exhaust an atmosphere in the processing space; and a control member to control at least the gas supply member and the exhaust member such that supply and exhaust of the first and second processing gases are alternately repeated a plurality of times so that the first and second processing gases are not mixed with each other in the processing space when forming a desired film on the substrate, and both the first and second processing gases are supplied to the processing space when coating a surface of an inner wall of the processing space with a desired film. | 07-16-2009 |
20090191717 | ATOMIC LAYER DEPOSITION APPARATUS - An atomic layer deposition apparatus and an atomic layer deposition method increase productivity. The atomic layer deposition apparatus includes a reaction chamber, a heater for supporting a plurality of semiconductor substrates with a given interval within the reaction chamber and to heat the plurality of semiconductor substrates and a plurality of injectors respectively positioned within the reaction chamber and corresponding to the plurality of semiconductor substrates supported by the heater. The plurality of injectors are individually swept above the plurality of semiconductor substrates to spray reaction gas. | 07-30-2009 |
20090191718 | Substrate processing apparatus, method of manufacturing semiconductor device, and reaction vessel - A method of manufacturing a semiconductor device includes the steps of: conveying a plurality of substrates disposed in a direction perpendicular to a substrate processing surface into a processing chamber provided inside of a reaction tube, with an outer periphery surrounded by a heating device; and processing the substrates by introducing gas to a gas inlet tube provided on a side face of the reaction tube in a region for processing the substrates inside the reaction tube, so as to reach at least an outside of the heating device, and spouting the gas into the processing chamber from a slit-shaped gas spouting port disposed in a form so as to straddle at least a plurality of the substrates in a direction perpendicular to the substrate processing surface. | 07-30-2009 |
20090197424 | Substrate processing apparatus and method for manufacturing semiconductor device - A substrate processing apparatus according to the present invention promotes supplying gases to spaces between adjacent substrates without reducing the number of substrates which can be collectively processed. The substrate processing apparatus includes: a processing chamber for storing and processing substrates stacked in multiple stages in horizontal posture; at least one processing gas supply nozzle which extends running along an inner wall of the processing chamber in the stacking direction of the substrates and supplies a processing gas to the inside of the processing chamber; a pair of inactive gas supply nozzles which are provided so as to extend running along the inner wall of the processing chamber in the stacking direction of the substrates and so as to sandwich the processing gas supply nozzle from both sides thereof along the circumferential direction of the substrates and which supply the inactive gas to the inside of the processing chamber; and an exhaust line for exhausting the inside of the processing chamber. | 08-06-2009 |
20090215276 | PHOTOELECTROCHEMICAL CELL WITH CARBON NANOTUBE-FUNCTIONALIZED SEMICONDUCTOR ELECTRODE - Photoelectrochemical cells and methods are provided, in particular, to the functionalization of semiconductor surfaces such that its semiconducting and light generating properties are maintained and the surface becomes stable in wet environments. In particular the preferred embodiments relate to unstable semiconductor materials which have photocurrent generating properties, and to methods for the functionalization of surfaces with metallic carbon nanotubes (CNTs). | 08-27-2009 |
20090239385 | SUBSTRATE-SUPPORTING DEVICE HAVING CONTINUOUS CONCAVITY - A substrate-supporting device has a top surface for placing a substrate thereon composed of a plurality of surfaces separated from each other and defined by a continuous concavity being in gas communication with at least one through-hole passing through the substrate-supporting device in its thickness direction. The continuous concavity is adapted to allow gas to flow in the continuous concavity and through the through-hole under a substrate placed on the top surface. | 09-24-2009 |
20090239386 | PRODUCING METHOD OF SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - Disclosed is a producing method of a semiconductor device, comprising: loading a substrate into a reaction furnace; forming a film on the substrate in the reaction furnace; unloading the substrate from the reaction furnace after the film has been formed; and forcibly cooling an interior of the reaction furnace in a state where the substrate does not exist in the reaction furnace after the substrate has been unloaded. | 09-24-2009 |
20090253269 | SEMICONDUCTOR MANUFACTURING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A semiconductor manufacturing apparatus comprises: a substrate process chamber accommodating a substrate; a member heating the substrate, wherein the semiconductor manufacturing apparatus is a substrate processing apparatus for forming a film on the substrate by alternately supplying at least two process gases that react with each other to the substrate process chamber; gas supply units configured to supply the process gases independently; a cleaning gas supply source containing a cleaning gas for supplying the cleaning gas through the gas supply units; an exhaust control unit exhausting gas from the substrate process chamber through an exhaust pipe; an exhaust pipe heating unit heating the exhaust pipe; and a control unit controlling the exhaust pipe heating unit to keep the exhaust pipe higher than a predetermined temperature while a cleaning gas is exhausted from the substrate process chamber through the exhaust pipe by the exhaust control unit after the substrate is processed. | 10-08-2009 |
20090258504 | SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided are a substrate processing apparatus and a method of manufacturing a semiconductor device. The substrate processing apparatus includes a reaction vessel configured to process a substrate, a heater configured to heat an inside of the reaction vessel, a gas supply line configured to supply gas into the reaction vessel, a first valve installed at the gas supply line, a flow rate controller installed at the gas supply line, a main exhaust line configured to exhaust the inside of the reaction vessel, a second valve installed at the main exhaust line, a slow exhaust line installed at the main exhaust line, a third valve installed at the slow exhaust line, a throttle part installed at the slow exhaust line, a vacuum pump installed at the main exhaust line, and a controller configured to control the valves and the flow rate controller. | 10-15-2009 |
20090263974 | Substrate processing system for performing exposure process in gas atmosphere - A substrate processing system which sprays exposure process gas onto a substrate disposed within a chamber. The substrate processing system is used, for example, for performing an exposure process of an organic film formed on a substrate in a gas atmosphere obtained by vaporizing an organic solvent solution for dissolving and reflowing an organic film. The substrate processing system comprises: the chamber having at least one gas inlet and at least one gas outlets; a gas introducing means which introduces the exposure process gas into the chamber via the gas inlet; and a gas distributing means. The gas distributing means separates an inner space of the chamber into a first space into which the exposure process gas is introduced via the gas inlet and a second space in which the substrate is disposed. The gas distributing means has a plurality of openings via which the first space and the second space communicate with each other and introduces the exposure process gas introduced into the first space into the second space via the openings. | 10-22-2009 |
20090269936 | Substrate Processing Apparatus - A thermal processing unit of a thermal processor for anti-reflection films includes: a covering nozzle for covering a substrate from above supported by a thermal processing plate and discharging an adhesion enhancing agent to a periphery of a substrate supported by the thermal processing plate; and a vaporization processor for supplying an adhesion enhancing agent in the vapor phase to the covering nozzle. While a substrate placed over the thermal processing plate is being subjected to thermal process, a control part causes the covering nozzle to discharge an adhesion enhancing agent in the vapor phase onto a periphery of a substrate to realize adhesion enhancement process. Thus, the adhesion between a resist coating film and a substrate surface in the periphery of a substrate is enhanced. Further, parallel implementation of thermal process and adhesion enhancement process exerts no influence on throughput. | 10-29-2009 |
20090269937 | SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided are a substrate processing apparatus and a method of manufacturing a semiconductor device. The apparatus comprises a substrate processing region, a container carrying region, a housing, first and second openings, an exhaust port, a door body, and a control unit. The substrate processing region comprises a process furnace. The container carrying region comprises a carrying device. In the housing, the substrate processing region and the container carrying region are provided. The first opening is formed at the housing for carrying the container between the container carrying region and an outside region of the housing. The second opening is formed at the housing for sucking gas. The exhaust port is configured to exhaust gas from the container carrying region. The door body closes the first and second openings. The control unit controls the door body to open one of the first and second openings and close the other. | 10-29-2009 |
20090269938 | CHEMICAL VAPOR DEPOSITION APPARATUS - A chemical vapor deposition apparatus which comprises a susceptor for mounting a substrate thereon, a heater for heating the substrate, a feed gas introduction portion and a reaction gas exhaust portion, wherein a light transmitting ceramics plate held or reinforced by means of a supporting member is equipped between the heater and a mounting position of the substrate. A chemical vapor deposition apparatus that is capable of forming film stably for a long time without giving a negative influence on a quality of semiconductor film even in a case of chemical vapor deposition reaction employing a furiously corrosive gas with an elevated temperature for producing a gallium nitride compound semiconductor or so was realized. | 10-29-2009 |
20090275209 | PLASMA PROCESSING APPARATUS AND METHOD - Disclosed is a plasma processing apparatus and a plasma processing method, by which ions of plasma can be injected uniformly over the whole surface of a substrate to be processed, in a short time. Specifically, when the substrate is processed in a reaction container, the gas pressure inside the reaction container is increased. Alternatively, the distance between a plasma processing portion and the substrate is enlarged, or the substrate is temporally moved outwardly of the reaction container. As a further alternative, a shutter is disposed between the plasma producing zone and the substrate. With this procedure, incidence of ions of the plasma upon the substrate can be substantially intercepted for a predetermined time period from the start of plasma production. | 11-05-2009 |
20090286406 | PROCESS AND APPARATUS FOR TREATING WAFERS - Methods and systems are provided for low pressure baking to remove impurities from a semiconductor surface prior to deposition. Advantageously, the short, low temperature processes consume only a small portion of the thermal budget, while still proving effective at removing interfacial oxygen from the semiconductor surface. The methods and systems are particularly well suited for treating semiconductor surfaces before epitaxy. | 11-19-2009 |
20090291566 | Substrate Processing Apparatus, Coolant Gas Supply Nozzle and Semiconductor Device Manufacturing Method - A substrate processing apparatus comprises a processing chamber for storing a boat supporting multiple substrates and for processing the multiple substrates, a heater unit installed around the processing chamber for heating the substrates, and a coolant gas supply nozzle including a pipe section extending perpendicular to a main surface of the substrate supported in the boat stored in the processing chamber, and a spray hole formed on the pipe section for spraying coolant gas to at least two of the multiple substrates, wherein the coolant gas supply nozzle is formed so that the cross sectional area of the pipe section in the area where the spray hole is formed is larger than the total opening area of the spray hole. | 11-26-2009 |
20090291567 | NITRIDE-BASED COMPOUND SEMICONDUCTOR, METHOD OF CLEANING A COMPOUND SEMICONDUCTOR, METHOD OF PRODUCING THE SAME, AND SUBSTRATE - There is provided a cleaning method and production method that suppresses the adhesion of foreign matters including impurity, fine particles and the like on a surface of a compound semiconductor. A method of cleaning a nitride-based compound semiconductor in accordance with the present invention includes the steps of: preparing a nitride-based compound semiconductor (or a substrate preparation step); and cleaning. In the step of cleaning, a cleaning liquid having a pH of 7.1 or higher is used to clean the nitride-based compound semiconductor. | 11-26-2009 |
20090298296 | SURFACE PATTERNING AND VIA MANUFACTURING EMPLOYING CONTROLLED PRECIPITATIVE GROWTH - The present invention is concerned with a process of surface patterning and via manufacturing employing controlled precipitative growth, and patterned substrates prepared by such a process according to the present invention. A process according to the present invention comprises providing a substrate including at least one surface on which it is required to pattern a material, the surface including at least first and second surface regions having distinct surface properties and wherein the first surface region is further provided with protective precipitative growth thereon, and applying at least one material to at least the second surface region, such that the applied material is either substantially not provided to the first surface region, or if provided to the first surface region can be selectively removed therefrom. | 12-03-2009 |
20090305512 | Substrate Processing Apparatus and Substrate Processing Method - The present invention is a substrate processing apparatus including: a holder that holds substrates in a tier-like manner; a processing container that contains the holder and that conducts a predetermined thermal process to the substrates in a process-gas atmosphere under a predetermined temperature and pressure; a gas-introducing part that introduces a process gas into the processing container; a gas-discharging part that discharges a gas from the processing container to create a predetermined vacuum pressure therein; and a heating part that heats the processing container; wherein the holder is provided with baffle plates each of which forms a processing space for each substrate when the holder is contained in the processing container; the gas-introducing part is provided with gas introduction holes disposed at one lateral side of the respective processing spaces; and the gas-discharging part is provided with gas discharge holes disposed at the other lateral side of the respective processing spaces, oppositely to the gas introduction holes. | 12-10-2009 |
20090305513 | Material deposition over template - Embodiments of the invention relate to a method of functional materials deposition using a polymer template fabricated on a substrate. Such template forms an exposed and masked areas of the substrate material, and can be fabricated using polymer resists or Self-assembled monolayers. Deposition is performed using an applicator, which is fabricated in the shape of cylinder or cone made of soft elastomeric materials or laminated with soft elastomeric film. Functional materials, for example, metals, semiconductors, sol-gels, colloids of particles are deposited on the surface of applicator using liquid immersion, soaking, contact with wetted surfaces, vapor deposition or other techniques. Then wetted applicator is contacted the surface of the polymer template and rolled over it's surface. During this dynamic contact functional material is transferred selectively to the areas of the template. Patterning of functional material is achieved by lift-off of polymeric template after deposition. According to another embodiment, where self-assembled monolayers are used as template, selective deposition of functional materials is achieved either due to low surface energy of SAM or reactivity of terminal groups. | 12-10-2009 |
20090311873 | Substrate processing apparatus and semiconductor device producing method - Disclosed is a substrate processing apparatus, including a reaction tube to process a substrate therein, wherein the reaction tube includes an outer tube, an inner tube disposed inside the outer tube, and a support section to support the inner tube, the inner tube and the support section are made of quartz or silicon carbide, and a shock-absorbing member is provided between the support section and the inner tube. | 12-17-2009 |
20090325389 | Substrate processing apparatus and manufacturing method of semiconductor device - To grasp an accumulation state of residual matters inside of a vaporizer without decomposing the vaporizer, and grasp the timing of performing maintenance to the inside of the vaporizer in advance. A substrate processing apparatus of the present invention includes: a processing chamber in which substrates are contained; a vaporizer having a vaporizing space, for generating vaporized gas by vaporizing liquid source supplied into the vaporizing space; a liquid source supply system having a liquid source supply line for supplying the liquid source into the vaporizing space; a vaporized gas supply system having a vaporized gas supply line for supplying the vaporized gas into the processing chamber; an exhaust system for exhausting an atmosphere in the processing chamber; a pressure meter for measuring a pressure in the vaporizing space; a carrier gas supply system having a carrier gas supply line for supplying carrier gas into the vaporizing space; and a controller for judging a state of the vaporizer based on a measured value of the pressure meter when the carrier gas is supplied into the vaporizing space. | 12-31-2009 |
20090325390 | Cleaning method by electrolytic sulfuric acid and manufacturing method of semiconductor device - The cleaning method by electrolytic sulfuric acid and the manufacturing method of semiconductor device comprising:
| 12-31-2009 |
20100003829 | Clamped monolithic showerhead electrode - An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode. | 01-07-2010 |
20100003830 | IMPRINT MASK MANUFACTURING METHOD, IMPRINT MASK MANUFACTURING DEVICE, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A pattern is formed on a mask substrate. Positional deviation information between an actual position of the pattern formed on the mask substrate and a design position decided at the time of designing the pattern is calculated. A heterogeneous layer of which a volume expands more greatly than that of surrounding mask substrate region is formed in a predetermined position within the mask substrate so that volume expansion of the heterogeneous layer according to the positional deviation information is achieved. | 01-07-2010 |
20100003831 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS - A predicted film formation rate value is computed based on a film formation rate prediction formula obtained in advance and apparatus parameters obtained during a previously-performed film formation process. A processing time required for an amount of film formed on a wafer to reach a predetermined target film thickness is computed based on the computed predicted film formation rate value and the target film thickness. Then, according to the computed processing time, a film-formation process is performed on wafers. In addition, it is determined whether the computed predicted film formation rate value is within a predetermined range, and only when it is determined to be within the predetermined range, the film formation process may be performed. | 01-07-2010 |
20100003832 | VAPOR PHASE DEPOSITION APPARATUS, METHOD FOR DEPOSITING THIN FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A vapor phase deposition apparatus | 01-07-2010 |
20100015811 | SUBSTRATE PROCESSING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD FOR FORMING FILM - Provided is a substrate processing apparatus. The substrate processing apparatus includes a process chamber, a gas supply system, a gas discharge system, an RF (radio frequency) unit, an electrode, and a control device. The control device controls the gas supply system, the gas discharge system, and the RF unit. While the control device controls the RF unit to apply predetermined RF power to the electrode for generating plasma, the control device controls the gas supply system to supply a process gas to the process chamber alternately at a first flowrate and at a second flowrate greater than the first flowrate. | 01-21-2010 |
20100015812 | METHOD AND APPARATUS FOR PROCESSING WORKPIECE - The present invention is a processing method for applying predetermined processing to a workpiece with said workpiece mounted on a mounting stage arranged in a process chamber in a depressurized atmosphere, in which when no workpiece is mounted on the mounting stage, an inactive gas is discharged from at least a heat transfer gas supply hole of the mounting stage in the process chamber so that a gas layer is formed on a mounting surface of the mounting stage. The present invention is also a processing apparatus. | 01-21-2010 |
20100022097 | VAPORIZER, SEMICONDUCTOR PRODUCTION APPARATUS AND PROCESS OF SEMICONDUCTOR PRODUCTION - A vaporizer, a semiconductor production apparatus and process capable of improving the efficiency in the use of a raw material gas noticeably, enabling uniform deposition according to the raw material gas used, diminishing maintenance frequency to improve productivity. At the time of ALD operation, carrier gas continues to be supplied to a reaction chamber | 01-28-2010 |
20100022098 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes: performing modifying a surface of a semiconductor wafer including a silanol group on the surface with an alkylsilyl group; and fluorinating an alkyl group of the alkylsilyl group with which the surface was modified. | 01-28-2010 |
20100022099 | METHOD OF FORMING NON-CONFORMAL LAYERS - In one aspect, non-conformal layers are formed by variations of plasma enhanced atomic layer deposition, where one or more of pulse duration, separation, RF power on-time, reactant concentration, pressure and electrode spacing are varied from true self-saturating reactions to operate in a depletion-effect mode. Deposition thus takes place close to the substrate surface but is controlled to terminate after reaching a specified distance into openings (e.g., deep DRAM trenches, pores, etc.). Reactor configurations that are suited to such modulation include showerhead, in situ plasma reactors, particularly with adjustable electrode spacing. In another aspect, alternately and sequentially contacting a substrate, the substrate including openings, with at least two different reactants, wherein an under-saturated dose of at least one of the reactants has been predetermined and the under-saturated dose is provided uniformly across the substrate surface, deposits a film that less than fully covers surfaces of the openings, leading to depletion effects in less accessible regions on the substrate surface | 01-28-2010 |
20100029089 | Method for manufacturing semiconductor device, and substrate processing apparatus - A method for manufacturing a semiconductor device includes the steps of: loading a substrate into a reaction chamber; supplying reactive gases into the reaction chamber and processing the substrate; and unloading the processed substrate from the reaction chamber, wherein the step of processing the substrate includes: a first film formation step of setting the substrate to a first temperature and forming a first silicon film including impurity atoms on the substrate and a second film formation step of setting the substrate to a second temperature, which is lower than the first temperature, and forming a second silicon film that includes no impurity atoms or has an impurity concentration lower than that of the first silicon film on at least the first silicon film. | 02-04-2010 |
20100035437 | SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Substrate processing uniformity is improved in the surfaces of wafers and between the wafers. A substrate processing apparatus includes a substrate holder holding horizontally oriented and stacked substrates, an inner tube accommodating the substrate holder, an outer tube enclosing the inner tube, a gas nozzle installed in the inner tube, a gas injection hole formed in the gas nozzle, a source gas supply unit supplying source gas to an inside of the inner tube through the gas nozzle, a gas exhaust outlet formed in a sidewall of the inner tube, an exhaust unit exhausting a gap between the outer tube and the inner tube to create a gas stream inside the inner tube from the gas injection hole to the gas exhaust outlet, and a gas penetration preventing cylinder enclosing a region of the substrate holder lower than a region of the substrate holder where the substrates are stacked. | 02-11-2010 |
20100041239 | Diffractive Optical Element, Lithographic Apparatus and Semiconductor Device Manufacturing Method - A diffractive optical element, a lithographic apparatus including a diffractive optical element, and a semiconductor device manufacturing method diffract a radiation beam onto an output plane. The diffractive optical element has a plurality of unit cells each having a phase structure for adjusting a cross-sectional intensity distribution of an incoming radiation beam into a desired intensity distribution. The unit cells of the diffractive optical element have corresponding phase structures that are arranged adjacently and are mirrored or inverted with respect to each other. | 02-18-2010 |
20100041240 | FOCUS RING, PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A focus ring of a ring shape is disposed to surround a target substrate on a lower electrode on which the target substrate is mounted in a process chamber. The process chamber receives the target substrate and subjects the received target substrate to a plasma process. At the point of time when the focus ring is first used for the plasma process, a distance between a lower side of an edge portion of the target substrate and a portion of the focus ring facing the lower side of the edge portion of the target substrate is set to be equal to or greater than about 0.4 mm. | 02-18-2010 |
20100048028 | SURFACE TREATED ALUMINUM NITRIDE BAFFLE - Methods and apparatus relating to aluminum nitride baffles are provided herein. In some embodiments, a baffle for use in semiconductor process chambers may include a body comprising aluminum nitride and a metal oxide binding agent, wherein a ratio of aluminum nitride to metal oxide on a surface of the body is greater than or equal to the ratio within the body. In some embodiments, the body may have a center stem and an outer annulus coupled to and extending radially outwards from a lower portion of the center stem. In some embodiments, a method of fabricating a baffle may include sintering aluminum, nitrogen, and a metal oxide binding agent to form a body of the baffle, the body having excess metal oxide binding agent disposed on a surface thereof; and removing a bulk of the excess metal oxide binding agent from a surface of the body. | 02-25-2010 |
20100048029 | Surface Preparation for Thin Film Growth by Enhanced Nucleation - Various processes and related systems are provided for making structures on substrate surfaces. Disclosed are methods of making a structure supported by a substrate by providing a substrate having a receiving surface and exposing at least a portion of the receiving surface to output from a remote plasma of an inert gas. The remote plasma has an energy low enough to substantially avoid etching or sputtering of the receiving surface but sufficient to generate a treated receiving surface. The treated surface is contacted with a deposition gas, thereby making the structure supported by the substrate. | 02-25-2010 |
20100055925 | HEATER, MANUFACTURING APPARATUS FOR SEMICONDUCTOR DEVICE, AND MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE - A heater for heating a wafer includes elements that are arranged at a distance from one another in a rotationally symmetrical fashion with respect to a shaft extending through a center of the wafer, an electrode being provided to each of the elements to heat the wafer uniformly. | 03-04-2010 |
20100068891 | METHOD OF FORMING BARRIER FILM - A barrier film made of a ZrB | 03-18-2010 |
20100068892 | SUBSTRATE PROCESSING METHOD - In a substrate processing method of processing a substrate in which a processing target layer, an intermediate layer, and a mask layer are stacked one on top of another, the mask layer having an opening that partially exposes the intermediate layer, a thickness of the mask layer is increased by depositing deposits on an upper surface of the mask layer with plasma generated from a mixed gas of SF | 03-18-2010 |
20100068893 | FILM DEPOSITION APPARATUS, FILM DEPOSITION METHOD, AND COMPUTER READABLE STORAGE MEDIUM - A film deposition apparatus includes a reaction chamber evacuatable to a reduced pressure; a substrate holding portion rotatably provided in the reaction chamber and configured to hold a substrate; a first reaction gas supplying portion configured to flow a first reaction gas from an outer edge portion toward a center portion of the substrate holding portion; a second reaction gas supplying portion configured to flow a second reaction gas from an outer edge portion toward a center portion of the substrate holding portion; a separation gas supplying portion configured to flow a separation gas from an outer edge portion toward a center portion of the substrate holding portion, the separation gas supplying portion being arranged between the first and the second gas supplying portions; and an evacuation portion located in the center portion of the substrate holding portion in order to evacuate the first, the second, and the separation gases. | 03-18-2010 |
20100068894 | COMPOSITION AND METHOD FOR LOW TEMPERATURE CHEMICAL VAPOR DEPOSITION OF SILICON-CONTAINING FILMS INCLUDING SILICON CARBONITRIDE AND SILICON OXYCARBONITRIDE FILMS - Silicon precursors for forming silicon-containing films in the manufacture of semiconductor devices, such as films including silicon carbonitride, silicon oxycarbonitride, and silicon nitride (Si | 03-18-2010 |
20100075506 | APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR ELEMENT AND SEMICONDUCTOR ELEMENT MANUFACTURED BY THE METHOD - An apparatus for manufacturing a semiconductor element includes processing chambers arranged to accommodate a flexible substrate which is step-transferred by one effective region each time; a first electrode and a second electrode which are provided in the processing chamber; and a mask portion having an opening so as to expose the effective region when each effective region of the flexible substrate is transferred between the first electrode and the second electrode. Each processing chamber includes a plasma processing portion arranged to perform plasma processing on an effective region of the flexible substrate which is exposed from the opening of the mask portion, a first standby portion which overlaps a carry-in side of the mask portion, and in which an effective region of the flexible substrate prior to the plasma processing is positioned, and a second standby portion which overlaps a carry-out side of the mask portion, and in which an effective region of the flexible substrate after the plasma process is positioned. | 03-25-2010 |
20100081288 | SUBSTRATE PROCESSING APPARATUS AND SEMICONDUCTOR DEVICE PRODUCING METHOD - Disclosed is a substrate processing apparatus which comprises reaction tubes ( | 04-01-2010 |
20100081289 | METHOD OF DEPOSITING MATERIALS ON A NON-PLANAR SURFACE - A carrier for effectuating semiconductor processing on a non-planar substrate is disclosed. The carrier is configured for holding at least one non-planar substrate throughout a semiconductor processing step and concurrently rotating non-planar substrates as they travel down a translational path of a processing chamber. As the non-planar substrates simultaneously rotate and translate down a processing chamber, the rotation exposes the whole or any desired portion of the surface area of the non-planar substrates to the deposition process, allowing for uniform deposition as desired. Alternatively, any predetermined pattern is able to be exposed on the surface of the non-planar substrates. Such a carrier effectuates manufacture of non-planar semiconductor devices, including, but not limited to, non-planar light emitting diodes, non-planar photovoltaic cells, and the like. | 04-01-2010 |
20100087067 | METHOD FOR PACKAGING SEMICONDUCTOR - A method for packaging a semiconductor is provided to allow uniform coating of a die attachment paste, shorten a B-staging time, and improve die pick-up characteristics and die attachment characteristics. This method includes preparing a die attachment paste with a viscosity of 1,500 to 100,000 cps; rotating a wafer and applying the die attachment paste to an upper surface of the wafer into a predetermined thickness; and B-staging the paste applied on the wafer. This method makes it possible to reduce costs by substituting for WBL (Wafer Backside Lamination) film, uniformly apply a die attachment paste to a wafer, freely control a thickness of applied die attachment paste by adjusting viscosity and dosage of discharged paste and a speed of a spin coater, and also shorten a process time by decreasing a B-staging time. | 04-08-2010 |
20100087068 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - In a dry cleaning process, breakage of a gas supply pipe can be prevented, and maintenance efficiency can be increased. There is provided a method of manufacturing a semiconductor device, the method including: loading a substrate into a process chamber; forming a silicon film or a silicon compound film on the substrate loaded in the process chamber by supplying a raw-material gas to a gas supply pipe disposed in the process chamber to introduce the raw-material gas into the process chamber; unloading the substrate from the process chamber; heating an inside of the process chamber; decreasing an inside temperature of the process chamber after the heating of the inside of the process chamber; and introducing cleaning gas into the process chamber by supplying the cleaning gas to the gas supply pipe after the decreasing of the inside temperature of the process chamber. | 04-08-2010 |
20100093181 | PURGE STEP-CONTROLLED SEQUENCE OF PROCESSING SEMICONDUCTOR WAFERS - A method of processing semiconductor substrates includes: depositing a film on a substrate in a reaction chamber; evacuating the reaction chamber without purging the reaction chamber; opening a gate valve and replacing the substrate with a next substrate via the transfer chamber wherein the pressure of the transfer chamber is controlled to be higher than that of the reaction chamber before and while the gate valve is opened; repeating the above steps and removing the substrate from the reaction chamber; and purging and evacuating the reaction chamber, and cleaning the reaction chamber with a cleaning gas. | 04-15-2010 |
20100093182 | LASER CRYSTALLIZATION METHOD FOR AMORPHOUS SEMICONDUCTOR THIN FILM - A laser crystallization method in which an amorphous silicon thin film | 04-15-2010 |
20100112822 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS - In a manufacturing process of a semiconductor device by forming a structure film on a substrate in a reaction chamber of a manufacturing apparatus, cleaning inside the reaction chamber is performed. That is, a precoat film made of a silicon nitride film containing boron is deposited on an inner wall of the reaction chamber, a silicon nitride film not containing boron is formed as the structure film on the substrate in the reaction chamber, and the inner wall of the reaction chamber is dry etched to be cleaned. At this time, the dry etching is terminated after boron is detected in a gas exhausted from the reaction chamber. | 05-06-2010 |
20100120259 | METHOD AND APPARATUS TO ENHANCE PROCESS GAS TEMPERATURE IN A CVD REACTOR - Methods and apparatus for controlling temperature and flow characteristics of process gases in a process chamber have been provided herein. In some embodiments, an apparatus for controlling temperature and flow characteristics of a process gas in a process chamber may include a gas pre-heat ring configured to be disposed about a substrate and having a labyrinthine conduit disposed therein, wherein the labyrinthine conduit has an inlet and outlet to facilitate the flow of the process gas therethrough. | 05-13-2010 |
20100130022 | NOZZLE AND APPARATUS AND METHOD FOR PROCESSING SUBSTRATE USING THE NOZZLE - A substrate processing apparatus is provided. The substrate processing apparatus includes a substrate supporting member including a spin head on which a substrate is placed, a nozzle discharging processing liquid to the substrate placed on the spin head, and a processing liquid supplying source supplying the processing liquid to the nozzle. The nozzle includes a nozzle main body that has a plurality of discrete discharging openings and an integration discharging opening. The discrete discharging openings have a slit-shaped cross section having a first length and are arrayed in series in a predetermined direction. The integration discharging opening is formed by connecting the discrete discharging openings to each other in a single slot shape having a length greater than the first length, and finally discharges the processing liquid. | 05-27-2010 |
20100130023 | METHOD OF FORMING GATE INSULATION FILM, SEMICONDUCTOR DEVICE, AND COMPUTER RECORDING MEDIUM - In the present invention, when a gate insulation film in a DRAM is formed, an oxide film constituting a base of the gate insulation film is plasma-nitrided. The plasma nitridation is performed with microwave plasma generated by using a plane antenna having a large number of through holes. Nitrogen concentration in the gate insulation film formed by the plasma nitridation is 5 to 20% in atomic percentage. Even without subsequent annealing, it is possible to effectively prevent a boron penetration phenomenon in the DRAM and to reduce traps in the film causing deterioration in driving capability of the device. | 05-27-2010 |
20100136795 | FILM DEPOSITION APPARATUS, FILM DEPOSITION METHOD, SEMICONDUCTOR DEVICE FABRICATION APPARATUS, SUSCEPTOR FOR USE IN THE SAME, AND COMPUTER READABLE STORAGE MEDIUM - A disclosed semiconductor device fabrication apparatus includes a chamber where a predetermined process is carried out with respect to a substrate; a transfer arm that includes claw portions for supporting a lower peripheral surface portion of the substrate and that moves into and out from the chamber; and a susceptor that includes a substrate receiving portion in which the substrate is placed, and a step portion provided to allow the claw portions to move to a position lower than an upper surface of the substrate receiving portion. | 06-03-2010 |
20100136796 | SUBSTRATE HOLDING MEMBER, IMMERSION TYPE EXPOSURE DEVICE AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE - A substrate holding member according to an embodiment includes an opening having a minimum internal diameter lager than a diameter of a space in which a substrate to be exposed on a substrate stage is disposed, wherein an inner peripheral surface of the opening has a shape expanding toward a lower surface at least partly. | 06-03-2010 |
20100144158 | Liquid Processing Apparatus and liquid Processing Method - A liquid treatment device having a substrate holding section ( | 06-10-2010 |
20100144159 | SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS - In a substrate processing method, a substrate to be processed is mounted on a mounting table in a processing chamber of a substrate processing apparatus, and while heating the substrate by a heating unit through the mounting table to a processing temperature of 700° C. or higher, the substrate is processed. The substrate to be processed is loaded into the processing chamber, a first preliminary heating is performed until the substrate reaches a prescribed temperature while being mounted on the mounting table. Then, substrate supporting pins of the mounting table are elevated, and a second preliminary heating is performed in a state where the substrate is held on the substrate supporting pins. Then, the substrate supporting pins are moved down to mount the substrate on the mounting table and a process such as plasma oxidation is performed thereon. | 06-10-2010 |
20100144160 | PLASMA REACTOR SUBSTRATE MOUNTING SURFACE TEXTURING - The present invention generally provides apparatus and methods for providing necessary capacitive decoupling to a large area substrate in a plasma reactor. One embodiment of the invention provides a substrate support for using in a plasma reactor comprising an electrically conductive body has a top surface with a plurality of raised areas configured for contacting a back surface of a large area substrate, and the plurality of raised areas occupy less than about 50% of the surface area of the top surface. | 06-10-2010 |
20100151690 | MULTI-CHANNEL DEVELOPER SYSTEM - An apparatus for dispensing fluid during semiconductor substrate processing operations comprises an enclosure having a first side and a second side. The enclosure comprises a first processing station and a second processing station. The second processing station is positioned adjacent to the first processing station. In addition, the substrate processing apparatus includes a first dispense arm configured to deliver a fluid to the first processing station wherein the first dispense arm is positioned between the first side and the first processing station and a second dispense arm configured to deliver the fluid to the second processing station wherein the second dispense arm is positioned between the second side and the second processing station. The substrate processing apparatus also comprises a first rinse arm configured to deliver a rinsing fluid to the first processing station and a second rinse arm configured to deliver the rinsing fluid to the second processing station. | 06-17-2010 |
20100151691 | METHOD FOR IMPROVED THICKNESS REPEATABILITY OF PECVD DEPOSITED CARBON FILMS - Provided herein are improved methods of depositing carbon-based films using acetylene as a precursor. The methods involve using a low-vapor pressure solvent, e.g., dimethylfluoride (DMF) to stabilize the acetylene and delivering the acetylene to a deposition chamber. The methods provide improved wafer-to-wafer thickness uniformity and increase the usable amount of acetylene in an acetylene source to over 95%. | 06-17-2010 |
20100151692 | EPITAXIAL WAFER AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing an epitaxial wafer, including a silicon substrate having a surface sliced from single-crystalline silicon and a silicon epitaxial layer deposited on the surface of the silicon substrate, includes an oxygen concentration controlling heat treatment process in which a heat treatment of the epitaxial layer is performed under a non-oxidizing atmosphere after the epitaxial growth such that an oxygen concentration of the surface of the silicon epitaxial layer is set to 1.0×10 | 06-17-2010 |
20100167551 | DUAL PATH GAS DISTRIBUTION DEVICE - An apparatus for deploying two fluids separately into a reaction chamber is provided. The apparatus includes a first distribution network that is formed on a plate having a distribution face and a dispensing face. The first distribution network is defined by a plurality of recessed channels on the distribution face. The plurality of recessed channels includes a plurality of thru-ports that extend from the plurality of recessed channels to the dispensing face. The apparatus further includes a second distribution network that has passages formed below the plurality of recessed channels and above the dispensing face. A first set of ports extends from the passages to the distribution face and a second set of ports extends from a top surface of the distribution face to the dispensing face. | 07-01-2010 |
20100190352 | USE OF A BIASED PRECOAT FOR REDUCED FIRST WAFER DEFECTS IN HIGH-DENSITY PLASMA PROCESS - According to various embodiments, the present teachings include methods for reducing first wafer defects in a high-density plasma chemical vapor deposition process. In an exemplary embodiment, the method can include running a deposition chamber for deposition of film on a first batch of silicon wafers and then cleaning interior surfaces of the deposition chamber. The method can further include inserting a protective electrostatic chuck cover (PEC) wafer on an electrostatic chuck in the deposition chamber and applying power to bias the PEC wafer while simultaneously precoating the deposition chamber with an oxide. The exemplary method can also include re-starting the deposition chamber for deposition of film on a second batch of silicon wafers. | 07-29-2010 |
20100210115 | SUBSTRATE MOUNTING MECHANISM, SUBSTRATE PROCESSING APPARATUS, METHOD FOR SUPPRESSING FILM DEPOSITION ON SUBSTRATE MOUNTING MECHANISM, AND STORAGE MEDIUM - A substrate mounting mechanism on which a target substrate is placed is provided. The substrate mounting mechanism includes a heater plate, which has a substrate mounting surface on which the target substrate is placed and has a heater embedded therein to heat the substrate to a deposition temperature at which a film is deposited. The substrate mounting mechanism also includes a temperature control jacket, which is formed to cover at least a surface of the heater plate other than the substrate mounting surface and adjusts the temperature to a non-deposition temperature below the deposition temperature. | 08-19-2010 |
20100240223 | METHOD AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device, comprising: loading a wafer to be subjected to film formation to a chamber; supporting the wafer to be spaced from a film formation position of the wafer; preliminarily heating the wafer while rotating a rotating member for rotating the wafer through a supporting member during the film formation at a predetermined rotational speed under a state of the wafer to be spaced from the film formation position; placing the wafer on the supporting member in the film formation position; and heating the wafer at a predetermined temperature and supplying a process gas onto the wafer while rotating the wafer. | 09-23-2010 |
20100248496 | ROTATABLE AND TUNABLE HEATERS FOR SEMICONDUCTOR FURNACE - A semiconductor furnace suitable for chemical vapor deposition processing of wafers. The furnace includes a thermal reaction chamber having a top, a bottom, a sidewall, and an internal cavity for removably holding a batch of vertically stacked wafers. A heating system is provided that includes a plurality of rotatable heaters arranged and operative to heat the chamber. In one embodiment, spacing between the sidewall heaters is adjustable. The heating system controls temperature variations within the chamber and promotes uniform film deposit thickness on the wafers. | 09-30-2010 |
20100267245 | HIGH EFFICIENCY EPITAXIAL CHEMICAL VAPOR DEPOSITION (CVD) REACTOR - The present disclosure presents a chemical vapor deposition reactor having improved chemical utilization and cost efficiency. The wafer susceptors of the present disclosure may be used in a stackable configuration for processing many wafers simultaneously. The reactors of the present disclosure may be reverse-flow depletion mode reactors, which tends to provide uniform film thickness and a high degree of chemical utilization. | 10-21-2010 |
20100297853 | METHOD FOR PURIFYING ACETYLENE GAS FOR USE IN SEMICONDUCTOR PROCESSES - Acetylene is treated to remove some residual storage solvent that may be present with the acetylene in a source of acetylene such as a container. Such treatment may be performed prior to supplying the acetylene to a deposition chamber or other reactor where acetylene is a reactant. After treatment, the acetylene gas stream has a relatively constant concentration of storage solvent, regardless of how much acetylene has been released from the acetylene source. The treatment may involve condensing the storage solvent from the gas stream at a certain temperature and separating the storage solvent from the gas stream. | 11-25-2010 |
20100311248 | STRUCTURED LAYER DEPOSITION ON PROCESSED WAFERS USED IN MICROSYSTEM TECHNOLOGY - The invention relates to a method and a through-vapor mask for depositing layers in a structured manner by means of a specially designed coating mask which has structures that accurately fit into complementary alignment structures of the microsystem wafer to be coated in a structured manner such that the mask and the wafer can be accurately aligned relative to one another. Very precisely defined portions on the microsystem wafer are coated through holes in the coating mask, e.g. by mans of sputtering, CVD, or to evaporation processes. | 12-09-2010 |
20100311249 | MULTI-GAS FLOW DIFFUSER - Embodiments of the disclosure generally provide a method and apparatus for processing a substrate in a vacuum process chamber. In one embodiment a vacuum process chamber is provided that includes a chamber body and lid disposed on the chamber body. A blocker plate is coupled to the lid and bounds a staging plenum therewith. A gas distribution plate is coupled to the lid. The gas distribution plate separates a main plenum defined between the gas distribution plate and the blocker plate from a process volume defined within the chamber body. The gas distribution plate and the blocker plate define a spacing gradient therebetween which influences mixing of gases within the main plenum. | 12-09-2010 |
20100317197 | Heat Shield for Heater in Semiconductor Processing Apparatus - A heat shield employed in semiconductor processing apparatus comprises a high performance insulation that has low thermal conductivity, such as, below the thermal conductivity of still air over a wide range of temperatures utilized in operation of the apparatus. As an example, the thermal conductivity of the insulation may be in the range of about 0.004 W/m·h to about 0.4 W/m·h over a temperature range of about 0° C. to about 600° C. or more. The deployment of the high performance heat shield reduces the power consumption necessary for the heater by as much as 20% to reach a desired processing temperature as compared to a case of heater power consumption required to reach the same desired temperature without the shield. Further, the heat shield significantly reduces the amount of undesired depositions from gas-entrained constituents on components in the chamber of the apparatus, particularly below or beyond the heat shield, by as much as 90% since the temperature drop is as much as ten orders of magnitude difference. | 12-16-2010 |
20100317198 | REMOTE PLASMA PROCESSING OF INTERFACE SURFACES - Embodiments related to the cleaning of interface surfaces in a semiconductor wafer fabrication process via remote plasma processing are disclosed herein. For example, in one disclosed embodiment, a semiconductor processing apparatus comprises a processing chamber, a load lock coupled to the processing chamber via a transfer port, a wafer pedestal disposed in the load lock and configured to support a wafer in the load lock, and a remote plasma source configured to provide a remote plasma to the load lock. | 12-16-2010 |
20100317199 | Substrate processing apparatus and manufacturing method of semiconductor device - To reduce a residual amount of chlorine atoms and oxygen atoms in a metal nitride film, and improve oxidation resistance of the metal nitride, film, in a temperature range of not deteriorating the characteristics of other film adjacent to the metal nitride film. A substrate processing apparatus is provided, comprising: a processing chamber into which a substrate is loaded, having thereon a substrate containing oxygen atoms, chlorine atoms, and metal atoms; a substrate support part for supporting and heating the substrate in the processing chamber; a gas supply part for supplying nitrogen atoms-containing gas and hydrogen atoms-containing gas into the processing chamber; a gas exhaust part for exhausting inside of the processing chamber; a plasma generation part for exciting the nitrogen atoms-containing gas and the hydrogen atoms-containing gas supplied into the processing chamber; and a control part for controlling the substrate support part, the gas supply part, and the plasma generation part. | 12-16-2010 |
20100323527 | Selection and deposition of nanoparticles using CO2-expanded liquids - A method for size selection of nanostructures comprising utilizing a gas-expanded liquids (GEL) and controlled pressure to precipitate desired size populations of nanostructures, e.g., monodisperse. The GEL can comprise CO | 12-23-2010 |
20100323528 | Semiconductor manufacturing apparatus and method for manufacturing a semiconductor - Provided are a semiconductor manufacturing apparatus and method, capable of reliably and rapidly transporting a heated semiconductor wafer. the apparatus is provided for transporting a semiconductor wafer, which has been processed by desired treatment (for example, film formation) and is held by a susceptor equipped with a heater, to the outside by a transport arm which holds the semiconductor wafer by suction, by moving the susceptor to a certain position above a top of a wafer waiting stage and introducing the semiconductor wafer held by the susceptor onto the top of the wafer waiting stage. Then, the susceptor present on the top of the wafer waiting stage is moved in a horizontal direction. After a certain cooling time, the transport arm holds the semiconductor wafer placed on the wafer waiting stage by suction and transports the semiconductor wafer to outside. | 12-23-2010 |
20110003480 | Silsesquioxane Resins - This invention pertains to silsesquioxane resins useful in antireflective coatings wherein the silsesquioxane resin is comprised of the units (Ph(CH | 01-06-2011 |
20110021033 | BATCH CVD METHOD AND APPARATUS FOR SEMICONDUCTOR PROCESS - A batch CVD method repeats a cycle including adsorption and reaction steps along with a step of removing residual gas. The adsorption step is preformed while supplying the source gas into the process container by first setting the source gas valve open for a first period and then setting the source gas valve closed, without supplying the reactive gas into the process container by keeping the reactive gas valve closed, and without exhausting gas from inside the process container by keeping the exhaust valve closed. The reaction step is performed without supplying the source gas into the process container by keeping the source gas valve closed, while supplying the reactive gas into the process container by setting the reactive gas valve open, and exhausting gas from inside the process container by setting the exhaust valve to gradually decrease its valve opening degree from a predetermined open state. | 01-27-2011 |
20110021034 | SUBSTRATE PROCESSING APPARATUS AND METHOD - Disclosed is a substrate processing apparatus and method. The substrate processing apparatus includes a chamber ( | 01-27-2011 |
20110028001 | SUBSTRATE PROCESSING APPARATUS AND METHOD - Disclosed is a substrate processing apparatus and method. The substrate processing apparatus includes a process chamber ( | 02-03-2011 |
20110034032 | Method of formation or thermal spray coating - A method of formation of a thermal spray coating which forms a thermal spray coating on a coating-forming surface, characterized by comprising a thermal spraying step of thermally spraying feedstock powder on the coating-forming surface and a deposition and coating forming step of having the thermally sprayed feedstock powder deposit on the coating-forming surface and solidify to form a coating, in the deposition and coating forming step, when deposited on the coating-forming surface by thermal spraying, the feedstock powder deposits in the solid phase state in 50 to 90%, preferably 70 to 80%, of the whole so as to raise the ratio of the crystallite remaining in the feedstock powder and secure a high heat conductivity. | 02-10-2011 |
20110034033 | Electronic Devices and Methods of Making the Same Using Solution Processing Techniques - A method of manufacturing an electronic device, the method comprising: providing a substrate; forming a patterned layer of removable material on the substrate; depositing, using an indiscriminate deposition method, a layer of a surface energy modifying material over the substrate comprising the patterned layer of removable material; removing the removable material from the substrate thereby forming a patterned surface of the substrate with surface energy modifying material in those areas not previously covered by the removable material and no surface energy modifying material in those areas previously covered by the removable material; and depositing one or more active components from solution on the patterned surface of the substrate using an indiscriminate deposition technique whereby a patterned layer of the one or more active components is formed based on the pattern of surface energy modifying material on the substrate. | 02-10-2011 |
20110034034 | DUAL TEMPERATURE HEATER - A method and apparatus for heating a substrate in a chamber are provided. an apparatus for positioning a substrate in a processing chamber. In one embodiment, the apparatus comprises a substrate support assembly having a support surface adapted to receive the substrate and a plurality of centering members for supporting the substrate at a distance parallel to the support surface and for centering the substrate relative to a reference axis substantially perpendicular to the support surface. The plurality of the centering members are movably disposed along a periphery of the support surface, and each of the plurality of centering members comprises a first end portion for either contacting or supporting a peripheral edge of the substrate. | 02-10-2011 |
20110045674 | METHOD AND APPARATUS FOR INLINE DEPOSITION OF MATERIALS ON A NON-PLANAR SURFACE - In manufacturing a semiconductor device, a first chamber is provided. An opening couples the first chamber to a first environment through which at least one substrate can pass. A first seal environmentally isolates the first chamber from the first environment. A process chamber is coupled to the first chamber. Another seal environmental isolates the first and the process chambers. The substrate is placed within the first chamber, and the first chamber and the outside environment are isolated. The second opening is opened, and the substrate moves into the semiconductor process chamber. The first chamber is again environmentally isolated from the second volume. A semiconductor processing step is performed on the substrate within the processing chamber. While the substrate is processed, the substrate is rotated and translated through the processing chamber. | 02-24-2011 |
20110053382 | SUBSTRATE PROCESSING APPARATUS AND SEMICONDUCTOR DEVICES MANUFACTURING METHOD - Substrate processing of a substrate is performed in a processing chamber and the evenness in in-plane film thickness is enhanced. An exhaust unit exhausts the atmosphere in the processing chamber and a processing gas is supplied that is excited by an exciting unit. A rotational drive unit horizontally rotates a support unit that supports a mounting substrate on which the substrate is mounted; and a coolant supply/discharge unit is connected to the lower end of the support unit through a connecting unit. The substrate mounting unit has a coolant circulation path therein. The support unit includes a first coolant flow path for passing coolant through the coolant circulation path. The coolant supply/discharge unit includes a second coolant flow path. The connecting unit connects the first coolant flow path and the second coolant flow path together and is provided outside the processing chamber. | 03-03-2011 |
20110065282 | APPARATUS AND METHODS TO FORM A PATTERNED COATING ON AN OLED SUBSTRATE - An apparatus for applying a patterned coating to an OLED substrate in a continuous roll-to-roll vapor based deposition process is provided comprising a vapor deposition source, a processing drum, a drive roller, and a shadow mask wherein the shadow mask comprises a mask line feature that selectively prevents deposition of the coating onto the substrate. Also presented is a method for applying the coating. | 03-17-2011 |
20110065283 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SUBSTRATE PROCESSING APPARATUS - Provided are a semiconductor device manufacturing method and a substrate processing apparatus. The method comprise: a first process of forming a film containing a predetermined element on a substrate by supplying a source gas containing the predetermined element to a substrate processing chamber in which the substrate is accommodated; a second process of removing the source gas remaining in the substrate processing chamber by supplying an inert gas to the substrate processing chamber; a third process of modifying the predetermined element-containing film formed in the first process by supplying a modification gas that reacts with the predetermined element to the substrate processing chamber; a fourth process of removing the modification gas remaining in the substrate processing chamber by supplying an inert gas to the substrate processing chamber; and a filling process of filling an inert gas in a gas tank connected to the substrate processing chamber. | 03-17-2011 |
20110086513 | UPPER ELECTRODE BACKING MEMBER WITH PARTICLE REDUCING FEATURES - Components of a plasma processing apparatus includes a backing member with gas passages attached to an upper electrode with gas passages. To compensate for the differences in coefficient of thermal expansion between the metallic backing member and upper electrode, the gas passages are positioned and sized such that they are misaligned at ambient temperature and substantially concentric at an elevated processing temperature. Non-uniform shear stresses can be generated in the elastomeric bonding material, due to the thermal expansion. Shear stresses can either be accommodated by applying an elastomeric bonding material of varying thickness or using a backing member comprising of multiple pieces. | 04-14-2011 |
20110086514 | SUBSTRATE PROCESSING METHOD AND APPARATUS - A substrate processing method is arranged to perform a heat process on a substrate with a coating film formed thereon to bake and cure the coating film. At first, the substrate, with the coating film formed thereon, is held at a preparatory temperature lower than a lower limit of temperature for baking and curing the coating film, to adjust distribution of a predetermined component in the coating film. Then, the substrate, with distribution of the predetermined component thus adjusted, is subjected to a heat process at a temperature not lower than the lower limit of temperature. | 04-14-2011 |
20110092075 | MANUFACTURING APPARATUS AND METHOD FOR SEMICONDUCTOR DEVICE - A semiconductor device manufacturing apparatus includes a chamber in which a wafer is loaded; a first gas supply unit for supplying a process gas into the chamber; a gas exhaust unit for exhausting a gas from the chamber; a wafer support member on which the wafer is placed; a ring on which the wafer support member is placed; a rotation drive control unit connected to the ring to rotate the wafer; a heater disposed in the ring and comprising a heater element for heating the wafer to a predetermined temperature and including an SiC layer on at least a surface, and a heater electrode portion molded integrally with a heater element and including an SiC layer on at least a surface; and a second gas supply unit for supplying an SiC source gas into the ring. | 04-21-2011 |
20110092076 | APPARATUS AND METHOD OF VAPOR COATING IN AN ELECTRONIC DEVICE - An apparatus and method for vapor phase deposition of a reactive surface area (RSA) material onto a substrate of an electronic device. The vapor phase deposition is conducted at ambient pressures in air, and provides capture of residual vapor to minimize environmental release of RSA and other constituents used in the processing. | 04-21-2011 |
20110097905 | APPARATUS INCLUDING 4-WAY VALVE FOR FABRICATING SEMICONDUCTOR DEVICE, METHOD OF CONTROLLING VALVE, AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE USING THE APPARATUS - An apparatus and method for fabricating a semiconductor device using a 4-way valve with improved purge efficiency by improving a gas valve system by preventing dead volume from occurring are provided. The apparatus includes a reaction chamber in which a substrate is processed to fabricate a semiconductor device; a first processing gas supply pipe supplying a first processing gas into the reaction chamber; a 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet and installed at the first processing gas supply pipe such that the first inlet and the first outlet are connected to the first processing gas supply pipe; a second processing gas supply pipe connected to the second inlet of the 4-way valve to supply a second processing gas; a bypass connected to the second outlet of the 4-way valve; and a gate valve installed at the bypass. | 04-28-2011 |
20110124199 | APPARATUS AND METHOD FOR HIGH-THROUGHPUT ATOMIC LAYER DEPOSITION - Atomic layer deposition apparatus for depositing a film in a continuous fashion. The apparatus includes a process tunnel, extending in a transport direction and bounded by at least a first and a second wall. The walls are mutually parallel and allow a flat substrate to be accommodated there between. The apparatus further includes a transport system for moving a train of substrates or a continuous substrate in tape form, through the tunnel. At least the first wall of the process tunnel is provided with a plurality of gas injection channels that, viewed in the transport direction, are connected successively to a first precursor gas source, a purgegas source, a second precursor gas source and a purge gas source respectively, so as to create a tunnel segment that—in use—comprises successive zones containing a first precursor gas, a purge gas, a second precursor gas and a purge gas, respectively. | 05-26-2011 |
20110124200 | METHOD AND APPARATUS OF PLASMA TREATMENT - The present invention provides a plasma treatment apparatus and a conditioning method capable of performing a conditioning for the whole vacuum chamber. A plasma treatment apparatus according to an embodiment of the present invention is provided with a moving means for moving a substrate holder ( | 05-26-2011 |
20110124201 | CHEMICAL VAPORIZER FOR MATERIAL DEPOSITION SYSTEMS AND ASSOCIATED METHODS - System and method for operating a material deposition system are disclosed. In one embodiment, the method can include periodically injecting a precursor into a vaporizer through an injector at the vaporizer, vaporizing the precursor in the vaporizer and supplying the vaporized precursor to a reaction chamber in fluid communication with the vaporizer, and shutting down the vaporizer and the reaction chamber after a period of time. The method can also include conducting maintenance of the injector at the vaporizer by using a vapor solvent rinse. | 05-26-2011 |
20110136347 | POINT-OF-USE SILYLAMINE GENERATION - The production and delivery of a reaction precursor containing one or more silylamines near a point of use is described. Silylamines may include trisilylamine (TSA) but also disilylamine (DSA) and monosilylamine (MSA). Mixtures involving two or more silylamines can change composition (e.g. proportion of DSA to TSA) over time. Producing silylamines near a point-of-use limits changing composition, reduces handling of unstable gases and reduces cost of silylamine-consuming processes. | 06-09-2011 |
20110143551 | DEVICE AND PROCESS FOR CHEMICAL VAPOR PHASE TREATMENT - Device for treating substrates, comprising a changer having controlled pressure and temperature, a substrate support which is provided in the chamber, the chamber comprising a gas inlet for carrying out a vapor phase deposition, and an upper wall of the chamber provided with a plurality of first channels connected to a first inlet and a plurality of second channels connected to a second inlet, the first and second channels opening into the chamber and being regularly distributed in the upper wall, a heating element provided above the upper wall and a gas discharge ring provided between the upper wall and the substrate support, the upper wall begin electrically conductive and insulated relative to the substrate support so as to be able to apply a voltage between the upper wall and the substrate support. | 06-16-2011 |
20110159700 | FILM FORMATION SYSTEM AND FILM FORMATION METHOD - A film formation system and a film formation method are disclosed. The film formation method includes the following steps performed in the film formation system that includes a container containing liquid, a water draining means for draining the liquid, a ring-shaped component installed in the container, and a carrying component installed in the liquid in the container for carrying at least a substrate: enabling the carrying component in the liquid and enabling the ring-shaped component to float on the liquid; when a film layer that is composed of nano-spheres is formed on the liquid, locating the film layer in a ring of the ring-shaped component; and removing the liquid, allowing the film layer to move downward in accordance with the ring-shaped component and be formed on the substrate, thereby preventing the nano-spheres from contacting an inner wall of the container and bursting, through the installation of the ring-shaped component. | 06-30-2011 |
20110159701 | CHEMICAL LIQUID SUPPLY NOZZLE AND CHEMICAL LIQUID SUPPLY METHOD - The present disclosure provides a chemical liquid supply nozzle capable of suppressing the drying process of chemical liquid with a low cost. The chemical liquid supply nozzle is provided with a cutoff valve and a suction unit that sucks chemical liquid to a suction flow path at a nozzle main body connected to a front end of flow path member. Accordingly, the chemical liquid remaining at the downstream side of the cutoff valve after the chemical liquid is discharged, is sucked toward the upstream side of the cutoff valve and removed, to thereby suppress the drying and solidifying process of the chemical liquid at the chemical liquid flow path. Also, there is no need to block the chemical liquid flow path by sucking thinner at the downstream side of chemical liquid flow path, and the number of dummy dispense may be reduced, thereby reducing an overall operation cost of the process. | 06-30-2011 |
20110207333 | Method for applying a layer to a substrate - A semiconductor wafer ( | 08-25-2011 |
20110212625 | SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A substrate processing apparatus which is capable of improving a manufacture yield while processing a substrate with high precision, and a method of manufacturing a semiconductor device. The substrate processing apparatus includes a substrate support part provided within a process chamber and configured to support a substrate; a substrate support moving mechanism configured to move the substrate support part; a gas feeding part configured to feed a gas into the process chamber; an exhaust part configured to exhaust the gas within the process chamber; and a plasma generating part disposed to face the substrate support part. | 09-01-2011 |
20110212626 | SUBSTRATE PROCESSING APPARATUS AND SEMICONDUCTOR DEVICE PRODUCING METHOD - Disclosed is a substrate processing apparatus, including: a processing chamber for processing a substrate; a substrate rotating mechanism for rotating the substrate; a gas supply unit for supplying gas to the substrate, at least two kinds of gases A and B being alternately supplied a plurality of times to form a desired film on the substrate; and a controller for controlling a rotation period of the substrate or a gas supply period defined as a time period between an instant when the gas A is made to flow and an instant when the gas A is made to flow next time such that the rotation period and the gas supply period are not brought into synchronization with each other at least while the alternate gas supply is carried out predetermined times. | 09-01-2011 |
20110217849 | DEVICE AND METHOD FOR PRODUCING DIELECTRIC LAYERS IN MICROWAVE PLASMA - A device for producing a microwave plasma, and a device and a method for treating semiconductor substrates with a microwave plasma, the microwave plasma device comprising at least one electrode ( | 09-08-2011 |
20110223773 | LOW TEMPERATURE PROCESS FOR DEPOSITING A HIGH EXTINCTION COEFFICIENT NON-PEELING OPTICAL ABSORBER FOR A SCANNING LASER SURFACE ANNEAL OF IMPLANTED DOPANTS - A plasma enhanced physical vapor deposition process deposits an amorphous carbon layer on an ion-implanted wafer for use in dynamic surface annealing of the wafer with an intense line beam of a laser wavelength. The deposition process is carried out at a wafer temperature below the dopant clustering threshold temperature, and includes introducing the wafer into a chamber having a carbon-containing target overlying the wafer, and furnishing a carrier gas into the chamber. The process further includes generating a wafer bias voltage and applying target source power to the carbon-containing target sufficient to produce ion bombardment of the carbon-containing target. The wafer bias voltage is set to a level at which the amorphous carbon layer that is deposited has a desired extinction coefficient at the laser wavelength. | 09-15-2011 |
20110230054 | SEMICONDUCTOR SUBSTRATE CLEANING METHOD - In one embodiment, a semiconductor substrate cleaning method is disclosed. The method can clean a semiconductor substrate by using a chemical of 80° C. or above. The method can rinse the semiconductor substrate by using pure water of 40° C. or above after the cleaning of the semiconductor substrate. The method can then rinse the semiconductor substrate by using pure water of 30° C. or below. In addition, the method can dry the semiconductor substrate. | 09-22-2011 |
20110230055 | FILM FORMING APPARATUS AND FILM-FORMING METHOD - A film forming apparatus and a film forming method for suppressing a drop in the film forming speed caused by-product gas are provided. A film forming apparatus for forming a film on a wafer includes a chamber in which the wafer is located; a gas introducing member configured to introduce raw material gas into the chamber, in which the raw material gas turning into by-product gas and a substance which adheres to the surface of the wafer by reacting at a surface of the wafer; and a reverse reaction member configured to generate the raw material gas by causing the by-product gas to react in the chamber. | 09-22-2011 |
20110244692 | Method for Forming a Nano-textured Substrate - A method for forming a nano-textured surface on a substrate is disclosed. An illustrative embodiment of the present invention comprises dispensing of a nanoparticle ink of nanoparticles and solvent onto the surface of a substrate, distributing the ink to form substantially uniform, liquid nascent layer of the ink, and enabling the solvent to evaporate from the nanoparticle ink thereby inducing the nanoparticles to assemble into an texture layer. Methods in accordance with the present invention enable rapid formation of large-area substrates having a nano-textured surface. Embodiments of the present invention are well suited for texturing substrates using high-speed, large scale, roll-to-roll coating equipment, such as that used in office product, film coating, and flexible packaging applications. Further, embodiments of the present invention are well suited for use with rigid or flexible substrates. | 10-06-2011 |
20110256729 | Showerhead for CVD Depositions - A CVD showerhead that includes a circular inner showerhead and at least one outer ring showerhead. At least two process gas delivery tubes are coupled to each showerhead. Also, a dual showerhead that includes a circular inner showerhead and at least one outer ring showerhead where each showerhead is coupled to oxygen plus a gas mixture of lead, zirconium, and titanium organometallics. A method of depositing a CVD thin film on a wafer. Also, a method of depositing a PZT thin film on a wafer. | 10-20-2011 |
20110263131 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR MANUFACTURING APPARATUS - In one embodiment, a method for manufacturing a semiconductor device is disclosed. The method can include, upon attaching a bonding material containing a resin and a solvent to a second surface opposed to a first surface including a circuit pattern of a wafer, heating the bonding material to evaporate the solvent and decreasing vapor pressure of the solvent in an atmosphere faced with the bonding material and heating the attached bonding material to form a bonding layer. | 10-27-2011 |
20110263132 | METHOD FOR FORMING AN ADHESIVE LAYER AND ADHESIVE COMPOSITION - In a forming method of an adhesive layer including the steps of selectively coating, on a surface to be bonded, an adhesive composition containing a thermosetting composition and an organic solvent using a noncontact coating device; and removing the organic solvent from the adhesive composition coated on the surface to be bonded and in a forming method of an adhesive layer characterized in the thermosetting composition has a hardening property so as to exhibit two kinds of reaction temperatures, the adhesive composition comprising an epoxy resin and an epoxy curing agent which are reacted through a first hardening reaction exhibiting a first DSC peak within a temperature range of 100 to 160° C. and a second hardening reaction relating to a self-polymerization of the epoxy resin and exhibiting a second DSC peak within a temperature range of 140 to 200° C. | 10-27-2011 |
20110263133 | SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A semiconductor device manufacturing apparatus includes: an accommodation section accommodating an application object; an irradiation section irradiating the application object taken out from the accommodation section with ultraviolet light; an application section including a stage allowing the application object to be placed thereon and an application head discharging a plurality of droplets of an adhesive to the application object placed on the stage, the application section applying the adhesive through the application head to the application object which is irradiated by ultraviolet light through the irradiation section and is placed on the stage; a drying section drying the adhesive applied on the application object with heat; and a transport section including a hand supporting the application object, the transport section which is capable of transporting the application object accommodated in the accommodation section to the irradiation section, the application section, and the drying section. | 10-27-2011 |
20110269315 | THIN FILM FORMATION METHOD AND FILM FORMATION APPARATUS - A thin film formation method to form a silicon film containing an impurity on a surface of an object to be processed in a process chamber that allows vacuum exhaust includes alternately and repeatedly performing a first gas supply process in which a silane-based gas composed of silicon and hydrogen is supplied into the process chamber in a state that the silane-based gas is adsorbed onto the surface of the object to be processed and a second gas supply process in which an impurity-containing gas is supplied into the process chamber, to form an amorphous silicon film containing an impurity. Accordingly, an amorphous silicon film containing an impurity having good filling characteristics can be formed even at a relatively low temperature. | 11-03-2011 |
20110281439 | APPLYING WAFER BACKSIDE COATINGS TO SEMICONDUCTOR WAFERS - A method for coating a silicon wafer comprises depositing a coating onto the exposed side of the wafer such that the coating is deposited on the entire surface area of the exposed side of the wafer, reaching to the edge of the wafer. This method either reduces significantly or eliminates die-fly during dicing of semiconductor wafers, and is effective for depositing thin layers, such as are needed for Al paste electrodes in solar cell fabrication. | 11-17-2011 |
20110287635 | WAFER CARRIER WITH HUB - A wafer carrier for a rotating disc CVD reactor includes a unitary plate of a ceramic such as silicon carbide defining wafer-holding features such as pockets on its upstream surface and also includes a hub removably mounted to the plate in a central region of the plate. The hub provides a secure connection to the spindle of the reactor without imposing concentrated stresses on the ceramic plate. The hub can be removed during cleaning of the plate. | 11-24-2011 |
20110294303 | CONFINED PROCESS VOLUME PECVD CHAMBER - An apparatus for plasma processing a substrate is provided. The apparatus comprises a processing chamber, a substrate support disposed in the processing chamber, a shield member disposed in the processing chamber below the substrate support, and a lid assembly coupled to the processing chamber. The lid assembly comprises a conductive gas distributor coupled to a power source, and an electrode separated from the conductive gas distributor and the chamber body by electrical insulators. The electrode is also coupled to a source of electric power. The substrate support is formed with a stiffness that permits very little departure from parallelism. The shield member thermally shields a substrate transfer opening in the lower portion of the chamber body. A pumping plenum is located below the substrate support processing position, and is spaced apart therefrom. | 12-01-2011 |
20110300716 | METHOD OF IMPROVING FILM NON-UNIFORMITY AND THROUGHPUT - Methods, apparatus, and systems for depositing materials with gaseous precursors are provided. In certain implementations, the methods involve providing a wafer substrate to a chamber of an apparatus. The apparatus includes a showerhead to deliver a gas to the chamber, a volume, and an isolation valve between the volume and the showerhead. A gas is delivered the volume when the isolation valve is closed, pressurizing the volume. The isolation valve is opened to allow the gas to flow to the showerhead when the gas is being delivered to the volume. A material is formed on the wafer substrate using the gas. In some implementations, releasing the pressurized gas from the volume reduces the duration of time to develop a spatially uniform gas flow across the showerhead. | 12-08-2011 |
20110306216 | MASK HOLDING DEVICE - A holding device adapted for holding a mask and a substrate during processing of the substrate is provided. The holding device includes a mask frame adapted for supporting the mask and a substrate carrier adapted for carrying the substrate to be processed. The substrate carrier has at least one recess adapted for receiving the mask frame which holds the mask. | 12-15-2011 |
20110306217 | THIN FILM FORMING APPARATUS AND METHOD - According to one embodiment, the thin film forming apparatus includes a boat capable of holding two wafers, in each of which a cutout portion is provided in an outer peripheral edge portion, in a groove portion for holding a wafer in a state where back surfaces face each other. Moreover, the thin film forming apparatus includes a reactor that accommodates the boat and form a coating on each of surfaces of the two wafers by a vapor deposition reaction. The positions in the groove portion, at which the two wafers are held, respectively, are displaced in a direction parallel to the surfaces of the wafers. | 12-15-2011 |
20110312187 | MANUFACTURING APPARATUS AND METHOD FOR SEMICONDUCTOR DEVICE AND CLEANING METHOD OF MANUFACTURING APPARATUS FOR SEMICONDUCTOR - A manufacturing apparatus for a semiconductor device, including: a reaction chamber configured to perform film formation on a wafer; a process gas supplying mechanism provided in an upper part of the reaction chamber and configured to introduce process gas to an interior of the reaction chamber; a gas discharging mechanism provided in a lower part of the reaction chamber and configured to discharge gas from the reaction chamber; a supporting member configured to hold the wafer; a cleaning gas supplying mechanism provided in an outer periphery of the supporting member and configured to emit cleaning gas in an outer periphery direction below an upper end of the supporting member; a heater configured to heat the wafer; and a rotary driving mechanism configured to rotate the wafer. | 12-22-2011 |
20110312188 | PROCESSING APPARATUS AND FILM FORMING METHOD - A processing apparatus for processing objects, includes: a processing container structure having a bottom opening and including a processing container having a processing space for housing the objects, the container having a nozzle housing area on one side of the processing space and a slit-like exhaust port on the opposite side of the processing space from the nozzle housing area; a lid for closing the bottom opening of the processing container structure; a support structure for supporting the objects and which can be inserted into and withdrawn from the processing container structure; a gas introduction means including a gas nozzle housed in the nozzle housing area; an exhaust means including a plurality of exhaust systems for exhausting the atmosphere in the processing container structure; a heating means for heating the objects; and a control means for controlling the gas introduction means, the exhaust means and the heating means. | 12-22-2011 |
20110312189 | SUBSTRATE TREATING APPARATUS AND SUBSTRATE TREATING METHOD - A substrate treating apparatus is provided. The substrate treating apparatus includes a loading/unloading unit, a process unit in which a substrate treating process is performed, a loadlock unit disposed between the loading/unloading unit and the process unit, and a carrying member transferring a substrate between the process unit and the loadlock unit. Herein, the carrying member is provided in the process unit and the loadlock unit, and the loading/unloading unit, the loadlock unit, and the process unit are sequentially disposed. | 12-22-2011 |
20110318937 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE, METHOD OF CLEANING A PROCESS VESSEL, AND SUBSTRATE PROCESSING APPARATUS - A method of manufacturing a semiconductor device includes supplying a process gas into a process vessel accommodating a substrate to form a thin film on the substrate and supplying a cleaning gas into the process vessel to clean an inside of the process vessel, after the supplying the process gas to form the thin film is performed a predetermined number of times. When cleaning the inside of the process vessel, a fluorine-containing gas, an oxygen-containing gas and a hydrogen-containing gas are supplied as the cleaning gas into the process vessel heated and kept at a pressure less than an atmospheric pressure to remove a deposit including the thin film adhering to the inside of the process vessel through a thermochemical reaction. | 12-29-2011 |
20120009798 | STENCIL MASK PROFILE - An apparatus and method are provided which allow the low cost patterned deposition of material onto a workpiece. A stencil mask, having chamfered edges is applied to the surface of the workpiece. The material is then deposited onto the workpiece, such as by PECVD. Because of the chamfered edges, the material thickness is much more uniform than is possible with traditional stencil masks. Stencil masks having a variety of cross sectional patterns are disclosed which improve deposition uniformity. | 01-12-2012 |
20120009799 | TEMPLATE MANUFACTURING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND TEMPLATE - According to one embodiment, a template manufacturing method is a method for manufacturing a template for use in an imprint processing in which a pattern having irregularities are formed on a principal surface, and the pattern is brought into contact with a resist member formed on a substrate to be processed, to transfer the pattern to the resist member, the method including implanting charged particles at least into the bottoms of concave portions of the template. | 01-12-2012 |
20120009800 | MASS PRODUCTION METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND MANUFACTURING METHOD OF ELECTRONIC DEVICE - In order to prevent the contamination of wafers made of a transition metal in a semiconductor mass production process, the mass production method of a semiconductor integrated circuit device of the invention comprises the steps of depositing an Ru film on individual wafers passing through a wafer process, removing the Ru film from outer edge portions of a device side and a back side of individual wafers, on which said Ru film has been deposited, by means of an aqueous solution containing orthoperiodic acid and nitric acid, and subjecting said individual wafers, from which said Ru film has been removed, to a lithographic step, an inspection step or a thermal treating step that is in common use relation with a plurality of wafers belonging to lower layer steps (an initial element formation step and a wiring step prior to the formation of a gate insulating film). | 01-12-2012 |
20120015525 | METHOD OF CLEANING A THIN FILM FORMING APPARATUS, THIN FILM FORMING METHOD, AND THIN FILM FORMING APPARATUS - A method of cleaning a thin film forming apparatus, for removing deposits adhering to an inside thereof after supplying a film-forming gas into a reaction chamber to form a amorphous carbon film on a workpiece, includes a heating operation of heating at least one of an inside of the reaction chamber and an inside of an exhaust pipe connected to the reaction chamber to a predetermined temperature; and a removing operation of supplying a cleaning gas containing oxygen gas and hydrogen gas into at least one of the inside of the reaction chamber and the inside of the exhaust pipe heated in the heating operation, heating the cleaning gas to the predetermined temperature to activate the oxygen gas and the hydrogen gas contained in the cleaning gas, and thereafter removing the deposits adhering to the inside of the thin film forming apparatus by the oxygen gas and the hydrogen gas activated. | 01-19-2012 |
20120034788 | SUBSTRATE PROCESSING APPARATUS AND PRODUCING METHOD OF SEMICONDUCTOR DEVICE - A substrate treatment apparatus includes a reaction tube and a heater heating a silicon wafer. Trimethyl aluminum (TMA) and ozone (O | 02-09-2012 |
20120034789 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes: performing modifying a surface of a semiconductor wafer including a silanol group on the surface with an alkylsilyl group; and fluorinating an alkyl group of the alkylsilyl group with which the surface was modified. | 02-09-2012 |
20120064728 | SUBSTRATE DEPOSITING SYSTEM AND DEPOSITING METHOD USING THE SAME - A substrate depositing system and a method of using a substrate depositing system. A substrate depositing system includes a load-lock chamber for loading and unloading a substrate, at least one transfer chamber connected to the load-lock chamber and including a substrate transfer device configured to vertically transfer the substrate, and a pair of depositing chambers connected to opposite sides of the at least one transfer chamber and including a depositing source and a pair of substrate fixing devices, the substrate transfer device including a pair of substrate installing members. | 03-15-2012 |
20120070999 | REPLACEABLE SUBSTRATE MASKING ON CARRIER AND METHOD FOR PROCESSING A SUBSTRATE - A holding device adapted for holding a mask and a substrate during processing is described. The holding device includes a substrate carrier adapted for carrying the substrate; and a mask for masking the substrate, wherein the mask is releasably connected to the substrate carrier; wherein the substrate carrier or the mask has at least one recess adapted for receiving a cover for covering the substrate carrier during deposition. | 03-22-2012 |
20120071000 | MANUFACTURING APPARATUS AND METHOD FOR SEMICONDUCTOR DEVICE - A manufacturing apparatus for a semiconductor device, comprising: a reactor chamber configured to load a wafer therein; a gas supplying mechanism configured to supply a process gas into the reactor chamber; a gas discharging mechanism configured to discharge a gas from the reactor chamber; a wafer supporting member configured to mount the wafer thereon; a ring configured to mount the wafer supporting member thereon; a rotary drive controlling mechanism configured to connect to the ring for rotating the wafer; a heater arranged in the ring for heating the wafer to a predetermined temperature; an electrode part configured to connect to the heater and including a screw concave portion; and an electrode including a screw portion which is connected to the electrode part via the screw concave portion. | 03-22-2012 |
20120071001 | VAPORIZING AND FEED APPARATUS AND VAPORIZING AND FEED METHOD - A vaporizing and feed apparatus for vaporizing and feeding a solid film-forming raw material comprises a supercritical fluid feeding part for producing and feeding a supercritical fluid, a supercritical fluid adjusting part for dissolving the solid film-forming raw material in the supercritical fluid by bringing the supercritical fluid fed from the supercritical fluid feeding part into contact with the solid film-forming raw material, and a vaporizing part for phase-transitioning the supercritical fluid having the dissolved solid film-forming raw material to a gas, the solid film-forming raw material thereby being deposited in the gas, and for vaporizing the deposited solid film-forming raw material. | 03-22-2012 |
20120071002 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - A process of manufacturing a semiconductor device may be simplified, and oxidation of a metal element-containing film may be suppressed. The method of manufacturing a semiconductor device includes loading a substrate including a metal element-containing film and an insulating film formed on the metal element-containing film into a process chamber and supporting the substrate using a substrate support installed in the process chamber; supplying a reactive gas including at least one of hydrogen in excited state and nitrogen in excited state, and oxygen in excited state onto the substrate in the process chamber and processing the substrate; and unloading the substrate from an inside of the process chamber. | 03-22-2012 |
20120071003 | Vacuum Processing Apparatus, Vacuum Processing Method, and Micro-Machining Apparatus - Disclosed is a technology in which a nozzle part is mounted in a vacuum chamber and a silicon substrate is held to face a discharge hole of the nozzle part. For example, ClF | 03-22-2012 |
20120094502 | METHODS FOR DEPOSITING BEVEL PROTECTIVE FILM - A method of film deposition using localized plasma to protect bevel edge of a wafer in a plasma chamber. The method includes adjusting an electrode gap between a movable electrode and a stationary electrode, the wafer being disposed on one of the movable electrode and the stationary electrode, to a gap distance configured to prevent plasma formation over a center portion of the wafer, the gap distance also dimensioned such that a plasma-sustainable condition around the bevel edge of the wafer is formed after the adjusting. The method also includes flowing deposition gas into the plasma chamber. The method includes maintaining, using a heater, a chuck temperature that is configured to facilitate film deposition on the bevel edge. The method further includes generating the localized plasma from the deposition gas for depositing a film on the bevel edge. | 04-19-2012 |
20120100722 | SUBSTRATE PROCESSING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - Disclosed is a substrate processing apparatus including: a processing chamber; plural buffer chambers; a first processing gas supply system that supplies a first processing gas to the processing chamber; a second processing gas supply system that supplies a second processing gas to the buffer chambers; a RF power source; plasma-generating electrodes in the buffer chambers; a heating system; and a controller that controls the first and second processing gas supply systems, the power source, and the heating system to expose the substrate having a metal film thereon to the first processing gas, and the second processing gas that is activated in the plural buffer chambers with an application of RF power to the electrodes and that is supplied from the buffer chambers to the processing chamber to form a film on the metal film while heating the substrate to a self-decomposition temperature of the first processing gas or lower. | 04-26-2012 |
20120108075 | Gas-Phase Functionalization of Surfaces of Microelectronic Structures - There are provided methods for functionalizing a planar surface of a microelectronic structure, by exposing the surface to at least one vapor including at least one functionalization species, such as NO | 05-03-2012 |
20120108076 | SHOWERHEAD FOR CVD DEPOSITIONS - A CVD showerhead that includes a circular inner showerhead and at least one outer ring showerhead. At least two process gas delivery tubes are coupled to each showerhead. Also, a dual showerhead that includes a circular inner showerhead and at least one outer ring showerhead where each showerhead is coupled to oxygen plus a gas mixture of lead, zirconium, and titanium organometallics. A method of depositing a CVD thin film on a wafer. Also, a method of depositing a PZT thin film on a wafer. | 05-03-2012 |
20120122317 | Pulsed Laser Deposition with Exchangeable Shadow Masks - The invention relates to a device for pulsed laser deposition, which device comprises: a substrate mount with a substrate mounted thereon; a target mount with a target material mounted thereon and opposite of the substrate mount; a laser device for directing a laser beam on the target material; and a shadow mask arranged over the substrate; wherein the shadow mask is arranged in a movable disc, which movable disc is movable in axial direction to and from the substrate mount. The invention also relates to a method for operating such a device. | 05-17-2012 |
20120122318 | SUBSTRATE PROCESSING APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - The substrate processing apparatus includes: a processing chamber for storing and processing substrates stacked in multiple stages in horizontal posture; at least one processing gas supply nozzle which extends running along an inner wall of the processing chamber in the stacking direction of the substrates and supplies a processing gas to the inside of the processing chamber; a pair of inactive gas supply nozzles which are provided so as to extend running along the inner wall of the processing chamber in the stacking direction of the substrates and so as to sandwich the processing gas supply nozzle from both sides thereof along the circumferential direction of the substrates and which supply the inactive gas to the inside of the processing chamber; and an exhaust line for exhausting the inside of the processing chamber. | 05-17-2012 |
20120135609 | Apparatus and Process for Atomic Layer Deposition - Provided are gas distribution plates (showerheads) for use in an apparatus configured to form a film during, for example, an atomic layer deposition (ALD) process. The gas distribution plate comprises a body defining a thickness and a peripheral edge and has a front surface for facing the substrate. The front surface has a central region with a plurality of openings configured to distribute process gases over the substrate and a focus ring with a sloped region. The focus ring is concentric to the central region such that the thickness at the focus ring is greater than the thickness at the central region. | 05-31-2012 |
20120142196 | PROCESSING ASSEMBLY FOR SEMICONDUCTOR WORKPIECE AND METHODS OF PROCESSING SAME - A processing assembly for a semiconductor workpiece generally includes a rotor assembly capable of spinning a workpiece, a chemistry delivery assembly for delivering chemistry to the workpiece, and a chemistry collection assembly for collecting spent chemistry from the workpiece. The chemistry collection assembly includes a weir assembly surrounding the rotor assembly and having a plurality of weirs. Methods for processing a semiconductor workpiece generally include moving at least one of the rotor assembly and the weir assembly. | 06-07-2012 |
20120142197 | COMBINATORIAL PROCESS SYSTEM - A combinatorial processing chamber is provided. The combinatorial processing chamber is configured to isolate a radial portion of a rotatable substrate support, which in turn is configured to support a substrate. The chamber includes a plurality of clusters process heads in one embodiment. An insert having a base plate disposed between the substrate support and the process heads defines a confinement region for a deposition process in one embodiment. The base plate has an opening to enable access of the deposition material to the substrate. Through rotation of the substrate and movement of the opening, multiple regions of the substrate are accessible for performing combinatorial processing on a single substrate. | 06-07-2012 |
20120149209 | PROCESS SEQUENCING FOR HPC ALD SYSTEM - A combinatorial processing method is provided. The combinatorial processing method includes providing a flow of fluid over segregated sectors of a substrate to process the segregated sectors of the substrate in parallel without significantly exposing any section to a reagent without first applying a film and without subjecting any section to the same process step at the same time. Differently processed, segregated sectors may be generated in parallel. | 06-14-2012 |
20120149210 | SYSTEMS, APPARATUSES, AND METHODS FOR CHEMICALLY PROCESSING SUBSTRATES USING THE COANDA EFFECT - A system for processing substrates is described. In one embodiment, the system comprises a process chamber and at least one Coanda effect gas injector. The at least one Coanda effect gas injector is disposed proximate a location for the peripheral edge of the substrate so as to provide a Coanda effect gas flow over the surface of the substrate. Apparatuses and methods are also described. | 06-14-2012 |
20120149211 | METHOD OF FORMING PATTERN, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND METHOD OF MANUFACTURING TEMPLATE - According to one embodiment, a pattern formation method includes, before forming a circuit pattern on a substrate using imprinting, a wall pattern with a predetermined height is formed to surround the periphery of an area serving as imprint shots on the substrate in each imprint shot and to allow the imprint shots to be separated from one another. The circuit pattern is formed in the imprint shots surrounded by the wall pattern through imprinting. | 06-14-2012 |
20120164841 | COMBINATORIAL NON-CONTACT WET PROCESSING - An apparatus and method for combinatorial non-contact wet processing of a liquid material may include a source of a liquid material, a first reaction cell, a second reaction cell, a first plurality of gas jets disposed within an interior of the first reaction cell, the first plurality of gas jets configured to atomize the liquid material transferred to the interior of the first reaction cell, a second plurality of gas jets disposed within an interior of the second reaction cell, the second plurality of gas jets configured to atomize the liquid material transferred to the interior of the second reaction cell, a first vacuum element disposed along a periphery of the first reaction cell, and a second vacuum element disposed along a periphery of the at least a second reaction cell. | 06-28-2012 |
20120171873 | THIN FILM TRANSISTOR PRINTING APPARATUS AND THIN FILM TRANSISTOR PRINTING METHOD USING THE SAME - According to an example embodiment, a thin film transistor (TFT) printing apparatus includes a stage having a TFT substrate loaded thereon, a stage moving device configured to move the stage according to a printing vector set to correspond to a print pattern, and a print head on an upper side of the stage and including a plurality of nozzles in a matrix shape. | 07-05-2012 |
20120202351 | METHODS OF FORMING A PHOTO MASK - Methods of fabricating a photo mask are provided. The method includes collecting sample data, setting a preliminary mask layout, performing an optical proximity correction using the sample data and a preliminary mask layout to obtain an optimized preliminary mask layout, verifying the optimized preliminary mask layout to obtain a final mask layout, and fabricating the photo mask using the final mask layout. Verification of the optimized preliminary mask layout includes operating a verification simulator using the sample data and the optimized preliminary mask layout as input data to obtain verification image data. The verification image data includes a plurality of contours of a pattern at different vertical positions. | 08-09-2012 |
20120202352 | METHOD OF AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE - A vertical single wall reaction tube type batch processing furnace can reduce the generation of particles. A method of removing native oxide film by fluoride gas can enhance the efficiency of utilization of gas. A method of exciting reaction gas by a catalyst at high temperature can be applied to a batch processing. A method of exciting reaction gas by a catalyst utilizes an oxidizing agent and gas other than an oxidizing agent. The flow rate of gas in the gas injection pipe and that of gas in the exhaust pipe are made to be substantially equal to each other. The gap between two adjacent wafers is made greater than the mean free path of gas. The oxidizing agent is dissociated by a catalyst of Ir, V or Kanthal while the gas other than the oxidizing agent is dissociated by a catalyst of W. | 08-09-2012 |
20120208371 | METHOD AND APPARATUS FOR MULTIZONE PLASMA GENERATION - Embodiments of the present invention provide a method and apparatus for plasma processing a substrate to form a film on the substrate and devices disposed thereon by controlling the ratio of ions to radicals in the plasma at a given pressure. A given pressure may be maintained to promote ion production using one plasma source, and a second plasma source may be used to provide additional radicals. In one embodiment, a low pressure plasma is generated in a processing region having the substrate positioned therein, and a high pressure plasma is generated in separate region. Radicals from the high pressure plasma are injected into the processing region having the low pressure plasma, thus, altering the natural distribution of radicals to ions at a given operating pressure. The resulting process and apparatus enables tailoring of the ion to radical ratio to allow better control of forming films on high aspect ratio features, and thus improve corner rounding, conformality of sidewall to bottom trench growth, and selective growth. | 08-16-2012 |
20120208372 | PROCESS GAS DELIVERY FOR SEMICONDUCTOR PROCESS CHAMBERS - Methods for gas delivery to a process chamber are provided herein. In some embodiments, a method may include flowing a process gas through one or more gas conduits, each gas conduit having an inlet and an outlet for facilitating the flow of gas through the gas conduits and into a gas inlet funnel having a second volume, wherein each gas conduit has a first volume less than the second volume, and wherein each gas conduit has a cross-section that increases from a first cross-section proximate the inlet to a second cross-section proximate the outlet but excluding any intersection points between the gas inlet funnel and the gas conduit, and wherein the second cross-section is non-circular; and delivering the process gas to the substrate via the gas inlet funnel. | 08-16-2012 |
20120220136 | PATTERN DATA GENERATING APPARATUS, PATTERN DATA GENERATING METHOD, AND PATTERN FORMING METHOD - According to one embodiment, a pattern data generating apparatus comprises a storage unit that stores a table defining direct self assembly information that combines a direct self assembly material, a film thickness of the direct self assembly material, and a process condition for the direct self assembly material according to a pattern dimension, a division unit that divides layout data of a device based on the pattern dimension to generate divided layouts, an extraction unit that extracts the direct self assembly information corresponding to the pattern dimension of the divided layout from the table, and a generation unit that generates pattern data by allocating the direct self assembly information extracted by the extraction unit to the divided layouts. | 08-30-2012 |
20120225564 | VAPOR DEPOSITION DEVICE, VAPOR DEPOSITION METHOD, AND SEMICONDUCTOR ELEMENT MANUFACTURING METHOD - In the disclosed vapor deposition method, by using a structure wherein an inner diameter of a group-V source gas introduction piping is greater than an outer diameter a group-III source gas introduction piping, and the group-III source gas introduction piping is inserted one-to-one into the interior of the group-V source gas introduction piping, the group-III source gas piping is thereby prevented from being cooled by a cooling mechanism, and hardening of metallic materials upon the surface of the wall of the piping is alleviated. It is thus possible to provide a vapor deposition device, a vapor deposition method, and a semiconductor element manufacturing method, which are capable of efficaciously introducing easily hardening metallic materials into a reactor without the metallic materials adhering to a showerhead or a piping, and to carry out efficacious doping. | 09-06-2012 |
20120238105 | FORMULATIONS FOR THE PRODUCTION OF ELECTRONIC DEVICES - The present invention relates to formula, comprising at least one solvent, and at least one functional composition of the general formula (I), wherein A is a functional structural element, B is a solvent-providing structural element, and k is an integer in the range of 1 to 20. The molecular weight of the functional composition is at least 550 g/mol, and the solvent-providing structural element B corresponds to the general formula ((L-I). Ar1, Ar2 JeWeUs, independently of each other, signify an aryl or heteroaryl group, which can be substituted with one or several discretionary residues R. Each X is, independently of one another, N or CR | 09-20-2012 |
20120238106 | COATING METHOD AND COATING APPARATUS - A coating method for coating a treatment liquid having a viscosity of 5 cp or less on a substrate includes rotating the substrate, increasing a rotation speed of the substrate while discharging the treatment liquid on the substrate from a nozzle, and repeating at least twice increasing and decreasing the rotation speed of the substrate while discharging the treatment liquid on the substrate from the nozzle. | 09-20-2012 |
20120252222 | GCIB PROCESS FOR REDUCING INTERFACIAL ROUGHNESS FOLLOWING PRE-AMORPHIZATION - A method for amorphizing a layer on a substrate is described. In one embodiment, the method includes treating the substrate with a first gas cluster ion beam (GCIB) using a first beam energy selected to yield an amorphous sub-layer within the substrate of a desired thickness, which produces a first interfacial roughness of an amorphous-crystal interface between the amorphous sub-layer and a crystalline sub-layer of the substrate. The method further includes treating the substrate with a second GCIB using a second beam energy, less than the first beam energy, to reduce the first interfacial roughness of the amorphous-crystal interface to a second interfacial roughness. | 10-04-2012 |
20120258602 | Method for Metal Deposition Using Hydrogen Plasma - Methods for formation and treatment of pure metal layers using CVD and ALD techniques are provided. In one or more embodiments, the method includes forming a metal precursor layer and treating the metal precursor layer to a hydrogen plasma to reduce the metal precursor layer to form a metal layer. In one or more embodiments, treating the metal precursor layer includes exposing the metal precursor layer to a high frequency-generated hydrogen plasma. Methods of preventing a hydrogen plasma from penetrating a metal precursor layer are also provided. | 10-11-2012 |
20120258603 | CLAMPED MONOLITHIC SHOWERHEAD ELECTRODE - An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode. | 10-11-2012 |
20120264309 | AMMONIUM SULFIDE PASSIVATION OF SEMICONDUCTORS - The present invention includes methods directed to improved processes for producing a monolayer of sulfur on the surface of a semiconductor. As a surface layer, it functions to passivate the surface; if annealed, it provides a doping element. | 10-18-2012 |
20120264310 | METHOD FOR FORMING NI FILM - A method for the formation of an Ni film is herein disclosed, which comprises the steps of maintaining the temperature of an Si substrate at a desired level in a vacuum chamber; introducing, into the vacuum chamber, a nickel alkylamidinate (in this organometal compound, the alkyl group is a member selected from the group consisting of a methyl group, an ethyl group, a butyl group and a propyl group), H | 10-18-2012 |
20120270407 | SUSCEPTOR FOR SUPPORTING A SEMICONDUCTOR WAFER AND METHOD FOR DEPOSITING A LAYER ON A FRONT SIDE OF A SEMICONDUCTOR WAFER - A susceptor for supporting a semiconductor wafer during deposition of a layer on a front side of the semiconductor wafer, the semiconductor wafer having a diameter D and, at its edge, a notch having a depth T, comprising:
| 10-25-2012 |
20120276750 | METHOD AND SYSTEM FOR BINDING HALIDE-BASED CONTAMINANTS - A method and apparatus are presented for reducing halide-based contamination within deposited titanium-based thin films. Halide adsorbing materials are utilized within the deposition chamber to remove halides, such as chlorine and chlorides, during the deposition process so that contamination of the titanium-based film is minimized. A method for regenerating the halide adsorbing material is also provided. | 11-01-2012 |
20120276751 | SUBSTRATE PROCESSING APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A substrate processing apparatus having a processing chamber for processing a substrate; a processing gas feeding line for feeding a processing gas into the processing chamber; an inert gas feeding line for feeding an inert gas into the processing chamber; an inert gas vent line provided in the inert gas feeding line, for exhausting the inert gas fed into the inert gas feeding line without feeding the inert gas into the processing chamber; a first valve provided in the inert gas feeding line, on a downstream side of a part where the inert gas vent line is provided in the inert gas feeding line; a second valve provided in the inert gas vent line; and an exhaust line that exhausts an inside of the processing chamber. | 11-01-2012 |
20120289057 | APPARATUS AND METHOD FOR MULTIPLE SYMMETRICAL DIVISIONAL GAS DISTRIBUTION - An apparatus and method for multiple symmetrical divisional gas distribution providing a mounting plate, a plurality of manifolds coupled to the mounting plate, a center purge block coupled to the mounting plate and the plurality of manifolds, a plurality of reactant distribution blocks, wherein each reactant distribution block is stacked atop each other to form a reactant distribution block stack, wherein the reactant distribution block stack sits atop the center purge block, a coupling mechanism to secure the plurality of reactant distribution blocks of the reactant distribution block stack together; and a top cap coupled to the reactant distribution block stack and the coupling mechanism. | 11-15-2012 |
20120289058 | SEMICONDUCTOR MANUFACTURING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - Adverse effects when a carrier is open, such as particles adhesion to the substrate or natural oxidation film deposits on the substrate, as well as a rise in oxygen concentration and contamination of the substrate transfer chamber are prevented. Semiconductor manufacturing apparatus includes a carrier in which a cover unit is provided on a substrate loading/unloading opening for loading and unloading a substrate, a carrier open/close chamber continuously arranged to the carrier, a substrate transfer chamber continuously arranged to the carrier open/close chamber, a substrate processing chamber continuously arranged to the substrate transfer chamber, an exhaust means for exhausting the atmosphere in the carrier open/close chamber by suction, and an exhaust quantity adjuster means for adjusting the suction exhaust quantity of the exhaust means. | 11-15-2012 |
20120289059 | CHEMICAL VAPORIZER FOR MATERIAL DEPOSITION SYSTEMS AND ASSOCIATED METHODS - System and method for operating a material deposition system are disclosed. In one embodiment, the method can include periodically injecting a precursor into a vaporizer through an injector at the vaporizer, vaporizing the precursor in the vaporizer and supplying the vaporized precursor to a reaction chamber in fluid communication with the vaporizer, and shutting down the vaporizer and the reaction chamber after a period of time. The method can also include conducting maintenance of the injector at the vaporizer by using a vapor solvent rinse. | 11-15-2012 |
20120315767 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, METHOD OF MANUFACTURING SUBSTRATE AND SUBSTRATE PROCESSING APPARATUS - A method of manufacturing a semiconductor device by using a substrate processing apparatus comprises a reaction chamber configured to process a plurality of substrates stacked at predetermined intervals, wherein a first gas flow from a first gas supply inlet and a second gas flow from a second gas supply inlet are crossed with each other before these gas flows reach the substrates. The method of manufacturing a semiconductor device comprises: loading the plurality of substrates into the reaction chamber; supplying a silicon-containing gas and a chlorine-containing gas from the first gas supply inlet into the reaction chamber, supplying a carbon-containing gas and a reducing gas from the second gas supply inlet into the reaction chamber and supplying a dopant-containing gas into the reaction chamber from the first gas supply inlet or the second gas supply inlet; and unloading the substrates from the reaction chamber. | 12-13-2012 |
20120315768 | Method for generating charged particles - A method for establishing a calibrating standard for wafer inspection includes depositing solid ionized particles of a known size range with an aerosol onto a wafer. The method also includes depositing particles onto a wafer in a deposition chamber by using an aerosol stream and the solid particles suspended in a gas; ionizing the aerosol stream with a negative or positive charge polarity or both by passing the aerosol stream through a non-radioactive ionizer to produce charged particles and supplying such aerosol stream to the deposition chamber. | 12-13-2012 |
20120322271 | IDENTIFICATION OF DIES ON A SEMICONDUCTOR WAFER - A semiconductor wafer includes multiple dies and a die identification region adjacent to or on each die. The die identification region can include a wafer indicator and a pattern of die locations representing die locations on the wafer. A die identification marker is provided in each pattern of die locations in the die identification region specifying a location of a respective die on the wafer. | 12-20-2012 |
20130012029 | METHOD AND DEVICE FOR LAYER DEPOSITION - Method for depositing a layer on a surface of a substrate. The method comprises injecting a precursor gas from a precursor supply into a deposition cavity for contacting the substrate surface, draining part of the injected precursor gas from the deposition cavity, and positioning the deposition cavity and the substrate relative to each other along a plane of the substrate surface. The method further comprising providing a first electrode and a second electrode, positioning the first electrode and the substrate relative to each other, and generating a plasma discharge near the substrate for contacting the substrate by generating a high-voltage difference between the first electrode and the second electrode. The method comprises generating the plasma discharge selectively, for patterning the surface by means of the plasma. A portion of the substrate contacted by the precursor gas selectively overlaps with a portion of the substrate contacted by the plasma. | 01-10-2013 |
20130012030 | METHOD AND APPARATUS FOR REMOTE PLASMA SOURCE ASSISTED SILICON-CONTAINING FILM DEPOSITION - An apparatus and methods for depositing amorphous and microcrystalline silicon films during the formation of solar cells are provided. In one embodiment, a method and apparatus is provided for generating and introducing hydrogen radicals directly into a processing region of a processing chamber for reaction with a silicon-containing precursor for film deposition on a substrate. In one embodiment, the hydrogen radicals are generated by a remote plasma source and directly introduced into the processing region via a line of sight path to minimize the loss of energy by the hydrogen radicals prior to reaching the processing region. | 01-10-2013 |
20130023129 | PRESSURE TRANSMITTER FOR A SEMICONDUCTOR PROCESSING ENVIRONMENT - Embodiments related to measuring process pressure in low-pressure semiconductor processing environments are provided. In one example, a semiconductor processing module for processing a substrate with a process gas in a vacuum chamber is provided. The example module includes a reactor positioned within the vacuum chamber for processing the substrate with the process gas and a pressure-sensitive structure operative to transmit a pressure transmission fluid pressure to a location exterior to the vacuum chamber. In this example, the pressure transmission fluid pressure varies in response to the process gas pressure within the vacuum chamber. | 01-24-2013 |
20130023130 | STIRRING APPARATUS FOR COMBINATORIAL PROCESSING - An apparatus and system for stirring liquid inside a flow cell. In one implementation, the apparatus includes a rotatable disc configured to receive liquid at a top side of the disc and distribute the liquid substantially evenly around a periphery of the flow cell. The disc has a triangular cross sectional area. The apparatus may further include a set of fins attached to a bottom side of the disc, wherein the set of fins is configured to draw the liquid from the periphery of the flow cell into the center of the flow cell. | 01-24-2013 |
20130029496 | Methods and Apparatus for a Gas Panel with Constant Gas Flow - A gas panel according to various aspects of the present invention is configured to deliver a constant flow rate of gases to a reaction chamber during a deposition process step. In one embodiment, the gas panel comprises a deposition sub-panel having a deposition injection line, a deposition vent line, and at least one deposition process gas line. The deposition injection line supplies a mass flow rate of a carrier gas to a reactor chamber. Each deposition process gas line may include a pair of switching valves that are configured to selectively direct a deposition process gas to the reactor chamber or a vent line. The deposition vent line also includes a switching valve configured to selectively direct a second mass flow rate of the carrier gas that is equal to the sum of the mass flow rate for all of the deposition process gases to the reactor chamber or a vent line. The gas panel is configured to substitute the mass flow rate of the deposition vent line with the mass flow rate of the deposition process lines, such that when the deposition vent line is directed to the reactor chamber the deposition process lines are directed to the vent line and when the deposition vent line is directed to the vent line the deposition process lines are directed to the reactor chamber. The substitution of the two mass flow rates maintains a constant mass flow rate of gases to the reactor chamber throughout the deposition process step. | 01-31-2013 |
20130029497 | Method of Crystallizing Amorphous Silicon Films by Microwave Irradiation - A method is developed to crystallize amorphous silicon (a-Si) thin films, in cold environment, by combining microwave-absorbing materials (MAM) and microwave irradiation. The MAM is set on top or around of the a-Si thin film. MAM composes of dielectric, magnetic, semiconductor, ferroelectric and carbonaceous material oxides, carbides, nitrides and borides, which will absorb and concentrate electric or magnetic field of the microwave. The microwave frequency is selected from 1 to 50 GHz, at a power density not less than 5 W/cm | 01-31-2013 |
20130052834 | WAFER HOLDER AND TEMPERATURE CONDITIONING ARRANGEMENT AND METHOD OF MANUFACTURING A WAFER - A wafer holder and temperature controlling arrangement has a metal circular wafer carrier plate, which covers a heater compartment. In the heater compartment a multitude of heater lamp tubes is arranged, which directly acts upon the circular wafer carrier plate. Latter is drivingly rotatable about the central axis. A wafer is held on the circular wafer carrier plate by means of a weight-ring residing upon the periphery of a wafer deposited on the wafer carrier plate. | 02-28-2013 |
20130052835 | PATTERN TRANSFER APPARATUS AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A pattern transfer apparatus according to one embodiment includes a transfer region selecting part that performs operation in which when performing pattern transfer from a template provided with N transfer regions (N is an integer of 2 or larger) to a transferring substrate a plurality of times, 1 to N−1 transfer regions, which are to be used to perform the transfer to regions of the transferring substrate corresponding to part of the N transfer regions, are selected such that the number of the transfer to be performed using each of the N transfer regions is evened out. ened out. | 02-28-2013 |
20130065401 | METHODS FOR DEPOSITING METAL-POLYMER COMPOSITE MATERIALS ATOP A SUBSTRATE - Methods for depositing metal-polymer composite materials atop a substrate are provided herein. In some embodiments, a method of depositing a metal-polymer composite material atop a substrate disposed in a hot wire chemical vapor deposition (HWCVD) chamber may include flowing a current through a plurality of filaments disposed in the HWCVD chamber, the filaments comprising a metal to be deposited atop a substrate; providing a process gas comprising an initiator and a monomer to the HWCVD chamber; and depositing a metal-polymer composite material on the substrate using species decomposed from the process gas and metal atoms ejected from the plurality of filaments. | 03-14-2013 |
20130065402 | CLEANING METHOD, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS AND RECORDING MEDIUM - A method of cleaning an inside of a processing chamber is provided according to an embodiment of the present disclosure. The method includes supplying a fluorine-based gas and a nitrogen oxide-based gas as the cleaning gas, into the processing chamber heated to a first temperature, and removing a deposit by a thermochemical reaction. The method further includes changing a temperature in the processing chamber to a second temperature higher than the first temperature, and supplying the fluorine-based gas and the nitrogen oxide-based gas as the cleaning gas, and removing extraneous materials, remaining on the surface of the member in the processing chamber, by a thermochemical reaction. | 03-14-2013 |
20130065403 | WAFER CARRIER WITH THERMAL FEATURES - A wafer carrier used in wafer treatments such as chemical vapor deposition has pockets for holding the wafers and support surfaces for supporting the wafers above the floors of the pockets. The carrier is provided with thermal control features such as trenches which form thermal barriers having lower thermal conductivity than surrounding portions of the carrier. These thermal control features promote a more uniform temperature distribution across the wafer surfaces and across the carrier top surface. | 03-14-2013 |
20130078817 | METHOD OF FORMING FILM, METHOD OF FORMING PATTERN, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - According to an embodiment, a method of forming a film is provided. In the method of forming a film, a reversed pattern which is the reverse of a desired layout pattern is formed on a first substrate. Subsequently, a pattern material of the desired layout pattern is supplied to a second substrate as a reversal material. Thereafter, the reversed pattern is brought into contact with the reversal material such that the reversed pattern faces the reversal material, so that the reversed pattern is filled with the reversal material by a capillary phenomenon. | 03-28-2013 |
20130095668 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SUBSTRATE PROCESSING APPARATUS - Provided is a semiconductor device manufacturing method of forming a film of less than one atomic layer on a substrate. The method includes (a) supplying a source gas into a processing chamber accommodating the substrate to adsorb the source gas on the substrate; (b) supplying a reactive gas different from the source gas into the processing chamber to cause a reaction of the reactive gas with the source gas adsorbed on the substrate before the source gas is saturatively adsorbed on the substrate; (c) removing an inner atmosphere of the processing chamber; and (d) supplying a modifying gas into the processing chamber to modify the source gas adsorbed on the substrate. | 04-18-2013 |
20130102159 | SUBSTRATE PROCESSING APPARATUS, SUBSTRATE TRANSFER METHOD AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To provide a substrate processing apparatus, including: a plurality of process chambers in which a prescribed number of each type of substrates is processed; and a controller configured to decide the number of dummy substrates so that the number of the dummy substrates used in each process chamber is approximately the same between the process chambers, when the number of the dummy substrates used in each process chamber is decided so that the number of each type of substrates used in each process chamber reaches the prescribed number. | 04-25-2013 |
20130109192 | SUSCEPTOR WITH RING TO LIMIT BACKSIDE DEPOSITION | 05-02-2013 |
20130109193 | SUBSTRATE PROCESSING APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD | 05-02-2013 |
20130122718 | FILM DEPOSITION APPARATUS, FILM DEPOSITION METHOD, AND STORAGE MEDIUM - A disclosed film deposition apparatus includes a turntable including a substrate receiving area; a first reaction gas supplier for supplying a first reaction gas to a surface of the turntable having the substrate receiving area; a second reaction gas supplier, arranged away from the first reaction gas supplier along a circumferential direction of the turntable, for supplying a second reaction gas to the surface; a separation area located along the circumferential direction between a first process area of the first reaction gas and a second process area of the second reaction gas; a separation gas supplier for supplying a first separation gas to both sides of the separation area; a first heating unit for heating the first separation gas to the separation gas supplier; an evacuation opening for evacuating the gases supplied to the turntable; and a driver for rotating the turntable in the circumferential direction. | 05-16-2013 |
20130130509 | COMBINATORIAL SPOT RASTERING FOR FILM UNIFORMITY AND FILM TUNING IN SPUTTERED FILMS - A substrate clamped to a stage is moved in a rastering motion in a site-isolated deposition chamber. The raster pattern may be a radial pattern, predetermined X-Y pattern, horizontal/vertical pattern or random (free-form) pattern. The chamber includes a sputter source to generate the sputtered material which is delivered through an aperture positioned over the substrate. By moving the substrate in a rastering motion, the sputtered material is deposited more equally and uniformly. | 05-23-2013 |
20130130510 | Semiconductor Substrate Transfer/Processing-tunnel -arrangement, with Successive Semiconductor Substrate - Sections - Semiconductor substrate transfer treatment/processing tunnel-arrangement, containing such means, that thereby also during the uninterrupted operation thereof the uninterruptedly taking place of the establishing of a (sub) micrometer high layer of semiconductor substances with an optimum uniform height thereof upon the successive semiconductor substrate-sections, uninterruptedly displacing therethrough and such by means of through a strip-shaped supply-section of the uppertunnelclock in its central semiconductor section the uninterruptedly taking place of a supply of the combination of fluidic support-medium and parts of a semiconductor substance in a solid- or fluidic form thereof and in the thereupon following strip-shaped semiconductor treatment/processing section underneath a vibrating transducer-arrangement, located in a transducer-compartment of this block, the also by means of the in addition developed heat of this vibrating transducer the taking place of evaporation of this support-medium under an at-least almost uniform deposition of these particles of a semiconductor substance upon these successive semiconductor substrate-sections, displacing underneath, and the discharge of the established vapor through a thereupon following strip-shaped discharge-section in this block. | 05-23-2013 |
20130149870 | SUBSTRATE CARRIER AND APPLICATIONS THEREOF - A substrate carrier for performing a deposition process comprises a supporting element and a cover element. The supporting element having a through hole is used to carry a substrate. The cover element is removably engaged with the supporting element, so as to secure the substrate therebetween and expose a deposition surface of the substrate from the through hole. | 06-13-2013 |
20130157473 | Mask Manufacturing Device - According to one embodiment, a mask manufacturing method includes acquiring positional deviation information between an actual position of a pattern formed on a mask substrate and a design position decided at the time of designing the pattern; calculating an irradiating amount and an irradiating position of radiation to be irradiated to a predetermined area of a square on the mask substrate according to the calculated positional deviation information; and irradiating the radiation based on the calculated irradiating amount and the calculated irradiating position to form in a part of the mask substrate a heterogeneous layer of which volume is expanded more greatly than that of the surrounding mask substrate region. | 06-20-2013 |
20130157474 | SUBSTRATE PROCESSING APPARATUS, METHOD OF PROCESSING SUBSTRATE AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - An oxygen-containing gas and a hydrogen-containing gas are supplied into a pre-reaction chamber heated to a second temperature and having the pressure set to less than an atmospheric pressure, and a reaction is induced between both gases in the pre-reaction chamber to generate reactive species, and the reactive species are supplied into the process chamber and exhausted therefrom, in which a substrate heated to the first temperature is housed and the pressure is set to less than the atmospheric pressure, and processing is applied to the substrate by the reactive species, with the second temperature set to be not less than the first temperature at this time. | 06-20-2013 |
20130164942 | FILM DEPOSITION METHOD - A film deposition method, in which a film of a reaction product of a first reaction gas, which tends to be adsorbed onto hydroxyl radicals, and a second reaction gas capable of reacting with the first reaction gas is formed on a substrate provided with a concave portion, includes a step of controlling an adsorption distribution of the hydroxyl radicals in a depth direction in the concave portion of the substrate; a step of supplying the first reaction gas on the substrate onto which the hydroxyl radicals are adsorbed; and a step of supplying the second reaction gas on the substrate onto which the first reaction gas is adsorbed. | 06-27-2013 |
20130164943 | Substrate Processing Apparatus and Method of Manufacturing Semiconductor Device - The substrate processing apparatus includes a reaction chamber configured to accommodate a substrate; a first gas supply unit configured to supply a first process gas containing a silicon element to the substrate; a second gas supply unit configured to supply a second process gas containing a silicon element and a chlorine element to the substrate; an exhaust unit configured to exhaust the first process gas and the second process gas; a cleaning gas bypass supply unit configured to supply a cleaning gas to the exhaust unit; a cleaning monitoring unit installed in the exhaust unit; a gas flow rate control unit configured to adjust an amount of the cleaning gas supplied; and a main control unit configured to control the gas flow rate control unit in response to a signal received from the cleaning gas monitoring unit. | 06-27-2013 |
20130171832 | Enhanced Isolation For Combinatorial Atomic Layer Deposition (ALD) - An apparatus and method for delivering fluids to a semiconductor chamber for combinatorial processing is provided. In some embodiments the apparatus is comprised of a showerhead assembly having a plurality of processing sectors separated by a purge member. The processing sectors are configured to receive one or more processing fluids for combinatorial processing on a substrate. The processing sectors are isolated by a purge fluid conveyed through the purge member. The purge member is configured to selectively control the profile of the purge fluid to enhance isolation of the processing fluids within each sector. The profile of the purge fluid is manipulated by selectively controlling the shape and/or density of the purge curtain, independently between each processing sector. | 07-04-2013 |
20130171833 | METHODS AND APPARATUS FOR WETTING PRETREATMENT FOR THROUGH RESIST METAL PLATING - Disclosed are pre-wetting apparatus designs and methods. In some embodiments, a pre-wetting apparatus includes a degasser, a process chamber, and a controller. The process chamber includes a wafer holder configured to hold a wafer substrate, a vacuum port configured to allow formation of a subatmospheric pressure in the process chamber, and a fluid inlet coupled to the degasser and configured to deliver a degassed pre-wetting fluid onto the wafer substrate at a velocity of at least about 7 meters per second whereby particles on the wafer substrate are dislodged and at a flow rate whereby dislodged particles are removed from the wafer substrate. The controller includes program instructions for forming a wetting layer on the wafer substrate in the process chamber by contacting the wafer substrate with the degassed pre-wetting fluid admitted through the fluid inlet at a flow rate of at least about 0.4 liters per minute. | 07-04-2013 |
20130178070 | ATOMIC LAYER DEPOSITION APPARATUS - An atomic layer deposition apparatus and an atomic layer deposition method increase productivity. The atomic layer deposition apparatus includes a reaction chamber, a heater for supporting a plurality of semiconductor substrates with a given interval within the reaction chamber and to heat the plurality of semiconductor substrates and a plurality of injectors respectively positioned within the reaction chamber and corresponding to the plurality of semiconductor substrates supported by the heater. The plurality of injectors are individually swept above the plurality of semiconductor substrates to spray reaction gas. | 07-11-2013 |
20130183831 | Reducing Substrate Warpage in Semiconductor Processing - System and method for reducing substrate warpage in a thermal process. An embodiment comprises pre-heating a substrate in a loadlock chamber before performing the thermal process of the substrate. After the thermal process, the substrate is cooled down in a loadlock chamber. The pre-heat and cool-down process reduces the warpage of the substrate caused by the differences in coefficients of thermal expansion (CTEs) of the materials that make up the substrate. | 07-18-2013 |
20130183832 | NEAR-NEIGHBOR TRIMMING OF DUMMY FILL SHAPES WITH BUILT-IN OPTICAL PROXIMITY CORRECTIONS FOR SEMICONDUCTOR APPLICATIONS - Near-neighbor trimming of dummy fill shapes with built-in optical proximity corrections (OPCs) for semiconductor applications is provided. A method for the near-neighbor trimming includes adding one or more hole shapes onto a semiconductor design layout comprising a plurality of design shapes. The method further includes trimming adjacent ones of the plurality of which are covered by the one or more hole shapes. | 07-18-2013 |
20130189849 | PARTICLE REDUCING METHOD AND FILM DEPOSITION METHOD - A particle reducing method includes a step of supplying a first gas to a vacuum chamber in which a susceptor, formed by an insulating object and the surface of which is provided with a substrate mounting portion, is rotatably provided; a step of generating plasma from the first gas by supplying high frequency waves to a plasma generating device provided for the vacuum chamber; and a step of exposing the substrate mounting portion, on which a substrate is not mounted, to the plasma while rotating the susceptor. | 07-25-2013 |
20130203264 | Method and apparatus for vapor and gas filtration - A gas filtration apparatus and method comprises a housing with an inlet for gas to enter and an outlet for the gas to exit. The housing contains a filter comprised of sintered metal fibers having an active filtration area through which the gas flows to remove suspended particles from the gas. The filter is substantially uniform in thickness and porosity through the active filtration area. The filter media being sealed to a metal structure in the housing with the metal structure having an opening to permit gas to flow through. A method of making a vapor/gas mixture includes the steps of producing a vapor in a gas to form the vapor/gas mixture passing the vapor/gas mixture through an opening in a housing containing a filter comprised of sintered metal fibers through which the vapor/gas mixture flows. | 08-08-2013 |
20130210238 | Multi-Injector Spatial ALD Carousel and Methods of Use - A substrate processing chamber and methods for processing multiple substrates is provided and generally includes a plurality of spaced gas distribution assemblies and a substrate support apparatus to rotate substrates along a path adjacent each of the plurality of gas distribution assemblies. Each of the gas distribution assemblies comprises a plurality of elongate gas ports extending in a direction substantially perpendicularly to the path traversed by the substrate. | 08-15-2013 |
20130217236 | SEMICONDUCTOR DEVICE, METHOD FOR PRODUCING THE SEMICONDUCTOR DEVICE, SUBSTRATE FOR SEMICONDUCTOR ELEMENT AND METHOD FOR PRODUCING THE SUBSTRATE - A semiconductor device is provided with a porous structure layer formed by silicone resin between a substrate and a semiconductor element. Alternatively, a porous layer having a density of 0.7 g/cm | 08-22-2013 |
20130224963 | SEMICONDUCTOR MANUFACTURING APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - According to one embodiment, a semiconductor manufacturing apparatus includes a substrate stage, a transfer unit, and a control unit. A substrate is settable on the substrate stage. The transfer unit is configured to transfer a pattern having an uneven configuration onto a major surface of the substrate by attachably and removably holding a template. The pattern is provided in the transfer surface. The control unit is configured to acquire information relating to a number of foreign objects on the major surface prior to the transferring of the pattern. The control unit adds the number for a plurality of the substrates including the pattern transferred by the transfer unit. The control unit causes the transfer unit not to implement the transferring of the pattern in the case where the sum has reached the upper limit. | 08-29-2013 |
20130237063 | SPLIT PUMPING METHOD, APPARATUS, AND SYSTEM - A split-pumping system and method for semiconductor fabrication process chambers is provided. The split pumping method may provide two separate exhaust paths, each configured to evacuate a different process gas. The exhaust paths may be configured to not evacuate process gases other than the process gas that the exhaust path is configured to evacuate. | 09-12-2013 |
20130237064 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, METHOD OF PROCESSING SUBSTRATE, SUBSTRATE PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM - A method of manufacturing a semiconductor device, includes: forming a film on a substrate by performing a cycle a predetermined number of times, the cycle including: supplying a raw material gas to a substrate in a process chamber, exhausting the raw material gas remaining in the process chamber through an exhaust line, supplying an amine-based gas; and exhausting the amine-based gas through the exhaust line with the supply of the amine-based gas stopped. A degree of valve opening of an exhaust valve disposed in the exhaust line is changed in multiple steps in the process of exhausting the amine-based gas. | 09-12-2013 |
20130252434 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, METHOD OF PROCESSING SUBSTRATE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - A method of manufacturing a semiconductor device includes carrying a substrate into a process container, forming a thin film on the substrate by supplying a source gas into the process container with the substrate accommodated therein, performing a first modification treatment to a byproduct adhered to an inside of the process container by supplying an oxygen-containing gas and a hydrogen-containing gas into the heated process container under a pressure less than an atmospheric pressure, while accommodating the thin film-formed substrate in the process container, carrying the thin film-formed substrate out of the process container, and performing a second modification treatment to the byproduct adhered to the inside of the process container after the first modification treatment by supplying an oxygen-containing gas and a hydrogen-containing gas into the heated process container under the pressure less than the atmospheric pressure, while not accommodating the substrate in the process container. | 09-26-2013 |
20130252435 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, METHOD OF PROCESSING SUBSTRATE, SUBSTRATE PROCESSING APPARATUS AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM - An object of the present invention is to form a good thin film while suppressing generation of foreign substances in a low temperature region. Provided is a method of manufacturing a semiconductor device, including: (a) forming a thin film containing at least a predetermined element and carbon on a substrate by performing a cycle a predetermined number of times, the cycle including: supplying a source gas containing the predetermined element and a halogen element to the substrate in a process container; and supplying an amine-based gas to the substrate in the process container; and (b) modifying byproducts adhered to an inside of the process container by supplying a nitriding gas into the process container after forming the thin film | 09-26-2013 |
20130260571 | TREATMENT LIQUID FOR INHIBITING PATTERN COLLAPSE IN MICROSTRUCTURE AND METHOD OF MANUFACTURING MICROSTRUCTURE USING THE SAME - The objects of the present invention are to provide a treatment liquid able to inhibit pattern collapse in a microstructure such as a semiconductor device or a micromachine, as well as a method of manufacturing a microstructure using the same. | 10-03-2013 |
20130260572 | CONTINUOUS PROCESSING SYSTEM, CONTINUOUS PROCESSING METHOD, AND PROGRAM - In a continuous processing system, a controller of a heat treatment apparatus calculates a weight of each layer from input target film thicknesses of a phosphorous-doped polysilicon film (D-poly film) and an amorphous silicon film (a-Si film), and calculates activation energy of stacked films based on the calculated weight and activation energy. The controller prepares a stacked film model based on the calculated activation energy and a relationship of a temperature of each zone and film thicknesses of the D-poly film and the a-Si film, and calculates an optimum temperature of each zone by using the prepared stacked film model. The controller controls power controllers of heaters to set a temperature in a reaction tube to be the calculated temperature of each zone and forms stacked films on a semiconductor wafer by controlling a pressure adjusting unit, flow rate adjusting units, etc. | 10-03-2013 |
20130267100 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS AND EVAPORATION SYSTEM - An amount of particles generated when a source material is used is suppressed. A substrate is loaded into a process chamber, and the source material is sequentially flowed into an evaporator, and a mist filter constituted by assembling a plurality of at least two types of plates including holes disposed at different positions to be evaporated and supplied into the process chamber to process the substrate, and then, the substrate is unloaded from the process chamber. | 10-10-2013 |
20130273746 | VAPOR DEPOSITION DEVICE AND VAPOR DEPOSITION METHOD - A vapor deposition device ( | 10-17-2013 |
20130280917 | Method and Apparatus for Wafer Electroless Plating - A semiconductor wafer electroless plating apparatus includes a platen and a fluid bowl. The platen has a top surface defined to support a wafer, and an outer surface extending downward from a periphery of the top surface to a lower surface of the platen. The fluid bowl has an inner volume defined by an interior surface so as to receive the platen, and wafer to be supported thereon, within the inner volume. A seal is disposed around the interior surface of the fluid bowl so as to form a liquid tight barrier when engaged between the interior surface of the fluid bowl and the outer surface of the platen. A number of fluid dispense nozzles are positioned to dispense electroplating solution within the fluid bowl above the seal so as to rise up and flow over the platen, thereby flowing over the wafer when present on the platen. | 10-24-2013 |
20130302996 | DEPOSITION OF AN AMORPHOUS CARBON LAYER WITH HIGH FILM DENSITY AND HIGH ETCH SELECTIVITY - Embodiments described herein relate to a method for processing a substrate. In one embodiment, the method includes introducing a gas mixture comprising a hydrocarbon source and a diluent gas into a deposition chamber located within a processing system, generating a plasma from the gas mixture in the deposition chamber at a temperature between about 200° C. and about 700° C. to form a low-hydrogen content amorphous carbon layer on the substrate, transferring the substrate into a curing chamber located within the processing system without breaking vacuum, and exposing the substrate to UV radiation within the curing chamber at a curing temperature above about 200° C. | 11-14-2013 |
20130309876 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS - A method of manufacturing a semiconductor device includes: housing a substrate into a processing chamber; and forming a metal nitride film on the substrate by supplying a source gas containing a metal element, a nitrogen-containing gas and a hydrogen-containing gas into the processing chamber; wherein in forming the metal nitride film, the source gas and the nitrogen-containing gas are intermittently supplied into the processing chamber, or the source gas and the nitrogen-containing gas are intermittently and alternately supplied into the processing chamber, or the source gas is intermittently supplied into the processing chamber in a state that supply of the nitrogen-containing gas into the processing chamber is continued, and the hydrogen-containing gas is supplied into the processing chamber during at least supply of the nitrogen-containing gas into the processing chamber. | 11-21-2013 |
20130316541 | Process for Manufacturing a Self-Assembled Injection Monolayer - The invention relates to a process for manufacturing a self-assembled injection monolayer (SAM) on the surface of a metal supporting member, the SAM comprising molecules with a thiol end group. The manufacturing process of the invention comprises the following steps: a) depositing the SAM of desired molecules on a zone in relief of a buffer; and b) transferring the SAM onto the surface of the supporting member by hot pressing of the zone in relief of the buffer obtained in step a). The invention is applicable in particular to the field of electronics. | 11-28-2013 |
20130323934 | DYE ADSORPTION DEVICE, DYE ADSORPTION METHOD AND SUBSTRATE TREATMENT APPARATUS - [Problem] To significantly reduce processing time of a step of adsorbing dye in a porous semiconductor layer on a substrate surface. | 12-05-2013 |
20130330930 | SUBSTRATE PROCESSING APPARATUS, METHOD FOR MANUFACTURING SUBSTRATE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A substrate processing apparatus includes: a processing chamber that accommodates a substrate; a heating portion that is provided so as to surround a accommodating region of the substrate within the processing chamber; a gas nozzle that is provided inside the heating portion and that supplies a processing gas to the accommodating region of the substrate; and a gas heating mechanism that is provided inside the heating portion and that supplies the processing gas from an upstream side of the gas nozzle into the gas nozzle. A ratio of a flow channel circumferential length to a flow channel cross-sectional area in a gas flow channel of the gas heating mechanism is larger than a ratio of a flow channel circumferential length to a flow channel cross-sectional area in a gas flow channel of the gas nozzle. | 12-12-2013 |
20130344703 | FILM FORMING METHOD AND FILM FORMING APPARATUS - In a film forming method, a coating composition containing film components is coated on a plastic substrate to form a coating film. By irradiating electromagnetic waves to the coating film, the coating film is dried and/or modified to form a film. The film can be a conductor film, a semi-conductor film or a dielectric film. When forming a conductor film, a coating composition containing metallic nanoparticles is used as the coating composition; when forming a semi-conductor film, an organic semi-conductor material is used as the coating composition; and when forming a dielectric film, an organic dielectric material is used as the coating composition. | 12-26-2013 |
20140004709 | ANTIREFLECTION STRUCTURES WITH AN EXCEPTIONAL LOW REFRACTIVE INDEX AND DEVICES CONTAINING THE SAME | 01-02-2014 |
20140004710 | SUBSTRATE PROCESSING APPARATUS, SUBSTRATE SUPPORTER AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 01-02-2014 |
20140017903 | METHODS FOR FABRICATING INTEGRATED CIRCUITS WITH STRESSED SEMICONDUCTOR MATERIAL - Methods for fabricating integrated circuits are provided. In an embodiment, a method for fabricating an integrated circuit includes providing a semiconductor substrate having a first surface. In the method, a stress is applied to the semiconductor substrate to change inter-atomic spacing at the first surface of the semiconductor substrate to a stressed inter-atomic spacing. Then, the semiconductor substrate is processed. Thereafter, the stress is released and the first surface of the processed semiconductor substrate retains the stressed inter-atomic spacing. | 01-16-2014 |
20140024223 | Atomic Layer Deposition with Plasma Source - The invention relates to method including operating a plasma atomic layer deposition reactor configured to deposit material in a reaction chamber on at least one substrate by sequential self-saturating surface reactions, and allowing gas from an inactive gas source to flow into a widening radical in-feed part opening towards the reaction chamber substantially during a whole deposition cycle. The invention also relates to a corresponding apparatus. | 01-23-2014 |
20140038421 | Deposition Chamber and Injector - A system and method are disclosed for processing semiconductors. An embodiment comprises a reaction chamber for processing wafers and having walls tapering at an angle that is greater than 0 degrees and less than about 35 degrees from a first end optionally having a diameter of 341 to 380 millimeters to a second end optionally having a diameter of 300 to 340 millimeters at a second end, with gas flow from the first end to the second end, and having at least one deposition injector near the first end of the reaction chamber and having a plurality of injector openings that disperse injection material across a cross section of the reaction chamber for forming a deposition layer. | 02-06-2014 |
20140038422 | CONTROL SYSTEM FOR NON-CONTACT EDGE COATING APPARATUS FOR SOLAR CELL SUBSTRATES - A non-contact edge coating apparatus includes an applicator for applying a coating material on an edge of a solar cell substrate and a control system configured to drive the applicator. The control system may drive the applicator along an axis to maintain a distance with an edge of the substrate as the substrate is rotated to have the edge coated with a coating material. The applicator may include a recessed portion into which the edge of the substrate is received for edge coating. For example, the applicator may be a roller with a groove. Coating material may be introduced into the groove for application onto the edge of the substrate. A variety of coating materials may be employed with the apparatus including hot melt ink and UV curable plating resist. | 02-06-2014 |
20140038423 | COATING TREATMENT METHOD AND COATING TREATMENT APPARATUS - In the present invention, a masking solution is supplied to an edge portion of a front surface of a substrate rotated around a vertical axis to form a masking film at the edge portion of the substrate, a hard mask solution is supplied to the front surface of the substrate to form a hard mask film on the front surface of the substrate, a hard mask film removing solution dissolving the hard mask film is supplied to the hard mask film formed at the edge portion of the substrate to remove the hard mask film formed at the edge portion of the substrate, and a masking film removing solution dissolving the masking film is supplied to the masking film to remove the masking film at the edge portion of the substrate. | 02-06-2014 |
20140045340 | METHOD AND APPARATUS FOR PROCESSING A SEMICONDUCTOR WORKPIECE - A method of processing a semiconductor workpiece includes placing a back surface of the workpiece on a workpiece support in a chamber so that the front surface of the workpiece faces into the chamber for processing, and the back surface is in fluid communication with a back region having an associated back gas pressure. The method further includes performing a workpiece processing step at a first chamber pressure P | 02-13-2014 |
20140057448 | SUBSTRATE CONVEYING ROLLER, THIN FILM MANUFACTURING DEVICE, AND THIN FILM MANUFACTURING METHOD - A substrate-conveying roller includes a first shell, a second shell, an internal block, a manifold, and a clearance. The first shell has a plurality of first through holes serving as supply paths for a gas. The internal block is disposed inside the first shell. The manifold is formed in the internal block so as to guide the gas to the first through holes within the region of a specific angle. The clearance is formed so as to guide the gas to the first through holes outside the region of the specific angle. The second shell has second through holes for guiding the gas from the manifold to the first through holes, and is disposed between the first shell and the internal block. The central axes of the first through hole are offset from the central axes of the second through holes. | 02-27-2014 |
20140065837 | METHOD OF BONDING LAYERS FOR THIN FILM DEPOSITION - A method of bonding together at least two aligned layers, at least one having an active surface, in a semiconductor manufacturing process using a holding member having spaced sections. Each layer has a bonding surface opposite a back surface. The method includes preparing at least two bonding pads on the back surface of each layer and positioning close together the bonding surface of each layer. Aligning the bonding pads of the layers together and inserting the aligned layers between sections of at least one holding member and aligning the sections with the bonding pads of the layers. Applying bonding compound to the aligned bonding pads adjacent the aligned sections of the holding member. | 03-06-2014 |
20140080314 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - A method of manufacturing a semiconductor device includes forming a thin film containing a predetermined element, oxygen, carbon, and nitrogen on a substrate by performing a cycle a predetermined number of times after supplying a nitriding gas to the substrate. The cycle includes performing the following steps in the following order: supplying a carbon-containing gas to the substrate; supplying a predetermined element-containing gas to the substrate; supplying the carbon-containing gas to the substrate; supplying an oxidizing gas to the substrate; and supplying the nitriding gas to the substrate. | 03-20-2014 |
20140087565 | Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus, and Non-Transitory Computer Readable Recording Medium - A method of manufacturing a semiconductor device includes forming thin films on substrates by performing a cycle a predetermined number of times. The cycle includes: supplying a process gas into a process container and confining the gas in the container including an outer reaction tube and an inner reaction tube having a flat top inner surface at an upper end portion covering a portion of a top surface of the support arranging and supporting the substrates and including a communication section connecting an inside of the inner reaction tube to an inside of the outer reaction tube, wherein the communication section is disposed at a region other than a region horizontally encompassing a substrate arrangement region; maintaining a state where the gas is confined in the container; and exhausting the gas from the container via the communication section and a space between the inner and outer reaction tubes. | 03-27-2014 |
20140120735 | SEMICONDUCTOR PROCESS GAS FLOW CONTROL APPARATUS - A semiconductor processing apparatus includes a process chamber, a pedestal and a showerhead. The pedestal is inside the process chamber and holds a semiconductor wafer. The showerhead supplies process gas to the process chamber. | 05-01-2014 |
20140127912 | PLASMA PROCESS ETCH-TO-DEPOSITION RATIO MODULATION VIA GROUND SURFACE DESIGN - Plasma deposition in which properties of a discharge plasma are controlled by modifying the grounding path of the plasma is potentially applicable in any plasma deposition environment, but finds particular use in ionized physical vapor deposition (iPVD) gapfill applications. Plasma flux ion energy and E/D ratio can be controlled by modifying the grounding path (grounding surface's location, shape and/or area). Control of plasma properties in this way can reduce or eliminate reliance on conventional costly and complicated RF systems for plasma control. For a high density plasma source, the ionization fraction and ion energy can be high enough that self-sputtering may occur even without any RF bias. And unlike RF induced sputtering, self-sputtering has narrow ion energy distribution, which provides better process controllability and larger process window for integration. | 05-08-2014 |
20140141623 | Method Of and Apparatus For Inline Deposition of Materials On A Non-Planar Surface - In manufacturing a semiconductor device, a first chamber is provided. An opening couples the first chamber to a first environment through which at least one substrate can pass. A first seal environmentally isolates the first chamber from the first environment. A process chamber is coupled to the first chamber. Another seal environmental isolates the first and the process chambers. The substrate is placed within the first chamber, and the first chamber and the outside environment are isolated. The second opening is opened, and the substrate moves into the semiconductor process chamber. The first chamber is again environmentally isolated from the second volume. A semiconductor processing step is performed on the substrate within the processing chamber. While the substrate is processed, the substrate is rotated and translated through the processing chamber. | 05-22-2014 |
20140170858 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM - Provided is a method including forming a film including a predetermined element, oxygen and at least one element selected from a group consisting of nitrogen, carbon and boron on a substrate by performing a cycle a predetermined number of times, the cycle including supplying a source gas to the substrate wherein the source gas contains the predetermined element, chlorine and oxygen with a chemical bond of the predetermined element and oxygen, and supplying a reactive gas to the substrate wherein the reactive gas contains the at least one element selected from the group consisting of nitrogen, carbon and boron. | 06-19-2014 |
20140179113 | Surface Treatment Methods and Systems for Substrate Processing - Embodiments provided herein describe methods and systems for processing substrates. A plasma including radical species and charged species is generated. The charged species of the plasma are collected. A substrate is exposed to the radical species of the plasma. A layer is formed on the substrate after exposing the substrate to the radical species. | 06-26-2014 |
20140179114 | RADICAL SOURCE DESIGN FOR REMOTE PLASMA ATOMIC LAYER DEPOSITION - A radical source for supplying radicals during atomic layer deposition semiconductor processing operations is provided. The radical source may include a remote volume, a baffle volume, and a baffle that partitions the remote volume from the baffle volume. The baffle volume and the remote volume may be fluidly connected through the baffle via a plurality of baffle holes. The baffle may be offset from a faceplate with a plurality of first gas distribution holes fluidly connected with the baffle volume. A baffle gas inlet may be fluidly connected with the baffle volume, and a first process gas inlet may be fluidly connected with the remote volume. Baffle gas may be flowed into the baffle volume to prevent radicalized first process gas in the remote volume from flowing through the baffle volume and the faceplate. | 06-26-2014 |
20140187053 | METHOD OF CLEANING THIN FILM FORMING APPARATUS, THIN FILM FORMING METHOD, THIN FILM FORMING APPARATUS AND NON-TRANSITORY RECORDING MEDIUM - A method of cleaning a thin film forming apparatus wherein a process for supplying a film forming gas into a reaction tube of the thin film forming apparatus to form a thin film on an object to be processed is repeated more than one time and then a cleaning gas is supplied into the reaction tube to remove extraneous particles attached to an interior of the apparatus, the method comprising: a first cleaning process including supplying a first cleaning gas into the reaction tube to remove the extraneous particles attached to the interior of the apparatus when a first cleaning start conditions is satisfied; and a second cleaning process including performing a cleaning process that is different from the first cleaning process when a second cleaning start condition that is different from the first cleaning start condition is satisfied. | 07-03-2014 |
20140206201 | HARDMASK SURFACE TREATMENT - Compositions suitable for forming oxymetal hardmask layers are provided. Methods of forming oxymetal hardmask layers using such compositions are also provided, where the surface of the oxymetal hardmask layer formed has a water contact angle substantially matched to that of subsequently applied organic coatings. | 07-24-2014 |
20140213064 | SEMICONDUCTOR MANUFACTURING APPARATUS AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A semiconductor manufacturing apparatus according to the present embodiment comprises a chamber. A chemical-agent supply part is configured to supply a water-repellent agent or an organic solvent to a surface of a semiconductor substrate having been cleaned with a cleaning liquid in the chamber. A spray part is configured to spray a water-capture agent capturing water into an atmosphere in the chamber. | 07-31-2014 |
20140220786 | METHODS FOR OPTICAL PROXIMITY CORRECTION IN THE DESIGN AND FABRICATION OF INTEGRATED CIRCUITS - A method of manufacturing an optical lithography mask includes providing a patterned layout design comprising a plurality of polygons, correcting the patterned layout design using optical proximity correction (OPC) by adjusting widths and lengths of one or more of the plurality of polygons, to generate a corrected patterned layout design, converting the corrected patterned layout design into a mask writer-compatible format, to generate a mask writer-compatible layout design comprising the plurality of polygons, and biasing each polygon in the plurality of polygons with a bias that accounts for large-scale density values of the patterned layout design, to generate a biased, mask writer-compatible layout design. | 08-07-2014 |
20140235065 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR MANUFACTURING APPARATUS - Disclosed is a semiconductor device manufacturing method that manufactures a semiconductor device having a resist pattern which is excellent in roughness property and line width property. The method includes forming a film which is elastic and incompatible with a resist patterned on an object to be processed to cover the surface of the resist, and heating the object to be processed formed with the film. | 08-21-2014 |
20140235066 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND METHOD OF CLEANING PROCESSING VESSEL - When a dry cleaning process is performed in a processing chamber by adding nitrogen monoxide (NO) gas to a cleaning gas, the handling is facilitated, and cleaning performance is improved. A substrate processing apparatus includes a processing vessel configured to process a substrate, a first cleaning gas supply system configured to pre-mix a gas containing fluorine atoms with the NO gas and supply the pre-mixed gas into the processing vessel, and a second cleaning gas supply system installed apart from the first cleaning gas supply system and configured to supply the fluorine-containing gas into the processing vessel. | 08-21-2014 |
20140242806 | Metal Amide Deposition Precursors And Their Stabilization With An Inert Ampoule Liner - Described are methods and apparatuses for the stabilization of precursors, which can be used for the deposition of manganese-containing films. Certain methods and apparatus relate to lined ampoules and/or 2-electron donor ligands. | 08-28-2014 |
20140248783 | CLEANING METHOD, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS AND RECORDING MEDIUM - A cleaning method includes: providing a process container in which a process of forming a film on a substrate is performed; and removing a deposit including the film adhered to the process container by supplying a cleaning gas into the process container after performing the process. The act of removing the deposit includes generating a mixture gas of a fluorine-containing gas and a nitrosyl fluoride gas as the cleaning gas by mixture and reaction of the fluorine-containing gas and a nitrogen monoxide gas in a mixture part and supplying the mixture gas from the mixture part into the process container after removing exothermic energy generated by the reaction. | 09-04-2014 |
20140256152 | SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND RECORDING MEDIUM - A substrate processing apparatus comprising: a processing chamber that can accommodate a plurality of substrates, the interior of which is divided into a plurality of zones; a gas supply system that supplies a first reactive gas, a second reactive gas, and an inert gas to each of the plurality of zones; and an exhaust system for removing the gas from the zones. A thin film is formed on the substrates in the zones by repeatedly executing a plurality of steps in relation to the zones, these steps include the following: a first reactive gas supply step; a first purge step; a second reactive gas supply step; and a second purge step. While the film is being formed, a control unit controls the gas supply system and the gas exhaust system so that the steps performed in the plurality of zones at the same time are different from one another. | 09-11-2014 |
20140273502 | TECHNIQUES TO MITIGATE STRAGGLE DAMAGE TO SENSITIVE STRUCTURES - A method for processing a substrate includes providing a set of patterned structures separated by a first gap on the substrate and directing first implanting ions to the substrate at a first ion energy, where the first implanting ions are effective to impact the substrate in regions defined by the first gap. The method also includes directing depositing ions to the substrate where the second ions are effective to deposit material on at least a portion of the set of patterned structures to form expanded patterned structures, where the expanded patterned structures are characterized by a second gap smaller than the first gap. The method further includes directing second implanting ions to the substrate at a second ion energy, where the second implanting ions effective to impact the substrate in regions defined by the second gap, the second ion energy comprising a higher ion energy than the first ion energy. | 09-18-2014 |
20140273503 | METHODS OF GAS DISTRIBUTION IN A CHEMICAL VAPOR DEPOSITION SYSTEM - A method of depositing an epitaxial layer on a silicon wafer is described. The silicon wafer has a diameter, and is disposed within a processing chamber within a deposition system. The method includes the steps of introducing a process gas into the system from a gas injecting port, flowing the process gas through a gas distribution plate in fluid communication with the gas injecting port and the processing chamber, the gas distribution plate including an inner array of holes and an outer array of holes, and controlling the gas flow distribution across the substrate surface. The controlling step includes selecting at least one orifice-containing plug to be secured within a hole in the gas distribution plate, and securing the selected plug within the hole. | 09-18-2014 |
20140273504 | SELECTIVE DEPOSITION BY LIGHT EXPOSURE - A substrate processing chamber comprising a chamber wall enclosing a process zone having an exhaust port, a substrate support to support a substrate in the process zone, a gas distributor for providing a deposition gas to the process zone, a solid state light source capable of irradiating substantially the entire surface of the substrate with light, and a gas energizer for energizing the deposition gas. | 09-18-2014 |
20140273505 | SEMICONDUCTOR APPARATUS WITH TRANSPORTABLE EDGE RING FOR SUBSTRATE TRANSPORT - An apparatus and method for processing semiconductor substrates provides a substrate stage being a rotatable disc with a solid surface and a terraced edge with upper, intermediate and lower portions of increasing diameter. A hollow edge ring rests on the intermediate edge portion and a substrate disposed on the rotatable disc is lifted and transported by robot blades positioned beneath the edge ring and which lift the edge ring which holds the substrate around its edges. The rotatable disc and edge ring find application in MOCVD and other semiconductor manufacturing tools. | 09-18-2014 |
20140273506 | Unlocking Layer and Method - A system and method for anti-reflective layers is provided. In an embodiment the anti-reflective layer comprises a polymer resin which has repeating units within it. At least one of the repeating units comprises a locked unit which has a cyclic structure and a lock within the unit. After the anti-reflective layer has been applied and baked, irregularities such as voids and step heights differences that have occurred may be handled by unlocking the lock within the locked unit. This unlocking breaks the cyclic structure, allowing the polymer to take up more volume and causing the anti-reflective layer to self-expand, filling the voids and reducing the step-height. The unlocking may be performed by exposure or thermal treatments. | 09-18-2014 |
20140273507 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - A method of manufacturing a semiconductor device is disclosed. The method includes forming a thin film having a borazine ring skeleton and containing a predetermined element, boron, carbon, and nitrogen on a substrate by performing a cycle a predetermined number of times. The cycle includes supplying a precursor gas containing the predetermined element and a halogen element to the substrate; supplying a reaction gas including an organic borazine compound to the substrate; and supplying a carbon-containing gas to the substrate. In addition, the cycle is performed under a condition in which the borazine ring skeleton in the organic borazine compound is maintained. | 09-18-2014 |
20140322919 | SEMICONDUCTOR WAFER CHUCK AND METHOD - A semiconductor wafer spinning chuck includes a rotatable base, a plurality of arms, upstanding from the base, a selectively releasable clamping mechanism, associated with the arms, and a spray nozzle, extending through the base. The clamping mechanism has a first portion configured to mechanically clamp an edge of a first semiconductor wafer and hold the first wafer in a substantially horizontal orientation upon all of the arms, with a backside of the first wafer facing down. The spray nozzle is oriented to direct a spray of fluid at the backside of the first wafer. | 10-30-2014 |
20140329392 | COATINGS FOR RELATIVELY MOVABLE SURFACES - A device has a microelectromechanical system (MEMS) component with at least one surface and a coating disposed on at least a portion of the surface. The coating has a compound of the formula M(CnF2n+1Or), wherein M is a polar head group and wherein n≧2r. The value of n may range from 2 to about 20, and the value of r may range from 1 to about 10. The value of n plus r may range from 3 to about 30, and a ratio of n:r may have a value of about 2:1 to about 20:1. | 11-06-2014 |
20140335698 | COMPONENT OF A PLASMA PROCESSING APPARATUS HAVING A PROTECTIVE IN SITU FORMED LAYER ON A PLASMA EXPOSED SURFACE - A component of a plasma processing chamber having a protective liquid layer on a plasma exposed surface of the component. The protective liquid layer can be replenished by supplying a liquid to a liquid channel and delivering the liquid through liquid feed passages in the component. The component can be an edge ring which surrounds a semiconductor substrate supported on a substrate support in a plasma processing apparatus wherein plasma is generated and used to process the semiconductor substrate. Alternatively, the protective liquid layer can be cured or cooled sufficiently to form a solid protective layer. | 11-13-2014 |
20140335699 | Application of Fluids to Substrates - Various embodiments relate to application of a fluid to a substrate. The fluid is locally heated, for example, to obtain a desired thickness profile. | 11-13-2014 |
20150011095 | CHEMICAL DEPOSITION APPARATUS HAVING CONDUCTANCE CONTROL - A chemical deposition apparatus having conductance control, which includes a showerhead module having a faceplate and a backing plate, the showerhead module including a plurality of inlets which deliver reactor chemistries to a cavity and exhaust outlets which remove reactor chemistries, a pedestal module configured to support a substrate and which moves vertically to close the cavity between the pedestal module and an outer portion of the faceplate, and at least one conductance control assembly, which is in fluid communication with the cavity via the exhaust outlets. The at least one conductance control assembly selected from one or more of the following: a ball valve assembly, a fluidic valve, magnetically coupled rotary plates, and/or a linear based magnetic system. | 01-08-2015 |
20150011096 | DEPOSITION APPARATUS INCLUDING AN ISOTHERMAL PROCESSING ZONE - A deposition apparatus for processing semiconductor substrates having an isothermal processing zone comprises a chemical isolation chamber in which semiconductor substrates are processed. A process gas source is in fluid communication with a showerhead module which delivers process gases from the process gas source to the isothermal processing zone wherein the showerhead module includes a faceplate wherein a lower surface of the faceplate forms an upper wall of a cavity defining the isothermal processing zone, a backing plate, and an isolation ring which surrounds the faceplate and the backing plate. At least one compression seal is compressed between the faceplate and the backing plate which forms a central gas plenum between the faceplate and the backing plate. A substrate pedestal module is configured to heat and support a semiconductor substrate wherein an upper surface of the pedestal module forms a lower wall of the cavity defining the isothermal processing zone within the chemical isolation chamber. A vacuum source is in fluid communication with the isothermal processing zone for evacuating process gas from the processing zone. | 01-08-2015 |
20150079803 | METHOD OF FORMING STRAIN-RELAXED BUFFER LAYERS - Implementations described herein generally relate to methods for relaxing strain in thin semiconductor films grown on another semiconductor substrate that has a different lattice constant. Strain relaxation typically involves forming a strain relaxed buffer layer on the semiconductor substrate for further growth of another semiconductor material on top. Whereas conventionally formed buffer layers are often thick, rough and/or defective, the strain relaxed buffer layers formed using the implementations described herein demonstrate improved surface morphology with minimal defects. | 03-19-2015 |
20150093907 | METHOD AND SYSTEM FOR THREE-DIMENSIONAL (3D) STRUCTURE FILL - Embodiments include methods and systems of 3D structure fill. In one embodiment, a method of filling a trench in a wafer includes performing directional plasma treatment with an ion beam at an angle with respect to a sidewall of the trench to form a treated portion of the sidewall and an untreated bottom of the trench. A material is deposited in the trench. The deposition rate of the material on the treated portion of the sidewall is different than a second deposition rate on the untreated bottom of the trench. In one embodiment, a method includes depositing a material on the wafer, filling a bottom of the trench and forming a layer on a sidewall of the trench and a top surface adjacent to the trench. The method includes etching the layer with an ion beam at an angle with respect to the sidewall. | 04-02-2015 |
20150093908 | HIGH SELECTIVITY AND LOW STRESS CARBON HARDMASK BY PULSED LOW FREQUENCY RF POWER - Methods of forming high etch selectivity, low stress ashable hard masks using plasma enhanced chemical vapor deposition are provided. In certain embodiments, the methods involve pulsing low frequency radio frequency power while keeping high frequency radio frequency power constant during deposition of the ashable hard mask using a dual radio frequency plasma source. According to various embodiments, the low frequency radio frequency power can be pulsed between non-zero levels or by switching the power on and off. The resulting deposited highly selective ashable hard mask may have decreased stress due to one or more factors including decreased ion and atom impinging on the ashable hard mask and lower levels of hydrogen trapped in the ashable hard mask. | 04-02-2015 |
20150093909 | Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Method of Processing Substrate - A substrate processing apparatus including a vertical reaction container; an insulating wall formed of an insulating material and including a reaction container accommodation chamber for accommodating the reaction container therein; a heater installed in an inner wall of the reception container reception chamber on the insulating wall; an air circulation channel installed vertically in a sidewall of the insulating wall; a blower for distributing air upward or downward in the air circulation channel; intake valves for communicating the air circulation channel with the air; and exhaust valves for communicating the air circulation channel with an equipment exhaust system. In a temperature elevating process and a temperature lowering process, the intake valves and the exhaust valves are switched. | 04-02-2015 |
20150099371 | NOZZLE DESIGNS FOR DISTRIBUTION OF REACTANTS ACROSS SUBSTRATES - Systems, methods and apparatus for processing a substrate are described. A reactor includes a reaction chamber, a composite nozzle, and a reaction chamber outlet. The composite nozzle extends along a side of the chamber and includes a first nozzle and a second nozzle separate from and parallel the first nozzle. Each nozzle includes a body extending along an axis of elongation, an inlet providing communication between at least one source of a common species and an inner volume of the body, and holes spaced along the axis. The holes provide fluid communication between the inner volume and the chamber. The outlet is configured to allow flow from the composite nozzle through the chamber to the outlet. The first nozzle inlet is positioned at a first end of the first body, and the second nozzle inlet is positioned at a second end of the second body. The second end is opposite the first end of the first body. | 04-09-2015 |
20150111391 | SUBSTRATE TREATING APPARATUS AND METHOD - Disclosed is an apparatus and method of processing substrate, which facilitates to improve deposition uniformity of a thin film deposited on a substrate, and to control quality of a thin film, wherein the apparatus includes a process chamber; a substrate supporter for supporting at least one of substrates, wherein the substrate supporter is provided in the bottom of the process chamber; a chamber lid confronting the substrate supporter, the chamber lid for covering an upper side of the process chamber; and a gas distributor for locally distributing activated source gas on the substrate, wherein the gas distributor locally confronting the substrate supporter is provided in the chamber lid, wherein the gas distributor forms plasma by the use of plasma formation gas, and activates the source gas by distributing the source gas to some of plasma area for formation of the plasma. | 04-23-2015 |
20150111392 | CHALCOGENIDE-CONTAINING FILM FORMING COMPOSITIONS AND VAPOR DEPOSITION OF CHALCOGENIDE-CONTAINING FILMS - Disclosed are Chalcogenide-containing film forming compositions, methods of synthesizing the same, and methods of forming Chalcogenide-containing films on one or more substrates via vapor deposition processes using the Chalcogenide-containing film forming compositions. | 04-23-2015 |
20150147889 | Tilted Plate For Batch Processing And Methods Of Use - A substrate processing chamber and methods for processing multiple substrates is provided and generally includes a gas distribution assembly, a susceptor assembly to rotate substrates along a path adjacent each of the gas distribution assembly and a gas diverter to change the angle of gas flow in the processing chamber. | 05-28-2015 |
20150294860 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - A substrate processing apparatus in which an improved film quality is obtained is disclosed. A precursor gas supply process of supplying a precursor gas to a process chamber while maintaining a substrate accommodated in the process chamber at a first temperature, a first removal process of removing the precursor gas remaining in the process chamber by supplying an inert gas, which is heated at a second temperature higher than the first temperature, to the process chamber, a reaction gas supply process of supplying a reaction gas to the process chamber, and a second removal process of removing the reaction gas remaining in the process chamber by supplying an inert gas to the process chamber are performed. | 10-15-2015 |
20150294893 | SUBSTRATE HOLDER AND A DEVICE AND A METHOD FOR TREATING SUBSTRATES - A substrate holder having a plate element for receiving a substrate. The plate element comprises at least one recess in a first side of the plate element as well as a plurality of spacers in the at least one recess, at least one opening, which is fluidly connected to the recess and which may be connected to an external gas delivery/exhaust unit, at least one notch or channel, which radially surrounds the recess, at least one opening, which is fluidly connected to the notch or channel and may be connected to an external gas delivery/exhaust unit, a circumferential web, which radially surrounds the recess and is located between the recess and the notch or channel, and circumferential contact surfaces for the substrate, wherein a first circumferential contact surface is formed on the upper side of the web and radially surrounds the recess, such that a substrate abutting against the first contact surface forms an enclosed chamber with the recess, and a second circumferential contact surface, which radially surrounds the notch or channel. | 10-15-2015 |
20150303051 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - Provided is a technique of forming a film containing a first element and a second element on a substrate by performing a cycle a predetermined number of times. The cycle includes: (a) supplying a hydro-based precursor containing the first element and a halogen-based precursor containing the second element into a process chamber accommodating a substrate to confine the hydro-based precursor and the halogen-based precursor in the process chamber; (b) maintaining a state where the hydro-based precursor and the halogen-based precursor are confined in the process chamber; and (c) exhausting the process chamber. | 10-22-2015 |
20150303054 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - There is provided a method for manufacturing a semiconductor device, including: forming a film on a substrate by performing a cycle a prescribed number of times, the cycle including: (a) supplying a source gas to the substrate in a process chamber; (b) exhausting the source gas remained in the process chamber; (c) supplying a reactive gas to the substrate in the process chamber; and (d) exhausting the reactive gas remained in the process chamber, wherein in (a), the source gas is supplied into the process chamber in a state that exhaust of the process chamber is substantially stopped, and thereafter an inert gas is supplied into the process chamber in a state that exhaust of the process chamber and supply of the source gas are substantially stopped. | 10-22-2015 |
20150307988 | SUBSTRATE PROCESSING APPARATUS, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND COMPUTER-READABLE RECORDING MEDIUM - A substrate processing apparatus includes: a substrate mounting table on which a substrate is mounted; an inert gas supply part configured to supply an inert gas on a surface of the substrate from an upper side of the substrate mounting table at a lateral side of the processing gas supply part; and a plurality of gas exhaust parts configured to exhaust a gas supplied on the surface of the substrate to an upper side, between the processing gas supply part and the inert gas supply part. | 10-29-2015 |
20150325432 | FILM FORMING METHOD, FILM FORMING APPARATUS AND RECORDING MEDIUM - A film forming method in which in a state in which a target substrate is loaded on a loading table body of a loading table installed in a processing container and an interior of the processing container is evacuated, a film forming material gas is supplied into the processing container while heating the target substrate with a heater installed in the loading table body, to be thermally decomposed or reacted on a surface of the target substrate to form a predetermined film on the target substrate, includes introducing a heat transfer gas containing an H | 11-12-2015 |
20150325435 | PECVD DEPOSITION OF SMOOTH SILICON FILMS - Smooth silicon films having low compressive stress and smooth tensile silicon films are deposited by plasma enhanced chemical vapor deposition (PECVD) using a process gas comprising a silicon-containing precursor (e.g., silane), argon, and a second gas, such as helium, hydrogen, or a combination of helium and hydrogen. Doped smooth silicon films and smooth silicon germanium films can be obtained by adding a source of dopant or a germanium-containing precursor to the process gas. In some embodiments dual frequency plasma comprising high frequency (HF) and low frequency (LF) components is used during deposition, resulting in improved film roughness. The films are characterized by roughness (Ra) of less than about 7 â„«, such as less than about 5 â„« as measured by atomic force microscopy (AFM), and a compressive stress of less than about 500 MPa in absolute value. In some embodiments smooth tensile silicon films are obtained. | 11-12-2015 |
20150340235 | DEPOSITING MATERIAL INTO HIGH ASPECT RATIO STRUCTURES - A method is provided, along with a corresponding apparatus, for filling a high aspect ratio hole without voids or for producing high aspect ratio structures without voids. A beam having a diameter smaller than the diameter of the hole is directed into the hole to induced deposition beginning in the center region of the hole bottom. After an elongated structure is formed in the hole by the beam-induced deposition, a beam can then be scanned in a pattern at least as large as the hole diameter to fill the remainder of the hole. The high aspect ratio hole can then be cross-sectioned using an ion beam for observation without creating artefacts. When electron-beam-induced deposition is used, the electrons preferably have a high energy to reach the bottom of the hole, and the beam has a low current, to reduce spurious deposition by beam tails. | 11-26-2015 |
20150346391 | METHOD FOR FORMING ANTI STICTION COATING AND ANTI STICTION COATING THEREOF - A method for forming an anti-stiction coating on a surface of a semiconductor device is provided. Using atomic layer deposition (ALD) processes to activate surface prior to anti-stiction coating deposition, anti-stiction coating having strong chemical bonding to the surface is obtained. | 12-03-2015 |
20150361559 | HYDROPHOBIZATION TREATMENT APPARATUS, HYDROPHOBIZATION TREATMENT METHOD, AND HYDROPHOBIZATION TREATMENT RECORDING MEDIUM - A hydrophobization treatment apparatus includes a cooling device which cools a substrate, a light irradiation device which irradiates thermal radiation light from light sources onto front surface of the substrate, a gas supply device which supplies hydrophobization-treatment gas to the substrate, an exhaust device which exhausts the gas, a lifting device which moves the substrate such that the lifting device raises and lowers the substrate between the cooling device and light sources, and a control device which has circuitry to control the light irradiation device, the gas supply device, the exhaust device and the lifting device. The circuitry of the control device executes first gas supply control to discharge and exhaust the gas into and from the space between the gas container and substrate, and after the first control, second gas supply control to discharge and exhaust the gas into and from the space between the gas container and substrate. | 12-17-2015 |
20150376781 | CLEANING METHOD, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - There is provided a cleaning method including cleaning an interior of a process chamber in which a substrate is processed, by supplying a cleaning gas into the process chamber and exhausting the cleaning gas from the process chamber via an exhaust pipe; and cooling the exhaust pipe by maintaining a state where distribution of the cleaning gas into the exhaust pipe is substantially stopped. Also, the act of cleaning the interior of the process chamber and the act of cooling the exhaust pipe are repeated alternately. | 12-31-2015 |
20150376790 | Apparatus And Methods For Differential Pressure Chucking Of Substrates - Apparatus and methods for processing a semiconductor wafer so that the wafer remains in place during processing. The wafer is subjected to a pressure differential between the top surface and bottom surface so that sufficient force prevents the wafer from moving during processing. | 12-31-2015 |
20160013043 | BOTTOM-UP PEALD PROCESS | 01-14-2016 |
20160013102 | CATALYST LAYER FORMING METHOD, CATALYST LAYER FORMING SYSTEM, AND RECORDING MEDIUM | 01-14-2016 |
20160047046 | SEMICONDUCTOR MANUFACTURING APPARATUS AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A semiconductor manufacturing apparatus according to an embodiment includes a chamber that is capable of accommodating therein a plurality of semiconductor substrates. A gas supply part supplies process gas to the chamber. A top exhaust port is connected to a top portion of the chamber and exhausts gas within the chamber. A bottom exhaust port is connected to a bottom portion of the chamber and exhausts gas within the chamber. A controller controls a timing of supplying process gas from the gas supply part and a timing of switching between exhaust from the top exhaust port and exhaust from the bottom exhaust port. | 02-18-2016 |
20160053377 | SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND SUBSTRATE PROCESSING METHOD - With the miniaturization of semiconductors and the increase in the diameter of wafers, the wafer size increases. Therefore, a supply gas flow rate also increases as compared with a process of a conventional wafer size. Thus, it is difficult to perform an exhaust pressure control in the same manner as a conventional processing process. ON/OFF valves provided in a plurality of exhaust pipes communicating with a processing chamber and a vacuum pump, and a controller configured to control the ON/OFF valves are provided, and it is possible to cope with the increase in the diameter of the wafer by performing a valve on/off and pressure control operation in a process event. | 02-25-2016 |
20160060108 | COATINGS FOR RELATIVELY MOVABLE SURFACES - A device has a microelectromechanical system (MEMS) component with at least one surface and a coating disposed on at least a portion of the surface. The coating has a compound of the formula M(CnF2n+1Or), wherein M is a polar head group and wherein n≧2r. The value of n may range from 2 to about 20, and the value of r may range from 1 to about 10. The value of n plus r may range from 3 to about 30, and a ratio of n:r may have a value of about 2:1 to about 20:1. | 03-03-2016 |
20160060762 | SEMICONDUCTOR MANUFACTURING SYSTEM AND SEMICONDUCTOR MANUFACTURING METHOD - In one embodiment, a semiconductor manufacturing system includes a film forming apparatus configured to form a film on a surface of a wafer. The system further includes a gas supply module configured to supply at least a type of source gas for the film into the film forming apparatus. The system further includes a measurement module configured to measure a discharge amount of an exhaust gas from the film forming apparatus. The system further includes a controller configured to calculate a value corresponding to a surface area of the wafer based on the discharge amount of the exhaust gas from the film forming apparatus, and to control a supply amount of the source gas to the film forming apparatus based on the value corresponding to the surface area of the wafer. | 03-03-2016 |
20160076149 | SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND FURNACE LID - By suppressing a re-liquefaction of a processing gas in a reaction tube, the processing gas is maintained in a gaseous state. There is provided a substrate processing apparatus that includes a reaction tube, a supply unit, an exhaust unit, a first heating unit configured to heat a substrate in the reaction tube, a second heating unit configured to heat a downstream portion of a reactant in gaseous state flowing in the reaction tube from the supply unit toward the exhaust unit, and a furnace lid, wherein the furnace lid includes a heat absorbing unit facing a lower surface of a lower end portion of the reaction tube and being heated by the second heating unit, the heat absorbing unit having an outer perimeter surface disposed outer than an inner circumference surface of the lower end portion. | 03-17-2016 |
20160079070 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - A method of manufacturing a semiconductor device includes forming a film on a substrate by performing a predetermined number times a cycle including: supplying a first process gas to the substrate; and supplying a second process gas to the substrate, wherein the act of supplying the first process gas and the supplying the second process gas are performed in a state where the substrate is maintained at a predetermined temperature of room temperature or more and 450 degrees C. or less; and a third process gas, which reacts with byproducts produced by a reaction of the first process gas and the second process gas, is supplied to the substrate simultaneously with at least one of the act of supplying the first process gas or the act of supplying the second process gas. | 03-17-2016 |
20160079083 | SEMICONDUCTOR MANUFACTURING APPARATUS AND SEMICONDUCTOR MANUFACTURING METHOD - According to an embodiment, a semiconductor manufacturing apparatus includes a chamber, a process tube, a substrate supporting portion, a heater, and a reflection plate. The heater is provided under the substrate supporting portion, and heats the substrates. The reflection plate is provided at a lower side of the heater, and reflects heat emitted from the heater upward. | 03-17-2016 |
20160079532 | MASK ASSEMBLY FOR DEPOSITION, DEPOSITION APPARATUS, AND METHOD EMPLOYING THE SAME - Disclosed is a mask assembly for deposition including: a frame having an opening; a mask having at least one pattern part formed in a second direction that is different from a first direction, wherein at least a portion of the mask is supported by the frame and the at least one pattern part has one or more slits continuously formed in the first direction; and at least one support stick extending in the second direction across the opening so as to support at least a portion of the mask. | 03-17-2016 |
20160093487 | METHOD FOR DEPOSITING FILMS ON SEMICONDUCTOR WAFERS - An exemplary embodiment of the present invention provides a method of depositing of a film on semiconductor wafers. In a first step, a film thickness of 3 um or less is deposited on wafers accommodated in a wafer boat in a vertical furnace at a deposition temperature of the furnace while a deposition gas is flowing. During the first step, the temperature may be held substantially constant. In a second step, a temperature deviation or variation of at least 50° C. from the deposition temperature of the first step is applied and the furnace temperature is returned to the deposition temperature of the first step while the flow of the deposition gas is stopped. The first and second steps are repeated until a desired final film thickness is deposited. | 03-31-2016 |
20160093520 | PROCESSING APPARATUS AND PROCESSING METHOD - Disclosed is a processing apparatus. The processing apparatus includes: a load port in which a conveyance container accommodating a plurality of semiconductor wafers is placed; a dummy wafer storage area in which a conveyance container accommodating a plurality of dummy wafers is placed; a normal-pressure conveyance room in which a first conveyance arm is installed; an equipment that processes the plurality of semiconductor wafers in a state where the semiconductor wafers and the dummy wafers which are conveyed are placed in slots, respectively; and a controller that controls each component of the processing apparatus. The controller classifies the dummy wafers accommodated in the conveyance container into a plurality of groups, and controls the first conveyance arm to preferentially convey the dummy wafers within one of the classified groups to the equipment and, in replacing the dummy wafers, to perform replacement of the dummy wafers group to group as classified. | 03-31-2016 |
20160145743 | SURFACE TREATED ALUMINUM NITRIDE BAFFLE - Methods and apparatus relating to aluminum nitride baffles are provided herein. In some embodiments, a baffle for use in semiconductor process chambers may include a body comprising aluminum nitride and a metal oxide binding agent, wherein a ratio of aluminum nitride to metal oxide on a surface of the body is greater than or equal to the ratio within the body. In some embodiments, the body may have a center stem and an outer annulus coupled to and extending radially outwards from a lower portion of the center stem. In some embodiments, a method of fabricating a baffle may include sintering aluminum, nitrogen, and a metal oxide binding agent to form a body of the baffle, the body having excess metal oxide binding agent disposed on a surface thereof; and removing a bulk of the excess metal oxide binding agent from a surface of the body. | 05-26-2016 |
20180025925 | WAFER HOLDER AND TEMPERATURE CONDITIONING ARRANGEMENT AND METHOD OF MANUFACTURING A WAFER | 01-25-2018 |