Entries |
Document | Title | Date |
20080200033 | POLISHING COMPOUND, METHOD FOR POLISHING SURFACE TO BE POLISHED, AND PROCESS FOR PRODUCING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - To provide a polishing compound which is capable of polishing SiC at a high removal rate, or capable of suppressing polishing of silicon dioxide in an insulating layer on the other hand, while polishing SiC at a high removal rate, in production of a semiconductor integrated circuit device, whereby it is possible to obtain a semiconductor integrated circuit device having a planarized multiplayer structure. | 08-21-2008 |
20080206994 | METHOD OF REDUCING NON-UNIFORMITIES DURING CHEMICAL MECHANICAL POLISHING OF EXCESS METAL IN A METALLIZATION LEVEL OF MICROSTRUCTURE DEVICES
- Prior to performing a CMP process for planarizing a metallization level of an advanced semiconductor device, an appropriate cap layer may be formed in order to delay the exposure of metal areas of reduced height level to the highly chemically reactive slurry material. Consequently, metal of increased height level may be polished with a high removal rate due to the mechanical and the chemical action of the slurry material, while the chemical interaction with the slurry material may be substantially avoided in areas of reduced height level. Therefore, a high process uniformity may be achieved even for pronounced initial surface topographies and slurry materials having a component of high chemical reactivity. | 08-28-2008 |
20080206995 | METAL-POLISHING LIQUID AND POLISHING METHOD THEREWITH - The present invention provides a metal-polishing liquid that is used in chemical mechanical polishing for a conductor film made of copper or a copper alloy during semiconductor device production, wherein the metal-polishing liquid comprises the following components (1), (2) and (3):
| 08-28-2008 |
20080220610 | Silicon oxide polishing method utilizing colloidal silica - The inventive method comprises chemically-mechanically polishing a substrate with a polishing composition comprising a liquid carrier and sol-gel colloidal silica abrasive particles. | 09-11-2008 |
20080227297 | CHEMICAL MECHANICAL POLISHING METHOD AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A chemically mechanically polishing method is provided, which includes slide-contacting a polishing film with a polishing pad while feeding a first chemical liquid and a second chemical liquid to the polishing pad. The first chemical liquid contains an electrolyte and bubbles having a diameter ranging from 10 nm to 1000 μm, and the second chemical liquid contains abrasive particles. | 09-18-2008 |
20080227298 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - The object of the present invention is to embed an insulating film in a hole having a high aspect ratio and a small width without the occurrence of a void. The thickness of a polishing stopper layer is reduced by making separate layers respectively serve as a mask during forming the hole in a semiconductor substrate, and a stopper during removing the insulating film filled in the hole. | 09-18-2008 |
20080233751 | IC CHIP UNIFORM DELAYERING METHODS - Methods of uniformly delayering an IC chip are disclosed. One embodiment includes: performing an ash on the wafer including an Al layer thereof and etching the Al layer; polishing an edge of the wafer using a slurry including an approximately 30 μm polishing particles; removing the aluminum layer and at least one metal layer by polishing using a slurry including approximately 9 μm diamond polishing particles and a non-abrasive backside of a polishing sheet; removing any remaining metal layers to a first metal layer by polishing using a slurry including approximately 3 μm diamond polishing particles and the non-abrasive backside of a polishing sheet; removing any scratches by polishing using a slurry including approximately 1 μm diamond polishing particles and the non-abrasive backside of a polishing sheet; and removing the first metal layer to a polyconductor layer by polishing using a colloidal slurry including approximately 0.25 μm diamond polishing particles. | 09-25-2008 |
20080242091 | METAL-POLISHING LIQUID AND POLISHING METHOD - A metal-polishing liquid used for chemical and mechanical polishing of copper wiring in a semiconductor device, the metal-polishing liquid comprising: (a) a tetrazole compound having a substituent in the 5-position; (b) a tetrazole compound not substituted in the 5-position; (c) abrasive grains; and (d) an oxidizing agent. | 10-02-2008 |
20080254628 | HIGH THROUGHPUT CHEMICAL MECHANICAL POLISHING COMPOSITION FOR METAL FILM PLANARIZATION - A chemical mechanical polishing process including a single copper removal CMP slurry formulation for planarization of a microelectronic device structure preferably having copper deposited thereon. The process includes the bulk removal of a copper layer using a first CMP slurry formulation having oxidizing agent, passivating agent, abrasive and solvent, and the soft polishing and over-polishing of the microelectronic device structure using a formulation including the first CMP slurry formulation and at least one additional additive. The CMP process described herein provides a high copper removal rate, a comparatively low barrier material removal rate, appropriate material selectivity ranges to minimize copper dishing at the onset of barrier material exposure, and good planarization efficiency. | 10-16-2008 |
20080254629 | Composition and method used for chemical mechanical planarization of metals - Compositions for use in CMP processing and methods of CMP processing. The composition utilizes low levels of particulate material, in combination with at least one amino acid, at least one oxidizer, and water to remove a metal layer such as one containing copper to a stop layer with high selectivity. | 10-16-2008 |
20080261401 | Chemical-Mechanical Polishing of Sic Surfaces Using Hydrogen Peroxide or Ozonated Water Solutions in Combination with Colloidal Abrasive - A process is taught for producing a smooth, damage-free surface on a SiC wafer, suitable for subsequent epitaxial film growth or ion implantation and semiconductor device fabrication. The process uses certain oxygenated solutions in combination with a colloidal abrasive in order to remove material from the wafer surface in a controlled manner. Hydrogen peroxide with or without ozonated water, in combination with colloidal silica or alumina (or alternatively, in combination with HF to affect the oxide removal) is the preferred embodiment of the invention. The invention also provides a means to monitor the sub-surface damage depth and extent since it initially reveals this damage though the higher oxidation rate and the associated higher removal rate. | 10-23-2008 |
20080261402 | METHOD OF REMOVING INSULATING LAYER ON SUBSTRATE - A method of removing an insulating layer on a substrate is described, including a first CMP process and a second CMP process performed in sequence, wherein the polishing slurry used in the first CMP process and that used in the second CMP process have substantially the same pH value that exceeds 7.0. A cleaning step is conducted between the first and the second CMP processes to remove a specific substance which would otherwise cause undesired particles to form in the second CMP process. | 10-23-2008 |
20080274618 | POLISHING COMPOSITION AND METHOD FOR HIGH SELECTIVITY POLYSILICON CMP - The present invention provides a polishing composition and a method for removing polysilicon in preference to silicon dioxide, silicate glasses and/or silicon nitride via chemical-mechanical polishing during semiconductor device fabrication. In a preferred embodiment, the polishing composition includes an aqueous dispersion of ceria abrasive particles, from about 0.005% to about 0.15% by weight of a polyethyleneimine and a sufficient amount of an acid to adjust the pH of the polishing composition within the range of from about 4.7 to about 5.1. The polishing composition can be used to remove polysilicon via CMP at removal rates that are acceptable in semiconductor device fabrication applications while simultaneously suppressing the rate at which silicon dioxide, silicate glasses and silicon nitride are removed. | 11-06-2008 |
20080274619 | CMP compositions containing a soluble peroxometalate complex and methods of use thereof - The present invention provides a chemical-mechanical polishing (CMP) composition for polishing a ruthenium-containing substrate in the presence of hydrogen peroxide without forming a toxic level of ruthenium tetroxide during the polishing process. The composition comprises (a) a catalytic oxidant comprising a water-soluble peroxometalate complex, an oxidizable precursor of a peroxometalate complex, or a combination thereof, (b) a particulate abrasive; and (c) an aqueous carrier. The peroxometalate complex and the precursor thereof each have a reduced form that is oxidizable by hydrogen peroxide to regenerate the peroxometalate complex during chemical-mechanical polishing. CMP methods for polishing ruthenium-containing surfaces with the CMP composition are also provided. | 11-06-2008 |
20080274620 | CHEMICAL MECHANICAL POLISHING AGENT KIT AND CHEMICAL MECHANICAL POLISHING METHOD USING THE SAME - A chemical mechanical polishing method of the present invention comprises conducting polishing by the use of a chemical mechanical polishing aqueous dispersion (A) containing abrasive grains and then conducting polishing by the use of a chemical mechanical polishing aqueous composition (B) containing at least one organic compound having a heterocyclic ring in addition to the chemical mechanical polishing aqueous dispersion (A). Also A chemical mechanical polishing agent kit of the present invention comprises the chemical mechanical polishing aqueous dispersion (A) and the chemical mechanical polishing aqueous composition (B). The polishing method and the polishing agent kit can prevent an increase of dishing and corrosion of wiring portion to enhance the yield. | 11-06-2008 |
20080280442 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A method for fabricating a semiconductor device is provided. A substrate includes two different regions, each of which has a different pattern density. A polish target layer is formed over the substrate to cover the patterns in the regions and a planarization guide layer is formed along a top surface of the polish target layer. The planarization guide layer has a polish selectivity ratio with respect to the polish target layer. Subsequently, the planarization guide layer formed in a first region is removed such that the planarization guide layer remains only in a second region having the patterns with low pattern density and the remaining planarization guide layer and the polish target layer are polished to remove a step between the first and second regions. | 11-13-2008 |
20080311750 | Polishing composition for semiconductor wafer and polishing method - The present invention relates to a polishing composition for a semiconductor wafer which is excellent in polishing property, and a polishing method. The polishing composition for a semiconductor wafer comprises colloidal silica consisting of non-spherical silica particles having a ratio of long axis to short axis of 1.5 to 15. The polishing method for a semiconductor wafer uses the polishing composition. The polishing composition can provide a remarkably high polishing rate compared with a polishing composition using spherical colloidal silica, and can provide good mirror-polishing without causing scratches. In addition, small alkali metal content enables reduction of adverse effects on a semiconductor wafer, such as residual abrasives after polishing. | 12-18-2008 |
20080318427 | CHEMICAL MECHANICAL POLISHING AQUEOUS DISPERSION PREPARATION SET, METHOD OF PREPARING CHEMICAL MECHANICAL POLISHING AQUEOUS DISPERSION, CHEMICAL MECHANICAL POLISHING AQUEOUS DISPERSION, AND CHEMICAL MECHANICAL POLISHING METHOD - A chemical mechanical polishing aqueous dispersion preparation set including: a first composition which includes colloidal silica having an average primary particle diameter of 15 to 40 nm and a basic compound and has a pH of 8.0 to 11.0; and a second composition which includes poly(meth)acrylic acid and an organic acid having two or more carbonyl groups other than the poly(meth)acrylic acid and has a pH of 1.0 to 5.0. | 12-25-2008 |
20080318428 | Method for Achieving Uniform Chemical Mechanical Polishing In Integrated Circuit Manufacturing - A method for planarizing a surface in an integrated circuit manufacturing process provides a first film of a first material over a non-uniform surface, such as a surface including isolation trenches. The first material includes, for example, a polysilicon layer to be used to form floating gates in a non-volatile memory integrated circuit. A second film, which is a sacrificial film formed using a second material, such as silicon oxide, is then provided over the first film. Partial removal of the second film is carried out using chemical mechanical polishing until a portion of the first film is exposed using a first slurry that is selective to the first material. Thereafter, the remaining layer of the second film is removed, along with planarization of the surface, using a second slurry that is highly selective, i.e., has a selectivity of the first film to the second film that is greater than a predetermine value (e.g., 16:1). | 12-25-2008 |
20090004864 | CMP METHOD OF SEMICONDUCTOR DEVICE - The present invention relates to a Chemical Mechanical Polishing (CMP) method of a semiconductor device. According to the method, a metal layer is formed over a semiconductor substrate in which an edge region define. A passivation layer is formed on the metal layer. The passivation layer formed in the edge region is etched in order to expose the metal layer. The exposed metal layer is removed through etching. The metal layer is polished by performing a CMP process, thus forming a metal line. | 01-01-2009 |
20090011599 | SLURRY COMPOSITIONS FOR SELECTIVELY POLISHING SILICON NITRIDE RELATIVE TO SILICON OXIDE, METHODS OF POLISHING A SILICON NITRIDE LAYER AND METHODS OF MANUFACTURING A SEMICONDUCTOR DEVICE USING THE SAME - Slurry compositions for selectively polishing silicon nitride relative to silicon oxide, methods of polishing a silicon nitride layer and methods of manufacturing a semiconductor device using the same are provided. The slurry compositions include a first agent for reducing an oxide polishing rate, an abrasive particle and water, and the first agent includes poly(acrylic acid). The slurry composition may have a high polishing selectivity of silicon nitride relative to silicon oxide to be employed in selectively polishing a silicon nitride layer in a semiconductor manufacturing process. | 01-08-2009 |
20090023291 | Polishing Methods - A chemical-mechanical polishing (CMP) method includes applying a solid abrasive material to a substrate, polishing the substrate, flocculating at least a portion of the abrasive material, and removing at least a majority portion of the flocculated portion from the substrate. Applying solid abrasive material can include applying a CMP slurry or a polishing pad comprising abrasive material. Such a method can further include applying a surfactant comprising material to the substrate to assist in effectuating flocculation of the abrasive material to the surfactant comprising material may be cationic which includes, for example, a quaternary ammonium substituted salt. Also, for example, the surfactant comprising material may be applied during polishing, brush scrubbing, pressure spraying or buffing. | 01-22-2009 |
20090029552 | Method For Polishing A Substrate Composed Of Semiconductor Material - Semiconductor material substrates are polished by a method including at least one polishing step A by means of which the substrate is polished on a polishing pad containing an abrasive material bonded in the polishing pad and a polishing agent solution is introduced between the substrate and the polishing pad during the polishing step; and at least one polishing step B by means of which the substrate is polished on a polishing pad containing an abrasive material-containing polishing pad and wherein a polishing agent slurry containing unbonded abrasive material is introduced between the substrate and the polishing pad during the polishing step. | 01-29-2009 |
20090029553 | Free radical-forming activator attached to solid and used to enhance CMP formulations - The present invention provides a composition for chemical-mechanical polishing which comprises at least one abrasive particle having a surface at least partially coated by a activator. The activator comprises a metal other than a metal of Group 4(b), Group 5(b) or Group 6(b). The composition further comprises at least one oxidizing agent. The composition is believed to be effective by virtue of the interaction between the activator coated on the surface of the abrasive particles and the oxidizing agent, at the activator surface, to form free radicals. The invention further provides a method that employs the composition in the polishing of a feature or layer, such as a metal film, on a substrate surface. The invention additionally provides a substrate produced this method. | 01-29-2009 |
20090042393 | Production method of polishing composition - A production method of a semiconductor device including: producing a polishing composition containing zirconium oxide sol; and planarizing a substrate having an uneven surface with said polishing composition, wherein the polishing composition containing zirconium oxide is produced by the steps comprising: baking at a temperature ranging from 400 to 1000° C., a zirconium compound having d50 (where d50 represents a particle diameter meaning that the number of particles having this particle diameter or less is 50% of the total number of particles) of zirconium compound particles of 5 to 25 μm and d99 (where d99 represents a particle diameter meaning that the number of particles having this particle diameter or less is 99% of the total number of particles) of zirconium compound particles of 60 μm or less, wherein d50 and d99 are measured by measuring a slurry of the zirconium compound by a laser diffractometry; and wet-grinding a powder of zirconium oxide obtained in the above baking in an aqueous medium until d50 of zirconium oxide particles becomes 80 to 150 nm and d99 of zirconium oxide particles becomes 150 to 500 nm, wherein d50 and d99 are measured by measuring a slurry of the zirconium compound by a laser diffractometry. | 02-12-2009 |
20090047786 | CMP Abrasive Slurry for Polishing Insulation Film, Polishing Method, and Semiconductor Electronic Part Polished by the Polishing Method - The present invention provides a CMP abrasive slurry for polishing insulation film, that allow efficiently and high-speed polishing of insulation films such as SiO | 02-19-2009 |
20090047787 | Slurry containing multi-oxidizer and nano-abrasives for tungsten CMP - A chemical mechanical polishing slurry containing multiple oxidizers and nano abrasive particles (including engineered nano diamond particles) suitable for polishing multilayer substrate with tungsten and Ti/TiN barrier layers. The slurry contains no metallic catalyst and has low total abrasive particle content. The absence of metal ions can be advantageous for certain applications as certain metal ions may present contamination issues. A low total abrasive content may also lower the total defect counts, reduce the slurry waste treatment burden, and simplify the post CMP clean process. | 02-19-2009 |
20090053896 | Copper polishing slurry - A water-soluble polymer is effective as a removal rate enhancer in a chemical mechanical polishing slurry to polish copper on semiconductor wafers or other copper laid structures, while keeping the etching rate low. The slurry may also include soft particles and certain metal chelating agents, or combinations thereof. The slurry can also comprise an abrasive particle, an organic acid, and an oxidizer. | 02-26-2009 |
20090061630 | Method for Chemical Mechanical Planarization of A Metal-containing Substrate - A method using an associated composition for chemical mechanical planarization of a metal-containing substrate (e.g., a copper substrate) is described. This method affords low dishing and local erosion levels on the metal during CMP processing of the metal-containing substrate. | 03-05-2009 |
20090068840 | POLISHING LIQUID AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A polishing liquid is provided, which includes abrasive grains and a surfactant. The abrasive grains contain a first colloidal silica having an average primary particle diameter of 45-80 nm and a second colloidal silica having an average primary particle diameter of 10-25 nm. The weight w | 03-12-2009 |
20090068841 | Chemical mechanical polishing method of organic film and method of manufacturing semiconductor device - There is disclosed a chemical mechanical polishing method of an organic film comprising forming the organic film above a semiconductor substrate, contacting the organic film formed above the semiconductor substrate with a polishing pad attached to a turntable, and dropping a slurry onto the polishing pad to polish the organic film, the slurry being selected from the group consisting of a first slurry and a second slurry, the first slurry comprising a resin particle having a functional group selected from the group consisting of an anionic functional group, a cationic functional group, an amphoteric functional group and a nonionic functional group, and having a primary particle diameter ranging from 0.05 to 5 μm, the first slurry having a pH ranging from 2 to 8, and the second slurry comprising a resin particle having a primary particle diameter ranging from 0.05 to 5 μm, and a surfactant having a hydrophilic moiety. | 03-12-2009 |
20090081871 | POLISHING COMPOSITION AND METHOD UTILIZING ABRASIVE PARTICLES TREATED WITH AN AMINOSILANE - The inventive method comprises chemically-mechanically polishing a substrate with an inventive polishing composition comprising a liquid carrier, a cationic polymer, an acid, and abrasive particles that have been treated with an aminosilane compound. | 03-26-2009 |
20090087988 | POLISHING LIQUID AND POLISHING METHOD - A polishing liquid is provided which is used for polishing a barrier layer of a semiconductor integrated circuit, the polishing liquid including surface modified particles that include organic polymer particles having at least one inorganic atom selected from the group consisting of Ti, Al, Zr and Si bonded to the organic polymer particles via an oxygen atom present on a surface of the organic polymer particles, an organic acid, an azole compound having at least two carboxyl groups, and an oxidizing agent, the polishing liquid having a pH of from 1 to 7; and a polishing method for polishing a barrier layer of a semiconductor integrated circuit is also provided. | 04-02-2009 |
20090087989 | POLISHING LIQUID AND POLISHING METHOD USING THE SAME - The invention provides a polishing liquid used for chemical mechanical polishing during planarization of a semiconductor integrated circuit, having at least: a benzotriazole compound (A) represented by the following Formula (1); an acid (B); and a water-soluble polymer (C). The invention further provides a polishing method for planarizing a semiconductor integrated circuit, the polishing method includes at least essentially chemically and mechanically polishing a barrier layer of the semiconductor integrated circuit using the polishing liquid. | 04-02-2009 |
20090098734 | METHOD OF FORMING SHALLOW TRENCH ISOLATION STRUCTURE AND METHOD OF POLISHING SEMICONDUCTOR STRUCTURE - A method of forming an STI structure is described. A patterned mask layer is formed over a substrate of a wafer. A portion of the substrate exposed by the patterned mask layer is removed to form trenches. A dielectric layer is formed over the substrate filling the trenches. A first CMP process is performed to remove a portion of the dielectric layer. A second CMP process is performed to remove a further portion of the dielectric layer and a portion of the patterned mask layer, such that the surface of the dielectric layer is lower than that of the patterned mask layer. The polishing rate in the second CMP process is lower than that in the first one. The polishing selectivity of the dielectric layer to the mask layer in the second CMP process is higher than that in the first one. The patterned mask layer is then removed. | 04-16-2009 |
20090124082 | SLURRY FOR POLISHING RUTHENIUM AND METHOD FOR POLISHING USING THE SAME - A slurry for polishing a ruthenium layer comprises distilled water, sodium periodate (NaIO | 05-14-2009 |
20090130849 | CHEMICAL MECHANICAL POLISHING AND WAFER CLEANING COMPOSITION COMPRISING AMIDOXIME COMPOUNDS AND ASSOCIATED METHOD FOR USE - A composition and associated method for chemical mechanical planarization (or other polishing) is described. The composition contains an amidoxime compound and water. The composition may also contain an abrasive and a compound with oxidation and reduction potential. The composition is useful for attaining improved removal rates for metal, including copper, barrier material, and dielectric layer materials in metal CMP. The composition is particularly useful in conjunction with the associated method for metal CMP applications. | 05-21-2009 |
20090137122 | METHOD OF PASSIVATING CHEMICAL MECHANICAL POLISHING COMPOSITIONS FOR COPPER FILM PLANARIZATION PROCESSES - A method of passivating a CMP composition by dilution and determining the relationship between the extent of dilution and the static etch rate of copper. Such relationship may be used to control the CMP composition during the CMP polish to minimize the occurrence of dishing or other adverse planarization deficiencies in the polished copper, even in the presence of substantial levels of copper ions in the CMP composition and at the copper/CMP composition interface. | 05-28-2009 |
20090137123 | Polishing Composition and Polishing Method - A polishing composition contains at least one water soluble polymer selected from the group consisting of polyvinylpyrrolidone and poly(N-vinylformamide), and an alkali, and preferably further contains at least one of a chelating agent and an abrasive grain. The water soluble polymer preferably has a weight average molecular weight of 6,000 to 4,000,000. The polishing composition is mainly used in polishing of the surfaces of semiconductor wafers such as silicon wafers, especially used in preliminary polishing of the surfaces of such wafers. | 05-28-2009 |
20090137124 | POLISHING COMPOSITION AND METHOD FOR HIGH SILICON NITRIDE TO SILICON OXIDE REMOVAL RATE RATIOS - The invention provides a chemical-mechanical polishing composition comprising a cationic abrasive, a cationic polymer, a carboxylic acid, and water. The invention further provides a method of chemically-mechanically polishing a substrate with the aforementioned polishing composition. The polishing composition exhibits selectivity for removal of silicon nitride over removal of silicon oxide. | 05-28-2009 |
20090156006 | COMPOSITIONS AND METHODS FOR CMP OF SEMICONDUCTOR MATERIALS - The present invention provides a chemical-mechanical polishing (CMP) composition suitable for polishing semi-conductor materials. The composition comprises an abrasive, an organic amino compound, an acidic metal complexing agent and an aqueous carrier A CMP method for polishing a surface of a semiconductor material utilizing the composition is also disclosed. | 06-18-2009 |
20090156007 | Polishing slurry and polishing method - The present invention relates to polishing slurry and polishing method used for polishing in a process for forming wirings of a semiconductor device, and the like. There are provided polishing slurry giving a polished surface having high flatness even if the polished surface is made of two or more substances, and further, capable of suppressing metal residue and scratches after polishing, and a method of chemical mechanical polishing using this. The polishing slurry of the present invention is polishing slurry containing at least one of a surfactant and an organic solvent, and a metal oxide dissolving agent and water, or polishing slurry containing water and abrasive of which surface has been modified with an alkyl group, and preferably, it further contains a metal oxidizer, water-soluble polymer, and metal inhibitor. | 06-18-2009 |
20090156008 | Polishing Composition and Polishing Method Using The Same - A polishing composition includes an abrasive, at least one compound of azoles and derivatives thereof, and water. The polishing composition is used in applications for polishing surfaces of semiconductor substrates in a suitable manner. | 06-18-2009 |
20090176371 | Method For The Preferential Polishing Of Silicon Nitride Versus Silicon Oxide - The present invention provides a method of removing silicon nitride in preference to silicon dioxide by CMP. The method utilizes a polishing slurry that includes colloidal silica abrasive particles dispersed in water and an additive that suppresses the silicon dioxide removal rate but enhances the silicon nitride removal rate. In one embodiment of the invention, the additive is lysine, which is effective at a pH of about 9, or arginine, which is effective at a pH of about 8. In another embodiment of the invention, the additive is lysine mono hydrochloride in combination with picolinic acid, which is effective at a pH of about 8, or arginine in combination with picolinic acid, which is effective at a pH of about 9. | 07-09-2009 |
20090176372 | CHEMICAL MECHANICAL POLISHING SLURRY AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A chemical mechanical polishing slurry includes at least one water-soluble polymer selected from a group consisting of polyacrylic acid, polymethacrylic acid and a salt thereof each having a weight-average molecular weight of 1,000,000 to 10,000,000, β-cyclodextrin, colloidal silica, and water. | 07-09-2009 |
20090176373 | POLISHING AGENT FOR SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE, POLISHING METHOD, AND METHOD FOR MANUFACTURING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - The present invention is to provide a polishing technique ensuring that when polishing a to-be-polished surface in the production of a semiconductor integrated circuit device, appropriate polishing rate ratios can be obtained between a borophosphosilicate glass material layer and other materials and high planarization of the to-be-polished surface containing a borophosphosilicate glass material layer can be thereby realized. The present invention relates to a polishing agent for chemical mechanical polishing, containing a cerium oxide particle, a water-soluble polyamine, one or more basic compounds selected from the group consisting of monoethanolamine, ethylethanolamine, diethanolamine and ammonia, and water, wherein the polishing agent has a pH of from 10 to 13 and wherein the basic compound is contained in an amount of more than 0.01 mass %. | 07-09-2009 |
20090197412 | Chemical mechanical polishing composition and process - To provide a polishing slurry composition which effectively reduces the occurrence of scratches, and a method of polishing which reduces the occurrence of scratches while realizing an economical polishing step. The aforementioned object is attained by using a polishing slurry composition for polishing a semiconductor substrate containing a metal oxide particle, at least one water-soluble organic polymer and water, said slurry composition characterized in that, when a test substrate having a metal film, a shallow trench isolation film or dielectric film is polished by varying a rate of a polishing pad equipped in a polishing apparatus under a constant polishing pressure to achieve a maximum polishing rate. | 08-06-2009 |
20090197413 | Polishing Composition and Polishing Method Using The Same - The present invention provides a polishing composition that can be suitably used in polishing of polysilicon, and a polishing method using the polishing composition. The polishing composition contains abrasive grains and an anionic surfactant having a monooxyethylene group or a polyoxyethylene group and has a pH of 9 to 12. If the anionic surfactant contained in the polishing composition has a polyoxyethylene group, the number of repeating oxyethylene units in the polyoxyethylene group is preferably 2 to 8. The anionic surfactant contained in the polishing composition can be an anionic surfactant that has a phosphate group, a carboxy group, or a sulfo group as well as a monooxyethylene group or a polyoxyethylene group. The content of the anionic surfactant in the polishing composition is preferably 20 to 500 ppm. | 08-06-2009 |
20090197414 | Polishing Composition and Polishing Method Using The Same - The present invention provides a polishing composition that can be suitably used in polishing of polysilicon, and a polishing method using the polishing composition. The polishing composition contains a nitrogen-containing nonionic surfactant and abrasive grains and has a pH of 9 to 12. The content of the nitrogen-containing nonionic surfactant in the polishing composition is preferably 20 to 500 ppm. The abrasive grains contained in the polishing composition are preferably colloidal silica. The average primary particle diameter of the abrasive grains contained in the polishing composition is preferably 10 to 90 nm. The content of the abrasive grains in the polishing composition is preferably 1.0 to 5.0% by mass. | 08-06-2009 |
20090197415 | POLISHING FLUID COMPOSITION - To provide a polishing composition capable of increasing polishing rate and reducing surface roughness, without causing surface defects on a surface of an object to be polished; and a polishing process for a substrate to be polished. [1] a polishing composition comprising water, an abrasive, an intermediate alumina, and a polycarboxylic acid having 4 or more carbon atoms with no OH groups or a salt thereof, wherein a content of the intermediate alumina is from 1 to 90 parts by weight, based on 100 parts by weight of the abrasive; and [2] a polishing process for a substrate to be polished, comprising polishing a substrate to be polished under conditions that a composition of a polishing liquid during polishing is the composition as defined in item [1] above. | 08-06-2009 |
20090203215 | METAL POLISHING SLURRY AND CHEMICAL MECHANICAL POLISHING METHOD - A metal polishing slurry which is capable of simultaneously realizing a high polishing speed and reduced dishing in the polishing of a subject to be polished is provided. The metal polishing slurry includes a compound represented by the following general formula (1): | 08-13-2009 |
20090209103 | BARRIER SLURRY COMPOSITIONS AND BARRIER CMP METHODS - A new barrier slurry composition enables metal and barrier layer material (as well as cap layer material, if necessary) to be removed at a practical rate whilst eliminating, or significantly reducing, the removal of underlying low-k or ultra-low-k dielectric material. The barrier slurry composition comprises: water, an oxidizing agent such as hydrogen peroxide, an abrasive such as colloidal silica abrasive, a complexing agent such as citrate, and may comprise a corrosion inhibitor such as benzotriazole. The preferential removal of cap layer material relative to underlying ULK dielectric material can be enhanced by including in the barrier slurry composition a first additive, such as sodium bis(2-ethylhexyl) sulfosuccinate. The removal rate of the barrier layer material can be tuned by including in the barrier slurry composition a second additive, such as ammonium nitrate. | 08-20-2009 |
20090209104 | POLISHING SLURRY FOR CMP, AND POLISHING METHOD - Disclosed is a polishing slurry for CMP which makes it possible to polish a barrier layer, a wiring metal layer and an interlayer dielectric continuously, and restrain a phenomenon that the interlayer dielectric in a region near the wiring metal layer is excessively shaven off so that a depression is generated. A polishing slurry, for CMP, containing abrasive particles, an acid, a tolyltriazole compound represented by the following general formula (I), and water: | 08-20-2009 |
20090215268 | POLISHING PROCESS FOR PRODUCING DAMAGE FREE SURFACES ON SEMI-INSULATING SILICON CARBIDE WAFERS - A polishing mixture and related method of polishing a material wafer surface, such as silicon carbide, are disclosed. The polishing mixture comprises; an abrasive and an oxidizer mixed in an acidic solution. Alumina may be used as the abrasive and the polishing mixture may have a pH less than or equal to seven (7). | 08-27-2009 |
20090215269 | INTEGRATED CHEMICAL MECHANICAL POLISHING COMPOSITION AND PROCESS FOR SINGLE PLATEN PROCESSING - Chemical mechanical polishing (CMP) compositions and single CMP platen process for the removal of copper and barrier layer material from a microelectronic device substrate having same thereon. The process includes the in situ transformation of a Step I slurry formulation, which is used to selectively remove and planarize copper, into a Step II slurry formulation, which is used to selectively remove barrier layer material, on a single CMP platen pad. | 08-27-2009 |
20090215270 | Polishing liquid and polishing method - A polishing liquid is provided which has good storage stability and is capable of inhibiting generation of scratching caused by aggregation of solid abrasive grains or the like during use. A polishing method using the polishing liquid is also provided. The polishing liquid includes: (a) an aqueous solution A including colloidal silica particles in an amount of from 5 mass % to 40 mass % with respect to the total mass of the aqueous solution A, and having a pH of from 1 to 7; and (b) an aqueous solution B including a quaternary ammonium cation, wherein the aqueous solution A and the aqueous solution B are separately prepared and mixed to provide the polishing liquid immediately before used in polishing. | 08-27-2009 |
20090215271 | Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios - The invention provides a chemical-mechanical polishing composition comprising a cationic abrasive, a cationic polymer, an inorganic halide salt, and an aqueous carrier. The invention further provides a method of chemically-mechanically polishing a substrate with the aforementioned polishing composition. The polishing composition exhibits selectivity for removal of silicon nitride over removal of silicon oxide and polysilicon. | 08-27-2009 |
20090221145 | METAL POLISHING SLURRY AND CHEMICAL MECHANICAL POLISHING METHOD - A metal polishing slurry which is capable of simultaneously realizing a high polishing speed and reduced dishing in the polishing of a subject to be polished is provided. The metal polishing slurry includes, an oxidizing agent; and an organic acid; and a compound represented by the following general formula (1): | 09-03-2009 |
20090239380 | POLISHING LIQUID FOR METAL AND POLISHING METHOD USING THE SAME - A liquid for polishing a metal is provided that is used for chemically and mechanically polishing a conductor film including copper or a copper alloy in production of a semiconductor device, and a polishing method using the metal-polishing liquid is also provided. The liquid includes: (a) colloidal silica particles having an average primary particle size of from 10 nm to 25 nm and an average secondary particle size of from 50 nm to 70 nm; (b) a metal anticorrosive agent; (c) at least one compound selected from the group consisting of a surfactant and a water-soluble polymer compound; (d) an oxidizing agent; and (e) an organic acid. | 09-24-2009 |
20090246956 | METAL POLISHING COMPOSITION AND CHEMICAL MECHANICAL POLISHING METHOD - The invention provides a metal polishing composition that is used in chemical mechanical polishing in production of a semiconductor device, and includes an oxidizing agent, an abrasive grain, and at least one compound selected from compounds represented by the following formula (I) and the following formula (II). The invention also provides a chemical mechanical polishing method that uses the metal polishing composition. In formula (I), R | 10-01-2009 |
20090246957 | POLISHING LIQUID AND POLISHING METHOD - A polishing liquid is provided with which a polishing rate relative to a conductive metal wiring typically represented by a copper wiring on a substrate having a barrier layer containing manganese and/or a manganese alloy and an insulating layer on the surface (particularly, copper oxide formed at the boundary) is decreased and with which less step height between the conductive metal wiring and the insulating layer is formed, and a polishing method using the polishing liquid is also provided. The polishing liquid includes: colloidal silica particles exhibiting a positive ζ potential at the surface thereof, a corrosion inhibiting agent; and an oxidizing agent, in which the polishing liquid is used in a chemical mechanical polishing process for a semiconductor device having, on a surface thereof, a barrier layer containing manganese and/or a manganese alloy, a conductive metal wiring, and an insulating layer. | 10-01-2009 |
20090258493 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A substance to be polished made of a silicon oxide film formed on a semiconductor substrate is chemically and mechanically polished and planarized by bringing the substance to be polished into contact with a polishing pad having a modulus of elasticity within a range of 400 to 600 megapascals and by relatively sliding the substance to be polished and the polishing pad, in a condition that a polishing pressure is within a range of 50 to 200 hectopascals and that a rotation number of the polishing pad is within a range of 10 to 80 rpm, and in a state that a polishing slurry containing cerium oxide particles and an anionic surfactant is supplied to the polishing pad. | 10-15-2009 |
20090291559 | STABLE, HIGH RATE SILICON SLURRY - The invention provides a chemical-mechanical polishing composition comprising wet-process silica, a stabilizer compound, a potassium salt, a secondary amine compound, and water. The invention further provides a method of polishing a substrate with the polishing composition. | 11-26-2009 |
20090298290 | Polishing liquid and polishing method - A polishing liquid which is used for chemical mechanical polishing of a body to be polished in a planarization process for manufacturing of a semiconductor integrated circuit, the body to be polished including at least a first layer containing polysilicon or modified polysilicon and a second layer containing at least one selected from the group consisting of silicon oxide, silicon nitride, silicon carbide, silicon carbonitride, silicon oxycarbide, and silicon oxynitride, the polishing liquid having a pH of 1.5 to 7.0, including (1) colloidal silica particles, (2) an organic acid, and (3) an anionic surfactant, and being capable of selectively polishing the second layer with respect to the first layer. | 12-03-2009 |
20090311864 | Polishing slurry - A polishing slurry used in chemical mechanical polishing of a barrier layer and an interlayer dielectric film in a semiconductor integrated circuit includes an abrasive, an oxidizer, an anticorrosive, an acid, a surfactant and an inclusion compound. The polishing slurry has a pH of less than 5. The resulting polishing slurry contains a solid abrasive used in barrier CMP for polishing a barrier layer made of a metallic barrier material, has excellent storage stability, achieves a good polishing rate in various films to be polished such as the barrier layer, and is capable of independently controlling the polishing rate with respect to the various films to be polished while further suppressing agglomeration of the abrasive particles. | 12-17-2009 |
20090317974 | POLISHING COMPOSITION FOR SILICON WAFER, POLISHING COMPOSITION KIT FOR SILICON WAFER AND METHOD OF POLISHING SILICON WAFER - The present invention provides a polishing composition which can remove a natural oxidized layer on a silicon wafer and can efficiently polish the silicon wafer. The polishing composition of the present invention comprises colloidal ceria and an alkaline polishing composition. The polishing composition of the present invention may further comprise a chelating agent. The present invention includes a polishing method comprising removing an oxidized layer with colloidal ceria; a polishing method comprising removing an oxidized layer with colloidal ceria and polishing a silicon wafer with an alkaline polishing composition; and a polishing method comprising polishing a silicon wafer with a polishing composition comprising colloidal ceria and an alkaline polishing composition. Further, the present invention relates to a polishing composition kit comprising colloidal ceria and an alkaline polishing composition. | 12-24-2009 |
20100009539 | CERIUM OXIDE POWDER, METHOD FOR PREPARING THE SAME, AND CMP SLURRY COMPRISING THE SAME - Disclosed is cerium oxide powder for a CMP abrasive, which can improve polishing selectivity of a silicon oxide layer to a silicon nitride layer and/or within-wafer non-uniformity (WIWNU) during chemical mechanical polishing in a semiconductor fabricating process. More particularly, the cerium oxide powder is obtained by using cerium carbonate having a hexagonal crystal structure as a precursor. Also, CMP slurry comprising the cerium oxide powder as an abrasive, and a shallow trench isolation method for a semiconductor device using the CMP slurry as polishing slurry are disclosed. | 01-14-2010 |
20100009540 | POLISHING COMPOUND, ITS PRODUCTION PROCESS AND POLISHING METHOD - A polishing compound for chemical mechanical polishing of a substrate, which comprises (A) abrasive grains, (B) an aqueous medium, (C) tartaric acid, (D) trishydroxymethylaminomethane and (E) at least one member selected from the group consisting of malonic acid and maleic acid, and more preferably, which further contains a compound having a function to form a protective film on the wiring metal surface to prevent dishing at the wiring metal portion, such as benzotriazole. By use of this polishing compound, the copper wirings on the surface of a semiconductor integrated circuit board can be polished at a high removal rate while suppressing formation of scars as defects in a polishing step. Particularly in a first polishing step of polishing copper wirings having a film made of tantalum or a tantalum compound as a barrier film, excellent selectivity will be obtained, dishing and erosion due to polishing are less likely to occur, and an extremely high precision flat surface of a semiconductor integrated circuit board can be obtained. | 01-14-2010 |
20100015806 | CMP POLISHING SLURRY, ADDITIVE LIQUID FOR CMP POLISHING SLURRY, AND SUBSTRATE-POLISHING PROCESSES USING THE SAME - The invention relates to a CMP polishing slurry containing cerium oxide particles, a dispersing agent, a water-soluble polymer and water, wherein the water-soluble polymer includes a polymer obtained by polymerizing a monomer including at least one of a carboxylic acid having an unsaturated double bond and a salt thereof, using a reducing inorganic acid salt and oxygen as a redox polymerization initiator; an additive liquid for CMP polishing slurry; and substrate-polishing processes using the same. This makes it possible to polish a silicon oxide film effectively in a CMP technique for planarizing an interlayer dielectric, a BPSG film or a shallow trench isolating insulated film. | 01-21-2010 |
20100015807 | Chemical Mechanical Polishing Composition for Copper Comprising Zeolite - The present invention relates to a CMP slurry composition for polishing a copper film in a semiconductor device fabricating process. The CMP composition for polishing a substrate comprising copper comprises zeolite, an oxidizer and a complexing agent and a content of the complexing agent is 0.01˜0.8 weight % with respect to an entire weight of the polishing composition. | 01-21-2010 |
20100029080 | AQUEOUS CERIUM OXIDE DISPERSION - Aqueous cerium oxide dispersion Aqueous cerium oxide dispersion, containing 5 to 60% by weight cerium oxide. It can be used to polish SiO | 02-04-2010 |
20100035433 | POLISHING AGENT COMPOSITION AND METHOD FOR MANUFACTURING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - Provided is a polishing agent composition for chemical mechanical polishing, which is used for polishing a surface of a semiconductor integrated circuit device to be polished. The polishing agent composition contains silica particles, one or more oxidizing agents selected from the group consisting of hydrogen peroxide, ammonium persulfate and potassium persulfate, a compound represented by formula (1), pullulan, one or more acids selected from the group consisting of nitric acid, sulfuric acid and carboxylic acids, and water, and has a pH within the range of 1-5. According to the present invention, a flat surface of an insulating layer having a buried metal interconnect can be attained in polishing of a surface to be polished during production of a semiconductor integrated circuit device. Further, a semiconductor integrated circuit device having a highly planarized multilayer structure can be obtained. | 02-11-2010 |
20100068883 | CMP SLURRY COMPOSITION FOR FORMING METAL WIRING LINE - Disclosed is CMP slurry, which includes a pyridine-based compound including at least two pyridinyl groups, and minimizes the occurrence of dishing and erosion of a wiring line. | 03-18-2010 |
20100075501 | CHEMICAL MECHANICAL POLISHING AQUEOUS DISPERSION AND CHEMICAL MECHANICAL POLISHING METHOD - A chemical mechanical polishing aqueous dispersion is used to polish a polishing target that includes an interconnect layer that contains tungsten. The chemical mechanical polishing aqueous dispersion includes: (A) a cationic water-soluble polymer; (B) an iron (III) compound; and (C) colloidal silica particles. The content (M | 03-25-2010 |
20100075502 | Barrier slurry for low-k dielectrics - The invention provides a chemical-mechanical polishing composition for polishing a substrate. The polishing composition comprises silica, a compound selected from the group consisting of an amine-substituted silane, a tetraalkylammonium salt, a tetraalkylphosphonium salt, and an imidazolium salt, a carboxylic acid having seven or more carbon atoms, an oxidizing agent that oxidizes a metal, and water. The invention further provides a method of chemically-mechanically polishing a substrate with the aforementioned polishing composition. | 03-25-2010 |
20100081281 | Abrasive compositions for chemical mechanical polishing and methods for using same - A colloidal dispersion for chemical mechanical polishing comprising: (a) an abrasive component; and (b) from about 0.05% to about 10% by weight of the abrasive component, a water-soluble amphoteric polymer comprising at least one macromolecular chain B and a part A bonded to a single end of the at least one macromolecular chain B, wherein the macromolecular chain B is derived from one or more ethylenically unsaturated monomers having quaternary ammonium groups or inium groups, and wherein the part A is a polymeric or nonpolymeric group comprising at least one anionic group; wherein the dispersion has a pH of between about 1.5 and about 6. The colloidal dispersion is capable of polishing a substrate comprising silicon nitride and silicon oxide with a reverse selectivity ratio of at least about 27, typically at least 50 the reverse selectivity ratio being the ratio of the rate of removal of the silicon nitride to the rate of removal of the silicon oxide. | 04-01-2010 |
20100099260 | AQUEOUS DISPERSION FOR CHEMICAL MECHANICAL POLISHNG AND CHEMICAL MECHANICAL POLISHING METHOD FOR SEMICONDUCTOR DEVICE - A chemical mechanical polishing aqueous dispersion includes (A) colloidal silica having an average particle size calculated from the specific surface area determined by the BET method of 10 to 60 nm, (B) an organic acid having two or more carboxyl groups and one or more hydroxyl groups in one molecule, and (C) a quaternary ammonium compound shown by the following general formula (1), | 04-22-2010 |
20100120250 | METAL POLISHING SLURRY AND POLISHING METHOD - The present invention relates to a metal polishing slurry containing abrasive grains, a metal-oxide-dissolving agent, and water, wherein the abrasive grains contain two or more abrasive grain species different from each other in average secondary particle diameter. Using the metal polishing slurry of the present invention, a metal polishing slurry can be obtained which gives a large polishing rate of an interlayer dielectric layer, and is high in the flatness of the polished surface. This metal polishing slurry can provide suitable method for a semiconductor device which is excellent in being made finer and thinner and in dimension precision and in electric characteristics, is high in reliability, and can attain a decrease in costs. | 05-13-2010 |
20100130012 | Method For Polishing A Semiconductor Wafer With A Strained-Relaxed Si1-xGex Layer - Semiconductor wafer provided with a strain-relaxed layer of Si | 05-27-2010 |
20100130013 | SLURRY COMPOSITION FOR GST PHASE CHANGE MEMORY MATERIALS POLISHING - A CMP method for polishing a phase change alloy on a substrate surface including positioning the substrate comprising a phase change alloy material on a platen containing a polishing pad and delivering a polishing slurry to the polishing pad. The polishing slurry includes colloidal particles with a particle size less than 60 nm, in an amount between 0.2% to about 10% by weight of slurry, a pH adjustor, a chelating agent, an oxidizing agent in an amount less than 1% by weight of slurry, and polyacrylic acid. The substrate on the platen is polished to remove a portion of the phase change alloy. A rinsing solution for rinsing the substrate on the platen includes deionized water and at least one component in the deionized water where the component selected from the group consisting of polyethylene imine, polyethylene glycol, polyacrylic amide, alcohol ethoxylates, polyacrylic acid, an azole containing compound, benzo-triazole, and combinations thereof. | 05-27-2010 |
20100144149 | METHOD TO SELECTIVELY POLISH SILICON CARBIDE FILMS - The present invention provides a method for selectively removing silicon carbide from the surface of a substrate in preference to silicon dioxide. The method comprises abrading a surface of substrate with a polishing composition that comprises a particulate abrasive, at least one acidic buffering agent, and an aqueous carrier. | 06-10-2010 |
20100151684 | SLURRY COMPOSITION FOR PRIMARY CHEMICAL MECHANICAL POLISHING AND CHEMICAL MECHANICAL POLISHING METHOD - The present invention relates to a slurry composition for primary chemical mechanical polishing that can show more improved WIWNU (Within Wafer Non-Uniformity) while exhibiting excellent polishing rate and polishing selectivity, and a chemical mechanical polishing method. The slurry composition for primary chemical mechanical polishing comprises an abrasive; an oxidant, an organic acid; a specific corrosion inhibitor, and, a polymeric additive comprising polyvinylpyrrolidone having weight average molecular weight of about 3000 to 100000, and has polishing selectivity of polishing rates between a copper layer:a tantalum layer of about 30:1 or more. | 06-17-2010 |
20100159698 | Combination, Method, and Composition for Chemical Mechanical Planarization of A Tungsten-Containing Substrate - A combination, composition and associated method for chemical mechanical planarization of a tungsten-containing substrate are described herein which afford tunability of tungsten/dielectric selectivity and low selectivity for tungsten removal in relation to dielectric material. Removal rates for both tungsten and dielectric are high and stability of the slurry (e.g., with respect to pH drift over time) is high. | 06-24-2010 |
20100159699 | SANDBLAST ETCHING FOR THROUGH SEMICONDUCTOR VIAS - To provide selective exposure of the TSV tip through a semiconductor wafer without undercut, the inventor has developed a new method of semiconductor device formation. An embodiment of the present teachings can include the use of sandblasting to remove a portion of the semiconductor wafer to expose the TSV tip without the need for additional wet and/or dry etching. | 06-24-2010 |
20100167545 | Method and Composition for Chemical Mechanical Planarization of A Metal - A composition and associated method for chemical mechanical planarization of a metal-containing substrate (e.g., a copper substrate) are described herein which afford high and tunable rates of metal removal as well as low within a wafer non-uniformity values and low residue levels remaining after polishing. | 07-01-2010 |
20100167546 | Method and Composition for Chemical Mechanical Planarization of A Metal or A Metal Alloy - A composition and associated method for chemical mechanical planarization of a metal-containing substrate (e.g., a copper substrate) are described herein which afford high and tunable rates of metal removal as well as low dishing and erosion levels during CMP processing. | 07-01-2010 |
20100167547 | POLISHING LIQUID - A polishing liquid for a chemical mechanical polishing of a semiconductor device includes (a) a carboxylic acid compound having one or more carboxy groups, (b) colloidal silica particles having a ζ potential of −10 mV to −35 mV when used in the polishing liquid, (c) a benzotriazole derivative, (d) an anionic surfactant, and (e) an oxidizing agent, and the polishing liquid has a pH of from 5.0 to 8.0. | 07-01-2010 |
20100184292 | SYSTEMS, METHODS AND SLURRIES FOR CHEMICAL-MECHANICAL ROUGH POLISHING OF GAAS WAFERS - Chemical polishing systems, methods and slurries are disclosed for the chemical-mechanical rough polishing of GaAs wafers. An exemplary polishing slurry consistent with the innovations herein may comprise dichloroisocyanurate, sulfonate, pyrophosphate, bicarbonate and silica sol. An exemplary chemical polishing method may comprise polishing a wafer in a chemical polishing apparatus in the presence of such a chemical polishing solution. Chemical polishing solutions and methods herein make it possible, for example, to improve wafer quality, decrease costs, and/or reduce environmental pollution. | 07-22-2010 |
20100184293 | PLANARIZATION PROCESS FOR PRE-DAMASCENE STRUCTURE INCLUDING METAL HARD MASK - A planarization process for a pre-damascene structure is described, wherein the pre-damascene structure includes a metal hard mask that is disposed on a first material layer with a damascene opening therein and a second material layer that fills the damascene opening and covers the metal hard mask. A first CMP step is conducted using a first slurry to remove the second material layer outside the damascene opening. A second CMP step is conducted using a second slurry to remove the metal hard mask. | 07-22-2010 |
20100190339 | COMPOSITIONS AND METHODS FOR CHEMICAL-MECHANICAL POLISHING OF PHASE CHANGE MATERIALS - The present invention provides a chemical-mechanical polishing (CMP) composition suitable for polishing a substrate comprising a phase change material (PCM), such as a germanium-antimony-tellurium (GST) alloy. The composition comprises a particulate abrasive material in combination with lysine, an optional oxidizing agent, and an aqueous carrier therefor. CMP methods for polishing a phase change material-containing substrate utilizing the composition are also disclosed. | 07-29-2010 |
20100216309 | CMP POLISHING LIQUID AND METHOD FOR POLISHING SUBSTRATE USING THE SAME - Disclosed is a CMP polishing liquid for polishing a substrate having a layer containing ruthenium, comprising: an oxidizing agent; polishing particles; water; and a compound having a structure represented by the following Formula (1), or a salt thereof. This CMP liquid is improved in at least the polishing rate to a ruthenium layer when compared with conventional polishing liquid. Also disclosed is a method for polishing a substrate using such a CMP polishing liquid. | 08-26-2010 |
20100221918 | AQUEOUS DISPERSION FOR CHEMICAL MECHANICAL POLISHING AND METHOD FOR PREPARING THE SAME, KIT FOR PREPARING AQUEOUS DISPERSION FOR CHEMICAL MECHANICAL POLISHING, AND CHEMICAL MECHANICAL POLISHING METHOD FOR SEMICONDUCTOR DEVICE - A chemical mechanical polishing aqueous dispersion includes (A) a sulfonic acid group-containing water-soluble polymer, (B) an amino acid, (C) abrasive grains, and (D) an oxidizing agent. | 09-02-2010 |
20100233880 | CHEMICAL MECHANICAL PLANARIZATION USING NANODIAMOND - A method for chemical mechanical polishing of a substrate includes polishing the substrate at a stock removal rate of greater than about 2.5 Å/min to achieve a Ra of not greater than about 5.0 Å. The substrate can be a III-V substrate or a SiC substrate. The polishing utilizes a chemical mechanical polishing slurry comprising ultra-dispersed diamonds and at least 80 wt % water. | 09-16-2010 |
20100248479 | CMP METHOD - The instant invention is a method of polishing a substrate including contacting a substrate having at least one metal layer including copper with a chemical-mechanical polishing composition. The CMP composition includes an abrasive, a surfactant, an oxidizer, an organic acid including polyacrylic acid or polymethacrylic acid, a corrosion inhibitor, and a liquid carrier. A portion of the copper in the metal layer is abraded to polish the substrate. A second CMP composition contacts the abraded substrate, the second acrylate free composition including an abrasive, a surfactant, an oxidizer, and a corrosion inhibitor, and a liquid carrier. Any dendrites that may have formed on the substrate are removed through abrasion. | 09-30-2010 |
20100248480 | CHEMICAL MECHANICAL POLISHING COMPOSITIONS FOR COPPER AND ASSOCIATED MATERIALS AND METHOD OF USING SAME - A CMP composition containing a rheology agent, e.g., in combination with oxidizing agent, chelating agent, inhibiting agent, abrasive and solvent. Such CMP composition advantageously increases the materials selectivity in the CMP process and is useful for polishing surfaces of copper elements on semiconductor substrates, without the occurrence of dishing or other adverse planarization deficiencies in the polished copper. | 09-30-2010 |
20100267239 | METHOD AND APPARATUSES FOR REMOVING POLYSILICON FROM SEMICONDUCTOR WORKPIECES - Methods and apparatuses for removing polysilicon material from a semiconductor workpiece are disclosed. A particular method includes contacting a polishing pad with a semiconductor workpiece having a surface polysilicon material. The method also includes disposing a polishing liquid between the polysilicon material and the polishing pad. The polishing liquid contains an oxidizer that does not include metal elements. The method further includes moving at least one of the semiconductor workpiece and the polishing pad relative to the other while the semiconductor workpiece contacts the polishing pad and the polishing liquid. At least some of the polysilicon material is removed while the polysilicon material contacts the oxidizer in the polishing liquid, as at least one of the semiconductor workpiece and the polishing pad moves relative to the other. | 10-21-2010 |
20100267240 | PITCH MULTIPLICATION SPACERS AND METHODS OF FORMING THE SAME - Spacers in a pitch multiplication process are formed without performing a spacer etch. Rather, the mandrels are formed over a substrate and then the sides of the mandrels are reacted, e.g., in an oxidization, nitridation, or silicidation step, to form a material that can be selectively removed relative to the unreacted portions of the mandrel. The unreacted portions are selectively removed to leave a pattern of free-standing spacers. The free-standing spacers can serve as a mask for subsequent processing steps, such as etching the substrate. | 10-21-2010 |
20100279506 | Polishing silicon carbide - The invention provides a method of chemically-mechanically polishing a substrate comprising at least one layer of single crystal silicon carbide. The method utilizes a chemical-mechanical polishing composition comprising a liquid carrier, an abrasive, a catalyst comprising a transition metal composition, and an oxidizing agent. | 11-04-2010 |
20100279507 | Method for chemical mechanical polishing a substrate - A method for chemical mechanical polishing of a substrate, comprising: providing a substrate, wherein the substrate comprises silicon dioxide; providing a chemical mechanical polishing composition, wherein the chemical mechanical polishing composition comprises: water, an abrasive; a diquaternary cation according to formula (I); and optionally a quaternary alkylammonium compound; providing a chemical mechanical polishing pad; creating dynamic contact at an interface between the chemical mechanical polishing pad and the substrate; and dispensing the chemical mechanical polishing composition onto the chemical mechanical polishing pad at or near the interface between the chemical mechanical polishing pad and the substrate; wherein the chemical mechanical polishing composition has a pH of 2 to 6; wherein the chemical mechanical polishing composition exhibits a silicon dioxide removal rate of at least 1,500 Å/min. | 11-04-2010 |
20100285665 | SEMICONDUCTOR WAFER MANUFACTURING METHOD - In a method of manufacturing semiconductor wafers, front and hack surfaces of the semiconductor wafers are simultaneously polished with a double-side polishing machine that includes: a carrier for accommodating the semiconductor wafer; and an upper press platen and a lower press platen for sandwiching the carrier. The method includes: accommodating the semiconductor wafer in the carrier while a thickness of the semiconductor wafer is set to be larger than a thickness of the carrier by 0 μm to 5 μm; and polishing the semiconductor wafer while feeding a polishing slurry to between the surfaces of the semiconductor wafer and surfaces of the press platens. In the polishing, an allowance of both surfaces of the semiconductor wafer is set at 5 μm or less in total. | 11-11-2010 |
20100285666 | PROCESS SEQUENCE TO ACHIEVE GLOBAL PLANARITY USING A COMBINATION OF FIXED ABRASIVE AND HIGH SELECTIVITY SLURRY FOR PRE-METAL DIELECTRIC CMP APPLICATIONS - A method and apparatus for polishing or planarizing a pre-metal dielectric layer by a chemical mechanical polishing process are provided. The method comprises providing a semiconductor substrate having feature definitions formed thereon, forming a pre-metal dielectric layer over the substrate, wherein the as-deposited pre-metal dielectric layer has an uneven surface topography, and planarizing the uneven surface topography of the pre-metal dielectric layer using chemical mechanical polishing techniques, wherein planarizing the uneven surface topography comprises polishing the pre-metal dielectric layer with a fixed abrasive polishing pad and a first polishing composition to remove a bulk portion of the pre-metal dielectric layer and achieve a first predetermined planarity, and polishing the pre-metal dielectric layer with a non-abrasive polishing pad and high selectivity slurry to remove a residual portion of the pre-metal dielectric and achieve a second predetermined planarity. | 11-11-2010 |
20100323522 | Polishing composition and polishing method - To provide a polishing composition which has a high removal rate and enables to suppress occurrence of dishing and erosion, in polishing of a surface to be polished in the production of a semiconductor integrated circuit device. A chemical mechanical polishing composition for polishing a surface to be polished of a semiconductor integrated circuit device comprises (A) fine oxide particles, (B) pullulan, and (C) water. The polishing composition further contains (D) an oxidizing agent, and (E) a compound represented by the formula 1: | 12-23-2010 |
20100330809 | POLISHING LIQUID FOR METALS - A liquid for polishing metals, which is used in the chemical and/or mechanical flattening of a semiconductor device, the polishing liquid being characterized in that it comprises at least one member selected from the group consisting of tetrazoles or triazoles represented by any one of the following general formulas (I) to (III): | 12-30-2010 |
20110008965 | POLISHING COMPOSITION AND POLISHING METHOD - To provide a polishing composition which has a high removal rate and enables to suppress occurrence of dishing and erosion, in polishing of a surface to be polished in the production of a semiconductor integrated circuit device. A chemical mechanical polishing composition for polishing a surface to be polished of a semiconductor integrated circuit device comprises (A) fine oxide particles, (B) pullulan, and (C) water. The polishing composition further contains (D) an oxidizing agent, and (E) a compound represented by the formula 1: | 01-13-2011 |
20110008966 | PLANARIZATION METHOD USING HYBRID OXIDE AND POLYSILICON CMP - A method of planarizing a semiconductor device is provided. The semiconductor device includes a substrate, first and second components provided on the surface of the substrate, and a first material provided between and above the first and second components. The first component has a height greater than a height of the second component. The method includes performing a first polishing step on the semiconductor device to remove the first material above a top surface of the first component, to remove the first material above a top surface of the second component, and to level the top surface of the first component. The method also includes performing a second polishing step on the semiconductor device to planarize the top surfaces of the first and second components. | 01-13-2011 |
20110008967 | CMP SLURRY AND A POLISHING METHOD USING THE SAME - The present invention relates to a CMP slurry that is able to reduce dishing generation, when it is applied to polishing or planarization of silicon oxide layer, for example, and a polishing method. | 01-13-2011 |
20110027996 | SLURRY COMPOSITION FOR A CHEMICAL MECHANICAL POLISHING PROCESS, METHOD OF POLISHING AN OBJECT LAYER AND METHOD OF MANUFACTURING A SEMICONDUCTOR MEMORY DEVICE USING THE SLURRY COMPOSITION - A slurry composition for a chemical mechanical processing process includes about 0.05 to about 0.3 percent by weight of a ceria abrasive, about 0.005 to about 0.04 percent by weight of an anionic surfactant, about 0.0005 to about 0.003 percent by weight of a polyoxyethylene-based nonionic surfactant, about 0.2 to about 1.0 percent by weight of a salt of polyacrylic acid having an average molecular weight substantially greater than a molecular weight of the anionic surfactant, and a remainder of water. In addition, a method of polishing an object layer and a method of manufacturing a semiconductor device using the slurry composition are also provided. | 02-03-2011 |
20110027997 | POLISHING LIQUID FOR CMP AND POLISHING METHOD - The present invention can provide a polishing liquid for CMP having good dispersion stability and a high polishing rate in polishing of interlayer insulating films and a polishing method. Disclosed a polishing liquid for CMP comprising: a medium; and colloidal silica particles dispersed in the medium, a blending amount of the colloidal silica particles being 2.0 to 8.0% by mass relative to 100% by mass of the polishing liquid,
| 02-03-2011 |
20110039412 | CHEMICAL MECHANICAL POLISHING SLURRY COMPOSITION INCLUDING NON-IONIZED, HEAT ACTIVATED NANO-CATALYST AND POLISHING METHOD USING THE SAME - Disclosed herein are a chemical mechanical polishing slurry composition for chemical mechanical planarization of metal layers, which comprises a non-ionized, heat-activated nano-catalyst, and a polishing method using the same. The polishing slurry composition comprises: a non-ionized, heat-activated nano-catalyst which releases electrons and holes by energy generated in a chemical mechanical polishing process; an abrasive; and an oxidizing agent. The non-ionized, heat-activated nano-catalyst and the abrasive are different from each other, and the non-ionized, heat-activated nano-catalyst is preferably a semiconductor material which releases electrons and holes at a temperature of 10 to 100° C. in an aqueous solution state, more preferably a transition metal silicide selected from the group consisting of CrSi, MnSi, CoSi, ferrosilicon (FeSi), mixtures thereof, and most preferably, a semiconductor material such as nano ferrosilicon. The content of the content of the non-ionized, heat-activated nano-catalyst is 0.00001 to 0.1 wt % based on the total weight of the slurry composition. | 02-17-2011 |
20110045671 | COMPOSITION FOR POLISHING SURFACES OF SILICON DIOXIDE - A composition for polishing surfaces comprises the following components:
| 02-24-2011 |
20110070736 | Method for polishing through-silicon via (TSV) wafers and a polishing composition used in the method - A method for polishing Through-Silicon Via (TSV) wafers is provided. The method comprises a step of subjecting the surface of a TSV wafer to a polishing treatment with a polishing composition containing an organic alkaline compound, an oxidizing agent selected from sodium chlorite and/or potassium bromate, silicon oxide abrasive particles, and a solvent to simultaneously remove Si and conductive materials at their respective removal rates. By using the method of this invention, Si and conductive materials can be simultaneously polished at higher removal rates to significantly save the necessary working-hour costs for polishing TSV wafers. A polishing composition used in the above method is also provided. | 03-24-2011 |
20110070737 | METHOD FOR PREPARING OF CERIUM OXIDE POWDER FOR CHEMICAL MECHANICAL POLISHING AND METHOD FOR PREPARING OF CHEMICAL MECHANICAL POLISHING SLURRY USING THE SAME - The present invention relates to a method of preparing a cerium oxide powder for a CMP slurry and a method of preparing a CMP slurry using the same, and more particularly, to a method of preparing a cerium oxide powder for a CMP slurry and a method of preparing a CMP slurry using the same in which the specific surface area of the powder is increased by preparing a cerium precursor, and then decomposing and calcinating the prepared cerium precursor. The pore distribution is controlled to increase the chemical contact area between a polished film and a polishing material, thereby reducing polishing time while the physical strength of powder is decreased, which remarkably reduces scratches on a polished film. | 03-24-2011 |
20110081780 | AQUEOUS DISPERSION FOR CHEMICAL MECHANICAL POLISHING AND CHEMICAL MECHANICAL POLISHING METHOD - A chemical mechanical polishing aqueous dispersion includes (A) silica particles, and (B1) an organic acid, the number of silanol groups included in the silica particles (A) calculated from a signal area of a | 04-07-2011 |
20110111595 | Chemical mechanical polishing composition and methods relating thereto - A chemical mechanical polishing composition useful for chemical mechanical polishing of a substrate, wherein the substrate comprises a silicon oxide material and a silicon nitride material; and methods of making and using the chemical mechanical polishing composition. The chemical mechanical polishing composition comprises, as initial components: at least one of a first substance and a second substance; wherein the first substance is according to formula I | 05-12-2011 |
20110117740 | METHOD FOR POLISHING HETEROSTRUCTURES - A polishing method for a heterostructure of at least one relaxed superficial heteroepitaxial layer on a substrate made of a different material. The method includes a first chemical mechanical polishing step of the surface of the heteroepitaxial layer performed with a polishing cloth having a first compressibility ratio and with a polishing solution having a first silica particle concentration. The first chemical mechanical polishing step is followed by a second chemical mechanical polishing step of the surface of the heteroepitaxial layer, with the second step being performed with a polishing cloth having a second compressibility ratio, higher than the first compressibility ratio, and with a polishing solution having a second silica particle concentration, lower than the first concentration. By this method, improved surface roughness is achieved. | 05-19-2011 |
20110124195 | Chemical Mechanical Polishing Composition Containing Polysilicon Polish Finisher - Provided are a chemical mechanical polishing (CMP) composition used for polishing a semiconductor device which contains polysilicon film and insulator, and a chemical mechanical polishing method thereof. The CMP composition is especially useful in a isolation CMP process for semiconductor devices. Provided is a highly selective CMP composition containing a polysilicon polish finisher which can selectively polish semiconductor insulators since it uses a polysilicon film as a polish finishing film. | 05-26-2011 |
20110136344 | COMPOSITION AND METHOD FOR POLISHING POLYSILICON - The invention provides a polishing composition comprising silica, an aminophosphonic acid, a polysaccharide, a tetraalkylammonium salt, a bicarbonate salt, an azole ring, and water, wherein the polishing composition has a pH of about 7 to about 11. The invention further provides a method of polishing a substrate with the polishing composition. | 06-09-2011 |
20110171832 | Chemical-Mechanical Polishing Formulation and Methods of Use - The invention is directed to a chemical-mechanical polishing formulation that includes: an abrasive particulate component; iodic acid; and water. The invention is also directed to a method for polishing a metal-containing substrate, the method including the steps of polishing the metal-containing substrate with a polishing pad at a suitable polishing pressure while the metal-containing substrate is in contact with the above polishing formulation. | 07-14-2011 |
20110177690 | POLISHING SOLUTION FOR CMP, AND METHOD FOR POLISHING SUBSTRATE USING THE POLISHING SOLUTION FOR CMP - The CMP polishing liquid of the present invention contains 1,2,4-triazole, a phosphoric acid, an oxidant, and abrasive particles. The polishing method of the present invention is a substrate polishing method for polishing a substrate with a polishing cloth while supplying a CMP polishing liquid between the substrate and the polishing cloth, in which the substrate is a substrate having a palladium layer, and the CMP polishing liquid is a CMP polishing liquid containing 1,2,4-triazole, a phosphoric acid, an oxidant, and abrasive particles. | 07-21-2011 |
20110207326 | SLURRY FOR POLISHING AND PLANARIZATION METHOD OF INSULATING LAYER USING THE SAME - A polishing slurry includes an abrasive, a dispersion agent, a polish accelerating agent and an adhesion inhibitor. The adhesion inhibitor includes a benzene compound combined with a carboxyl group. Methods of planarizing an insulating layer using the slurry are also provided. | 08-25-2011 |
20110207327 | ABRASIVE, POLISHING METHOD, METHOD FOR MANUFACTURING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - The present invention relates to a polishing method for polishing a to-be-polished surface including a polysilicon film having a silicon dioxide film directly thereunder, in manufacturing a semiconductor integrated circuit device, the method including: a first polishing step of polishing and planarizing the polysilicon film with a first abrasive containing a cerium oxide particle, water and an acid; and a second polishing step of polishing the polysilicon film planarized in the first polishing step with a second abrasive containing at least a cerium oxide particle, water, an acid and a water-soluble polyamine or a salt thereof and stopping polishing by exposure of the silicon dioxide film. | 08-25-2011 |
20110212621 | ABRASIVE COMPOSITION AND METHOD FOR MANUFACTURING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - The present invention relates to a polishing composition used in a step of polishing until a barrier layer adjacent to a copper layer is exposed, in a pattern formation of polishing the copper layer provided on an insulating layer through the barrier layer thereby alternately forming a copper embedded wiring and the insulating layer, the polishing composition including: an alicyclic resin acid; a colloidal silica in which a content thereof in the polishing composition is from 0.1 to 1.5% by mass, an average primary particle size thereof is from 10 to 40 nm, an average secondary particle size thereof is from 30 to 80 nm, and (the average secondary particle size×the content) is in a range of from 10 to 40; and tetramethylammonium ion. | 09-01-2011 |
20110217845 | Polishing Composition and Polishing Method Using The Same - A polishing composition is disclosed containing a nonionic active agent with a molecular weight of 1,000 or more and less than 100,000 and an HLB value of not less than 17, a basic compound, and water. The nonionic active agent is preferably an oxyalkylene homopolymer or a copolymer of different oxyalkylenes. The polishing composition may further contain at least one of silicon dioxide and a water-soluble polymer. The polishing composition is used, for example, in polishing the surface of semiconductor substrates such as silicon wafers. | 09-08-2011 |
20110223764 | Chemical-Mechanical Polishing Compositions And Methods Of Making And Using The Same - The present invention provides an aqueous CMP slurry composition that includes abrasive particles and from about 0.01% to the limit of solubility in water of a compound according to Formula (I): | 09-15-2011 |
20110230048 | Method of polishing a substrate comprising polysilicon, silicon oxide and silicon nitride - A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises polysilicon, silicon oxide and silicon nitride; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; an alkyl aryl polyether sulfonate compound, wherein the alkyl aryl polyether sulfonate compound has a hydrophobic portion having an alkyl group bound to an aryl ring and a nonionic acyclic hydrophilic portion having 4 to 100 carbon atoms; and a substance according to formula I | 09-22-2011 |
20110230049 | Method of polishing a substrate comprising polysilicon and at least one of silicon oxide and silicon nitride - A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises polysilicon and at least one of silicon oxide and silicon nitride; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; and an acyclic organosulfonic acid compound, wherein the acyclic organosulfonic acid compound has an acyclic hydrophobic portion having 6 to 30 carbon atoms and a nonionic acyclic hydrophilic portion having 10 to 300 carbon atoms; providing a chemical mechanical polishing pad with a polishing surface; moving the polishing surface relative to the substrate; dispensing the chemical mechanical polishing composition onto the polishing surface; and, abrading at least a portion of the substrate to polish the substrate; wherein at least some of the polysilicon is removed from the substrate; and, wherein at least some of the at least one of silicon oxide and silicon nitride is removed from the substrate. | 09-22-2011 |
20110230050 | METHOD OF POLISHING A SUBSTRATE COMPRISING POLYSILICON AND AT LEAST ONE OF SILICON OXIDE AND SILICON NITRIDE - A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises polysilicon and at least one of silicon oxide and silicon nitride; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; and an alkyl aryl polyether sulfonate compound, wherein the alkyl aryl polyether sulfonate compound has a hydrophobic portion having an alkyl group bound to an aryl ring and a nonionic acyclic hydrophilic portion having 4 to 100 carbon atoms; providing a chemical mechanical polishing pad with a polishing surface; moving the polishing surface relative to the substrate; dispensing the chemical mechanical polishing composition onto the polishing surface; and, abrading at least a portion of the substrate to polish the substrate; wherein at least some of the polysilicon is removed from the substrate; and, wherein at least some of the at least one of silicon oxide and silicon nitride is removed from the substrate. | 09-22-2011 |
20110237079 | METHOD FOR EXPOSING THROUGH-BASE WAFER VIAS FOR FABRICATION OF STACKED DEVICES - An effective method for forming through-base wafer vias for the fabrication of stacked devices, such as electronic devices, is described. The base wafer can be a silicon wafer, in which case the method relates to TSV (through-silicon via) technology. The method affords high removal rates of silicon under appropriate conditions. | 09-29-2011 |
20110244685 | Method of chemical mechanical polishing a substrate with polishing composition adapted to enhance silicon oxide removal - A method for chemical mechanical polishing of a substrate is provided, comprising: providing a substrate, wherein the substrate comprises silicon oxide; providing a chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; and a substance according to formula I | 10-06-2011 |
20110250754 | Polishing Composition and Polishing Method - A polishing composition contains a polishing accelerator, a water-soluble polymer including a constitutional unit originating from a polymerizable compound having a guanidine structure such as dicyandiamide, and an oxidant. The water-soluble polymer may be a water-soluble polymer including a constitutional unit originating from dicyandiamide and a constitutional unit originating from formaldehyde, a diamine or a polyamine. | 10-13-2011 |
20110250755 | METHOD OF POLISHING WAFER SURFACE ON WHICH COPPER AND SILICON ARE EXPOSED - A method of the present invention includes polishing a wafer having an exposed copper or copper alloy surface and an exposed silicon surface by using a polishing composition containing 0.02 to 0.6% by mass of hydrogen peroxide, preferably 0.05 to 0.2% by mass thereof. The polishing composition preferably further contains at least one of a complexing agent, an inorganic electrolyte, and abrasive grains such as colloidal silica. The polishing composition has a pH of preferably 9 or more, more preferably 10 or more. | 10-13-2011 |
20110250756 | AQUEOUS DISPERSION FOR CHEMICAL MECHANICAL POLISHING, CHEMICAL MECHANICAL POLISHING METHOD, KIT FOR CHEMICAL MECHANICAL POLISHING, AND KIT FOR PREPARING AQUEOUS DISPERSION FOR CHEMICAL MECHANICAL POLISHING - A chemical mechanical polishing aqueous dispersion comprises (A) abrasive grains, (B) at least one of quinolinecarboxylic acid and pyridinecarboxylic acid, (C) an organic acid other than quinolinecarboxylic acid and pyridinecarboxylic acid, (D) an oxidizing agent, and (E) a nonionic surfactant having a triple bond, the mass ratio (WB/WC) of the amount (WB) of the component (B) to the amount (WC) of the component (C) being 0.01 or more and less than 2, and the component (E) being shown by the following general formula (1), | 10-13-2011 |
20110269312 | CHEMICAL MECHANICAL POLISHING (CMP) POLISHING SOLUTION WITH ENHANCED PERFORMANCE - This invention relates to a chemical composition for chemical mechanical polishing (CMP) of substrates that are widely used in the semiconductor industry. The inventive chemical composition contains additives that are capable of improving consistency of the polishing performance and extending the lifetime of a polishing pad. | 11-03-2011 |
20110275216 | TWO STEP CHEMICAL-MECHANICAL POLISHING PROCESS - A chemical mechanical polishing method includes employing a topologically selective slurry or an abrasive trapped or abrasive mounted pad in an initial polishing operation to provide a substantially planar topology of a polysilicon layer of a semiconductor wafer, and performing a second polishing operation to remove a portion of the polysilicon layer to expose discrete elements of the semiconductor wafer. | 11-10-2011 |
20110275217 | POLISHING SOLUTION FOR CMP AND POLISHING METHOD USING THE POLISHING SOLUTION - The polishing solution for CMP of the invention comprises abrasive grains, a first additive and water, wherein the first additive is at least 1,2-benzoisothiazole-3(2H)-one or 2-aminothiazole. The polishing method of the invention is a polishing method for a substrate having a silicon oxide film on the surface, and the polishing method comprises a step of polishing the silicon oxide film with a polishing pad while supplying the polishing solution for CMP between the silicon oxide film and the polishing pad. | 11-10-2011 |
20110300710 | Method for Forming Through-Base Wafer Vias for Fabrication of Stacked Devices - An effective chemical mechanical planarization (CMP) method is provided for forming vias in silicon wafers for the fabrication of stacked devices using TSV (through-silicon via) technology. The method affords high removal rates of both metal (e.g., copper) and silicon such that a need for a grinding step prior to CMP processing may not be necessary. The method affords an approximately 1:1 Cu:Si selectivity for removal of silicon and copper under appropriate conditions and the Cu:Si selectivity is tunable by adjustment of levels of some key components. | 12-08-2011 |
20110306211 | Stabilized Chemical Mechanical Polishing Composition And Method Of Polishing A Substrate - A chemical mechanical polishing composition, comprising, as initial components: water; 0.1 to 40 wt % abrasive having an average particle size of 5 to 150 nm; 0.001 to 1 wt % of an adamarityl substance according to formula (II); 0 to 1 wt % diquaternary substance according to formula (I); and, 0 to 1 wt % of a quaternary ammonium compound. Also, provided is a method for chemical mechanical polishing using the chemical mechanical polishing composition. | 12-15-2011 |
20110312181 | METHOD FOR CHEMICAL MECHANICAL PLANARIZATION OF A COPPER-CONTAINING SUBSTRATE - A method using an associated composition for chemical mechanical planarization of a copper-containing substrate affords high copper removal rates and low dishing values during CMP processing of the copper-containing substrate, including an abrasive, at least three surfactants, preferably non-ionic and preferably three distinct surfactants, preferably in the range of 100 ppm to 2000 ppm per surfactant and an oxidizing agent. | 12-22-2011 |
20110312182 | METHOD AND APPARATUS FOR CHEMICAL-MECHANICAL PLANARIZATION - A method and apparatus for performing chemical-mechanical planarization (CMP) is disclosed, which in one embodiment includes a CMP tool for polishing a semiconductor wafer. The CMP tool includes a slurry mixture that has slurry beads. The slurry beads are formed of a polymer material. The slurry beads are used to remove summits and non-uniformities on the semiconductor wafer. In some embodiments the CMP tool includes a counter-face that replaces the polishing pad of a conventional CMP tool. In some embodiments the counter-face is made of polycarbonate. In another embodiment a slurry mixture for use with a CMP tool is disclosed. The slurry mixture includes slurry beads, where each of the slurry beads has a diameter of between 0.1 and 1000 microns, or in some embodiments a diameter of between 10 and 50 microns. | 12-22-2011 |
20110318928 | Polymeric Barrier Removal Polishing Slurry - The invention provides a aqueous slurry useful for chemical mechanical polishing a semiconductor substrate having copper interconnects. The slurry comprises by weight percent, 0 to 25 oxidizing agent, 0.1 to 50 abrasive particles, 0.001 to 10 inhibitor for decreasing static etch of the copper interconnects, 0.001 to 5 poly(methyl vinyl ether) having a formula as follows: | 12-29-2011 |
20110318929 | CMP POLISHING SOLUTION AND POLISHING METHOD - The CMP polishing solution of the invention comprises (A) a metal corrosion inhibitor containing a compound with a 1,2,3-triazolo[4,5-b]pyridine skeleton, (B) an abrasive grain having a positive zeta potential in the CMP polishing solution, (C) a metal oxide solubilizer and (D) an oxidizing agent. The polishing method of the invention comprises a first polishing step in which the conductive substance layer of a substrate comprising an interlayer insulating filth having an elevated section and a trench at the surface, a barrier layer formed following the surface of the interlayer insulating film and the conductive substance layer formed covering the barrier layer, is polished to expose the barrier layer located on the elevated section of the interlayer insulating film, and a second polishing step in which the barrier layer exposed in the first polishing step is polished using the CMP polishing solution to expose the elevated section of the interlayer insulating film. | 12-29-2011 |
20120003834 | Method Of Polishing Chalcogenide Alloy - The invention provides a method for chemical mechanical polishing of a substrate. The invention comprises providing a substrate, wherein the substrate comprises a chalcogenide phase change alloy and providing a chemical mechanical polishing composition, wherein the chemical mechanical polishing composition comprises, by weight percent, water, 0.1 to 30 abrasive, at least one polishing agent selected from 0.05 to 5 halogen compound, 0.05 to 5 phthalic acid, 0.05 to 5 phthalic anhydride and salts, derivatives and mixtures thereof and wherein the chemical mechanical polishing composition has a pH of 2 to less than 7. A chemical mechanical polishing pad polishes the substrate with the chemical mechanical polishing pad and the chemical mechanical polishing composition to selectively or non-selectively remove the chalcogenide phase change alloy from the substrate. | 01-05-2012 |
20120028467 | POLISHING FLUID AND POLISHING METHOD - Provided is a polishing fluid that has a fast polishing rate, and can selectively suppress polishing of layers including polysilicon or modified polysilicon during the chemical mechanical polishing in the manufacture of semiconductor integrated circuits, and a polishing method using the same. A polishing fluid used for the chemical mechanical polishing in which each of the components represented by the following (1) and (2) is included, the pH is 1.5 to 5.0, and a polishing workpiece can be polished in a range of a ratio represented by RR (other)/RR (p-Si) when the polishing rate of the first layer is RR (p-Si), and the polishing rate of the second layer is RR (other) of 1.5 to 200.
| 02-02-2012 |
20120058642 | Silicon polishing compositions with high rate and low defectivity - The invention relates to a chemical-mechanical polishing composition comprising silica, one or more organic carboxylic acids or salts thereof, one or more polysaccharides, one or more bases, optionally one or more surfactants and/or polymers, optionally one or more reducing agents, optionally one or more biocides, and water, wherein the polishing composition has an alkaline pH. The polishing composition exhibits a high removal rate and low particle defects and low haze. The invention further relates to a method of chemically-mechanically polishing a substrate using the polishing composition described herein. | 03-08-2012 |
20120058643 | AQUEOUS METAL POLISHING AGENT COMPRISING A POLYMERIC ABRASIV CONTAINING PENDANT FUNCTIONAL GROUPS AND ITS USE IN A CMP PROCESS - (A) solid polymer particles being finely dispersed in the aqueous phase and containing pendant functional groups (a1) capable of strongly interacting and forming strong complexes with the metal of the surfaces to be polished, and pendant functional groups (a2) capable of interacting less strongly with the metal of the surfaces to be polished than the functional groups (a1); and (B) an organic non-polymeric compound dissolved in the aqueous phase and capable of interacting and forming strong, water-soluble complexes with the metal of the surfaces to be polished and causing an increase of the material removal rate MRR and the static etch rate SER of the metal surfaces to be polished with increasing concentration of the compound (B); a CMP process comprising selecting (A) and (B) and the use of the CMP agent and process for polishing wafers with ICs. | 03-08-2012 |
20120064721 | POLISHING SLURRY AND POLISHING METHOD - The present invention relates to polishing slurry and polishing method used for polishing in a process for forming wirings of a semiconductor device, and the like. There are provided polishing slurry giving a polished surface having high flatness even if the polished surface is made of two or more substances, and further, capable of suppressing metal residue and scratches after polishing, and a method of chemical mechanical polishing using this. The polishing slurry of the present invention is polishing slurry containing at least one of a surfactant and an organic solvent, and a metal oxide dissolving agent and water, or polishing slurry containing water and abrasive of which surface has been modified with an alkyl group, and preferably, it further contains a metal oxidizer, water-soluble polymer, and metal inhibitor. | 03-15-2012 |
20120070989 | Stabilized, Concentratable Chemical Mechanical Polishing Composition And Method Of Polishing A Substrate - A chemical mechanical polishing composition, comprising, as initial components: water; an abrasive; a diquaternary substance according to formula (I), wherein each X is independently selected from N and P; wherein R | 03-22-2012 |
20120070990 | Slurry Composition Having Tunable Dielectric Polishing Selectivity And Method Of Polishing A Substrate - A chemical mechanical polishing slurry composition, comprising, as initial components: water; an abrasive; a halogenated quaternary ammonium compound according to formula (I), wherein R | 03-22-2012 |
20120070991 | CHEMICAL MECHANICAL POLISHING OF SILICON CARBIDE COMPRISING SURFACES - Slurry compositions and chemically activated CMP methods for polishing a substrate having a silicon carbide surface using such slurries. In such methods, the silicon carbide surface is contacted with a CMP slurry composition that comprises i) a liquid carrier and ii) a plurality of particles having at least a soft surface portion, wherein the soft surface portion includes a transition metal compound that provides a Mohs hardness ≦6, and optionally iii) an oxidizing agent. The oxidizing agent can include a transition metal. The slurry is moved relative to the silicon carbide comprising surface, wherein at least a portion of the silicon carbide surface is removed. | 03-22-2012 |
20120083122 | Shallow Trench Isolation Chemical Mechanical Planarization - A polishing method includes polishing, in a first polish, a wafer to remove overburden and planarize a top layer leaving a portion remaining on an underlying layer. A second polishing step includes two phases. In a first phase, the top layer is removed and the underlying layer is exposed, with a top layer to underlying layer selectivity of between about 1:1 to about 2:1 to provide a planar topography. In a second phase, residual portions of the top layer are removed from a top of the underlying layer to ensure complete exposure of an underlying layer surface. | 04-05-2012 |
20120083123 | Chemical Mechanical Planarization Processes For Fabrication of FINFET Devices - A planarization method includes planarizing a semiconductor wafer in a first chemical mechanical polish step to remove overburden and planarize a top layer leaving a thickness of top layer material over underlying layers. The top layer material is planarized in a second chemical mechanical polish step to further remove the top layer and expose underlying layers of a second material and a third material such that a selectivity of the top layer material to the second material to the third material is between about 1:1:1 to about 2:1:1 to provide a planar topography. | 04-05-2012 |
20120094490 | Slurry For Chemical Mechanical Polishing - The present invention relates to a slurry for chemical mechanical polishing, comprising an abrasive; an oxidant; an organic acid; and a polymeric additive comprising polyolefin-polyalkyleneoxide copolymer, wherein the polyolefin-polyalkyleneoxide copolymer comprises a polyolefin repeat unit and two or more polyalkyleneoxide repeat units, and at least one polyalkyleneoxide repeat unit is branched. | 04-19-2012 |
20120094491 | CMP POLISHING LIQUID AND POLISHING METHOD - The invention relates to a CMP polishing liquid comprising a medium and silica particles as an abrasive grain dispersed into the medium, characterized in that:
| 04-19-2012 |
20120100718 | CMP Fluid and Method for Polishing Palladium - The CMP polishing liquid for polishing palladium of this invention comprises an organic solvent, 1,2,4-triazole, a phosphorus acid compound, an oxidizing agent and an abrasive. The substrate polishing method is a method for polishing a substrate with a polishing cloth while supplying a CMP polishing liquid between the substrate and the polishing cloth, wherein the substrate is a substrate with a palladium layer on the side facing the polishing cloth, and the CMP polishing liquid is a CMP polishing liquid comprising an organic solvent, 1,2,4-triazole, a phosphorus acid compound, an oxidizing agent and an abrasive. | 04-26-2012 |
20120129346 | POLISHING AGENT, CONCENTRATED ONE-PACK TYPE POLISHING AGENT, TWO-PACK TYPE POLISHING AGENT AND METHOD FOR POLISHING SUBSTRATE - A polishing agent of the invention comprises tetravalent metal hydroxide particles, a cationized polyvinyl alcohol, at least one type of saccharide selected from the group consisting of an amino sugar, a derivative of the amino sugar, a polysaccharide containing an amino sugar and a derivative of the polysaccharide, and water. The method for polishing a substrate of the invention comprises a step of polishing the silicon oxide film | 05-24-2012 |
20120142191 | Chemical Mechanical Planarization Composition And Method With Low Corrosiveness - A CMP composition and associated method are provided that afford good corrosion protection and low defectivity levels both during and subsequent to CMP processing. This composition and method are useful in CMP (chemical mechanical planarization) processing in semiconductor manufacture involving removal of metal(s) and/or barrier layer material(s) and especially for CMP processing in low technology node applications. | 06-07-2012 |
20120149198 | METHOD FOR PRODUCING A SEMICONDUCTOR WAFER - A method for producing a semiconductor wafer includes a number of steps in order including a bilateral material-removing process followed by rounding off an edge of the wafer and grinding front and back sides of the wafer by holding one side and grinding the other. The front and back are then polished with a polishing cloth including bound abrasives and subsequently treated with an etching medium to carry out a material removal of no more than 1μm on each side. The front side is then polished using a polishing cloth including bound abrasives and the back side is simultaneously polished using a polishing cloth free of abrasives while a polish with abrasives is provided. The edge is then polished followed by polishing the back with a polishing cloth including bound abrasives and simultaneously polishing the front with a cloth free of abrasives while a polish including abrasives is provided. | 06-14-2012 |
20120164833 | Polishing Agent, Compound Semiconductor Manufacturing Method, and Semiconductor Device Manufacturing Method - Afforded are a polishing agent, and a compound semiconductor manufacturing method and semiconductor device manufacturing method utilizing the agent, whereby the surface quality of compound semiconductor substrates can be favorably maintained, and high polishing rates can be sustained as well. The polishing agent is a polishing agent for Ga | 06-28-2012 |
20120190201 | MULTI-SELECTIVE POLISHING SLURRY COMPOSITION AND A SEMICONDUCTOR ELEMENT PRODUCTION METHOD USING THE SAME - Provided are a multi-selective polishing slurry composition and a semiconductor element production method using the same. A silicon film provided with element patterns is formed on the uppermost part of a substrate having a first region and a second region. The element pattern density on the first region is higher than the element pattern density on the second region. Formed in sequence on top of the element patterns are a first silicon oxide film, a silicon nitride film and a second silicon oxide film. The substrate is subjected to chemical-mechanical polishing until the silicon film is exposed, by using a polishing slurry composition containing a polishing agent, a silicon nitride film passivation agent and a silicon film passivation agent. The polishing slurry composition may be a mixture of 100 parts by weight of a polishing agent suspension, containing a polishing agent, and from 40 to 120 parts by weight of an additive solution, and the additive solution can contain 100 parts by weight of a solvent, from 0.01 to 5 parts by weight of a silicon nitride film passivation agent and from 0.01 to 5 parts by weight of a silicon film passivation agent. | 07-26-2012 |
20120196442 | CHEMICAL MECHANICAL POLISHING METHOD - A chemical mechanical polishing method includes providing a semiconductor substrate having a dielectric layer formed thereon, wherein the dielectric layer includes vias and/or grooves, forming a stop layer on the dielectric layer and on sidewalls and bottoms of the vias and/or grooves; forming a metal layer on the stop layer, which completely fills the vias and/or grooves. The method further includes grinding the metal layer until the stop layer is exposed, removing a portion of the stop layer with a first grinding slurry, and removing the stop layer left over with a second grinding slurry until the dielectric layer is exposed, wherein a quantity and a diameter of oxide grinding particles in the second grinding slurry are smaller than those in the first grinding slurry. The method guarantees a removal rate that is equal to conventional art and prevents damage to the wafer so that the products thus made have an improved quality and performance. | 08-02-2012 |
20120196443 | CHEMICAL MECHANICAL POLISHING METHOD - A Chemical Mechanical Polishing (CMP) method includes providing a semiconductor substrate having an overlying dielectric layer, performing a first grinding on the dielectric layer, wherein the first grinding produces organic residues on a surface of the dielectric layer after the first grinding. The method further includes performing a second grinding on the dielectric layer by using an alkaline solution to remove the organic residues on the surface of the dielectric layer. The organic residues remaining on the surface of the dielectric layer are removed by using the alkaline solution after the first grinding process is performed. The method additionally includes cleaning a grinding pad and the substrate using deionized water. | 08-02-2012 |
20120225556 | Stable, concentratable, water soluble cellulose free chemical mechanical polishing composition - A chemical mechanical polishing composition useful for chemical mechanical polishing a semiconductor wafer containing an interconnect metal is provided, comprising, as initial components: water; an azole inhibitor; an alkali metal organic surfactant; a hydrotrope; a phosphorus containing agent; optionally, a non-saccharide water soluble polymer; optionally, a water soluble acid compound of formula I, wherein R is selected from a hydrogen and a C | 09-06-2012 |
20120238094 | CMP POLISHING SOLUTION AND POLISHING METHOD - The CMP polishing liquid of the invention comprises a metal salt containing at least one type of metal selected from the group consisting of metals of Groups 8, 11, 12 and 13, 1,2,4-triazole, a phosphorus acid, an oxidizing agent and abrasive grains. The polishing method of the invention comprises a step of polishing at least a palladium layer with an abrasive cloth while supplying a CMP polishing liquid between the palladium layer of a substrate having the palladium layer and the abrasive cloth, wherein the CMP polishing liquid comprises a metal salt containing at least one type of metal selected from the group consisting of metals of Groups 8, 11, 12 and 13, 1,2,4-triazole, a phosphorus acid, an oxidizing agent and abrasive grains. | 09-20-2012 |
20120252213 | CHEMICAL MECHANICAL POLISHING OF GROUP III-NITRIDE SURFACES - A method of chemically-mechanically polishing a substrate having a Group III-nitride surface includes providing a chemical-mechanical polishing slurry composition. The slurry composition includes a slurry solution including a liquid carrier and an oxidizer including a transition metal or a per-based compound. The slurry solution includes at least one component that reacts with the Group III-nitride surface to form a softened Group III-nitride surface. The Group III-nitride comprising surface is contacted with the slurry composition by a pad to form the softened Group III-nitride surface. The pad is moved relative to the softened Group III-nitride surface, wherein at least a portion of the softened Group III-nitride surface is removed. | 10-04-2012 |
20120252214 | POLISHING LIQUID AND POLISHING METHOD - A polishing liquid is provided with which a polishing rate relative to a conductive metal wiring typically represented by a copper wiring on a substrate having a barrier layer containing manganese and/or a manganese alloy and an insulating layer on the surface (particularly, copper oxide formed at the boundary) is decreased and with which less step height between the conductive metal wiring and the insulating layer is formed, and a polishing method using the polishing liquid is also provided. The polishing liquid includes: colloidal silica particles exhibiting a positive ζ potential at the surface thereof; a corrosion inhibiting agent; and an oxidizing agent, in which the polishing liquid is used in a chemical mechanical polishing process for a semiconductor device having, on a surface thereof, a barrier layer containing manganese and/or a manganese alloy, a conductive metal wiring, and an insulating layer. | 10-04-2012 |
20120258597 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - According to one embodiment, the method of manufacturing a semiconductor device includes contacting a film formed on a semiconductor substrate with a rotating polishing pad which is supported on a turntable, and feeding polishing foam to a region of the polishing pad with which the film is contacted, thereby polishing the film. The polishing foam is obtained by turning the aqueous dispersion into a foamy body. The aqueous dispersion includes 0.01-20% by mass of abrasive grain and 0.01-1% by mass of foam forming and retaining agent, all based on a total mass of the aqueous dispersion. | 10-11-2012 |
20120258598 | Stabilized Chemical Mechanical Polishing Composition and Method of Polishing a Substrate - A chemical mechanical polishing composition, comprising, as initial components: water; 0.1 to 20 wt % abrasive having an average particle size of 5 to 50 nm; and, 0.001 to 1 wt % of an adamantyl substance according to formula (II): | 10-11-2012 |
20120264302 | CHEMICAL MECHANICAL POLISHING PROCESS - A chemical mechanical polishing (CMP) process includes steps of providing a substrate, performing a first polishing step to the substrate with an acidic slurry, and performing a second polishing step to the substrate with a basic slurry after the first polishing step. | 10-18-2012 |
20120264303 | CHEMICAL MECHANICAL POLISHING SLURRY, SYSTEM AND METHOD - A metal polishing slurry includes a chemical solution and abrasives characterized by a bimodal or other multimodal distribution of particle sizes or a prevalence of two or more particle sizes or ranges of particle sizes. A method and system for using the slurry in a CMP polishing operation, are also provided. | 10-18-2012 |
20120264304 | COMPOSITIONS AND METHODS FOR SELECTIVE POLISHING OF SILICON NITRIDE MATERIALS - The present invention provides an acidic aqueous polishing composition suitable for polishing a silicon nitride-containing substrate in a chemical-mechanical polishing (CMP) process. The composition, at point of use, comprises about 0.01 to about 2 percent by weight of a particulate calcined ceria abrasive, about 10 to about 1000 ppm of at least one cationic polymer, optionally, about 10 to about 2000 ppm of a polyoxyalkylene polymer; and an aqueous carrier therefor. The at least one cationic polymer is selected from a poly(vinylpyridine) polymer and a combination of a poly(vinylpyridine) polymer and a quaternary ammonium-substituted polymer. Methods of polishing substrates and of selectively removing silicon nitride from a substrate in preference to removal of polysilicon using the compositions are also provided. | 10-18-2012 |
20120270401 | CHEMICAL MECHANICAL POLISHING SLURRY, ITS PREPARATION METHOD AND USE FOR THE SAME - A chemical mechanical polishing slurry for polishing a copper layer without excessively or destructively polishing a barrier layer beneath the copper layer is disclosed and includes an acid, a surfactant, and a silica sol having silica polishing particles that are surface modified with a surface charge modifier and that have potassium ions attached thereto. A method for preparing the chemical mechanical polishing slurry and a chemical mechanical polishing method using the chemical mechanical polishing slurry are also disclosed. | 10-25-2012 |
20120276742 | Chemical Mechanical Polishing Composition and Method For Polishing Germanium-Antimony-Tellurium Alloys - A method for chemical mechanical polishing of a substrate comprising a germanium-antimony-tellurium chalcogenide phase change alloy (GST) using a chemical mechanical polishing composition consisting essentially of, as initial components: water; an abrasive; a material selected from ethylene diamine tetra acetic acid and salts thereof; and an oxidizing agent; wherein the chemical mechanical polishing composition facilitates a high GST removal rate with low defectivity. | 11-01-2012 |
20120282775 | Chemical Mechanical Polishing Slurry Compositions and Polishing Method Using the Same - Disclosed herein is a CMP slurry composition. The CMP slurry composition includes cerium oxide particles, an adsorbent for adsorbing the cerium oxide particles to a polishing pad, an adsorption adjusting agent for adjusting adsorption performance of the adsorbent, and a pH adjusting agent. The CMP slurry composition may improve polishing efficiency of a patterned oxide layer and lifespan of a diamond disc conditioner. | 11-08-2012 |
20120315763 | POLISHING LIQUID FOR CMP AND POLISHING METHOD USING THE SAME - An object of the present invention is to provide a polishing liquid for CMP with which polishing scratches can be reduced and a sufficiently high polishing rate can be obtained in a CMP step for an ILD film, aggregation of an abrasive grain is difficult to occur, and high flatness is obtained, and provide a polishing method using the same. The polishing liquid for CMP according to the present invention is a polishing liquid for CMP containing an abrasive grain, an additive, and water, wherein the abrasive grain comprises a cerium-based particle, and the additive comprises a 4-pyrone-based compound and at least one of a nonionic surfactant or a cationic surfactant: | 12-13-2012 |
20120315764 | METHOD OF POLISHING COPPER WIRING SURFACES IN ULTRA LARGE SCALE INTEGRATED CIRCUITS - A method of polishing copper wiring surfaces of in ultra large scale integrated circuit, the method including: a) preparing a polishing solution including between 35 and 80 w. % of a nano SiO | 12-13-2012 |
20120322265 | POLY OPENING POLISH PROCESS - A poly opening polish process includes the following steps. A semi-finished semiconductor component is provided. The semi-finished semiconductor component includes a substrate, a gate disposed on the substrate, and a dielectric layer disposed on the substrate and covering the gate. A first polishing process is applied onto the dielectric layer. A second polishing process is applied to the gate. The second polishing process utilizes a wetting solution including a water soluble polymer surfactant, an alkaline compound and water. The poly opening polish process can effectively remove an oxide residue formed in the chemical mechanical polish, thereby improving the performance of the integrated circuit and reducing the production cost of the integrated circuit. | 12-20-2012 |
20130005149 | CHEMICAL-MECHANICAL PLANARIZATION OF SUBSTRATES CONTAINING COPPER, RUTHENIUM, AND TANTALUM LAYERS - A chemical-mechanical polishing composition comprising: (a) at least one type of abrasive particles; (b) at least two oxidizing agents; (c) at least one pH adjusting agent; and (d) deionized water; (e) optionally comprising at least one antioxidant, and a method for the chemical-mechanical planarization of a substrate containing at least one copper layer, at least one ruthenium layer, and at least one tantalum layer comprising the steps of (1) providing the said chemical-mechanical polishing composition; (2) contacting the substrate surface to be polished with the chemical-mechanical polishing composition and a polishing pad; and (3) chemically and mechanically polishing the substrate surface by way of moving the polishing pad relative to the substrate. | 01-03-2013 |
20130029489 | POLISHING SLURRY, POLISHING METHOD AND MANUFACTURING METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - The present invention relates to a polishing slurry for performing chemical mechanical polishing on a surface to be polished including a surface made of silicon oxide and a surface made of metal, characterized in that it includes cerium oxide particles, a complexing agent, and water. | 01-31-2013 |
20130040461 | POLISHING COMPOSITION AND POLISHING METHOD - A polishing composition contains at least one water soluble polymer selected from the group consisting of polyvinylpyrrolidone and poly(N-vinylformamide), and an alkali, and preferably further contains at least one of a chelating agent and an abrasive grain. The water soluble polymer preferably has a weight average molecular weight of 6,000 to 4,000,000. The polishing composition is mainly used in polishing of the surfaces of semiconductor wafers such as silicon wafers, especially used in preliminary polishing of the surfaces of such wafers. | 02-14-2013 |
20130045598 | Method for chemical mechanical polishing tungsten - A method for chemical mechanical polishing of a substrate comprising tungsten using a nonselective chemical mechanical polishing composition. | 02-21-2013 |
20130045599 | Method for chemical mechanical polishing copper - A method for chemical mechanical polishing of a copper substrate, is provided, comprising: providing a copper substrate; providing slurry composition comprising, as initial components: water; 0.1 to 20 wt % abrasive; 0.01 to 15 wt % complexing agent; 0.02 to 5 wt % inhibitor; 0.01 to 5 wt % phosphorus containing compound; 0.001 to 3 wt % polyvinyl pyrrolidone; >0.1 to 1 wt % histidine; >0.1 to 1 wt % guanidine; optional oxidizing agent; optional leveling agent; optional biocide; and, optional pH adjusting agent; wherein the slurry composition provided has pH of 9 to 11; providing a chemical mechanical polishing pad with a polishing surface; dispensing the slimy composition onto the polishing surface at or near the interface between the polishing surface and the substrate; and, creating dynamic contact at an interface between the polishing surface and the substrate with a down force of 0.69 to 34.5 kPa; wherein the substrate is polished. | 02-21-2013 |
20130059439 | CMP POLISHING LIQUID, METHOD FOR POLISHING SUBSTRATE, AND ELECTRONIC COMPONENT - The CMP polishing liquid of the invention is used by mixing a first solution and a second solution, the first solution comprises cerium-based abrasive grains, a dispersant and water, the second solution comprises a polyacrylic acid compound, a surfactant, a pH regulator, a phosphoric acid compound and water, the pH of the second solution is 6.5 or higher, and the first solution and second solution are mixed so that the phosphoric acid compound content is within a prescribed range. The CMP polishing liquid of the invention comprises cerium-based abrasive grains, a dispersant, a polyacrylic acid compound, a surfactant, a pH regulator, a phosphoric acid compound and water, with the phosphoric acid compound content being within a prescribed range. | 03-07-2013 |
20130072021 | COMPOSITION AND METHOD FOR POLISHING ALUMINUM SEMICONDUCTOR SUBSTRATES - The invention provides a chemical-mechanical polishing composition comprising coated α-alumina particles, an organic carboxylic acid, and water. The invention also provides a chemical-mechanical polishing composition comprising an abrasive having a negative zeta potential in the polishing composition, an organic carboxylic acid, at least one alkyldiphenyloxide disulfonate surfactant, and water, wherein the polishing composition does not further comprise a heterocyclic compound. The abrasive is colloidally stable in the polishing composition. The invention further provides methods of polishing a substrate with the aforesaid polishing compositions. | 03-21-2013 |
20130095661 | CMP METHOD, CMP APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - According to one embodiment, a CMP method includes starting a polishing of a silicon oxide film by using a slurry including a silicon oxide abrasive and a polishing stopper film including a silicon nitride film, and stopping the polishing when the polishing stopper is exposed. The slurry includes a first water-soluble polymer with a weight-average molecular weight of 50000 or more and 5000000 or less, and a second water-soluble polymer with a weight-average molecular weight of 1000 or more and 10000 or less. | 04-18-2013 |
20130109181 | Method Of Polishing A Substrate | 05-02-2013 |
20130109182 | Method Of Polishing Using Tunable Polishing Formulation | 05-02-2013 |
20130122705 | ABRASIVE COMPOSITIONS FOR CHEMICAL POLISHING AND METHODS FOR USING SAME - A colloidal dispersion for chemical mechanical polishing comprising: (a) an abrasive component; and (b) from about 0.05% to about 10% by weight of the abrasive component, a water-soluble amphoteric polymer comprising at least one macromolecular chain B and a part A bonded to a single end of the at least one macromolecular chain B, wherein the macromolecular chain B is derived from one or more ethylenically unsaturated monomers having quaternary ammonium groups or inium groups, and wherein the part A is a polymeric or nonpolymeric group comprising at least one anionic group; wherein the dispersion has a pH of between about 1.5 and about 6. The colloidal dispersion is capable of polishing a substrate comprising silicon nitride and silicon oxide with a reverse selectivity ratio of at least about 27, typically at least 50 the reverse selectivity ratio being the ratio of the rate of removal of the silicon nitride to the rate of removal of the silicon oxide. | 05-16-2013 |
20130130501 | SLURRY, POLISHING LIQUID SET, POLISHING LIQUID, METHOD FOR POLISHING SUBSTRATE, AND SUBSTRATE - The polishing liquid according to the embodiment comprises abrasive grains, an additive and water, wherein the abrasive grains satisfy either or both of the following conditions (a) and (b).
| 05-23-2013 |
20130137265 | SLURRY, POLISHING LIQUID SET, POLISHING LIQUID, METHOD FOR POLISHING SUBSTRATE, AND SUBSTRATE - The polishing liquid according to the embodiment comprises abrasive grains, an additive and water, wherein the abrasive grains include a tetravalent metal element hydroxide, and produce a liquid phase with a nonvolatile content of 500 ppm or greater when an aqueous dispersion with a content of the abrasive grains adjusted to 1.0 mass % has been centrifuged for 50 minutes at a centrifugal acceleration of 1.59×10 | 05-30-2013 |
20130143404 | SLURRY, POLISHING LIQUID SET, POLISHING LIQUID, METHOD FOR POLISHING SUBSTRATE, AND SUBSTRATE - The polishing liquid according to the embodiment comprises abrasive grains, an additive and water, wherein the abrasive grains satisfy either or both of the following conditions (a) and (b).
| 06-06-2013 |
20130143405 | SILICON WAFER PROCESSING SOLUTION AND SILICON WAFER PROCESSING METHOD - A silicon-wafer processing fluid used for processing a silicon wafer contains a friction modifier containing a nitrogen-containing compound, pH of the nitrogen-containing compound being in a range from 2 to 8 when a mass ratio with water (i.e. nitrogen-containing compound/water) is 1/99. The nitrogen-containing compound is preferably a heterocyclic compound. The silicon-wafer processing fluid restrains an abrasion of abrasive grains rigidly attached to a wire and generation of hydrogen. | 06-06-2013 |
20130164937 | CHEMICAL MECHANICAL PLANARIZATION SITE ISOLATION REACTOR - The embodiments describe systems and methods for combinatorial processing of a substrate. In some embodiments, chemical mechanical polishing (CMP) techniques are combinatorially processed and evaluated. The CMP system is capable of providing a localized planarization surface to at least a region of a substrate being combinatorially processed. In some embodiments, the CMP system comprises a reactor assembly having plurality of reaction chambers, with at least a reaction chamber comprising a rotatable polishing head, slurry and chemical distribution, chemical and water rinse, and slurry and fluid removal. Accordingly, from a single substrate, a variety of materials, process conditions, and process sequences may be evaluated for desired planarization results. | 06-27-2013 |
20130171824 | PROCESS FOR CHEMICALLY MECHANICALLY POLISHING SUBSTRATES CONTAINING SILICON OXIDE DIELECTRIC FILMS AND POLYSILICON AND/OR SILICON NITRIDE FILMS - CMP process for substrates containing silicon oxide dielectric films and polysilicon and/or silicon nitride films comprising the steps of (1) contacting the substrate with an aqueous composition containing (A) abrasive particles which are positively charged when dispersed in an aqueous medium having a pH in the range of from 3 to 9; (B) a water-soluble or water-dispersible linear or branched alkylene oxide homopolymer or copolymer; and (C) a water-soluble or water-dispersible polymer selected from (c1) aliphatic and cycloaliphatic poly(N-vinylamide) homopolymers and copolymers, (c2) homopolymers and copolymers of acrylamide monomers of the general formulas I and II: H | 07-04-2013 |
20130178065 | Method and Composition for Chemical Mechanical Planarization of a Metal - A composition and associated method for chemical mechanical planarization of a metal-containing substrate (e.g., a copper substrate) are described herein which afford high and tunable rates of metal removal as well as low within a wafer non-uniformity values and low residue levels remaining after polishing. | 07-11-2013 |
20130189843 | SLURRY FOR PLANARIZING PHOTORESIST - A slurry for planarization of a photoresist includes abrasive particles, an oxidizer, a surface activation chemical, and a solvent. | 07-25-2013 |
20130217229 | POLISHING LIQUID FOR METAL FILM AND POLISHING METHOD - The invention relates to a polishing liquid for metal film comprising 7.0% by weight or more of an oxidizer for metal, a water-soluble polymer, an oxidized metal dissolving agent, a metal anticorrosive agent and water, provided that the total amount of the polishing liquid for metal film is 100% by weight, wherein the water-soluble polymer has a weight average molecular weight of 150,000 or more and is at least one member selected from among a polycarboxylic acid, a salt of a polycarboxylic acid, and a polycarboxylic acid ester. According to the invention, provided is a polishing liquid for metal film, by which polishing can be performed at a high rate even under a polishing pressure as low as 1 psi or lower, and such that a polished film after polishing is excellent in planarity, furthermore, with which a high polishing rate can be obtained even in an initial stage of polishing, and provided is a polishing method using the polishing liquid. | 08-22-2013 |
20130217230 | Chemical Mechanical Polishing Composition And Methods Relating Thereto - A method for chemical mechanical polishing of a semiconductor wafer containing a nonferrous metal is provided, comprising: providing a chemical mechanical polishing composition comprising 1 to 25 wt % of an oxidizer; 0.01 to 15 wt % of an inhibitor for the nonferrous metal; 0.005 to 5 wt % of a copolymer of poly(ethylene glycol) methyl ether(meth)acrylate and 1-vinylimidazole; and water; wherein the chemical mechanical polishing composition has an acidic pH; providing a chemical mechanical polishing pad; providing a semiconductor wafer containing the nonferrous metal; creating dynamic contact between the chemical mechanical polishing pad and the semiconductor wafer; and, dispensing the polishing solution at or near the interface between the chemical mechanical polishing pad and the semiconductor wafer. | 08-22-2013 |
20130217231 | CHEMICAL MECHANICAL POLISHING (CMP) COMPOSITION - A chemical mechanical polishing (CMP) composition Abstract Use of a chemical mechanical polishing (CMP) composition comprising (A) inorganic particles, organic particles, or a mixture thereof, (B) a heteropolyacid or a salt thereof, (C) a salt comprising chloride, fluoride, bromide, or a mixture thereof as anion, and (D) an aqueous medium, for polishing a substrate comprising a self-passivating metal, germanium, nickel phosphorous (NiP), or a mixture thereof. | 08-22-2013 |
20130224954 | SILICON CARBIDE SINGLE CRYSTAL SUBSTRATE - A silicon carbide single crystal substrate is disclosed, wherein a density of first adhered particles attached onto one surface of the substrate and having a height of 100 nm or more is one particle/cm | 08-29-2013 |
20130224955 | METHOD FOR POLISHING ALUMINUM/COPPER AND TITANIUM IN DAMASCENE STRUCTURES - The invention provides compositions and methods for planarizing or polishing a substrate. The composition comprises an abrasive consisting of alumina particles optionally treated with a polymer, an α-hydroxycarboxylic acid, an oxidizing agent that oxidizes at least one metal, polyacrylic acid, optionally, a calcium-containing compound, optionally, a biocide, optionally, a pH adjusting agent, and water. The method uses the composition to chemically-mechanically polish a substrate. | 08-29-2013 |
20130244431 | SLURRY, POLISHING LIQUID SET, POLISHING LIQUID, METHOD FOR POLISHING SUBSTRATE, AND SUBSTRATE - The polishing liquid according to the embodiment comprises abrasive grains, an additive and water, wherein the abrasive grains satisfy either or both of the following conditions (a) and (b).
| 09-19-2013 |
20130244432 | CMP COMPOSITIONS SELECTIVE FOR OXIDE AND NITRIDE WITH HIGH REMOVAL RATE AND LOW DEFECTIVITY - The invention relates to a chemical-mechanical polishing composition comprising a ceria abrasive, cations of one or more lanthanide metals, one or more nonionic polymers, water, and optionally one or more additives. The invention further relates to a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate comprises one or more of silicon oxide, silicon nitride, and polysilicon. | 09-19-2013 |
20130244433 | CMP COMPOSITIONS SELECTIVE FOR OXIDE AND NITRIDE WITH HIGH REMOVAL RATE AND LOW DEFECTIVITY - The invention provides a chemical-mechanical polishing composition containing a ceria abrasive, one or more nonionic polymers, optionally one or more phosphonic acids, optionally one or more nitrogen-containing zwitterionic compounds, optionally one or more sulfonic acid copolymers, optionally one or more anionic copolymers, optionally one or more polymers comprising quaternary amines, optionally one or more compounds that adjust the pH of the polishing compositions, water, and optionally one or more additives. The invention further provides a method of chemically-mechanically polishing a substrate with the inventive chemical-mechanical polishing composition. Typically, the substrate contains silicon oxide, silicon nitride, and/or polysilicon. | 09-19-2013 |
20130260558 | POLISHING LIQUID AND METHOD FOR POLISHING SUBSTRATE USING THE POLISHING LIQUID - Provided is a polishing liquid including cerium oxide particles, an organic acid A, a polymer compound B having a carboxyl acid group or a carboxylate group, and water, wherein the organic acid A has at least one group selected from the group consisting of —COOM group, -Ph-OM group, —SO | 10-03-2013 |
20130280910 | CONTACT RELEASE CAPSULE USEFUL FOR CHEMICAL MECHANICAL PLANARIZATION SLURRY - The invention relates to a contact release capsule comprising a particle, a chemical payload, and a polymer coating, wherein the particle is impregnated with the chemical payload, and the chemical payload is held inside the particle by the polymer coating until the contact release capsule contacts a surface and a shearing force removes the polymer coating allowing the chemical payload to release outside the particle. The contact release capsule is useful in chemical mechanical planarization slurries. Particularly, the contact release capsule may comprise a glycine impregnated silica nanoparticle coated with a polymer, wherein the contact release capsule is dispersed in an aqueous solution and used in the copper chemical mechanical planarization process. Use of the contact release capsule in a slurry for copper chemical mechanical planarization may significantly improve planarization efficiency, decrease unwanted etching and corrosion, and improve dispersion stability. | 10-24-2013 |
20130288478 | HIGHLY DILUTABLE POLISHING CONCENTRATES AND SLURRIES - The present disclosure provides a concentrate for use in chemical mechanical polishing slurries, and a method of diluting that concentrate to a point of use slurry. The concentrate comprises abrasive, complexing agent, and corrosion inhibitor, and the concentrate is diluted with water and oxidizer. These components are present in amounts such that the concentrate can be diluted at very high dilution ratios, without affecting the polishing performance. | 10-31-2013 |
20130288479 | Combination, Method, and Composition for Chemical Mechanical Planarization of a Tungsten-Containing Substrate - A combination, composition and associated method for chemical mechanical planarization of a tungsten-containing substrate are described herein which afford tunability of tungsten/dielectric selectivity and low selectivity for tungsten removal in relation to dielectric material. Removal rates for both tungsten and dielectric are high and stability of the slurry (e.g., with respect to pH drift over time) is high. | 10-31-2013 |
20130295771 | METHODS AND SYSTEMS FOR POLISHING PHASE CHANGE MATERIALS - A slurry for polishing a phase change material, such as Ge—Sb—Te, or germanium-antimony-tellurium (GST), includes abrasive particles of sizes that minimize at least one of damage to (e.g., scratching of) a polished surface of phase change material, an amount of force to be applied during polishing, and a static etch rate of the phase change material, while optionally providing selectivity for the phase change material over adjacent dielectric materials. A polishing method includes applying a slurry with one or more of the above-noted properties to a phase change material, as well as bringing the polishing pad into frictional contact with the phase change material. Polishing systems are disclosed that include a plurality of sources of solids (e.g., abrasive particles) and provide for selectivity in the solids that are applied to a substrate or polishing pad. | 11-07-2013 |
20130344696 | METHOD FOR FORMING THROUGH-BASE WAFER VIAS - Method for manufacturing semiconductor wafers having at least one through-base wafer via, the said method comprising the steps of (1) providing a semiconductor wafer having at least one electrically conductive via comprising an electrically conductive metal and extending from the front side of the semiconductor wafer at least partially through the semiconductor wafer; (2) affixing the frontside of the semiconductor wafer to a carrier; (3) contacting the backside of the semiconductor wafer with a polishing pad and an aqueous chemical mechanical polishing composition having a pH of equal to or greater than 9 and comprising (A) abrasive particles; (B) an oxidizing agent containing at least one peroxide group; and (C) an additive acting both as metal chelating agent and metal corrosion inhibitor; (4) chemically mechanically polishing the backside of the semiconductor wafer until at least one electrically conductive via is exposed. Preferably, the additive (C) is 1,2,3-triazole. | 12-26-2013 |
20140004703 | CHEMICAL MECHANICAL POLISHING (CMP) COMPOSITION COMPRISING A POLYMERIC POLYAMINE | 01-02-2014 |
20140011361 | CHEMICAL MECHANICAL POLISHING (CMP) COMPOSITION COMPRISING A NON-IONIC SURFACTANT AND A CARBONATE SALT - A chemical mechanical polishing (CMP) composition (Q) comprising
| 01-09-2014 |
20140011362 | CHEMICAL MECHANICAL POLISHING (CMP) COMPOSITION COMPRISING A NON-IONIC SURFACTANT AND AN AROMATIC COMPOUND COMPRISING AT LEAST ONE ACID GROUP - A chemical mechanical polishing (CMP) composition (Q) comprising
| 01-09-2014 |
20140017892 | COMPOSITIONS AND METHODS FOR SELECTIVE POLISHING OF SILICON NITRIDE MATERIALS - The present invention provides an acidic aqueous polishing composition suitable for polishing a silicon nitride-containing substrate in a chemical-mechanical polishing (CMP) process. The composition, at point of use, preferably comprises about 0.01 to about 2 percent by weight of at least one particulate ceria abrasive, about 10 to about 1000 ppm of at least one non-polymeric unsaturated nitrogen heterocycle compound, 0 to about 1000 ppm of at least one cationic polymer, optionally, 0 to about 2000 ppm of at least one polyoxyalkylene polymer, and an aqueous carrier therefor. The cationic polymer preferably is selected from a poly(vinylpyridine) polymer, a quaternary ammonium-substituted acrylate polymer, a quaternary ammonium-substituted methacrylate polymer, or a combination thereof. Methods of polishing substrates and of selectively removing silicon nitride from a substrate in preference to removal of polysilicon using the compositions are also provided. | 01-16-2014 |
20140017893 | CMP POLISHING LIQUID AND METHOD FOR POLISHING SUBSTRATE USING THE SAME - Disclosed is a CMP polishing liquid for polishing a substrate having a layer containing ruthenium, comprising: an oxidizing agent; polishing particles; water; and a compound having a structure represented by the following Formula (1), or a salt thereof. This CMP liquid is improved in at least the polishing rate to a ruthenium layer when compared with conventional polishing liquid. Also disclosed is a method for polishing a substrate using such a CMP polishing liquid. | 01-16-2014 |
20140051250 | CMP POLISHING FLUID, METHOD FOR MANUFACTURING SAME, METHOD FOR MANUFACTURING COMPOSITE PARTICLE, AND METHOD FOR POLISHING BASE MATERIAL - A CMP polishing liquid comprises water and an abrasive particle, wherein the abrasive particle comprises a composite particle having a core including a first particle, and a second particle provided on the core, the first particle contains silica, the second particle contains cerium hydroxide, and the pH of the CMP polishing liquid is equal to or lower than 9.5. | 02-20-2014 |
20140057439 | Method of Forming Interlayer Dielectrics - A method of forming interlayer dielectric comprising the steps of forming a first undoped layer, forming in-situ and sequentially a doped layer and a second undoped layer on the first undoped layer, and planarizing the second undoped layer. | 02-27-2014 |
20140065826 | POLISHING SLURRY FOR METAL FILMS AND POLISHING METHOD - Provided are a polishing slurry for metal films and a polishing method which restrain the generation of erosion and seams, and makes the flatness of a surface polished therewith or thereby high. The slurry and the method are a polishing slurry, for metal films, comprising abrasive grains, a methacrylic acid based polymer and water, and a polishing method using the slurry, respectively. | 03-06-2014 |
20140094032 | POLISHING AGENT AND POLISHING METHOD - A polishing agent for polishing a non-oxide single-crystal substrate such as a silicon carbide single-crystal substrate with a high polishing rate to obtain a smooth surface is provided. This polishing agent comprises an oxidant having redox potential of 0.5 V or more and containing a transition metal, silicon oxide particles, cerium oxide particles and a dispersion medium, in which a mass ratio of the silicon oxide particles to the cerium oxide particles is from 0.2 to 20. | 04-03-2014 |
20140094033 | POLISHING COMPOSITION - A polishing composition of the present invention contains a water-soluble polymer having a hydrophilic group, and abrasive grains. A hydrophobic silicon-containing part after being polished with the polishing composition has a water contact angle lower than that of the hydrophobic silicon-containing part after being polished with another composition having the same makeup as the polishing composition except that the water-soluble polymer is not contained therein. Examples of the water-soluble polymer include polysaccharides and alcohol compounds. Another polishing composition of the present invention contains abrasive grains having a silanol group, and a water-soluble polymer. When this polishing composition is left to stand for one day in an environment at a temperature of 25° C., the water-soluble polymer is adsorbed on the abrasive grains at 5,000 or more molecules per 1 μm | 04-03-2014 |
20140099790 | Chemical Mechanical Polishing Composition Having Chemical Additives and Methods for Using Same - Chemical-mechanical polishing (CMP) compositions containing chemical additives and methods of using the CMP compositions are disclosed. The CMP composition comprises abrasive; chemical additive; liquid carrier; optionally an oxidizing agent; a pH buffering agent and salt; a surfactant and a biocide. The CMP compositions and the methods provide enhanced removing rate for “SiC”, SiN” and “SiC | 04-10-2014 |
20140141612 | POLISHING COMPOSITION, POLISHING METHOD USING SAME, AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICE - The polishing composition of the present invention contains an oxidizing agent and a scratch-reducing agent represented by general formula (1) or (2) below. | 05-22-2014 |
20140141613 | PROCESS FOR POLISHING A SEMICONDUCTOR WAFER, COMPRISING THE SIMULTANEOUS POLISHING OF A FRONT SIDE AND OF A REVERSE SIDE OF A SUBSTRATE WAFER - A process for polishing a semiconductor wafer includes simultaneous polishing of a front side and of a reverse side of a substrate wafer in the presence of polishing medium so as to achieve material removal from the front side and the reverse side of the substrate wafer. The simultaneous polishing includes a first step and a second step. A speed of material removal in the first step is higher than in the second step. The first step includes the use of a first polishing slurry as a polishing medium and the second step includes a second polishing slurry as the polishing medium. The second polishing slurry differs from the first polishing slurry at least in that the second polishing slurry comprises a polymeric additive. | 05-22-2014 |
20140154884 | EROSION INHIBITOR FOR CHEMICAL MECHANICAL POLISHING, SLURRY FOR CHEMICAL MECHANICAL POLISHING, AND CHEMICAL MECHANICAL POLISHING METHOD - The present invention provides an erosion inhibitor for chemical mechanical polishing, which contains compound (a) having a molecular weight of not more than 100,000 and not less than 4 hydroxyl groups, and compound (b) having not less than 4 amino groups, and which has a mass ratio of the compound (a) and the compound (b) (the compound (a)/the compound (b)) of 0.10-500. | 06-05-2014 |
20140162456 | METHOD FOR POLISHING SILICON WAFER AND POLISHING AGENT - The present invention is directed to a method for polishing a silicon wafer, the method comprising: polishing the silicon wafer by bringing the silicon wafer into sliding contact with a polishing pad attached to a turn table while supplying a polishing agent stored in a tank to the polishing pad; and circulating the polishing agent to recover the supplied polishing agent in the tank, wherein the silicon wafer is polished while adjusting a concentration of silicate ions contained in the polishing agent in the tank to be within a predetermined range. The present invention provides a polishing agent having a high polishing rate that enables the polishing rate to be kept constant among polishing batches, and a method for polishing a silicon wafer accurately with a target polishing stock removal or a target finishing thickness by using the polishing agent. | 06-12-2014 |
20140187043 | POLISHING AGENT AND POLISHING METHOD - A non-oxide single-crystal substrate such as a silicon carbide single-crystal substrate is polished at a high polishing rate, whereby a smooth surface is obtained. There is provided a polishing agent containing: an oxidant that contains a transition metal and has a redox potential of 0.5 V or more; silica particles that have an average secondary particle size of 0.2 μm or less; and a dispersion medium, wherein a content ratio of the oxidant is not less than 0.25 mass % nor more than 5 mass %, and a content ratio of the silica particles is not less than 0.01 mass % and less than 20 mass %. | 07-03-2014 |
20140199841 | PROCESS FOR THE MANUFACTURE OF SEMICONDUCTOR DEVICES COMPRISING THE CHEMICAL MECHANICAL POLISHING OF ELEMENTAL GERMANIUM AND/OR SI1-XGEX MATERIAL IN THE PRESENCE OF A CMP COMPOSITION HAVING A PH VALUE OF 3.0 TO 5.5 - A process for the manufacture of semiconductor devices comprising the chemical mechanical polishing of elemental germanium and/or Si | 07-17-2014 |
20140199842 | CHEMICAL MECHANICAL POLISHING PROCESS AND SLURRY CONTAINING SILICON NANOPARTICLES - In one aspect, a substrate chemical mechanical polishing (CMP) method for substrates is disclosed. The CMP method includes providing a substrate having a surface of silicon and copper such as through silicon via regions containing copper, and polishing the surface with a slurry containing very small silicon nanoparticles (e.g., having an average diameter less than 8 nanometers). CMP systems and slurries for CMP are provided, as are numerous other aspects. | 07-17-2014 |
20140213057 | CHEMICAL MECHANICAL POLISHING (CMP) COMPOSITION COMPRISING A GLYCOSIDE - A chemical mechanical polishing (CMP) composition comprising (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) a glycoside of the formulae 1 to 6 wherein R | 07-31-2014 |
20140220779 | SURFACE SELECTIVE POLISHING COMPOSITIONS - The disclosure provides polishing compositions that show a high polishing rate ratio of a silicon nitride (SiN) surface to a silicon oxide surface, and/or of a SiN surface to a polycrystalline silicon (Poly Si) surface. Such compositions comprise, in certain aspects, of colloidal silica, and one or more water soluble polymers, and has a pH of 6 or less, wherein the colloidal silica comprises one or more organic acids bound to its surface, and the water soluble polymer is a polyoxyalkylene hydrocarbyl ether which hydrocarbyl moiety has 12 or more carbon atoms. | 08-07-2014 |
20140242798 | POLISHING COMPOSITION - A polishing composition of the present invention is used for polishing an object containing a phase-change alloy and is characterized by containing an ionic additive. Examples of the ionic additive include a cationic surfactant, an anionic surfactant, an amphoteric surfactant, and a cationic water-soluble polymer. | 08-28-2014 |
20140248776 | COMPOSITION FOR POLISHING COMPOUND SEMICONDUCTOR - Disclosed is a polishing composition that contains at least abrasive grains, an oxidizing agent having a redox potential equal to or greater than 1.8 V at a pH for application of polishing, and water. The abrasive grains are preferably composed of at least one substance selected from among silicon oxide, aluminum oxide, cerium oxide, zirconium oxide, titanium oxide, manganese oxide, silicon carbide, and silicon nitride. The oxidizing agent is preferably composed of at least one substance selected from among sodium persulfate, potassium persulfate, and ammonium persulfate. The polishing composition preferably has a pH equal to or less than 3. | 09-04-2014 |
20140256134 | METHOD AND APPARATUS FOR IMPROVING CMP PLANARITY - Provided is a method of planarizing a semiconductor device. A dielectric layer is formed over a substrate. A plurality of openings is formed in the dielectric layer. The openings have varying distribution densities. The openings are filled with a metal material. A first chemical-mechanical-polishing (CMP) process is performed to remove portions of the metal material over the dielectric layer. Thereafter, a sacrificial layer is formed over the dielectric layer and the metal material. The sacrificial layer has a planar surface. The sacrificial layer is formed through one of: a spin-on process or a flowable chemical vapor deposition (FCVD) process. A second CMP process is then performed to remove the sacrificial layer and portions of the dielectric layer and the metal material therebelow. The second CMP process uses a slurry configured to have a substantially similar polishing selectivity between the sacrificial layer, the dielectric layer, and the metal material. | 09-11-2014 |
20140308814 | CHEMICAL MECHANICAL POLISHING METHODS AND SYSTEMS INCLUDING PRE-TREATMENT PHASE AND PRE-TREATMENT COMPOSITIONS - In one aspect, a substrate chemical mechanical polishing (CMP) method for copper-layered substrates is disclosed. The CMP method includes providing a substrate having a surface of copper, and pre-treating the surface containing copper with a first composition containing a carrier liquid, a corrosion inhibitor, and an oxidizer in a pre-treatment phase, and thereafter, polishing the surface with a slurry composition in a main polishing phase. CMP systems and compositions for CMP are provided, as are numerous other aspects. | 10-16-2014 |
20140315386 | Metal Compound Coated Colloidal Particles Process for Making and Use Therefor - Solid metal compound coated colloidal particles are made through a process by coating metal compounds onto colloidal particle surfaces. More specifically, metal compound precursors react with the base solution to form solid metal compounds. The solid metal compounds are deposited onto the colloidal particle surfaces through bonding. Excess ions are removed by ultrafiltration to obtain the stable metal compound coated colloidal particle solutions. Chemical mechanical polishing (CMP) polishing compositions using the metal compound coated colloidal particles prepared by the process as the solid state catalyst, or as both catalyst and abrasive, provide uniform removal profiles across the whole wafer. | 10-23-2014 |
20140342561 | POLISHING COMPOSITION - A polishing composition of the present invention is to be used for polishing an object including a portion containing a group III-V compound material. The polishing composition contains abrasive grains, an oxidizing agent, and a water-soluble polymer. When the polishing composition is left to stand for one day in an environment with a temperature of 25° C., the water-soluble polymer may be adsorbed on the abrasive grains at 5,000 or more molecules per 1 μm | 11-20-2014 |
20140342562 | POLISHING COMPOSITION - A polishing composition of the present invention is to be used for polishing an object including a portion containing a high-mobility material and a portion containing a silicon material. The polishing composition comprises odd-shaped abrasive grains and an oxidizing agent having a standard electrode of 0.3 V or more, and preferably further contains a salt, such as an ammonium salt. The pH of the polishing composition is 1 or more and 6 or less, or 8 or more and 14 or less. The average degree of association of the abrasive grains, obtained by dividing the value of the average secondary particle diameter of the abrasive grains by the value of the average primary particle diameter of the abrasive grains, is preferably 1.6 or more. | 11-20-2014 |
20140349483 | CMP COMPOSITIONS SELECTIVE FOR OXIDE OVER POLYSILICON AND NITRIDE WITH HIGH REMOVAL RATE AND LOW DEFECTIVITY - The invention provides a chemical-mechanical polishing composition containing a ceria abrasive and a polymer of formula I: | 11-27-2014 |
20140349484 | POLISHING COMPOSITION - A polishing composition of the present invention is to be used for polishing an object including a metal portion or an interlayer insulation film. The polishing composition contains silica on which an organic acid, such as a sulfonic acid and a carboxylic acid, is immobilized and an oxidizing agent. | 11-27-2014 |
20140363973 | CMP POLISHING LIQUID AND POLISHING METHOD - The CMP polishing liquid containing a medium and silica particles as an abrasive grain dispersed into the medium. The silica particles have a silanol group density of 5.0/nm | 12-11-2014 |
20140370707 | POLISHING LIQUID FOR METAL AND POLISHING METHOD - The present invention relates to a metal polishing liquid for polishing at least a part of metal in a substrate having the metal, comprising, component A: a metal solubilizer containing amino acids, component B: compounds having the benzotriazole skeleton, and component C: an acrylic acid polymer having the weight average molecular weight of 10,000 or more, and having the mass ratio between the component B and the component C, (component B:component C), to be 1:1 to 1:5. Use of the metal polishing liquid can simultaneously yield high polishing rates and low etching rates at higher level, enabling to form an embedded pattern with higher reliability. | 12-18-2014 |
20140377954 | METHOD AND APPARATUS FOR IMPROVING CMP PLANARITY - Provided is a method of planarizing a semiconductor device. A dielectric layer is formed over a substrate. A plurality of openings is formed in the dielectric layer. The openings have varying distribution densities. The openings are filled with a metal material. A first chemical-mechanical-polishing (CMP) process is performed to remove portions of the metal material over the dielectric layer. Thereafter, a sacrificial layer is formed over the dielectric layer and the metal material. The sacrificial layer has a planar surface. The sacrificial layer is formed through one of: a spin-on process or a flowable chemical vapor deposition (FCVD) process. A second CMP process is then performed to remove the sacrificial layer and portions of the dielectric layer and the metal material therebelow. The second CMP process uses a slurry configured to have a substantially similar polishing selectivity between the sacrificial layer, the dielectric layer, and the metal material. | 12-25-2014 |
20150017806 | POLISHING AGENT, POLISHING AGENT SET, AND SUBSTRATE POLISHING METHOD - The polishing agent of the invention comprises water, an abrasive grain containing a hydroxide of a tetravalent metal element, polyalkylene glycol, and at least one cationic polymer selected from the group consisting of allylamine polymers, diallylamine polymers, vinylamine polymers and ethyleneimine polymers. | 01-15-2015 |
20150024595 | Compositions and Methods For CMP of Silicon Oxide, Silicon Nitride, and Polysilicon Materials - The present invention provides a chemical mechanical polishing method for polishing a substrate comprising silicon dioxide, silicon nitride, and polysilicon. The method comprises abrading a surface of the substrate with a CMP composition to remove at least some silicon dioxide, silicon nitride and polysilicon therefrom. The CMP composition comprising a particulate ceria abrasive suspended in an aqueous carrier having a pH of about 3 to 9.5 and containing a cationic polymer; wherein the cationic polymer consists of a quaternary methacryloyloxyalkylammonium polymer. | 01-22-2015 |
20150024596 | ABRASIVE, ABRASIVE SET, AND METHOD FOR ABRADING SUBSTRATE - The polishing agent of the invention comprises water, an abrasive grain containing a hydroxide of a tetravalent metal element, and a specific glycerin compound. | 01-22-2015 |
20150031205 | POLISHING METHOD - Provided is a polishing method including a step of preparing a substrate having ( | 01-29-2015 |
20150044872 | SiCN and SiN Polishing Slurries and Polishing Methods Using The Same - A method is disclosed for polishing a wafer with a slurry. In the method, the wafer comprises at least one of silicon carbonitride (SiCN) and silicon nitride (SiN), and further comprises one or both of silicon dioxide (SiO | 02-12-2015 |
20150072525 | POLISHING LIQUID AND POLISHING METHOD - A method for chemical mechanical polishing of a body to be polished in a planarization process for manufacturing of a semiconductor integrated circuit. The body to be polished including at least a first layer containing polysilicon or modified polysilicon and a second layer containing at least one selected from the group consisting of silicon oxide, silicon nitride, silicon carbide, silicon carbonitride, silicon oxycarbide, and silicon oxynitride. The method including supplying a polishing liquid to a polishing pad on a polishing platen, rotating the polishing platen, and thereby causing relative motion of the polishing pad and a surface to be polished of the body to be polished while in contact with each other for carrying out selective polishing of the second layer with respect to the first layer, and the polishing liquid including a colloidal silica particles, an organic acid, and an anionic surfactant. | 03-12-2015 |
20150079788 | LOW DEFECT CHEMICAL MECHANICAL POLISHING COMPOSITION - A low defect chemical mechanical polishing composition for polishing silicon oxide containing substrates is provided comprising, as initial components: water, a colloidal silica abrasive; and, an additive according to formula I. | 03-19-2015 |
20150079789 | ABRASIVE COMPOSITION AND METHOD FOR PRODUCING SEMICONDUCTOR SUBSTRATE - The polishing composition has a pH of 7 or more and is used in applications for polishing a silicon substrate. The polishing composition contains abrasive grains and a water-soluble polymer. The water-soluble polymer is a copolymer including a first monomer unit having a characteristic value P of 50-100 inclusive, and a second monomer unit having a characteristic value P of at least −100 and less than 50. The characteristic value P is the result of subtracting an adsorption coefficient S2 of the abrasive grains obtained through a specific standard test B from a wettability coefficient S1 of the silicon substrate obtained through a specific standard test A. | 03-19-2015 |
20150093900 | CHEMICAL MECHANICAL POLISHING COMPOSITION FOR POLISHING SILICON WAFERS AND RELATED METHODS - A chemical mechanical polishing composition for polishing silicon wafers is provided, containing: water, optionally, an abrasive; a cation according to formula (I); piperazine or a piperazine derivative according to formula (II); and, a quaternary ammonium compound; wherein the chemical mechanical polishing composition exhibits a pH of 9 to 12. Also provided are methods of making and using the chemical mechanical polishing composition. | 04-02-2015 |
20150099361 | PROCESS FOR THE MANUFACTURE OF SEMICONDUCTOR DEVICES COMPRISING THE CHEMICAL MECHANICAL POLISHING (CMP) OF III-V MATERIAL IN THE PRESENCE OF A CMP COMPOSITION COMPRISING A COMPOUND CONTAINING AN N-HETEROCYCLE - A process for the manufacture of semiconductor devices is provided. The process comprises the chemical-mechanical polishing of a substrate or layer containing at least one III-V material in the presence of a chemical-mechanical polishing composition (Q1) comprising (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) a polymer comprising at least one N-heterocycle, and (M) an aqueous medium and whereas Q1 has a pH of from 1.5 to 4.5. | 04-09-2015 |
20150104939 | WET-PROCESS CERIA COMPOSITIONS FOR POLISHING SUBSTRATES, AND METHODS RELATED THERETO - Disclosed are a chemical-mechanical polishing composition and a method of polishing a substrate. The polishing composition comprises low average particle size (e.g., 30 nm or less) wet-process ceria abrasive particles, at least one alcohol amine, and water, wherein said polishing composition has a pH of about 6. The polishing composition can be used, e.g., to polish any suitable substrate, such as a polysilicon wafer used in the semiconductor industry. | 04-16-2015 |
20150104940 | BARRIER CHEMICAL MECHANICAL PLANARIZATION COMPOSITION AND METHOD THEREOF - A barrier chemical mechanical planarization polishing composition is provided that includes the suitable chemical additives. The suitable chemical additives are organic polymer molecules containing ethylene oxide repeating units having the general molecular structure of | 04-16-2015 |
20150104941 | BARRIER CHEMICAL MECHANICAL PLANARIZATION COMPOSITION AND METHOD THEREOF - A barrier chemical mechanical planarization polishing composition is provided that includes suitable chemical additives. The suitable chemical additives are organic polymer molecules containing ethylene oxide repeating units having the general molecular structure of | 04-16-2015 |
20150111383 | COMPOSITION FOR SILICON WAFER POLISHING LIQUID - A polishing liquid composition for a silicon wafer, wherein the composition comprises silica particles (component A), at least one kind of nitrogen-containing basic compound (component B) selected from an amine compound and an ammonium compound, and a water-soluble macromolecular compound (component C) that contains 10 wt % or more of a constitutional unit I represented by a general formula (1) below and has a weight average molecular weight of 50,000 or more and 1,500,000 or less; and the pH at 25° C. is 8.0 to 12.0. In the general formula (1), R | 04-23-2015 |
20150118845 | CHEMICAL MECHANICAL POLISHING (CMP) COMPOSITION COMPRISING TWO TYPES OF CORROSION INHIBITORS - A chemical-mechanical polishing (“CMP”) composition (P) comprising (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) at least one type of A/-heterocyclic compound as corrosion inhibitor, (C) at least one type of a further corrosion inhibitor selected from the group consisting of: (C1) an acetylene alcohol, and (C2) a salt or an adduct of (C2a) an amine, and (C2b) a carboxylic acid comprising an amide moiety, (D) at least one type of an oxidizing agent, (E) at least one type of a complexing agent, and (F) an aqueous medium. | 04-30-2015 |
20150132956 | Chemical Mechanical Polishing Slurry Compositions and Method Using the Same for Copper and Through-Silicon Via Applications - Provided are novel chemical mechanical polishing (CMP) slurry compositions for polishing copper substrates and method of using the CMP compositions. The CMP slurry compositions deliver superior planarization with high and tunable removal rates and low defects when polishing bulk copper layers of the nanostructures of IC chips. The CMP slurry compositions also offer the high selectivity for polishing copper relative to the other materials (such as Ti, TiN, Ta, TaN, and Si), suitable for through-silicon via (TSV) CMP process which demands high copper film removal rates. | 05-14-2015 |
20150132957 | COMPOSITION FOR ADVANCED NODE FRONT-AND-BACK-END OF LINE CHEMICAL MECHANICAL POLISHING - The present disclosure is directed to a highly dilutable chemical mechanical polishing concentrate comprising an abrasive, an acid, a stabilizer, and water with a point-of-use pH ranging from 2.2-3.5 for planarizing current and next generation semiconductor integrated circuit FEOL/BEOL substrates. | 05-14-2015 |
20150132958 | CONTACT RELEASE CAPSULE USEFUL FOR CHEMICAL MECHANICAL PLANARIZATION SLURRY - The invention relates to a contact release capsule comprising a particle, a chemical payload, and a polymer coating, wherein the particle is impregnated with the chemical payload, and the chemical payload is held inside the particle by the polymer coating until the contact release capsule contacts a surface and a shearing force removes the polymer coating allowing the chemical payload to release outside the particle. The contact release capsule is useful in chemical mechanical planarization slurries. Particularly, the contact release capsule may comprise a glycine impregnated silica nanoparticle coated with a polymer, wherein the contact release capsule is dispersed in an aqueous solution and used in the copper chemical mechanical planarization process. Use of the contact release capsule in a slurry for copper chemical mechanical planarization may significantly improve planarization efficiency, decrease unwanted etching and corrosion, and improve dispersion stability. | 05-14-2015 |
20150147884 | SLURRY FOR CHEMICAL MECHANICAL POLISHING AND CHEMICAL MECHANICAL POLISHING METHOD - The present invention provides a slurry for chemical mechanical polishing, containing abrasive grain (a), compound (b) having an amino group having a pKa of more than 9, and not less than 3 hydroxyl groups, and water. | 05-28-2015 |
20150311093 | Method for Polishing a Polymer Surface - A method for polishing a polymer surface is provided by an embodiment of the present invention. The method includes: curing the polymer surface; polishing the polymer surface cured through a CMP process. By using the method for polishing a polymer surface provided by embodiments of the present invention, the mentioned problems in the prior art are solved. The uniformity of the polymer surface can be improved to <1% through a CMP process, which can meet the requirements of high density and small linewidth integration. | 10-29-2015 |
20150315419 | POLISHING SLURRY FOR CMP AND POLISHING METHOD - The invention provides polishing slurry for CMP for suppressing corrosion of wiring lines of a conductive substance, or for suppressing bimetallic corrosion of a barrier conductor and conductive substance, by suppressing electrons from being transferred at near the boundaries between a barrier conductor and a conductive substance such as copper. The invention provides polishing slurry for CMP for polishing at least a conductor layer and a conductive substance layer in contact with the conductor layer, wherein the absolute value of the potential difference between the conductive substance and the conductor at 50±5° C. is 0.25 V or less in the polishing slurry when a positive electrode and a negative electrode of a potentiometer are connected to the conductive substance and the conductor, respectively. The polishing slurry for CMP preferably comprises at least one compound selected from heterocyclic compounds containing any one of hydroxyl group, carbonyl group, carboxyl group, amino group, amide group and sulfinyl group, and containing at least one of nitrogen and sulfur atoms. | 11-05-2015 |
20150371863 | REWORK AND STRIPPING OF COMPLEX PATTERNING LAYERS USING CHEMICAL MECHANICAL POLISHING - A method utilizing a chemical mechanical polishing process to remove a patterned material stack comprising at least one pattern transfer layer and a template layer during a rework process or during a post pattern transfer cleaning process is provided. The pattern in the patterned material stack is formed by pattern transfer of a directed self-assembly pattern generated from microphase separation of a self-assembly material. | 12-24-2015 |
20150375362 | CHEMICAL MECHANICAL POLISHING LAYER FORMULATION WITH CONDITIONING TOLERANCE - A chemical mechanical polishing pad is provided containing: a polyurethane polishing layer having a composition and a polishing surface; wherein the polyurethane polishing layer composition exhibits an acid number of ≧0.5 mg (KOH)/g; wherein the polishing surface is adapted for polishing a substrate; and, wherein the polishing surface exhibits a conditioning tolerance of ≧80%. | 12-31-2015 |
20150376462 | TUNGSTEN CHEMICAL-MECHANICAL POLISHING COMPOSITION - A chemical-mechanical polishing composition includes colloidal silica abrasive particles having a chemical compound incorporated therein. The chemical compound may include a nitrogen-containing compound such as an aminosilane or a phosphorus-containing compound. Methods for employing such compositions include applying the composition to a semiconductor substrate to remove at least a portion of a layer. | 12-31-2015 |
20150376463 | COPPER BARRIER CHEMICAL-MECHANICAL POLISHING COMPOSITION - A chemical-mechanical polishing composition includes colloidal silica abrasive particles having a chemical compound incorporated therein. The chemical compound may include a nitrogen-containing compound such as an aminosilane or a phosphorus-containing compound. Methods for employing such compositions include applying the composition to a semiconductor substrate to remove at least a portion of at least one of a copper, a copper barrier, and a dielectric layer. | 12-31-2015 |
20150380263 | CHEMICAL-MECHANICAL POLISHING COMPOSITIONS COMPRISING ONE OR MORE POLYMERS SELECTED FROM THE GROUP CONSISTING OF N-VINYL-HOMOPOLYMERS AND N-VINYL COPOLYMERS - Described is a chemical-mechanical polishing (CMP) composition comprising the following components: (A) surface modified silica particles having a negative zeta potential of −15 mV or below at a pH in the range of from 2 to 6 (B) one or more polymers selected from the group consisting of N-vinyl-homopolymers and N-vinyl copolymers (C) water (D) optionally one or more further constituents, wherein the pH of the composition is in the range of from 2 to 6. | 12-31-2015 |
20150380295 | CHEMICAL MECHANICAL POLISHING COMPOSITION AND METHOD FOR POLISHING TUNGSTEN - A composition and method for tungsten is provided comprising: a metal oxide abrasive; an oxidizer; a tungsten removal rate enhancing substance according to formula I; and, water; wherein the polishing composition exhibits an enhanced tungsten removal rate and a tungsten removal rate enhancement. | 12-31-2015 |
20160013066 | CHEMICAL MECHANICAL POLISHING (CMP) COMPOSITION | 01-14-2016 |
20160027657 | Chemical Mechanical Polishing (CMP) of Colbalt-Containing Substrate - Chemical mechanical polishing (CMP) compositions, methods and systems for polish cobalt or cobalt-containing substrates are provided. Dual, or at least two chelators were used in the CMP polishing compositions as complexing agents for achieving the unique synergetic effects to afford high, tunable Co removal rates and with low static etch rates on Co film surface for the efficient Co corrosion protection during CMP process. The cobalt chemical mechanical polishing compositions also provide very high selectivity of Co film vs. other barrier layers, such as Ta, TaN, Ti, and TiN, and dielectric film, such as TEOS, SiNx, low-k, and ultra low-k films. | 01-28-2016 |
20160027660 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR MANUFACTURING APPARATUS - A manufacturing method of a semiconductor device according to an embodiment implants impurities into a central portion of a polishing target film or an outer peripheral portion of the central portion of the polishing target film to cause an impurity concentration in the outer peripheral portion of the polishing target film and an impurity concentration in the central portion thereof to be different from each other, thereby modifying a surface of the polishing target film. The modified surface of the polishing target film is polished by a CMP method. | 01-28-2016 |
20160027663 | METHOD FOR CHEMICAL MECHANICAL POLISHING SUBSTRATES CONTAINING RUTHENIUM AND COPPER - A method for chemical mechanical polishing of a substrate comprising ruthenium and copper. | 01-28-2016 |
20160035579 | SURFACE MACHINING METHOD FOR SINGLE CRYSTAL SIC SUBSTRATE, MANUFACTURING METHOD THEREOF, AND GRINDING PLATE FOR SURFACE MACHINING SINGLE CRYSTAL SIC SUBSTRATE - A surface machining method for a single crystal SiC substrate, including: a step of mounting a grinding plate which includes a soft pad and a hard pad sequentially attached onto a base metal having a flat surface, a step of generating an oxidation product by using the grinding plate, and a step of grinding the surface while removing the oxidation product, wherein abrasive grains made of at least one metallic oxide that is softer than single crystal SiC and has a bandgap are fixed to the surface of the hard pad. | 02-04-2016 |
20160035582 | CHEMICAL-MECHANICAL PLANARIZATION OF SUBSTRATES CONTAINING COPPER, RUTHENIUM, AND TANTALUM LAYERS - A chemical-mechanical polishing composition comprising:
| 02-04-2016 |
20160040040 | Slurry for Selective Chemical Mechanical Polishing of Copper - A slurry for selective chemical mechanical polishing of a copper layer is disclosed. The slurry includes either porous zeolite abrasive particles of substantially homogeneous composition having an average pore diameter of approximately 0.1-6 nanometers or hexagonal boron nitride abrasive particles. The slurry also includes an organic complexing compound that is 0.1-25 wt. % of the slurry, an oxidizer that is 0.1-10 wt. % of the slurry, and a solvent. A chemical mechanical polishing method for using the slurry is also disclosed. | 02-11-2016 |
20160068710 | POLISHING COMPOSITIONS AND METHODS FOR POLISHING COBALT FILMS - The present disclosure relates to polishing compositions that can polish Cobalt (Co) films in semiconductor substrates containing a multitude of films including Co, metals, metal oxides and dielectrics. These polishing compositions comprise an abrasive, a weak acid acting as a removal rate enhancer (RRE), a pH adjuster, and an azole-containing corrosion inhibitor (CI). The RRE, pH adjuster and CI have a pKa in the 1-18 range ( | 03-10-2016 |
20160071737 | SLURRY COMPOSITION FOR CHEMICAL MECHANICAL POLISHING OF GE-BASED MATERIALS AND DEVICES - A CMP slurry composition which provides for a high Ge- or SiGe-to-dielectric material selectivity a low rate of Ge or SiGe recess formation includes an oxidant and a germanium removal rate enhancer including at least one of a methylpyridine compound and a methylpyridine derivative compound. In some examples, the slurry composition also includes an etching inhibitor. In some cases, the slurry composition may include an abrasive, a surfactant, an organic complexant, a chelating agent, an organic or inorganic acid, an organic or inorganic base, a corrosion inhibitor, or a buffer. The slurry composition may be distributed onto a surface of a polishing pad disposed on a platen that is configured to rotate. Additionally, a workpiece carrier configured to house a substrate may bring the substrate into contact with the rotating polishing pad and thereby polish the substrate utilizing the slurry composition. | 03-10-2016 |
20160079080 | POLISHING COMPOSITIONS AND METHODS FOR SELECTIVELY POLISHING SILICON NITRIDE OVER SILICON OXIDE FILMS - Stable aqueous polishing compositions that can selectively polish silicon nitride (SiN) films and nearly stop (or polish at very low rates) on silicon oxide films are provided herein. The compositions comprise an anionic abrasive, a nitride removal rate enhancer containing a carboxyl or carboxylate group, water, and optionally, an anionic polymer. The synergistic combination of anionic (negatively charged) abrasives and the nitride removal rate enhancer provide beneficial charge interactions with the dielectric films during CMP, a high SiN rate and selectivity enhancement (over oxide), and stable colloidal dispersed slurries. | 03-17-2016 |
20160089763 | COMPOSITION FOR TUNGSTEN CMP - A chemical mechanical polishing composition for polishing a substrate having a tungsten layer includes a water based liquid carrier, a colloidal silica abrasive dispersed in the liquid carrier and having a permanent positive charge of at least 6 mV, an amine compound in solution in the liquid carrier, and an iron containing accelerator. A method for chemical mechanical polishing a substrate including a tungsten layer includes contacting the substrate with the above described polishing composition, moving the polishing composition relative to the substrate, and abrading the substrate to remove a portion of the tungsten from the substrate and thereby polish the substrate. | 03-31-2016 |
20160108285 | COBALT DISHING CONTROL AGENTS - The invention provides a chemical-mechanical polishing composition comprising (a) abrasive particles, (b) a cobalt corrosion inhibitor, (c) a cobalt dishing control agent, wherein the cobalt dishing control agent comprises an anionic head group and a C | 04-21-2016 |
20160115353 | COBALT POLISHING ACCELERATORS - The invention provides a chemical-mechanical polishing composition comprising (a) abrasive particles, (b) a cobalt accelerator selected from a compound having the formula: NR | 04-28-2016 |
20160122590 | Chemical Mechanical Polishing Slurry for Reducing Corrosion and Method of Use Therefor - Slurries and associated methods and systems for the chemical mechanical planarization (CMP) of tungsten-containing films on semiconductor wafers are described. The slurries comprise abrasive particles, activator-containing particles, peroxygen oxidizer, pH adjustor, and the remaining being water. The slurries have a pH in the range of 4 to 10; preferably 5 to 9; more preferably 6 to 8. | 05-05-2016 |
20160129547 | VACUUM-GROOVED MEMBRANE WAFER POLISHING WORKHOLDER - Hard-material, flat-surfaced workpieces such as semiconductor wafers or sapphire disks are attached with vacuum to the flexible elastomeric membrane of a rotatable wafer carrier that allows one surface of the workpiece to be in conformal abrading contact with a moving flat-surfaced abrasive. The elastomeric membrane external wafer attachment surface has a pattern of recessed vacuum grooves where vacuum supplied to the grooves firmly attach the rigid-material silicon wafer in flat-surfaced contact with the membrane. The attached wafer seals the vacuum grooves. A flexible thin metal annular membrane support disk is attached to the membrane within an abrading-pressure chamber where attached drive pins engage matching holes in the wafer carrier provide rotational torque to the wafer and restrain it laterally against abrading forces. Wafer polishing pressure is applied uniformly over the wafer surface. The rotating wafer peripheral edge does not contact a rigid retaining ring during a wafer polishing procedure. | 05-12-2016 |
20160133465 | METHOD FOR PRODUCING SiC SUBSTRATE - A method that includes at least a CMP step of subjecting both a Si surface ( | 05-12-2016 |
20160133466 | METHOD OF POLISHING SiC SUBSTRATE - A method of polishing a SiC substrate by supplying a polishing liquid and bringing a polishing pad into contact with the SiC substrate is provided. The polishing liquid contains a permanganate, inorganic salts having an oxidizing ability, and water. The method includes: a first polishing step of polishing the SiC substrate by use of a first polishing pad; and a second polishing step of polishing the SiC substrate by use of a second polishing pad softer than the first polishing pad after the first polishing step. | 05-12-2016 |
20160137880 | SLURRY COMPOUNDS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME - Provided are slurry compounds for polishing an SOH organic layer and methods of fabricating a semiconductor device using the same. The slurry compound may include a polishing particle, an oxidizing agent including at least one selected from the group consisting of a nitrate, a sulfate, a chlorate, a perchlorate, a chlorine, and a peroxide, and a polishing accelerator. | 05-19-2016 |
20160155644 | Removal Of Defects By In-Situ Etching During Chemical-Mechanical Polishing Processing | 06-02-2016 |
20160189976 | POLISHING COMPOSITIONS AND METHODS FOR POLISHING COBALT FILMS - The present disclosure relates to polishing compositions that can polish Cobalt (Co) films in semiconductor substrates containing a multitude of films including Co, metals, metal oxides and dielectrics. These polishing compositions comprise an abrasive, a weak acid acting as a removal rate enhancer (RRE), a pH adjuster, and an azole-containing corrosion inhibitor (CI). The RRE, pH adjuster and CI have a pKa in the 1-18 range (1 (pKa | 06-30-2016 |
20160200944 | Composite Abrasive Particles For Chemical Mechanical Planarization Composition and Method of Use Thereof | 07-14-2016 |
20160379840 | CHEMICAL MECHANICAL POLISHING PAD AND METHOD OF MAKING SAME - A chemical mechanical polishing pad is provided, comprising: a chemical mechanical polishing layer having a polishing surface; wherein the chemical mechanical polishing layer is formed by combining (a) a poly side (P) liquid component, comprising: an amine-carbon dioxide adduct; and, at least one of a polyol, a polyamine and a alcohol amine; and (b) an iso side (I) liquid component, comprising: polyfunctional isocyanate; wherein the chemical mechanical polishing layer has a porosity of >10 vol %; wherein the chemical mechanical polishing layer has a Shore D hardness of <40; and, wherein the polishing surface is adapted for polishing a substrate. Methods of making and using the same are also provided. | 12-29-2016 |
20170236718 | METHOD OF POLISHING GROUP III-V MATERIALS | 08-17-2017 |
20190143477 | APPARATUS AND METHOD FOR PLANARIZING SUBSTRATE | 05-16-2019 |