Entries |
Document | Title | Date |
20080233518 | CHEMICALLY AMPLIFIED RESIST COMPOSITION AND MANUFACTURING METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE WITH SUCH CHEMICALLY AMPLIFIED RESIST COMPOSITION - With the damascene process in which an interconnection is formed using a conventional chemically amplified positive photoresist composition, there arises a problem that the photoresist within the via hole (as well as in its vicinity) may remain even after the exposure and the development are carried out. The present invention relates to a chemically amplified resist composition comprising, at least, a photo acid generator, a quencher and a salt having a buffering function for an acid which is generated from the acid generator by irradiation, wherein the salt having the buffering function for the acid generated from the acid generator is a salt derived from a long chain alkylbenzenesulfonic acid or a long chain alkoxybenzenesulfonic acid and an organic amine that is a basic compound. | 09-25-2008 |
20080241755 | CONTACT METALLIZATION OF CARBON NANOTUBES - In one embodiment, SWNTs are synthesized from an embedded catalyst in a modified porous anodic alumina (PAA) template. Pd is electrodeposited into the template to form nanowires that grow from an underlying conductive layer beneath the PAA and extend to the initiation sites of the SWNTs within each pore. Individual vertical channels of SWNTs are created, each with a vertical Pd nanowire back contact. Further Pd deposition results in annular Pd nanoparticles that form on portions of SWNTs extending onto the PAA surface. Two-terminal electrical characteristics produce linear I-V relationships, indicating ohmic contact in the devices. | 10-02-2008 |
20080261152 | METHOD OF MANUFACTURING MOTHER STAMPER AND METHOD OF MANUFACTURING STAMPER - A method of manufacturing a mother stamper comprises: a first resist layer formation process for forming a first resist layer on a substrate; a first electron beam irradiation process for irradiating electron beam at a first pattern on the first resist layer; a first development process for developing the first resist layer to remove the non-exposed area; a second resist layer formation process for forming a second resist layer on the substrate onto which the first resist layer remains; a second electron beam irradiation process for irradiating electron beam at a second pattern on the second resist layer; a second development process for developing the second resist layer to remove the exposed area that has been exposed in the second electron beam irradiation process; and an etching process for etching the substrate to provide a grooved pattern with different depths. | 10-23-2008 |
20080299490 | WRITING METHOD AND CHARGED PARTICLE BEAM WRITING APPARATUS - A charged particle beam writing apparatus includes a stage on which a first mask substrate and a second mask substrate are arranged side by side, and a writing unit to write a first pattern on the first mask substrate and a second pattern, which complements the first pattern, on the second mask substrate, by using charged particle beams. | 12-04-2008 |
20080305434 | Developing Apparatus and Developing Method - A developing apparatus has a substrate holder to hold a substrate, a heater which is provided in a substrate holder, and heats a substrate on a substrate holder for processing a resist film by PEB, a cooler to cool a substrate on a substrate holder, a developing solution nozzle to supply a developing solution to a substrate on a substrate holder, and a controller to control a heater, a cooler and a developing nozzle. | 12-11-2008 |
20090023098 | METHOD FOR FABRICATING DUAL DAMASCENE PROFILES USING SUB PIXEL-VOTING LITHOGRAPHY AND DEVICES MADE BY SAME - This invention provides processing steps, methods and materials strategies for making patterns of structures for integrated electronic devices and systems. Processing methods of the present invention are capable of making micro-and nano-scale structures, such as Dual Damascene profiles, recessed features and interconnect structures, having non-uniform cross-sectional geometries useful for establishing electrical contact between device components of an electronic device. The present invention provides device fabrication methods and processing strategies using sub pixel-voting lithographic patterning of a single layer of photoresist useful for fabricating and integrating multilevel interconnect structures for high performance electronic or opto-electronic devices, particularly useful for Very Large Scale Integrated (VLSI) and Ultra large Scale Integrated (ULSI) devices. Processing methods of the present invention are complementary to conventional microfabrication and nanofabrication methods for making integrated electronics, and can be effectively integrated into existing photolithographic, etching, and thin film deposition patterning systems, processes and infrastructure. | 01-22-2009 |
20090042136 | Carbon Nanotube-Silicon Composite Structures and Methods for Making Same - The present invention is directed toward methods of attaching or grafting carbon nanotubes (CNTs) to silicon or other surfaces, wherein such attaching or grafting occurs via functional groups on either or both of the CNTs and silicon surface. The present invention is also directed to the novel compositions produced by such methods. Previous work by Applicants has demonstrated covalent attachment of arenes via aryldiazonium salts to Si (hydride passivated single crystal or poly Si; <111> or <100>, p-doped, n-doped or intrinsic), GaAs, and Pd surfaces. In the case of Si, this provides a direct arene-Si bond with no intervening oxide. Applicants have also reported on the use of aryldiazonium salts for the direct covalent linkage of arenes to single wall carbon nanotubes (SWNTs) where the nanotubes can exist either as bundles or individual structures (when surfactant-wrapped). In some embodiments, the present invention is directed to a merger of these two technologies to afford the covalent attachment of individualized (unroped) SWNTs to Si surfaces. | 02-12-2009 |
20090042137 | METHOD FOR TRANSLATING A STRUCTURED BEAM OF ENERGETIC PARTICLES ACROSS A SUBSTRATE IN TEMPLATE MASK LITHOGRAPHY - The present inventors have developed an accurate method for forming a plurality of images on a substrate. The present method provides an improved pattern replication technique that provides submicron resolution, for example 20 nm or less, especially 10 nm or less. The method may involve moving a structured beam of energetic radiation across a target substrate. The motion of an image of the template mask on the substrate is achieved by tilting a mask and substrate assembly relative to the axis of the incident beam. The technique does not require high precision motion of the template mask relative to the target substrate. The energetic radiation may comprise energetic particles. The technique is insensitive to particle energy and can be applied to uncharged, neutral particles. | 02-12-2009 |
20090068596 | Negative-tone,Ultraviolet Photoresists for Fabricating High Aspect Ratio Microstructures - UV photoresist materials are disclosed, based on EPON 154 or EPON 165. Preferred embodiments, based on a composite of EPON 154 and EPON 165, spread evenly into a flat, uniform layer, even without spin-coating. The preferred embodiments bond strongly to all substrates, and are resistant to cracking and debonding following exposure and development. The preferred embodiments have high UV transmittance, which promotes uniform photopolymerization throughout a thick layer. Structures may be produced by UV lithography that have a sidewall quality that has previously been attainable only by photolithography with a collimated x-ray source. | 03-12-2009 |
20090081591 | METHOD FOR PATTERNING A PHOTOSENSITIVE LAYER - The method of patterning a photosensitive layer includes providing a substrate including a first layer formed thereon, treating the substrate including the first layer with cations, forming a first photosensitive layer over the first layer, patterning the first photosensitive layer to form a first pattern, treating the first pattern with cations, forming a second photosensitive layer over the treated first pattern, patterning the second photosensitive layer to form a second pattern, and processing the first layer using the first and second patterns as a mask. | 03-26-2009 |
20090111056 | RESOLUTION ENHANCEMENT TECHNIQUES COMBINING FOUR BEAM INTERFERENCE-ASSISTED LITHOGRAPHY WITH OTHER PHOTOLITHOGRAPHY TECHNIQUES - Methods and systems are disclosed that provide multiple lithography exposures on a wafer, for example, using interference lithography and optical photolithography. Various embodiments may balance the dosage and exposure rates between the multiple lithography exposures to provide the needed exposure on the wafer. Other embodiments provide for assist features and/or may apply resolution enhancement to various exposures. In a specific embodiment, a wafer is first exposed using optical photolithography and then exposed using interference lithography. | 04-30-2009 |
20090117491 | RESOLUTION ENHANCEMENT TECHNIQUES COMBINING INTERFERENCE-ASSISTED LITHOGRAPHY WITH OTHER PHOTOLITHOGRAPHY TECHNIQUES - Methods and systems are disclosed that provide multiple lithography exposures on a wafer, for example, using interference lithography and optical photolithography. Various embodiments may balance the dosage and exposure rates between the multiple lithography exposures to provide the needed exposure on the wafer. Other embodiments provide for assist features and/or may apply resolution enhancement to various exposures. In a specific embodiment, a wafer is first exposed using optical photolithography and then exposed using interference lithography. | 05-07-2009 |
20090123870 | METHOD OF AND SYSTEM FOR ELECTON BEAM LITHOGRAPHY OF MICRO-PATTERN AND DISC SUBSTRATE HAVING MICRO-PATTERN TO BE TRANSFERRED - An electron beam lithographic method and system for forming a micro-pattern, including servo patterns each of which comprises a plurality of recessed servo elements in a track and groove patterns each of which comprises an inter-track groove extending along the track and to be formed on a discrete track medium, on the a resist coated disc substrate by scanning the resist-coated surface with an electron beam during rotation of the disc substrate. A sequential process of the electron beam lithography comprises the steps of forming the servo elements as an latent image in the resist-coated surface with an electron beam having an irradiation spot diameter smaller than a width of the servo element during rotation of the disc substrate and, subsequently, forming the inter-track grooves in a latent image in the resist-coated surface by intermittently scanning the resist-coated surface in a direction perpendicular to a track direction at regular intervals during rotation of the disc substrate so as thereby to form a continuous row of groove elements into which the inter-track groove is divided. | 05-14-2009 |
20090136873 | SYSTEM, METHOD AND APPARATUS FOR PATTERN CLEAN-UP DURING FABRICATION OF PATTERNED MEDIA USING FORCED ASSEMBLY OF MOLECULES - A pattern clean-up for fabrication of patterned media using a forced assembly of molecules is disclosed. E-beam lithography is initially used to write the initial patterned bit media structures, which have size and positioning errors. Nano-sized protein molecules are then forced to assemble of on top of the bits. The protein molecules have a very uniform size distribution and assemble into a lattice structure above the e-beam patterned areas. The protein molecules reduce the size and position errors in e-beam patterned structures. This process cleans the signal from the e-beam lithography and lowers the noise in the magnetic reading and writing. This process may be used to fabricate patterned bit media directly on hard disk, or to create a nano-imprint master for mass production of patterned bit media disks. | 05-28-2009 |
20090142701 | DOUBLE PATTERNING STRATEGY FOR CONTACT HOLE AND TRENCH - A method of lithography patterning includes forming a first resist pattern on a substrate, the first resist pattern including at least one opening therein on the substrate; curing the first resist pattern; forming a second resist pattern on the substrate; forming a material layer on the substrate; and removing the first and second resist patterns to expose the substrate. | 06-04-2009 |
20090162789 | Method for Transferring a Predetermined Pattern Reducing Proximity Effects - A method for transferring a predetermined pattern onto a flat support performed by direct writing by means of a particle beam comprises at least: deposition of a photoresist layer on a free surface of the support, application of the beam on exposed areas of the photoresist layer, performing correction by modulation of exposure doses received by each exposed area, developing of the photoresist layer so as to form said pattern. Correction further comprises determination of a substitution pattern ( | 06-25-2009 |
20090233234 | HOLDING APPARATUS, POSITION DETECTION APPARATUS AND EXPOSURE APPARATUS, MOVING METHOD, POSITION DETECTION METHOD, EXPOSURE METHOD, ADJUSTMENT METHOD OF DETECTION SYSTEM AND DEVICE MANUFACTURING METHOD - By a force generation device which can generate a magnetic attraction and gas static pressure between a detection system provided on a lower surface side of an FIA surface plate and a surface plate, a predetermined clearance is formed between the detection system and the surface plate, and in a state where the clearance is formed (a floating state), the detection system is driven by a drive device in at least an uniaxial direction within a horizontal plane. Therefore, because the detection system is in a non-contact state to the base platform, movement (positioning) of the detection system with high precision becomes possible. Further, by setting an attraction larger than a repulsion generated by the force generation device, the detection system can be fixed (landed) in a state positioned with high precision. | 09-17-2009 |
20090258316 | METHOD FOR PATTERNING A COVERING MATERIAL BY USING HIGH-POWER EXCITING BEAM - The present invention relates to a method for patterning a covering material by using a high-power exciting beam. The method includes the steps of (a) providing a base material having a plurality of thin layers, the neighboring thin layers having different colors; and (b) utilizing a high-power exciting beam to form at least one pattern on the base material, in which the pattern has at least one concave portion, so as to expose the thin layers with different colors. As a result, the covering material has a layered visual effect and many colors. Furthermore, the patterning method of the present invention is simple, and can form the pattern on the base material easily Therefore, the manufacturing time of the covering material with the pattern is reduced. | 10-15-2009 |
20090258317 | WRITING APPARATUS AND WRITING METHOD - A writing apparatus includes a writing unit configured to a write a pattern onto a target workpiece, based on a writing data of the pattern to be written on the target workpiece, and a generation unit configured generate, after the pattern has been written, writing data of a figure code indicating a writing information of when the target workpiece is written, based on the writing information, wherein the writing unit further writes the figure code onto the target workpiece, based on the writing data of the figure code. | 10-15-2009 |
20100040979 | SYSTEMS AND METHOD FOR FABRICATING SUBSTRATE SURFACES FOR SERS AND APPARATUSES UTILIZING SAME - The present invention is related in general to chemical and biological detection and identification and more particularly to systems and methods for the rapid detection and identification of low concentrations of chemicals and biomaterials using surface enhanced Raman spectroscopy. | 02-18-2010 |
20100040980 | METHOD AND APPARATUS FOR REFORMING FILM AND CONTROLLING SLIMMING AMOUNT THEREOF - In a film reforming method for reforming a film layer to be reformed by irradiating electron beams thereon, the electron beams are irradiated in a state where the film layer is cooled. Further, in a slimming amount controlling method for controlling a slimming amount of a resist film layer, the slimming amount thereof is controlled by the irradiation amount of electron beams irradiated thereon in a state where the resist film layer having a specified opening dimension is cooled. Furthermore, in a film reforming apparatus including a mounting unit for mounting thereon an object to be processed and an electron beam irradiating unit for irradiating electron beams on the object disposed on the mounting unit to thereby reform a film layer to be reformed, formed on an object, the electron beams are irradiated from the electron beam irradiating unit in a state where the film layer is cooled by a cooling unit provided in the mounting unit. | 02-18-2010 |
20100047716 | METHOD FOR FORMING A PATTERN - One aspect of the present invention is directed to a method of forming a pattern. A first layer which comprises a polymerization initiator is selectively formed on a second layer of a substrate. A polymer layer is selectively formed on the first layer by subjecting an organic monomer to living radical polymerization using the polymerization initiator. The second layer is selectively etched using the polymer layer as a mask. | 02-25-2010 |
20100047717 | METHOD FOR MANUFACTURING ORIGINAL MASTER - An original master manufacturing method of rotating an original master at a constant linear velocity, moving in a plane the original master in a predetermined radial direction at a constant velocity which is provided with a predetermined amount of movement per round of the original master, deflecting the electron beam in the planar movement direction of the original master by a first deflection amount equal to the predetermined movement amount per round of the original master on the surface of the original master during exposure of the concentric circular data patterns corresponding to first through (n−1)-th rounds of each of the plurality of tracks, upon completion of the exposure of the concentric circular data patterns corresponding to the first through (n-1)-th rounds, deflecting the electron beam in a direction opposite to the planar movement direction of the original master by a second deflection amount equal to the predetermined distance on the surface of the original master, and upon completion of exposure of the concentric circular data pattern corresponding to an n-th round of each of the plurality of tracks, deflecting the electron beam in the direction opposite to the planar movement direction of the original master by a third deflection amount on the surface of the original master such that an irradiation position of the electron beam is located at an exposure start position on the concentric circle of a first round of an adjacent track. | 02-25-2010 |
20100075259 | Illuminating waveguide fabrication method - A nanolithography system comprising a novel optical printing head suitable for high throughput nanolithography. This optical head enables a super-resolution lithographic exposure tool that is otherwise compatible with the optical lithographic process infrastructure. The exposing light is transmitted through specially designed super-resolution apertures, of which the “C-aperture” is one example, that create small but bright images in the near-field transmission pattern. A printing head comprising an array of these apertures is held in close proximity to the wafer to be exposed. In one embodiment, an illumination source is divided into parallel channels that illuminate each of the apertures. Each of these channels can be individually modulated to provide the appropriate exposure for the particular location on the wafer corresponding to the current position of the aperture. A data processing system is provided to re-interpret the layout data into a modulation pattern used to drive the individual channels. In one embodiment of the invention, the exposure head remains stationary while the material to be exposed rotates beneath the head. Such an embodiment comprises a circular data fracturing system to process the layout data to determine the correct modulation pattern. | 03-25-2010 |
20100092888 | Process for Structuring Silicon - A process for etching a silicon-containing substrate to form structures is provided. In the process, a metal is deposited and patterned onto a silicon-containing substrate (commonly one with a resistivity above 1-10 ohm-cm) in such a way that the metal is present and touches silicon where etching is desired and is blocked from touching silicon or not present elsewhere. The metallized substrate is submerged into an etchant aqueous solution comprising about 4 to about 49 weight percent HF and an oxidizing agent such as about 0.5 to about 30 weight percent H | 04-15-2010 |
20100112483 | SYSTEM AND METHOD FOR SELF-ALIGNED DUAL PATTERNING - A system and a method for self-aligned dual patterning are described. The system includes a platform for supporting a plurality of process chambers. An etch process chamber coupled to the platform. An ultra-violet radiation photo-resist curing process chamber is also coupled to the platform. | 05-06-2010 |
20100124722 | CONSTANT CURRENT MULTI-BEAM PATTERNING - The invention relates to a method for forming a pattern on a substrate surface of a target by means of a beam of electrically charged particles in a number of exposure steps, where the beam is split into a patterned beam and there is a relative motion between the substrate and the pattern definition means. This results in an effective overall motion of the patterned particle beam over the substrate surface and exposition of image elements on the substrate surface in each exposure step, wherein the image elements on the target are exposed to the beamlets multiply, namely several times during a number of exposure steps according to a specific sequence. The sequence of exposure steps of the image elements is arranged in a non-linear manner according to a specific rule from one exposure step to the subsequent exposure step in order to reduce the current variations in the optical column of the multi-beam exposure apparatus during the exposure of the pattern. | 05-20-2010 |
20100136485 | ACETAL COMPOUNDS AND THEIR PREPARATION, POLYMERS, RESIST COMPOSITIONS AND PATTERNING PROCESS - An acetal compound of formula (1) is provided wherein R | 06-03-2010 |
20100136486 | RESIST PROTECTIVE COATING COMPOSITON AND PATTERNING PROCESS - A protective coating composition comprising a polymer of acyl-protected hexafluoroalcohol structure as a base polymer, optionally in admixture with a second polymer containing sulfonic acid amine salt in recurring units is applied onto a resist film. The protective coating is transparent to radiation of wavelength up to 200 nm. | 06-03-2010 |
20100178611 | Lithography method of electron beam - A charged particle beam writing method on a chemical amplification type resist, comprising: coating said chemical amplification type resist which contains an acid diffusion inhibitor, on a surface of a mask substrate, exposing charged particle beams to said chemical amplification type resist layer on said surface of the mask substrate, baking said chemical amplification type resist layer which said charged particle beams were exposed, and developing said chemical amplification type resist after the baking, wherein an exposure current density of said electron beams exposing ranges of 50 | 07-15-2010 |
20100183982 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A method of fabricating a semiconductor device according to an embodiment includes: forming a first resist pattern made of a first resist material on a workpiece material; irradiating an energy beam onto the first resist pattern, the energy beam exposing the first resist material to light; performing a treatment for improving resistance the first resist pattern after irradiation of the energy beam; forming a coating film on the workpiece material so as to cover the first resist pattern; and forming a second resist pattern made of a second resist material on the coating film after the treatment. | 07-22-2010 |
20100209850 | PATTERN FORMING METHOD - A first resist film is formed on a substrate, and first pattern exposure is performed such that the first resist film is irradiated with exposure light through a first mask. Then, the first resist film is developed, thereby forming a first resist pattern out of the first resist film. Subsequently, a nano-carbon material is attached to the surface of the first resist pattern, and then a second resist film is formed on the substrate including the first resist pattern. Thereafter, second pattern exposure is performed such that the second resist film is irradiated with exposure light through a second mask. Then, the second resist film is developed, thereby forming a second resist pattern out of the second resist film. | 08-19-2010 |
20100248149 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND RESIST FILM AND PATTERN FORMING METHOD USING THE COMPOSITION - Provided is an actinic ray-sensitive or radiation-sensitive resin composition including (P) a resin which contains (A) a repeating unit having an ionic structure moiety capable of producing an acid anion on the side chain upon irradiation with an actinic ray or radiation, wherein a cation moiety of the ionic structure moiety has an acid-decomposable group or an alkali-decomposable group. | 09-30-2010 |
20100266959 | Pattern forming method - A pattern forming method includes providing a resist, irradiating a first electron beam to a first region of the resist, and irradiating a second electron beam to a second region which is defined along a boundary of the first region of the resist, wherein the first electron beam has a first cross section having a polygonal shape, and the second electron beam has a second cross section having a polygonal shape. | 10-21-2010 |
20100273107 | Dual tone development with a photo-activated acid enhancement component in lithographic applications - A method and system for patterning a substrate using a lithographic process, such as a dual tone development process, is described. The method comprises use of at least one photo-activated acid enhancement component to improve process latitude for the dual tone development process. | 10-28-2010 |
20110003252 | FUNCTIONALIZED FULLERENES FOR NANOLITHOGRAPHY APPLICATIONS - A method for electron beam nanolithography without the need for development step involves depositing a film of a resist comprising functionalized fullerenes on a substrate, and writing features by exposure to an electron beam with an accelerating voltage and dose rate sufficient to promote heating or thermal degradation of the functionalized fullerene in the irradiated volume such that a pattern is generated without a subsequent development step or with an aqueous developer. Lithographic features of about 1 nm or greater can be formed. | 01-06-2011 |
20110014572 | SELF-POWERED LITHOGRAPHY METHOD AND APPARATUS USING RADIOACTIVE THIN FILMS - A self-powered ‘near field’ lithographic system | 01-20-2011 |
20110045409 | METHOD AND SYSTEM FOR MANUFACTURING A SURFACE USING CHARACTER PROJECTION LITHOGRAPHY WITH VARIABLE MAGNIFICATION - A character projection charged particle beam writer system is disclosed comprising a variable magnification reduction lens which will allow different shot magnifications on a shot by shot basis. A method for fracturing or mask data preparation or optical proximity correction is also disclosed comprising assigning a magnification to each calculated charged particle beam writer shot. A method for forming a pattern on a surface is also disclosed comprising using a charged particle beam writer system and varying the magnification from shot to shot. A method for manufacturing an integrated circuit using optical lithography is also disclosed, comprising using a charged particle beam writer system to form a pattern on a reticle, and varying the magnification of the charged particle beam writer system from shot to shot. | 02-24-2011 |
20110053087 | METHOD FOR PERFORMING ELECTRON BEAM LITHOGRAPHY - The present invention relates to a method for performing high speed electron beam lithography (EBL). An electron beam source (EBS), capable of emitting an electron beam towards the energy sensitive resist, forms a first pattern (P | 03-03-2011 |
20110053088 | ELECTRON BEAM LITHOGRAPHY METHOD AND METHOD FOR PRODUCING A MOLD - Fine patterns to be formed on recording media such as DTM or BPM are drawn onto a mold original plate, on which resist is coated, by scanning an electron beam with an electron beam lithography apparatus. At this time, at least two types of patterns from among a group of: first patterns of protrusions and recesses constituted by media servo patterns and group patterns among data tracks; second patterns of protrusions and recesses constituted by annular positioning marks formed along the circumference of the mold as annular patterns and product identifying marks for tracing products; and third patterns of protrusions and recesses constituted by point like orientation marks used during transfer from the mold to the recording media are continuously drawn onto a single mold original plate within a single vacuum chamber by electron beam lithography. | 03-03-2011 |
20110143283 | METHOD FOR IMPROVING SENSITIVITY OF RESIST - It is an object of the present invention to improve sensitivity of a resist made from hydrosilsesquioxane when a pattern is formed in the resist by irradiation with a charged particle beam. The method for improving sensitivity of a resist of the present invention is a method to improve sensitivity of a resist formed from hydrosilsesquioxane to a charged particle beam when a pattern is formed in the resist by irradiation with a charged particle beam, and is characterized by including prebaking a resist formed from hydrosilsesquioxane and applied onto a substrate at t° C. (20≦t≦300), applying a composition containing a water-soluble conductive polymer compound to a charged particle beam irradiation surface of the prebaked resist, baking the thus applied composition at T° C. (0≦T06-16-2011 | |
20110151382 | METHOD AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method and apparatus for manufacturing a semiconductor device is disclosed. In particular, the application discloses a method that performs a lithography process using a material capable of increasing a depth of focus so as to prevent efficiency of the lithography process from being degraded due to high integration of a semiconductor device, and a pressure-type bake oven as an apparatus for forming a high refractive material on a semiconductor substrate, having advantages of reducing manufacturing costs of a semiconductor manufacturing process and increasing efficiency of the lithography process. | 06-23-2011 |
20110159434 | METHOD AND SYSTEM FOR FRACTURING A PATTERN USING CHARGED PARTICLE BEAM LITHOGRAPHY WITH MULTIPLE EXPOSURE PASSES HAVING DIFFERENT DOSAGES - In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein base dosages for a plurality of exposure passes are different from each other. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, wherein a plurality of charged particle beam exposure passes are used, with base dosage levels being different for different exposure passes. | 06-30-2011 |
20110159435 | METHOD AND SYSTEM FOR FRACTURING A PATTERN USING CHARGED PARTICLE BEAM LITHOGRAPHY WITH MULTIPLE EXPOSURE PASSES WHICH EXPOSE DIFFERENT SURFACE AREA - In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, in which the union of shots from one of a plurality of exposure passes is different than the union of shots from a different exposure pass. Methods for manufacturing a reticle and for manufacturing an integrated circuit are also disclosed, in which the union of shots from one of a plurality of charged particle beam exposure passes is different than the union of shots from a different exposure pass. | 06-30-2011 |
20110159436 | METHOD AND SYSTEM FOR FRACTURING A PATTERN USING CHARGED PARTICLE BEAM LITHOGRAPHY WITH MULTIPLE EXPOSURE PASSES - In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of exposure passes are used, and where the sum of the base dosage levels for all of the exposure passes does not equal a normal dosage. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, wherein a plurality of charged particle beam exposure passes are used, and where the sum of the base dosage levels for all of the exposure passes is different than a normal dosage. | 06-30-2011 |
20110183265 | POLYMER-BASED LONG LIFE FUSERS AND THEIR METHODS OF MAKING - Exemplary embodiments provide materials and methods for polymer-based fixing members that have a textured surface with reduced surface energy and increased surface hydrophobicity. | 07-28-2011 |
20110195359 | SELF-CONTAINED PROXIMITY EFFECT CORRECTION INSPIRATION FOR ADVANCED LITHOGRAPHY (SPECIAL) - A lithography method is disclosed. An exemplary lithography method includes providing an energy sensitive resist material on a substrate; providing a desired pattern; performing a lithography process on the substrate, wherein the lithography process includes exposing the energy sensitive resist material to a charged particle beam, such that the desired pattern is transferred to the energy sensitive resist material; and directing the charged particle beam from an off state to a defocus state, wherein the defocus state compensates for the backscattered energy, thereby reducing proximity effects. | 08-11-2011 |
20110207053 | EXPOSURE METHOD AND METHOD OF MAKING A SEMICONDUCTOR DEVICE - An exposure method includes generating a reticle exposure pattern based on a target pattern, performing a lithography simulation based on the reticle exposure pattern to generate a simulation pattern that simulates a resist pattern formed by reticle exposure, generating differential data between the target pattern and the simulation pattern, generating a first electron-beam exposure pattern based on the differential data, generating a reticle based on the reticle exposure pattern, performing an optical exposure process with respect to a resist by use of the reticle, and performing an electron-beam exposure process with respect to the resist based on the first electron-beam exposure pattern. | 08-25-2011 |
20110250540 | SEMICONDUCTOR LITHOGRAPHY PROCESS - A semiconductor lithography process. A photoresist film is coated on a substrate. The photoresist film is subjected to a flood exposure to blanket expose the photoresist film across the substrate to a first radiation with a relatively lower dosage. The photoresist film is then subjected to a main exposure using a photomask to expose the photoresist film in a step and scan manner to a second radiation with a relatively higher dosage. After baking, the photoresist film is developed. | 10-13-2011 |
20110294071 | ELECTRON GUN, LITHOGRAPHY APPARATUS, METHOD OF MANUFACTURING ARTICLE, AND ELECTRON BEAM APPARATUS - An electron gun includes a cathode, a bias electrode, and an anode disposed along a common axis in order thereof. In the electron gun, an electron emitting surface of the cathode has such a shape that brightness of a crossover is more uniform than that in a case that both a first region including a point on the axis and a second region located outside the first region have a first radius of curvature. | 12-01-2011 |
20110300485 | ORGANIC SOLVENT DEVELOPMENT OR MULTIPLE DEVELOPMENT PATTERN-FORMING METHOD USING ELECTRON BEAMS OR EUV RAYS - Provided is a pattern-forming method including, in the following order: (1) a process of forming a film with an actinic ray-sensitive or radiation-sensitive resin composition comprising a resin which contains an acid-decomposable repeating unit and is capable of decreasing the solubility in an organic solvent by the action of an acid; (2) a process of exposing the film with an electron beam or an EUV ray; and (4) a process of developing the film with a developer containing an organic solvent. | 12-08-2011 |
20110318693 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, AND RESIST FILM AND PATTERN FORMING METHOD USING THE SAME - An embodiment of the composition contains a resin (P) containing a repeating unit (A) that is configured to decompose when exposed to actinic rays or radiation to thereby generate an acid. The repeating unit (A) contains a cation structure with a monocyclic or polycyclic heterocycle containing a nitrogen atom. | 12-29-2011 |
20120003587 | METHOD OF FORMING FINE PATTERNS USING A BLOCK COPOLYMER - A method of patterning a substrate includes processing first regions of the substrate to form a first pattern, the first regions defining a second region between adjacent first regions, arranging a block copolymer on the first and second regions, the block copolymer including a first component and a second component, the first component of the block copolymer being aligned on the first regions, and selectively removing one of the first component and the second component of the block copolymer to form a second pattern having a pitch that is less than a pitch of a first region and an adjacent second region. | 01-05-2012 |
20120015303 | DRAWING APPARATUS AND METHOD OF MANUFACTURING ARTICLE - A drawing apparatus for drawing a pattern on a substrate with a plurality of charged-particle beams, includes a blanking aperture array including a plurality of apertures, a blanking unit including a plurality of blankers and configured to respectively deflect the plurality of charged-particle beams by the plurality of blankers to cause the blanking aperture array to block the respectively deflected plurality of charged-particle beams, a generating circuit configured to generate a blanking instruction in a serial format, and a serial transmission cable configured to transmit the blanking instruction generated by the generating circuit to the blanking unit, wherein the blanking unit is configured to convert the blanking instruction in the serial format, that has been received via the serial transmission cable, into a blanking instruction in a parallel format, and to drive the plurality of blankers based on the blanking instruction in the parallel format. | 01-19-2012 |
20120058432 | METHODS OF FORMING SEMICONDUCTOR DEVICES USING PHOTOLITHOGRAPHIC SHOT GROUPING - A method of forming a semiconductor device can include determining a shot set including a plurality of shots, based on a final pattern used to form a mask. Shots included in the plurality shots can be classified as being in a first pass shot set or in a second pass shot set, where each can include a plurality of non-directly neighboring shots. A first pass exposure can be performed to radiate a reticle to provide the first pass shot set and a second pass exposure can be performed to radiate the reticle to provide the second pass shot set. | 03-08-2012 |
20120070784 | SYSTEM, METHOD AND APPARATUS FOR FABRICATING A C-APERTURE OR E-ANTENNA PLASMONIC NEAR FIELD SOURCE FOR THERMAL ASSISTED RECORDING APPLICATIONS - A method of fabricating a c-aperture or E-antenna plasmonic near field source for thermal assisted recording applications in hard disk drives is disclosed. A c-aperture or E-antenna is built for recording head applications. The technique employs e-beam lithography, partial reactive ion etching and metal refill to build the c-apertures. This process strategy has the advantage over other techniques in the self-alignment of the c-aperture notch to the c-aperture internal diameter, the small number of process steps required, and the precise and consistent shape of the c-aperture notch itself. | 03-22-2012 |
20120088193 | Radiation Patternable CVD Film - Methods for forming photoresists sensitive to radiation on a substrate are provided. Described are chemical vapor deposition methods of forming films (e.g., silicon-containing films) as photoresists using a plasma which may be exposed to radiation to form a pattern. The deposition methods utilize precursors with cross-linkable moieties that will cross-link upon exposure to radiation. Radiation may be carried out in the with or without the presence of oxygen. Exposed or unexposed areas may then be developed in an aqueous base developer. | 04-12-2012 |
20120115087 | METHOD FOR IMPROVING ELECTRON-BEAM - A method for improving the efficiency of the electron-beam exposure is provided, comprising: step 1) coating a positive photoresist on a wafer to be processed, and performing a pre-baking; step 2) separating pattern data, optically exposing a group of relatively large patterns, and then performing a post-baking; step 3) developing the positive photoresist; step 4) performing a plasma fluorination; step 5) performing a baking to solidify the photoresist; step 6) coating a negative electron-beam resist and performing a pre-baking; step 7) electron-beam exposing a group of fine patterns; step 8) performing a post-baking; and step 9) developing the negative electron-beam resist, so that the fabrication of the patterns is finished. According to the invention, it is possible to save 30-60% of the exposure time. Thus, the exposure efficiency is significantly improved, and the cost is greatly reduced. Further, the method is totally compatible with the CMOS processes, without the need of any special equipments. | 05-10-2012 |
20120148958 | SULFUR-CONTAINING MACROMOLECULES AND METHODS FOR THEIR PREPARATION - A sulfur-containing macromolecule and a method of preparing the sulfur-containing macromolecule comprising a polymerization step are provided, where the sulfur-containing macromolecule contains internal units of formula (I) and the polymerization step is formula (II) wherein n is greater than 1, said precursor comprises alkyne having one or more acetylene groups and thiol having one or more thiol groups; and R is remainder of said thiol excluding said thiol groups, R′ is remainder of said alkyne excluding said acetylene groups, and R and R′ are selected from organic or organometallic groups. | 06-14-2012 |
20120148959 | PATTERN FORMING METHOD - A pattern forming method includes providing a first mask with a first aperture, forming a first transfer pattern on a resist by irradiating a first electron beam through the first aperture, the first transfer pattern extending in a first direction and having a boundary along a circumference thereof, and the first electron beam having a cross section of a first square when emerging from the first aperture, and forming a second transfer pattern on the resist by irradiating a second electron beam through the first aperture, the second transfer pattern extending in the first direction and overlapping a portion the boundary of the first transfer pattern, and the second electron beam having a cross section of a second square when emerging from the first aperture. | 06-14-2012 |
20120164583 | DRAWING APPARATUS AND METHOD OF MANUFACTURING ARTICLE - A drawing apparatus performs drawing on a substrate with a plurality of charged particle beams. The apparatus comprises a stage configured to hold the substrate and to be moved; a projection system configured to project the plurality of charged particle beams onto the substrate; a measurement device configured to detect a charged particle beam that reaches the measurement device due to a charged particle beam incident, via the projection system, on a mark formed on the substrate, to measure a position of the mark; and a controller. The controller is configured to control operations of the projection system and the measurement device so that the position of the mark is measured with at least one of the plurality of charged particle beams, in parallel with drawing on the substrate with a part of the plurality of charged particle beams. | 06-28-2012 |
20120171619 | METHOD OF STUDYING CHIRALITY CONTROLLED ARTIFICIAL KAGOME SPIN ICE BUILDING BLOCKS - A method is provided for achieving low energy states for the study of chirality kagome spin ice structures, the method having the steps of providing a silicon substrate; spin coating a polymethyl acrylate resist on said silicon substrate; providing an electron beam writer; exposing said coated substrate to an electron beam from said electron beam writer; positioning more than one thin island ferromagnetic island structure along a honeycomb lattice of said kagome spin ice component, wherein said positioning being along a determined magnetization direction of said lattice and wherein said island structures providing a mechanism in which chirality is controlled. | 07-05-2012 |
20120178025 | CHARGED PARTICLE BEAM DRAWING APPARATUS AND ARTICLE MANUFACTURING METHOD - The charged particle beam drawing apparatus of the present invention performs drawing to a substrate with a plurality of charged particle beams. The drawing apparatus includes an electron lens positioned at a location facing opposite to the substrate and including a plurality of holes through which the charged particle beams pass; and a cleaning unit configured to release active species to a decomposition product that has adhered to the electron lens and reduce the decomposition product by the reaction of the active species and the decomposition product to thereby change the decomposition product to a volatile gas. Here, the cleaning unit has a plurality of openings formed such that the active species are released toward the plurality of holes of the electron lens. | 07-12-2012 |
20120183905 | CHARGED-PARTICLE BEAM DRAWING APPARATUS AND ARTICLE MANUFACTURING METHOD - A drawing apparatus for drawing a pattern on a substrate by using a charged-particle beam comprises: a blanking deflector which deflects the charged-particle beam; a stopping aperture member which can block the charged-particle beam deflected by the blanking deflector; a catalyst which generates, from a gas, an active species for decomposing a deposit formed on the stopping aperture member; and a supply mechanism which supplies the gas to the catalyst. In a removing operation of removing the deposit, while the supply mechanism supplies the gas to the catalyst, the charged-particle beam irradiates a region which is not irradiated with the charged-particle beam in a drawing operation of drawing the pattern, thereby generating the active species from the gas by the catalyst positioned in at least the region, and removing the deposit by decomposing the deposit by the generated active species. | 07-19-2012 |
20120219914 | DRAWING APPARATUS, DRAWING METHOD AND METHOD OF MANUFACTURING ARTICLE - A drawing apparatus for drawing on a substrate by a plurality of charged particle beams includes: an aperture array, a blanker array, a scanning mechanism, and a controller. The aperture array specifies the dimension of each of the plurality of charged particle beams on the substrate. The blanker array carries out blanking of the plurality of charged particle beams independently. The scanning mechanism performs a relative scanning between the plurality of charged particle beams and the substrate in each of the first direction and a second direction which cross each other. The controller controls the blanker array at a predetermined pitch on the substrate. The dimension and the pitch are smaller in one of the first direction and the second direction than in the other. | 08-30-2012 |
20120237877 | ELECTRON BEAM DATA STORAGE SYSTEM AND METHOD FOR HIGH VOLUME MANUFACTURING - The present disclosure provides for many different embodiments of a charged particle beam data storage system and method. In an example, a method includes dividing a design layout into a plurality of units; creating a lookup table that maps each of the plurality of units to its position within the design layout and a data set, wherein the lookup table associates any repeating units in the plurality of units to a same data set; and exposing an energy sensitive layer to a charged particle beam based on the lookup table. | 09-20-2012 |
20120244474 | METHOD OF FORMING PATTERN - According to one embodiment, a method of forming a pattern includes applying a block copolymer to a substrate, the block copolymer including a first block and a second block, the first block including polyacrylate or polymethacrylate having a side chain to which an alicyclic hydrocarbon group or a hydrocarbon group including a tertiary carbon is introduced, and the second block including polystyrene substituted with hydrocarbon or halogen at an α-position, causing the block copolymer to be phase-separated, irradiating the block copolymer with an energy beam to decompose the second block, and removing the second block with a developer to form a pattern of the first block. | 09-27-2012 |
20120251955 | COMPOSITION FOR FORMATION OF RESIST UNDERLAYER FILM - There is provided a composition for forming a resist underlayer film for electron beam or EUV lithography that is used in a device manufacture process using EUV lithography, reduces the adverse effects caused by an electron beam or EUV, and is effective for the formation of a good resist pattern and a resist pattern formation method using the composition for forming a resist underlayer film for lithography. A composition for forming a resist underlayer film for electron beam or EUV lithography, comprising: a polymer having a repeating unit structure of Formula (1): | 10-04-2012 |
20120264062 | ELECTRON BEAM LITHOGRAPHY SYSTEM AND METHOD FOR IMPROVING THROUGHPUT - An electron beam lithography method and apparatus for improving throughput is disclosed. An exemplary lithography method includes receiving a pattern layout having a pattern layout dimension; shrinking the pattern layout dimension; and overexposing a material layer to the shrunk pattern layout dimension, thereby forming the pattern layout having the pattern layout dimension on the material layer. | 10-18-2012 |
20120282551 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN AND POLYMERIC COMPOUND - A resist composition including a resin component which generates acid upon exposure and exhibits changed solubility in a developing solution under the action of acid, the resin component including a resin component having a structural unit represented by a general formula (a0-0-1) shown below | 11-08-2012 |
20120288799 | CHARGED-PARTICLE BEAM LITHOGRAPHIC APPARATUS AND METHOD OF MANUFACTURING DEVICE - A lithographic apparatus which performs drawing on a substrate with a charged-particle beam, includes an optical system having an aperture plate in which a first number of apertures are formed to pass a first number of charged-particle beams to perform the drawing, a substrate holder, a cleaning unit configured to clean the aperture plate, and a chamber containing the optical system and the substrate holder. The cleaning unit includes a case having an emitting hole plate in which a second number of emitting holes are formed, the second number being smaller than the first number, an active species source configured to generate active species in the case, and a driving mechanism configured to move the case. | 11-15-2012 |
20120288800 | ELECTRON BEAM DRAWING APPARATUS AND METHOD OF MANUFACTURING DEVICE - An electron beam drawing apparatus performs drawing on a substrate with an electron beam emitted by an electron gun. The apparatus includes a conditioning chamber configured to perform conditioning of a spare electrode that is a spare for an electrode which constitutes the electron gun, and a driving mechanism configured to remove a used electrode from the electron gun, and to install, into the electron gun, the spare electrode having been subjected to the conditioning, wherein the conditioning includes supplying of electric power to the spare electrode. | 11-15-2012 |
20120295202 | DRAWING APPARATUS AND METHOD OF MANUFACTURING ARTICLE - A drawing apparatus includes a first aperture array configured to split a diverging charged particle beam, a converging lens array configured to form a plurality of first crossovers of a plurality of charged particle beams from the first aperture array, a collimator lens having a principal plane where the plurality of first crossovers are to be formed, a correcting system configured to correct angles of a plurality of charged particle beams from the collimator lens and to form a plurality of second crossovers, and an element having a plurality of apertures respectively corresponding to the plurality of second crossovers. The first aperture array and the converging lens array have apertures such that an arrangement of the plurality of first crossovers is different from an arrangement of the plurality of apertures, and that the plurality of second crossovers are aligned with the plurality of apertures on the element. | 11-22-2012 |
20120295203 | DRAWING APPARATUS AND METHOD OF MANUFACTURING ARTICLE - A drawing apparatus includes an aperture array, a lens array configured to form a plurality of crossovers of a plurality of charged particle beams from the aperture array, and a projection system including an element having a single aperture and configured to converge the plurality of charged particle beams corresponding to the plurality of crossovers and to project the plurality of charged particle beams having passed through the single aperture onto the substrate. The lens array includes a correction lens array including a converging lens eccentric relative to corresponding one of a plurality of apertures of the aperture array such that the plurality of charged particle beams converged according to aberration of the projection system are converged to the single aperture. The lens array includes a magnifying lens array configured, so as to form the plurality of crossovers, to magnify a plurality of crossovers formed by the correction lens array. | 11-22-2012 |
20120308933 | RADIATION SENSITIVE SELF-ASSEMBLED MONOLAYERS AND USES THEREOF - The invention is directed to a radiation sensitive compound comprising a surface binding group proximate to one end of the compound for attachment to a substrate, and a metal binding group proximate to an opposite end of the compound. The metal binding group is not radiation sensitive. The radiation sensitive compound also includes a body portion disposed between the surface binding group and the metal binding group, and a radiation sensitive group positioned in the body portion or adjacent to the metal binding group. The surface binding group is capable of attaching to a substrate selected from a metal, a metal oxide, or a semiconductor material. | 12-06-2012 |
20120328988 | DRAWING APPARATUS, METHOD OF MANUFACTURING ARTICLE, AND PROCESSING APPARATUS - A drawing apparatus which performs drawing on a substrate with a plurality of charged particle beams includes: a blanking deflector located in a vacuum chamber and configured to blank each of the plurality of charged particle beams; a device located in an external chamber in which a gas pressure is higher than a gas pressure in the vacuum chamber, and configured to control the blanking deflector; and a first substrate facing the blanking deflector. The first substrate constitutes a partition which separates the vacuum chamber and the external chamber in a region, of the first substrate, facing the blanking deflector, and includes an electrode which fills a via formed in the region. The device is electrically connected to the blanking deflector via the electrode. | 12-27-2012 |
20130011796 | DRAWING APPARATUS AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a drawing apparatus including a blanker including a plurality of deflectors configured to respectively deflect the plurality of charged particle beams, and a controller configured to transmit a control signal to the blanker, wherein the blanker includes a storage configured to store pattern data, a generator configured to generate, based on pattern information which is included in the control signal and designates the pattern data, and position information which is included in the control signal and designates a drawing position corresponding to the pattern information, a blanking signal for drawing a pattern corresponding to the pattern data stored in the storage at a position on a substrate corresponding to the position information, and a driving device configured to drive the plurality of deflectors in accordance with the generated blanking signal generated. | 01-10-2013 |
20130011797 | CHARGED PARTICLE BEAM DRAWING APPARATUS AND ARTICLE MANUFACTURING METHOD - The drawing apparatus of the present invention includes an optical system housing configured to emit a charged particle beam toward the substrate; a stage configured to hold the substrate and be moved at least in a direction perpendicular to an axis of the optical system housing; a detection device including a detector and a support for supporting the detector such that the detector faces a side surface of the stage, and configured for measuring a position of the stage; and a magnetic shield member provided to the stage and configured to shield an opening of the optical system housing that faces a top surface of the stage from a magnetic field. Here, the magnetic shield member is provided to the stage at a detection region, in a direction of the axis, other than a region where the detection device is provided. | 01-10-2013 |
20130040240 | CHARGED PARTICLE BEAM DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - A charged particle beam drawing apparatus includes an electrostatic lens including an electrode member and configured to project the plurality of charged particle beams onto the substrate via the electrode member. In the electrode member are formed a plurality of first openings via which the plurality of charged particle beams pass, and a plurality of second openings different from the plurality of first openings, a total area of the plurality of second openings being not smaller than a total area of the plurality of first openings. | 02-14-2013 |
20130040241 | Method and System for Charged Particle Beam Lithography - There is disclosed a lithography method and system implemented by a charged particle beam passed through a shaping slit member having plural circular apertures of different diameters. The method and system operate to delineate a circular pattern by shooting the shaped circular beam passed through the desired circular aperture onto a workpiece. The method and system consists of causing circular beams shaped using different ones of the circular apertures to be shot onto the workpiece such that the circular beams are coincident with each other in center position to thereby delineate a circular pattern of a desired size. Consequently, circular patterns in a wide range of sizes can be obtained, although a limited number of circular apertures are used. | 02-14-2013 |
20130040242 | METHOD AND SYSTEM FOR EXPOSURE OF A PHASE SHIFT MASK - The present disclosure provides a method of making a mask. The method includes providing a substrate having a first attenuating layer on the substrate and a first imaging layer on the first attenuating layer; performing a first exposure to the first imaging layer using a first radiation energy in writing mode; performing a first etching to the first attenuating layer; performing a second etching to the substrate; forming a second imaging layer on the first attenuating layer and the substrate; performing a second exposure to the second imaging layer using a light energy and another mask; and performing a third etching to the first attenuating layer after the second exposure. | 02-14-2013 |
20130052590 | DRAWING APPARATUS AND METHOD OF MANUFACTURING ARTICLE - A drawing apparatus performs drawing, with an array of charged particle beams, on shot regions arrayed on a substrate in a direction in parallel and with intervention of step movement of the substrate in the direction. The apparatus includes a driving device for relative movement between a stage and a charged-particle optical system in the direction. The optical system causes sub arrays (of charged particle beams), discretely arrayed in the direction, to be incident on the substrate, and includes deflectors configured to respectively deflect sub array sets each including at least one of the sub arrays. A controller controls the optical system and the driving device such that a region at one side of a boundary among the shot regions and a region at the other side are subjected to drawing not in parallel with a sub array existing over the boundary, but sequentially with intervention of the step movement. | 02-28-2013 |
20130059252 | METHOD FOR FORMING RESIST PATTERN AND COMPOSITION FOR FORMING PROTECTIVE FILM - A method for forming a resist pattern includes providing a resist film. A protective film is provided on the resist film using a composition for forming the protective film. The composition includes a polymer and an organic solvent. The resist film on which the protective film is provided is exposed to irradiation with EUV light or an electron beam. The exposed resist film is developed. | 03-07-2013 |
20130065184 | CHARGED PARTICLE BEAM DRAWING METHOD AND CHARGED PARTICLE BEAM DRAWING APPARATUS - A charged particle beam drawing method according to an embodiment is a method including forming a first measurement pattern in a first measurement pattern area; in succession with processing of forming the first measurement pattern, forming a second measurement pattern in a second measurement pattern area located farthest from the first measurement pattern area in the same column as the first measurement pattern area; and in moving a charged particle beam from the second measurement pattern area to a third measurement pattern area located adjacent to the first measurement pattern area in the same column as the first and second measurement patterns to form a third measurement pattern, moving the charged particle beam to the third measurement pattern area while taking tiny shots approximately equivalent to a data resolution at the adjacent measurement pattern areas to be drawn in the same column one after another from the second measurement pattern. | 03-14-2013 |
20130071790 | METHOD OF FORMING AN ETCH MASK - A method of forming an etch mask includes: providing a substrate having thereon a material layer to be etched; forming a hard mask layer consisting of a radiation-sensitive, single-layer resist material on the material layer; exposing the hard mask layer to actinic energy to change solvent solubility of exposed regions of the hard mask layer; and subjecting the hard mask layer to water treatment to remove the exposed regions of the hard mask layer, thereby forming a masking pattern consisting of unexposed regions of the hard mask layer. | 03-21-2013 |
20130071791 | CHARGED PARTICLE BEAM IRRADIATION APPARATUS, CHARGED PARTICLE BEAM DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - An irradiation apparatus includes: a measurement device including a shield in which plural apertures are formed, and plural detectors configured to respectively detect plural charged particle beams respectively having passed through the plural apertures; a scanning mechanism configured to perform scanning of the plural beams and the measurement device relative to each other so that the plural beams respectively traverse edges of the plural apertures; and a controller configured to perform control of the scanning mechanism and the measurement device to obtain a characteristic of each beam. The controller is configured to perform the control such that in a period of the scanning, an energy, shielded by the shield, out of an energy of one beam increases with time, while an energy, shielded by the shield, out of an energy of another beam decreases with time. | 03-21-2013 |
20130078576 | COMPOSITIONS OF NEUTRAL LAYER FOR DIRECTED SELF ASSEMBLY BLOCK COPOLYMERS AND PROCESSES THEREOF - The present invention relates to novel neutral layer compositions and methods for using the compositions. The neutral layer composition comprises at least one random copolymer having at least one unit of structure (1), at least one unit of structure (2) and at least one unit of structure (3) | 03-28-2013 |
20130078577 | CHARGED PARTICLE BEAM DRAWING APPARATUS, DRAWING DATA GENERATION METHOD, DRAWING DATA GENERATION PROGRAM STORAGE MEDIUM, AND ARTICLE MANUFACTURING METHOD - A drawing apparatus of the present invention is an apparatus that performs drawing on a substrate with a plurality of charged particle beams and includes a blanking deflector array including a plurality of blanking deflectors configured to respectively blank the plurality of charged particle beams; and a controller configured to control the blanking deflector array based on drawing data. The controller is configured to control the blanking deflector array such that a position error of the plurality of charged particle beams on the substrate due to a magnetic field generated by the blanking deflector array is less than that in a case where the controller controls the blanking deflector array in accordance with initial drawing data. | 03-28-2013 |
20130078578 | RESIST DEVELOPER, METHOD FOR FORMING A RESIST PATTERN AND METHOD FOR MANUFACTURING A MOLD - The disclosed resist developer is used when developing by irradiating an energy beam onto a resist layer containing a polymer of α-chloromethacrylate and α-methylstyrene for rendering or exposure, and contains a fluorocarbon-containing solvent (A) and an alcohol solvent (B), the latter of which has higher solubility relative to the resist layer than the former. | 03-28-2013 |
20130084530 | METHOD FOR FABRICATING PATTERNED LAYER - A method for fabricating a patterned layer is disclosed. Firstly, a semiconductor substrate is provided. Then, a precursory gas on the semiconductor substrate is formed. Finally, a patterned layer on the semiconductor substrate is deposited by reacting the precursory gas with at least one electron beam or at least one ion beam. The present invention not only fabricates a patterned layer on the substrate in a single step but also achieves a high lithographic resolution and avoids remains of contaminations by using the properties of the electron beam or the ion beam and the precursory gas. | 04-04-2013 |
20130089820 | RESIST TOP COAT COMPOSITION AND PATTERNING PROCESS - There is disclosed a resist top coat composition, used in a patterning process onto a photoresist film, wherein a resist top coat is formed by using the resist top coat composition onto a photoresist film formed on a wafer, and then, after exposure, removal of the resist top coat and development of the photoresist film are performed to effect the patterning on the photoresist film, wherein the resist top coat composition contains a truxene compound having phenol groups shown by the following general formula (1). As a result, there is provided a resist top coat composition not only having an effect from an environment to a resist film reduced and effectively shielding an OOB light, but also reducing film loss of a resist pattern and bridging between patterns and having an effect to enhance sensitivity of the resist; and a patterning process using this. | 04-11-2013 |
20130137044 | SCANNING APPARATUS, DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - A scanning apparatus which performs scan on an object with a charged particle beam includes: a blanking deflector configured to individually blank a plurality of charged particle beams based on control data; a scanning deflector configured to collectively deflect the plurality of charged particle beams to perform the scan; and a controller. The controller is configured to hold first data used to obtain error in a scanning amount and a scanning direction of the scanning deflector relative to a reference scanning amount and a reference scanning direction with respect to each of the plurality of charged particle beams, and to generate the control data based on the first data so that the scan is performed for a target region on the object. | 05-30-2013 |
20130143161 | CHARGED PARTICLE BEAM APPARATUS - An apparatus includes an irradiation device configured to irradiate an object with charged particle beams, a measurement device configured to measure a characteristic of each of charged particle beams, and a controller. The measurement device includes a plate including knife edges, and a sensor configured to detect a charged particle beam incident thereon via the plate. The controller causes one charged particle beam, selected from the charged particle beams, to perform a scan relative to the measurement device so that the one charged particle beam traverses at least two knife edges among the plurality of knife edges, and to generate correction information for correcting a measurement error of the measurement device due to deformation of the plate, based on an output from the sensor upon the scan. | 06-06-2013 |
20130143162 | RESIST-PROTECTIVE FILM-FORMING COMPOSITION AND PATTERNING PROCESS - A pattern is printed by forming a photoresist layer on a wafer, forming a protective film thereon, exposure, and development. The protective film is formed from a protective film-forming composition comprising a novolak resin of a bisphenol compound and a mixture of an alcohol solvent and an ether or aromatic solvent. | 06-06-2013 |
20130143163 | RESIST-PROTECTIVE FILM-FORMING COMPOSITION AND PATTERNING PROCESS - A pattern is printed by forming a photoresist layer on a wafer, forming a protective film thereon, exposure, and development. The protective film is formed from a composition comprising a copolymer of hydroxystyrene with acenaphthylene and/or vinylnaphthalene and a mixture of an alcohol solvent and an ether or aromatic solvent. | 06-06-2013 |
20130149646 | CHARGED PARTICLE BEAM WRITING APPARATUS AND CHARGED PARTICLE BEAM WRITING METHOD - Provided is a charged particle beam writing apparatus including a stage which a sample can be mounted thereon, an irradiation unit which emits a charged particle beam to be irradiated on the sample, and an aperture plate which includes a first opening portion to shape the charged particle beam. The aperture plate has a stacked structure of a first member and a second member, and a position of an end portion of the first opening portion in the second member is recessed from the position of the end portion of the first opening portion in the first member. | 06-13-2013 |
20130149647 | HOLDING APPARATUS, DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - A holding apparatus holds a substrate. The apparatus includes a base having burls that support the substrate, a pool whose capacity is variable and from which a liquid is to be supplied into a gap between the base and the substrate supported by the burls, and a regulator configured to regulate the capacity of the pool. | 06-13-2013 |
20130157198 | MULTI CHARGED PARTICLE BEAM WRITING APPARATUS AND MULTI CHARGED PARTICLE BEAM WRITING METHOD - A multi charged particle beam writing apparatus according to an embodiment, includes a setting unit to set a second region such that more openings in remaining openings except for an opening through which the defective beam passes are included in the second region, a selection unit to select a mode from a first mode in which a pattern is written on a target object by using multiple beams having passed openings in the second region and a second mode in which multiple writing is performed while shifting a position by using at least one of remaining multiple beams in the state where the defective beam is controlled to be beam off and additional writing is performed for a position which was supposed to be written by the defective beam, and a writing processing control unit to control to write in the mode selected. | 06-20-2013 |
20130164684 | CHARGED PARTICLE BEAM LITHOGRAPHY APPARATUS AND METHOD, AND ARTICLE MANUFACTURING METHOD - A lithography apparatus performs writing on a substrate with a plurality of charged particle beams and includes a blanking deflector array for blanking the plurality of charged particle beams; an aperture array configured to block a charged particle beam deflected by the blanking deflector array; and a sealing mechanism configured to seal an opening of at least one of the blanking deflector array and the aperture array with a shielding material that shields a charged particle beam. | 06-27-2013 |
20130171570 | DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a drawing apparatus including a stage having a reference mark, and configured to hold a substrate and to be moved, a charged particle optical system, a first measuring device having an optical axis spaced apart from an axis of the charged particle optical system by a first distance and configured to measure a position of an alignment mark formed on the substrate, a second measuring device having an optical axis spaced apart from the axis of the charged particle optical system by a second distance and configured to measure a position of the reference mark, and a processor configured to obtain a baseline of the first measuring device based on positions of the reference mark respectively measured by the first measuring device and the second measuring device. | 07-04-2013 |
20130177855 | CHARGED-PARTICLE BEAM DRAWING METHOD, COMPUTER-READABLE RECORDING MEDIA, AND CHARGED-PARTICLE BEAM DRAWING APPARATUS - A charged-particle beam drawing method includes: storing a plurality of time interval patterns defining time intervals for performing a diagnosis of a drift amount of charged-particle beam; drawing a predetermined drawing pattern on a sample by irradiating the beam on the sample; receiving first event information including occurrence of event and type of event; acquiring region information specifying a region being drawn by the beam; selecting a specific time interval pattern from the plurality of time interval patterns based on the type of the event of the first event information and the region information; diagnosing the drift amount of the beam based on the specific time interval pattern, until second event information is received, the second event information includes occurrence of event and type of event; and drawing a predetermined drawing pattern on the sample while performing a drift correction of the charged-particle beam, based on the diagnosing. | 07-11-2013 |
20130183623 | EXPOSURE APPARATUS AND EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus is equipped with a table which holds a wafer and is movable along an XY plane and has a grating provided on its rear surface, an encoder which irradiates a first measurement beam on the grating from below, receives a return light, and measures a first position information of the table when the table moves in a predetermined range, and another encoder which has a head section provided in a frame and irradiates a second measurement beam on a different grating on the table from the head section, receives a return light, and can measure a second position information of the table, concurrently with measurement of the first position information by the encoder when the table moves in predetermined range. A controller drives the table, based on position information having a higher reliability of the first and the second position information. | 07-18-2013 |
20130203001 | Multiple-Grid Exposure Method - A method for fabricating a semiconductor device is disclosed. An exemplary method includes receiving an integrated circuit (IC) layout design including a target pattern on a grid. The method further includes receiving a multiple-grid structure. The multiple-grid structure includes a number of exposure grid segments offset one from the other by an offset amount in a first direction. The method further includes performing a multiple-grid exposure to expose the target pattern on a substrate and thereby form a circuit feature pattern on the substrate. Performing the multiple-grid exposure includes scanning the substrate with the multiple-grid structure in a second direction such that a sub-pixel shift of the exposed target pattern occurs in the first direction, and using a delta time (Δt) such that a sub-pixel shift of the exposed target pattern occurs in the second direction. | 08-08-2013 |
20130209939 | Integrated Membrane Lamination and UV Exposure System and Method of Using the Same - A combined laminating and exposing apparatus for exposing a photosensitive printing blank to actinic radiation in a printing plate manufacturing system and a method of using the same are disclosed. The photosensitive printing blank comprises a backing layer, at least one photocurable layer disposed on the backing layer, and a laser ablatable mask layer disposed on the at least one photocurable layer, wherein the laser ablatable mask layer is laser ablated to create an in situ negative in the laser ablatable mask layer. The exposing apparatus comprises: (a) a laminating apparatus for laminating an oxygen barrier layer to a top of the laser ablated mask layer; (b) a conveyor; (c) a first exposing device for imagewise exposing the at least one photocurable layer to actinic radiation, and (d) a second exposing device for exposing the at least one photocurable layer to actinic radiation through the backing layer. | 08-15-2013 |
20130216953 | ELECTRON BEAM WRITING APPARATUS AND ELECTRON BEAM WRITING METHOD - An electron beam writing apparatus comprising a stage that a sample is placed on, an electron optical column, an electron gun emitting an electron beam disposed in the optical column, an electrostatic lens provided with electrodes aligned in an axial direction of the electron beam disposed in the optical column, and a voltage supply device for applying positive voltage constantly to the electrostatic lens. A shield plate is disposed between the XY stage and the electron optical column to block reflected electrons or secondary electrons generated by irradiation to the sample with the electron beam. The electrostatic lens is disposed immediately above the shield plate to change a focal position of the electron beam. A voltage supply device applies a positive voltage constantly to the electrostatic lens. | 08-22-2013 |
20130216954 | DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - A drawing apparatus, which draws a pattern on a substrate with a plurality of charged particle beams, includes: a charged particle optical system configured to emit the plurality of charged particle beams onto the substrate; and a controller configured to control an operation of the charged particle optical system. The controller is configured to control the operation so as to compensate for a distortion of the pattern that is determined based on first data of an undulation of a surface of the substrate and second data of an inclination of each of the plurality of charged particle beams with respect to an axis of the charged particle optical system. | 08-22-2013 |
20130224662 | CHARGED PARTICLE BEAM APPARATUS, DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - A charged particle beam apparatus, which processes an object with a charged particle beam, includes: a detector having a detection surface, and configured to detect a charged particle beam incident on a partial region of the detection surface; and a controller configured to make target incident positions of charged particle beams, to be sequentially incident on the detection surface, different from each other. | 08-29-2013 |
20130230805 | DRAWING APPARATUS, REFERENCE MEMBER, AND METHOD OF MANUFACTURING ARTICLE - A drawing apparatus includes a charged particle optical system, a first measurement device including an image taking optical system and configured to measure a position of a reference mark in a first direction, a second measurement device configured to measure a position of the reference mark in the first direction based on an amount of charged particle beams that arrives thereat from the reference mark on which the charged particle beam are incident. The reference mark includes a first region having a first edge inclined with respect to a second direction perpendicular to the first direction and a second region having a second edge parallel to the second direction. A processor obtains a baseline based on measurement result with respect to the first region obtained by the first measurement device and measurement result with respect to the second region obtained by the second measurement device. | 09-05-2013 |
20130230806 | LITHOGRAPHY APPARATUS, AND ARTICLE MANUFACTURING METHOD - A lithography apparatus includes a deflector configured to deflect the charged particle beam to scan the charged particle beam on the substrate in a scan direction; a detector including a shield for shielding the charged particle beam, and configured to detect an intensity of a charged particle beam not shielded by the shield; and a processor configured to process a signal obtained with the detector scanned with the charged particle beam in the scan direction by the deflector, wherein an effective region of the shield has a shape such that a position of an edge thereof in the scan direction continuously changes along the edge, and wherein the processor is configured to process the signal with respect to a plurality of positions of the edge to determine a relationship between a command value to the deflector and a scan position of the charged particle beam. | 09-05-2013 |
20130230807 | METHOD OF FABRICATING TRANSPARENT ANTI-REFLECTIVE ARTICLE - A method of fabricating an anti-reflective optically transparent structure includes the steps of providing an optically transparent substrate having a first refractive index and a first surface; and forming an anti-reflective layer within the first surface of the transparent substrate. The anti-reflective layer is made by forming a nano-scale pattern within the first surface defining a subwavelength nano-structured second surface of the anti-reflective layer including a plurality of protuberances having a predetermined maximum distance between adjacent protuberances and a predetermined height for a given wavelength such that the anti-reflective layer includes a second refractive index lower than the first refractive index to minimize light diffraction and random scattering therethrough. The predetermined height is approximately equal to a quarter of the given wavelength divided by the second refractive index. One of nanosphere lithography, deep ultra-violet photolithography, electron beam lithography, and nano-imprinting may be used to form the anti-reflective layer. | 09-05-2013 |
20130252172 | MULTI CHARGED PARTICLE BEAM WRITING APPARATUS AND MULTI CHARGED PARTICLE BEAM WRITING METHOD - A multi charged particle beam writing apparatus of the present invention includes an aperture member to form multiple beams, a plurality of first deflectors to respectively perform blanking deflection of a corresponding beam, a second deflector to collectively deflect the multiple beams having passed through the plurality of openings of the aperture member so that the multiple beams do not reach the target object, a blanking aperture member to block each beam that has been deflected to be in the off state by the plurality of first deflectors, and a current detector, arranged at the blanking aperture member, to detect a current value of all beams in the on state in the multiple beams that have been deflected by the second deflector. | 09-26-2013 |
20130273474 | Grid Refinement Method - The present disclosure provides an embodiment of a method, for a lithography process for reducing a critical dimension (CD) by a factor n wherein n<1. The method includes providing a pattern generator having a first pixel size S | 10-17-2013 |
20130288181 | DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a drawing apparatus which performs drawing on a substrate with a charged particle beam, the apparatus comprising a correction device configured to correct drawing data for controlling the drawing, and a drawing device configured to perform the drawing with the charged particle beam based on data corrected by the correction device, wherein the correction device is configured to perform geometrical correction for the drawing data to overlay a drawing region with a target region on the substrate, and then perform proximity effect correction for the drawing data having undergone the geometrical correction. | 10-31-2013 |
20130288182 | Electron Beam Processing With Condensed Ice - In a method for imaging a solid state substrate, a vapor is condensed to an amorphous solid water condensate layer on a surface of a solid state substrate. Then an image of at least a portion of the substrate surface is produced by scanning an electron beam along the substrate surface through the water condensate layer. The water condensate layer integrity is maintained during electron beam scanning to prevent electron-beam contamination from reaching the substrate during electron beam scanning. Then one or more regions of the layer can be locally removed by directing an electron beam at the regions. A material layer can be deposited on top of the water condensate layer and any substrate surface exposed at the one or more regions, and the water condensate layer and regions of the material layer on top of the layer can be removed, leaving a patterned material layer on the substrate. | 10-31-2013 |
20130309608 | METHOD AND SYSTEM FOR FORMING A PATTERN USING CHARGED PARTICLE BEAM LITHOGRAPHY WITH MULTIPLE EXPOSURE PASSES WITH DIFFERENT DOSAGES - In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein base dosages for a plurality of exposure passes are different from each other. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, wherein a plurality of charged particle beam exposure passes are used, with base dosage levels being different for different exposure passes. | 11-21-2013 |
20130309609 | METHOD AND SYSTEM FOR FORMING A PATTERN USING CHARGED PARTICLE BEAM LITHOGRAPHY WITH MULTIPLE EXPOSURE PASSES WHICH EXPOSE DIFFERENT SURFACE AREA - In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, in which the union of shots from one of a plurality of exposure passes is different than the union of shots from a different exposure pass. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, in which the union of shots from one of a plurality of charged particle beam exposure passes is different than the union of shots from a different exposure pass. | 11-21-2013 |
20130309610 | METHOD AND SYSTEM FOR FORMING A PATTERN USING CHARGED PARTICLE BEAM LITHOGRAPHY WITH MULTIPLE EXPOSURE PASSES - In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of exposure passes are used, and where the sum of the base dosage levels for all of the exposure passes does not equal a normal dosage. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, wherein a plurality of charged particle beam exposure passes are used, and where the sum of the base dosage levels for all of the exposure passes is different than a normal dosage. | 11-21-2013 |
20130316288 | CHARGED PARTICLE BEAM LITHOGRAPHY APPARATUS AND CHARGED PARTICLE BEAM PATTERN WRITING METHOD - A apparatus, includes a unit to operate a number of other correction processing sections written before a relevant correction processing section, to ensure that a calculation time to calculate amounts of temperature rise for the all correction processing sections to does not exceed a pattern writing time for the all correction processing sections by using an average pattern writing time, an average calculation time for one of the amounts of temperature rise, and a degree of parallelism of computers to calculate the amounts of temperature rise; a unit to calculate a representative temperature of the relevant correction processing section based on heat transfers from the other correction processing sections of the number; and a unit to modulate a dose of beam irradiated on the relevant correction processing section by using the representative temperature. | 11-28-2013 |
20130316289 | Electron Beam Data Storage System and Method for High Volume Manufacturing - The present disclosure provides for many different embodiments of a charged particle beam data storage system and method. In an example, a method includes dividing a design layout into a plurality of units; creating a lookup table that maps each of the plurality of units to its position within the design layout and a data set, wherein the lookup table associates any repeating units in the plurality of units to a same data set; and exposing an energy sensitive layer to a charged particle beam based on the lookup table. | 11-28-2013 |
20130323648 | SMART SUBFIELD METHOD FOR E-BEAM LITHOGRAPHY - The present disclosure provides a method of improving a layer to layer overlay error by an electron beam lithography system. The method includes generating a smart boundary of two subfields at the first pattern layer and obeying the smart boundary at all consecutive pattern layers. The same subfield is exposed by the same electron beam writer at all pattern layers. The overlay error caused by the different electron beam at different layer is improved. | 12-05-2013 |
20130330670 | Electron Beam Lithography System and Method for Improving Throughput - An electron beam lithography method and apparatus for improving throughput is disclosed. An exemplary lithography method includes receiving a pattern layout having a pattern layout dimension; shrinking the pattern layout dimension; and overexposing a material layer to the shrunk pattern layout dimension, thereby forming the pattern layout having the pattern layout dimension on the material layer. | 12-12-2013 |
20130330671 | SURFACE SWITCHABLE PHOTORESIST - Lithography methods on a semiconductor substrate are described. The methods include coating a resist layer on the substrate, wherein the resist layer comprises a resist polymer configured to turn soluble to a base solution in response to reaction with an acid, and a switchable polymer that includes a base soluble polymer having a carboxylic acid, hydroxyl, lactone, or anhydride functional group, performing a pre-exposure bake on the resist layer, exposing the resist-coated substrate, and developing the exposed substrate with a developing solution. | 12-12-2013 |
20130344443 | LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURE OF PRODUCT - A lithography apparatus writes with a charged particle beam on a substrate scanned in a first direction. The apparatus includes a shielding device which individually shields a plurality of charged particle beams in first and second charged particle beam groups, a holder for the substrate movable in the first direction, and a controller. If a defective beam that does not satisfy a condition exists in the first charged particle beam group, the controller is configured to cause the shielding device to shield the defective beam and to transmit a compensating beam, of the second charged particle beam group, for compensating for the defective beam, and to control writing with the compensating beam based on relative positions, in the first direction, between the compensating beam and a charged particle beam to be compensated for by the compensating beam. | 12-26-2013 |
20140004465 | RESIST UNDERLAYER FILM FORMING COMPOSITION AND METHOD FOR FORMING RESIST PATTERN USING THE SAME | 01-02-2014 |
20140017613 | HOLDING APPARATUS, PROCESSING APPARATUS, LITHOGRAPHY APPARATUS, AND METHOD FOR MANUFACTURING ARTICLE - A holding apparatus includes a base provided with a protrusion for supporting a substrate, and holds the substrate via liquid with which a gap between the substrate supported by the protrusion and the base is filled. The holding apparatus includes a heat storage member arranged on the base to be covered with the liquid. The heat storage member includes a latent heat storage material, and a heat conduction material containing the latent heat storage material to conduct heat to the latent heat storage material. | 01-16-2014 |
20140023972 | Data Process for E-Beam Lithography - The present disclosure provides a dithering method of increasing wafer throughput by an electron beam lithography system. The dithering method generates an edge map from a vertex map. The vertex map is generated from an integrated circuit design layout (such as an original pattern bitmap). A gray map (also referred to as a pattern gray map) is also generated from the integrated circuit design layout. By combining the edge map with the gray map, a modified integrated circuit design layout (modified pattern bitmap) is generated for use by the electron beam lithography system. | 01-23-2014 |
20140030656 | METHOD FOR FORMING RESIST PATTERNS AND METHOD FOR PRODUCING PATTERNED SUBSTRATES - A method for forming a resist pattern that includes a layout having a minimum line width of 100 nm or less forms a resist film on a substrate, draws a lithography pattern on the resist film with a variable shape electron beam, and executes puddle development on the resist film such that the film reduction rate of the resist film at undissolved resist portions is 20% or less. Thereby, shifting from designs of lithography patterns due to switching operations of lithography apparatuses when forming resist patterns that include layouts with minimum line widths of 100 nm or less can be prevented. | 01-30-2014 |
20140030657 | MANUFACTURING METHOD OF PHOTOMASK, METHOD FOR OPTICAL PROXIMITY CORRECTION, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A manufacturing method of a photomask by which a resist pattern corresponding to a pattern with designed values can be formed, a method for optical proximity correction, and a manufacturing method of a semiconductor device are provided. Proximity design features that are close to each other and estimated to violate a mask rule check are extracted. In the proximity design features, correction prohibited regions where optical proximity correction is not carried out are set based on the distance between the features obtained from the extracted proximity design features and the resolution of an exposure device. Optical proximity correction is carried out on the proximity design features with the correction prohibited regions excluded to obtain corrected proximity patterns. A predetermined mask material is patterned by carrying out electron beam lithography based on the corrected proximity pattern data. | 01-30-2014 |
20140038107 | Method and System for E-Beam Lithography with Multi-Exposure - The present disclosure provides a method for electron-beam (e-beam) lithography patterning. The method includes forming a resist layer on a substrate; performing a first e-beam exposure process to the resist layer according to a first pattern; performing a second e-beam exposure process to the resist layer according to a second pattern, wherein the second patterned is overlapped to the first pattern on the resist layer; and developing the resist layer. | 02-06-2014 |
20140057206 | DRAWING APPARATUS AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a drawing apparatus for performing drawing on a substrate with a plurality of charged particle beams, comprising a blanker array including a plurality of groups each including one light-emitting element and at least one blanker, and a plurality of transmission lines configured to transmit control signals to the plurality of groups, respectively, wherein each light-emitting element emits light when a signal is transmitted via a transmission line connected to a group including the light-emitting element out of the plurality of transmission lines. | 02-27-2014 |
20140065547 | CHARGED PARTICLE BEAM APPARATUS, DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - An apparatus includes: an image sensor including pixels which constitute rows; and a controller configured to control an irradiation operation of irradiating a pixel of the image sensor with a charged particle beam to generate a signal charge, a transfer operation of sequentially transferring, pixel by pixel in a column direction, the signal charge accumulated in the irradiated pixel, and an output operation of outputting the transferred signal charge from the image sensor. The controller is configured to cause a first irradiation operation for a first part of the rows as an irradiated region, a transfer operation of transferring a signal charge generated in the first part to a second part of the rows adjacent to the first part, as a non-irradiated region, and a second irradiation operation for the first part, to be performed sequentially. | 03-06-2014 |
20140065548 | LITHOGRAPHY APPARATUS AND ARTICLE MANUFACTURING METHOD USING SAME - A lithography apparatus converts vector pattern data into bitmap data and performs writing on a substrate with a charged particle beam based on the bitmap data. Here, the lithography apparatus includes a display unit and a processing unit that causes the display unit to display an image corresponding to the bitmap data and performs processing for updating the bitmap data by changing at least one of a pixel value, dimension, and shape of the image displayed on the display unit via a graphical user interface. | 03-06-2014 |
20140065549 | DRAWING APPARATUS AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a drawing apparatus for performing drawing on a substrate using a plurality of charged particle beams, the apparatus including an aperture array including an opening region including a region in which a plurality of openings are formed to generate the plurality of charged particle beams, and a peripheral region surrounding the opening region, wherein the aperture array has a shielding structure for shielding at least part of an electric field generated by charging of a contaminant in the peripheral region with respect to the plurality of charged particle beams passing through the plurality of openings. | 03-06-2014 |
20140065550 | POLYMER COMPRISING END GROUPS CONTAINING PHOTOACID GENERATOR, PHOTORESIST COMPRISING THE POLYMER, AND METHOD OF MAKING A DEVICE - A polymer comprises the polymerized product of unsaturated monomers comprising an acid-deprotectable monomer, a base-soluble monomer, a lactone-containing monomer, a photoacid-generating monomer, or a combination comprising at least one of the foregoing monomers, with a chain transfer agent of Formula (I); | 03-06-2014 |
20140065551 | Lithographic Pattern Development Process For Amorphous Fluoropolymer - Here we disclose a lithographic pattern development process for amorphous fluoropolymers. Amorphous fluoropolymers are a class of plastic materials with high chemical inertness and favorable optical properties. Exposure of surface-deposited layers of such polymer with high energy radiation leads to a change in the chemical structure of the polymer, which selectively compromises the solubility of the exposed areas in fluorinated organic solvents. Micro- and nanopatterning with a feature size down to <50 nm was achieved by dissolving and removing unexposed amorphous fluoropolymer from exposed, surface deposited films. The amorphous fluoropolymer functions thus as a negative resist. | 03-06-2014 |
20140080064 | RESIST PROTECTIVE FILM-FORMING COMPOSITION AND PATTERNING PROCESS - A pattern is printed by forming a photoresist layer on a wafer, forming a protective film thereon, exposure, and development. The protective film is formed from a composition comprising a copolymer comprising recurring units derived from a styrene, indene, benzofuran or benzothiophene monomer having 1,1,1,3,3,3-hexafluoro-2-propanol, and recurring units derived from a styrene, vinylnaphthalene, indene, benzofuran, benzothiophene, stilbene, styrylnaphthalene or dinaphthylethylene monomer and an ether solvent. | 03-20-2014 |
20140093824 | RESIST COMPOSITION FOR EUV OR EB AND METHOD OF FORMING RESIST PATTERN - A resist composition including a polymeric compound (A1) containing a structural unit (a0) represented by general formula (a0-1) and a structural unit (a6) which generates acid upon exposure, and a method of forming a resist pattern using the resist composition. In general formula (a0-1), R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms; Wa | 04-03-2014 |
20140099582 | Smart Subfield Method For E-Beam Lithographny - The present disclosure provides a method of improving a layer to layer overlay error by an electron beam lithography system. The method includes generating a smart boundary of two subfields at the first pattern layer and obeying the smart boundary at all consecutive pattern layers. The same subfield is exposed by the same electron beam writer at all pattern layers. The overlay error caused by the different electron beam at different layer is improved. | 04-10-2014 |
20140106279 | DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a drawing apparatus for performing drawing on a substrate with a plurality of charged particle beams, comprising a blanker array including first and second groups, each of which includes at least one blanker, a deflector configured to deflect the plurality of charged particle beams to scan the plurality of charged particle beams on the substrate, and a controller configured to respectively supply first and second control signals to the first and second groups at first and second timings, wherein the first and second groups are respectively arranged at such relative positions that a positional difference between respective drawing regions thereof, due to a difference between the first and second timings, in a scanning direction of the deflector is compensated for. | 04-17-2014 |
20140113234 | LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURING AN ARTICLE - The lithography apparatus forms a pattern on a substrate, comprising a holder configured to hold an original or the substrate, and to be moved, an interferometer configured to measure a position of the holder in a measurement direction which intersects with the upper plane of the holder, a reference member provided on the upper plane and having a reference plane, a measuring device provided so as to face the reference plane and configured to measure a position of the reference plane in the measurement direction, and a controller configured to obtain correction data for correcting a measured value obtained by the interferometer based on the measured value obtained by the interferometer and a measured value obtained by the measuring device. | 04-24-2014 |
20140120475 | ELECTRON BEAM EXPOSURE METHOD - An electron beam exposure method includes the steps of: preparing an exposure mask having a plurality of opening patterns formed by dividing a drawing object pattern into exposable regions; and drawing the drawing object pattern by performing exposure with an electron beam passing through the opening patterns of the exposure mask. Each end portion serving as a joint in each opening pattern of the exposure mask is provided with a joining portion tapered in a width of the opening pattern. The exposure is performed in such a way that portions drawn through adjacent joining portions overlap each other. | 05-01-2014 |
20140127628 | METHOD AND SYSTEM FOR IMPROVING CRITICAL DIMENSION UNIFORMITY USING SHAPED BEAM LITHOGRAPHY - A method for forming a pattern on a surface using charged particle beam lithography is disclosed, where the shots in an ordered set of input shots are modified within a subfield to reduce either a thermal variation or a maximum temperature of the surface during exposure by the charged particle beam writer. A method for fracturing or mask data processing is also disclosed, where an ordered set of shots is generated which will expose at least one subfield of a surface using a shaped beam charged particle beam writer, and where a temperature or a thermal variation generated on the surface during the exposure of one subfield is calculated. Additionally, a method for forming a pattern on a surface with an ordered set of shots using charged particle beam lithography is disclosed, in which a blanking period following a shot is lengthened to reduce the maximum temperature of the surface. | 05-08-2014 |
20140141377 | DEVELOPER AND PATTERNING PROCESS - An aqueous solution containing 0.1-10 wt % of a guanidine is a useful developer for photosensitive resist materials. A resist pattern is formed by applying a chemically amplified positive resist composition onto a substrate to form a coating, baking, exposing the coating to high-energy radiation, and developing the exposed coating in a guanidine-containing aqueous solution. | 05-22-2014 |
20140154629 | LITHOGRAPHY APPARATUS AND METHOD OF MANUFACTURING ARTICLE - A lithography apparatus that performs drawing on a substrate with an energy beam based on bitmap data generated via an error diffusion from pattern data includes a smoothing device configured to perform smoothing on the pattern data before the error diffusion. | 06-05-2014 |
20140162191 | DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - A drawing apparatus, that performs drawing on a substrate with charged particle beams based on first image data associated with a first grid, includes a blanker array including a plurality of columns each including a plurality of blankers, a scanning deflector configured to deflect at least one of the charged particle beams that has not been blanked by the blanker array to cause the deflected beam to scan the substrate in a scan direction, a drive circuit configured to sequentially drive the blanker array with respect to each of the columns periodically to define a second grid on the substrate that is displaced from the first grid in the scan direction, and a controller configured to generate second image data on the second grid by performing interpolation processing on the first image data and to control the drive circuit based on the second image data. | 06-12-2014 |
20140170565 | PATTERN FORMING METHOD AND METHOD FOR MANUFACTURING TEMPLATE FOR IMPRINT - In one embodiment, a pattern forming method includes irradiating a predetermined region of a mask member, provided on a substrate, with an ion beam to inject ions, forming a self-assembled material layer having a first polymer and a second polymer on the mask member, microphase-separating the self-assembled material layer, to form first polymer section containing the first polymer and second polymer section containing the second polymer, the second polymer section being provided on the predetermined regions, removing one of the first polymer section and the second polymer section and transferring a pattern shape of the other to the mask member, and processing the substrate with the mask member used as a mask. | 06-19-2014 |
20140193754 | COMPOSITIONS OF NEUTRAL LAYER FOR DIRECTED SELF ASSEMBLY BLOCK COPOLYMERS AND PROCESSES THEREOF - The present invention relates to novel neutral layer compositions and methods for using the neutral layer compositions for aligning microdomains of directed self-assembling block copolymers (BCP). The compositions and processes are useful for fabrication of electronic devices. The neutral layer composition comprises at least one random copolymer having at least one unit of structure (1), at least one unit of structure (2) and at least one unit of structure (3) | 07-10-2014 |
20140205951 | THERMAL CROSSLINKING ACCELERATOR, POLYSILOXANE-CONTAINING RESIST UNDERLAYER FILM FORMING COMPOSITION CONTAINING SAME, AND PATTERNING PROCESS USING SAME - A thermal crosslinking accelerator of a polysiloxane compound is shown by the following general formula (A-1), | 07-24-2014 |
20140212815 | Charged Particle Lithography System With a Long Shape Illumination Beam - A system includes an integrated circuit (IC) design data base having a feature, a source configured to generate a radiation beam, a pattern generator (PG) including a mirror array plate and an electrode plate disposed over the mirror array plate, wherein the electrode plate includes a lens let having a first dimension and a second dimension perpendicular to the first dimension with the first dimension larger than the second dimension so that the lens let modifies the radiation beam to form the long shaped radiation beam, and a stage configured secured the substrate. The system further includes an electric field generator connecting the minor array plate. The mirror array plate includes a mirror. The mirror absorbs or reflects the radiation beam. The radiation beam includes electron beam or ion beam. The second dimension is equal to a minimum dimension of the feature. | 07-31-2014 |
20140242521 | PHOTOSENSITIVE COPOLYMER, PHOTORESIST COMPRISING THE COPOLYMER, AND METHOD OF FORMING AN ELECTRONIC DEVICE - A copolymer includes the polymerized product of a comonomer and a monomer having the formula (I): | 08-28-2014 |
20140248563 | COMPOSITION, RESIST PATTERN-FORMING METHOD, COMPOUND, METHOD FOR PRODUCTION OF COMPOUND, AND POLYMER - A composition includes a polymer component including a first polymer having a first structural unit represented by a following formula (1), and a solvent. In the formula (1), R | 09-04-2014 |
20140272711 | PRE-PATTERNED HARD MASK FOR ULTRAFAST LITHOGRAPHIC IMAGING - A method of fabricating a substrate including coating a first resist onto a hardmask, exposing regions of the first resist to electromagnetic radiation at a dose of 10.0 mJ/cm | 09-18-2014 |
20140272712 | E-Beam Lithography with Alignment Gating - The present disclosure provides one embodiment of a reflective electron-beam (e-beam) lithography system. The reflective e-beam lithography system includes an e-beam source to generate an e-beam; a digital pattern generator (DPG) having a plurality of pixels that are dynamically and individually controllable to reflect the e-beam; a substrate stage designed to secure a substrate and being operable to move the substrate; an e-beam lens module configured to project the e-beam from the DPG to the substrate; and an alignment gate configured between the e-beam source and the DPG, wherein the alignment gate is operable to modulate an intensity of the e-beam. | 09-18-2014 |
20140302439 | METHOD OF MANUFACTURING GRAPHENE, CARBON NANOTUBES, FULLERENE, GRAPHITE OR A COMBINATION THEREOF HAVING A POSITION SPECIFICALLY REGULATED RESISTANCE - Provided are a method of manufacturing graphene, carbon nanotubes, fullerene, graphite, or a combination thereof having a regulated resistance, and a material manufactured using the method. | 10-09-2014 |
20140315131 | DEVELOPER AND PATTERNING PROCESS - An aqueous solution containing 0.1-20 wt % of a benzyltrialkylammonium hydroxide is a useful developer for photosensitive resist materials. When an exposed resist film is developed in the developer, any swell of the resist film during development is suppressed. A resist pattern with minimal edge roughness can be formed while preventing pattern collapse or bridge defect formation. | 10-23-2014 |
20140322653 | DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a drawing apparatus for performing drawing on a substrate with a charged particle beam, including a stage configured to hold the substrate and be moved, a charged particle optical system configured to irradiate a plurality of charged particle beams arrayed along a first axis, and a controller configured to control the drawing so as to perform multiple irradiation of a target portion on the substrate with the plurality of charged particle beams, wherein the controller configured to control the drawing such that the stage is moved in one direction along the first axis with respect to a plurality of regions formed on the substrate along the first axis, and a deflection of charged particle beam for a displacement of charged particle beam along the first axis is performed with respect to drawing on each of the plurality of regions. | 10-30-2014 |
20140322654 | LITHOGRAPHY APPARATUS, AND METHOD FOR MANUFACTURING ARTICLE - A lithography apparatus for substrate patterning, includes a substrate stage having a reference mark, an optical system irradiating the substrate with the charged particle beam, a first measurement device measuring a position of an alignment mark formed on the substrate, a second measurement device having an optical axis apart from an axis of the optical system by a distance shorter than that of the first measurement device, and measuring a position of the reference mark, a processor obtaining a base line of the first measurement device based on positions of the reference mark respectively measured by the first and second measurement device and a base line of the second measurement device, the position of the reference mark being measured by the second measurement device based on an optical signal obtained via the reference mark with the stage moved. | 10-30-2014 |
20140322655 | STAGE APPARATUS, LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - A stage apparatus includes first, second, third, and fourth stages arranged along a plane defined by first and second axes orthogonal to each other, each of the first to fourth stages holding an article and being subjected to scanning along the plane, and a controller configured to control the scanning of the first to fourth stages in synchronization such that a pair of the first and second stages and a pair of the third and fourth stages are respectively positioned symmetrically to each other with respect to the first axis and a pair of the first and third stages and a pair of the second and fourth stages are respectively positioned symmetrically to each other with respect to the second axis. | 10-30-2014 |
20140349235 | DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a drawing apparatus for performing drawing on a substrate with a plurality of charged particle beams, the apparatus including an aperture array member in which a plurality of first apertures, for generating the plurality of charged particle beams, is formed, and a generating device configured to individually generate electric potentials in a plurality of regions of the aperture array member, wherein each of the plurality of regions corresponds to at least one of the plurality of first apertures. | 11-27-2014 |
20140356791 | METHOD OF MAKING NANOSTRUCTURE - A method for making nanostructure is provided. The method includes following steps. A conductive layer including a graphene film is applied on an insulating substrate. A resist layer is placed on the conductive layer. A number of openings are formed by patterning the resist layer via electron beam lithography. A part of the conductive layer is exposed to form a first exposed portion through the plurality of openings. The first exposed portion of the conductive layer is removed to expose a part of the insulting substrate to form a second exposed portion. A preform layer is introduced on the second exposed portion of the insulating substrate. Remaining resist layer and remaining conductive layer are eliminated. A number of nanostructures are formed. | 12-04-2014 |
20140370441 | DEVELOPER FOR PHOTOSENSITIVE RESIST MATERIAL AND PATTERNING PROCESS - An aqueous solution containing 0.1-20 wt % of a cyclic ammonium hydroxide is a useful developer for photosensitive resist materials. A resist pattern is formed by applying a chemically amplified positive resist composition onto a substrate to form a resist film, exposing the resist film to high-energy radiation, and developing the exposed resist film in a cyclic ammonium hydroxide-containing aqueous solution. | 12-18-2014 |
20140370442 | BLOCK COPOLYMERS AND LITHOGRAPHIC PATTERNING USING SAME - Block copolymers and methods of making patterns of organic thin films using the block copolymers. The block copolymers comprise a fluorinated block. Thin films of the block copolymers have microdomains that can be aligned. As a result the patterns of organic thin films having smaller dimensions than the pattern of incident deep-UV or e-beam radiation can be formed. For example, the block copolymers can be used in lithography, filtration, and templating applications. | 12-18-2014 |
20140377706 | DEVELOPER FOR PHOTOSENSITIVE RESIST MATERIAL AND PATTERNING PROCESS - An aqueous solution containing 0.1-20 wt % of a substituted choline or thiocholine hydroxide is a useful developer for photosensitive resist materials. A resist pattern is formed by applying a chemically amplified positive resist composition onto a substrate to form a resist film, exposing the resist film to high-energy radiation, and developing the exposed resist film in an ammonium hydroxide-containing aqueous solution. | 12-25-2014 |
20150044614 | DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a drawing apparatus which performs drawing on a substrate with a plurality of charged particle beams, the apparatus comprising a blanker array including a plurality of blankers and configured to individually blank the plurality of charged particle beams, a plurality of deflectors configured to individually deflect a plurality of charged particle beam groups constituting the plurality of charged particle beams, and a controller configured to individually control positions of the plurality of charged particle beam groups by the plurality of deflectors, and individually control blanking of the plurality of charged particle beams by the blanker array, based on information of a region on the substrate where a shot region exists. | 02-12-2015 |
20150044615 | DRAWING DATA GENERATING METHOD, PROCESSING APPARATUS, STORAGE MEDIUM, DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - A method generates drawing data for performing drawing on a substrate with a plurality of charged particle beams based on pattern data representing a pattern to be drawn on the substrate. The method includes: a grouping step of grouping the plurality of charged particle beams into a plurality of groups based on a displacement amount of an irradiation position of each of the plurality of charged particle beams from target position thereof; and a generating step of generating the drawing data by changing the pattern data with respect to each of the plurality of groups based on the displacement amount of each of the plurality of charged particle beams. | 02-12-2015 |
20150064627 | PATTERNING METHOD USING ELECTRON BEAM AND EXPOSURE SYSTEM CONFIGURED TO PERFORM THE SAME - A patterning method may employ a particle beam, such as an electron beam (E-beam) and an exposure system that may include preparing an exposure layout defining a spatial distribution of an E-beam, performing an E-beam exposure process to a mask layer, based on the exposure layout, performing a developing process to the mask layer to form mask patterns including a first pattern. The first pattern may be a single solid pattern, and the exposure layout may include a first data associated with a plurality of E-beam conditions defined for a first region corresponding to the first pattern. | 03-05-2015 |
20150079521 | METHODS FOR MAKING DIFFERENTIALLY PATTERN CURED MICROSTRUCTURED ARTICLES - Methods for making differentially pattern cured microstructured articles are disclosed, using a molding tool having a microstructured surface, a patterned irradiation to generate irradiate and non-irradiated regions in a radiation curable resin. Different combinations of molding tools and patterned irradiation provide numerous variants of differentially pattern cured microstructured articles without requiring costly modification of the molding tools. | 03-19-2015 |
20150093705 | DEMAGNETIZING APPARATUS, DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a demagnetizing apparatus for demagnetization of an object, comprising a coil configured to generate a magnetic field for demagnetizing the object, and a supply device configured to supply, to the coil, an alternating current whose amplitude decreases with time, wherein the supply device supplies the alternating current to the coil such that an amplitude of the alternating current is larger than an absolute value of a current value at which magnetic saturation is occurred in the object in a first period, an absolute value of a rate of change in amplitude of the alternating current is larger than that in the first period in a second period, and an amplitude of the alternating current is smaller than an absolute value of a current value corresponding to a coercive force of the object in a third period. | 04-02-2015 |
20150118624 | COMPOSITION FOR FORMING RESIST UNDERLAYER - The present invention provides a dendrimer compound capable of improving critical dimension uniformity and DOF (depth of focus) margin, and also provides a composition capable of forming an underlayer film. The dendrimer compound comprises a branched chain having a central aromatic skeleton and amide or ester bond, and is contained in the composition for forming an underlayer film. | 04-30-2015 |
20150118625 | BLOCK COPOLYMER SELF-ASSEMBLY FOR PATTERN DENSITY MULTIPLICATION AND RECTIFICATION - Provided herein is a method, including a) transferring an initial pattern of an initial template to a substrate; b) performing block copolymer self-assembly over the substrate with a density multiplication factor k; c) creating a subsequent pattern in a subsequent template with the density multiplication factor k; and d) repeating steps a)-c) with the subsequent template as the initial template until a design specification for the subsequent pattern with respect to pattern density and pattern resolution is met. | 04-30-2015 |
20150140492 | CONDUCTIVE POLYMER COMPOSITION, COATED ARTICLE HAVING ANTISTATIC FILM FORMED FROM THE COMPOSITION, AND PATTERNING PROCESS USING THE COMPOSITION - The present invention is a conductive polymer composition containing a π-conjugated conductive polymer, a polyanion, and a gemini surfactant. There can be provided a conductive polymer composition that has excellent antistatic performance and excellent application properties, does not adversely affect a resist, and can be suitably used in lithography using electron beam or the like. | 05-21-2015 |
20150302881 | IMPRINT TEMPLATE AND METHODS THEREOF - Provided herein is a method, including forming a first template including a first pattern, wherein forming the first template includes self-assembly of diblock copolymers guided by an initial pattern; forming a second template including a second pattern, wherein the second pattern corresponds to a servo pattern; and forming a master template from the first template, wherein the master template includes one or more portions of the first pattern combined with the second pattern. | 10-22-2015 |
20150348744 | MOVING APPARATUS AND CHARGED PARTICLE BEAM DRAWING SYSTEM - A moving apparatus includes a moving member movable in a first direction, a drive unit that drives the moving member, and a magnetic field shielding unit made of a magnetic material to shield at least part of a magnetic field emanating from the drive unit. The drive unit includes a stator and a movable element connected to the moving member. The magnetic field shielding unit includes a first plate connected to the moving member and disposed between the moving member and the movable element, two side plates connected to the first plate and having end portions extending in the first direction, and a second plate connected to the stator and extending in the first direction to surround the movable element and at least part of the stator at plural positions in accordance with movement of the moving member in the first direction together with the first plate and side plates. | 12-03-2015 |
20160004160 | RESIST PATTERNING METHOD, LATENT RESIST IMAGE FORMING DEVICE, RESIST PATTERNING DEVICE, AND RESIST MATERIAL - A resist patterning method according to the present invention includes: a resist layer forming step S | 01-07-2016 |
20160144361 | PILLAR ARRAY STRUCTURE WITH UNIFORM AND HIGH ASPECT RATIO NANOMETER GAPS - A technique related to sorting entities is provided. An inlet is configured to receive a fluid, and an outlet is configured to exit the fluid. A nanopillar array, connected to the inlet and the outlet, is configured to allow the fluid to flow from the inlet to the outlet. The nanopillar array includes nanopillars arranged to separate entities by size. The nanopillars are arranged to have a gap separating one nanopillar from another nanopillar. The gap is constructed to be in a nanoscale range. | 05-26-2016 |
20160147144 | REAGENT FOR ENHANCING GENERATION OF CHEMICAL SPECIES - A reagent that enhances acid generation of a photoacid generator and composition containing such reagent is disclosed. | 05-26-2016 |
20160154312 | RINSE SOLUTION FOR PATTERN FORMATION AND PATTERN FORMING PROCESS | 06-02-2016 |
20160154314 | RINSE SOLUTION FOR PATTERN FORMATION AND PATTERN FORMING PROCESS | 06-02-2016 |
20160161850 | SHRINK MATERIAL AND PATTERN FORMING PROCESS - A shrink material is provided comprising a specific polymer and a solvent containing an anti-vanishing solvent. A pattern is formed by applying a resist composition comprising a base resin and an acid generator onto a substrate to form a resist film, exposing, developing in an organic solvent developer to form a negative resist pattern, applying the shrink material onto the pattern, and removing the excessive shrink material with an organic solvent for thereby shrinking the size of holes and/or slits in the pattern. | 06-09-2016 |
20160161851 | SHRINK MATERIAL AND PATTERN FORMING PROCESS - A shrink material is provided comprising a polymer comprising recurring units of formula (1) and a solvent containing an anti-vanishing solvent. A pattern is formed by applying a resist composition comprising a base resin and an acid generator onto a substrate to form a resist film, exposing, developing in an organic solvent developer to form a negative resist pattern, applying the shrink material onto the pattern, and removing the excessive shrink material with an organic solvent for thereby shrinking the size of holes and/or slits in the pattern. | 06-09-2016 |
20160176712 | METHOD FOR PRODUCING TWO-DIMENSIONALLY PATTERNED CARBON NANOTUBE AND TWO-DIMENSIONALLY PATTERNED CARBON NANOTUBE | 06-23-2016 |
20160202612 | PATTERN FORMING PROCESS AND SHRINK AGENT | 07-14-2016 |