Entries |
Document | Title | Date |
20080199804 | POLYMERIZABLE COMPOSITION, LITHOGRAPHIC PRINTING PLATE PRECURSOR AND LITHOGRAPHIC PRINTING METHOD - A polymerizable composition contains a binder polymer containing a functional group having a dipole moment of 3.8 debye or more and being represented by the formula (1), (2), (3), (4) or (5) as defined herein, a radical polymerizable compound and a radical polymerization initiator. | 08-21-2008 |
20080199805 | PHOTOSENSITIVE COMPOSITIONS EMPLOYING SILICON-CONTAINING ADDITIVES - A photosensitve composition exhibiting high resolution and enhanced, tunable O | 08-21-2008 |
20080199806 | PATTERNING PROCESS AND RESIST COMPOSITION - A pattern is formed by applying a positive resist composition comprising a polymer comprising 7-oxanorbornane ring-bearing recurring units and acid labile group-bearing recurring units and an acid generator onto a substrate to form a resist film, heat treating and exposing the resist film to radiation, heat treating and developing the resist film with a developer, and causing the resist film to crosslink and cure with the aid of acid and/or heat. A second resist pattern is then formed in the space area of the first resist pattern. The double patterning process reduces the pitch between patterns to one half. | 08-21-2008 |
20080206666 | Material For The Treatment Of Lithographic Substrates And Lithographic Printing Plates - Phosphono-substituted siloxanes suitable as interlayer material in lithographic substrates and for post-treating developed lithographic printing plates, obtainable by reacting (a) a first organosilicon compound of the general formula (I) and (b) a second organosilicon compound of the general formula (II). | 08-28-2008 |
20080206667 | HIGH ETCH RESISTANT UNDERLAYER COMPOSITIONS FOR MULTILAYER LITHOGRAPHIC PROCESSES - An etch resistant thermally curable Underlayer for use in a multiplayer liyhographic process to produce a photolithographic bilayer coated substrate, the composition having:
| 08-28-2008 |
20080206668 | NEGATIVE RESIST COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - A negative resist composition includes: (A) a compound having at least one episulfide structure (a three-membered ring structure comprising two C atoms and one S atom); (B) an alkali-soluble resin; and (C) a compound capable of generating an acid upon irradiation with actinic rays or radiation, and a pattern forming method using the composition. | 08-28-2008 |
20080206669 | POSITIVE WORKING RESIST COMPOSITION AND PATTERN FORMING METHOD - A positive working resist composition comprises a specific resin. The specific resin comprises: a repeating unit having a first specific group; and a repeating unit having a second specific group, the first specific group being different from the second specific group; and a specific structure in an end terminal of the resin. The specific resin decomposes by action of an acid to increase its solubility in an alkaline developer. | 08-28-2008 |
20080206670 | INFRARED LASER-SENSITIVE PLANOGRAPHIC PRINTING PLATE PRECURSOR - The invention provides an infrared laser-sensitive planographic printing plate precursor, comprising: a support having a hydrophilic surface; and a single layer or a plurality of photosensitive layers disposed on the support having a hydrophilic surface, wherein the outermost layer of the photosensitive layers includes an infrared absorbent and a copolymer that includes, as copolymerization components, (i) an acrylate or methacrylate having an alkyl group having four or more carbon atoms, (ii) an acrylate or methacrylate having an alkyl group having 1 through 3 carbon atoms, and (iii) a polymerizing monomer having an acid group, and the amount of the acrylate or methacrylate having an alkyl group having four or more carbon atoms being in a range of 0.1 to 20 mole percent of the copolymer. | 08-28-2008 |
20080213688 | Photosensitive Transfer Material, Pattern Forming Process, and Patterns - The present invention aims to provide a photosensitive transfer material which allows for preventing light fog under safelight even with a highly sensitive photosensitive transfer layer, and is particularly preferably used in producing printed circuit boards and color filters for liquid crystal displays (LCDs). | 09-04-2008 |
20080213689 | WATERMARK DEFECT REDUCTION BY RESIST OPTIMIZATION - A method is disclosed for lithographic processing. In one aspect, the method comprises obtaining a resist material with predetermined resist properties. The method further comprises using the resist material for providing a resist layer on the device to be lithographic processed. The method further comprises illuminating the resist layer according to a predetermined pattern to be obtained. The obtained resist material comprises a tuned photo-acid generator component and/or a tuned quencher component and/or a tuned acid mobility as to reduce watermark defects on the lithographic processed device. In another aspect, a corresponding resist material, a set of resist materials, use of such materials and a method for setting up a lithographic process are disclosed. | 09-04-2008 |
20080213690 | Resist Material and Electron Beam Recording Resist Material - This invention generally provides a resist material that has high sensitivity to light and electron beams and can form a clear and fine pattern at a smaller exposure. The resist material comprises a metal compound and is characterized in that a metal element constituting the metal compound is a group 14 or 15 metal element and the metal compound is a stoichiometrically incomplete compound. | 09-04-2008 |
20080213691 | Method to print photoresist lines with negative sidewalls - A write pole for vertical magnetic recording is described. It includes a trapezoidal prism of high magnetic moment material, having inwardly sloping sidewalls. Its parallel surfaces are between about 0.1 and 0.3 microns apart and the sidewalls slope in the range of 15.5 to 60 degrees relative to vertical. | 09-04-2008 |
20080213692 | RADIATION SENSITIVE COMPOSITION, MICROLENS, PROCESS FOR FORMING THE MICROLENS AND USE OF THE MICROLENS - A radiation sensitive composition containing inorganic oxide particles; a copolymer of at least one unsaturated compound selected from the group consisting of an unsaturated carboxylic acid and an unsaturated carboxylic anhydride and other unsaturated compound different from the unsaturated compound; a polyfunctional unsaturated compound having at least two ethylenically unsaturated groups in the molecule; and a radiation sensitive polymerization initiator. The composition is useful for making a microlens. | 09-04-2008 |
20080220365 | NEGATIVE-WORKING IMAGEABLE ELEMENTS AND METHODS OF USE - A radiation-sensitive composition includes a free radically polymerizable component, an initiator composition capable of generating free radicals sufficient to initiate polymerization upon exposure to imaging radiation, an infrared radiation absorbing dye having a tetraaryl pentadiene chromophore, a polymeric binder comprising a polymer backbone to which are directly or indirectly linked poly(alkylene glycol) side chains, and a nonionic phosphate acrylate having a molecular weight of at least 250. This composition can be used to prepare a negative-working imageable element that is sensitive to suitable imaging radiation and can be imaged at relatively low energy and developed either on-press or off-press. | 09-11-2008 |
20080220366 | Resist pattern processing equipment and resist pattern processing method - A resist pattern processing apparatus comprises a stage for mounting a substrate having a patterned photoresist arranged on a surface thereof, a UV-emitting part for emitting UV rays to the stage, and an annular member for surrounding the whole periphery of the substrate. This allows the annular member to restrain ozone supplied near a mounting surface for the substrate on the stage from diffusing to the periphery of the stage, whereby the ozone concentration becomes even in the surface of the substrate mounted on the stage. | 09-11-2008 |
20080227024 | PHOTOSENSITIVE COMPOSITION, AND CURED RELIEF PATTERN PRODUCTION METHOD AND SEMICONDUCTOR DEVICE USING THE SAME - A photosensitive resin composition includes (a) a resin comprising a repeating unit represented by a following formula (1); (b) a photosensitive agent; (c) a thermo-acid generator; and (d) a compound having at least one of an alkoxymethyl group and an acyloxymethyl group, | 09-18-2008 |
20080227025 | RESIN FOR HYDROPHOBILIZING RESIST SURFACE, METHOD FOR PRODUCTION THEREOF, AND POSITIVE RESIST COMPOSITION CONTAINING THE RESIN - A resin is to be added to a resist composition and localized on a surface of a resist film so as to hydrophobilize the surface of a resist film and has a peak area of a high molecular weight component having a molecular weight of 30,000 or more is 0.1% or less of a total peak area in a molecular weight distribution measured by gel permeation chromatography. | 09-18-2008 |
20080227026 | Lithographic printing plate precursor - A lithographic printing plate precursor having a good press life with a practical energy amount, which can be on-press developed without passing through a development processing step after recording an image by a laser of emitting an infrared ray, is provided, which is a lithographic printing plate precursor capable of performing a development and printing by loading on a printing press after imagewise exposure and supplying an oily ink and an aqueous component, the lithographic printing plate precursor comprising a support and an image recording layer, wherein the image recording layer comprises (A) a polymerization initiator, (B) a polymerizable monomer, (C) a binder polymer, and (D) a crosslinked resin particle having a reactive group or (F) a microcapsule containing a polymerizable monomer in the capsule wall, and the image recording layer is imagewise polymerization-curable upon irradiation of actinic ray. | 09-18-2008 |
20080227027 | Method for forming photoresist pattern and photoresist laminate - A method for forming a photoresist pattern involves the steps of: depositing a photoresist film on a substrate, the photoresist film containing an acid-generating agent capable of generating an acid upon exposure to light; overlaying an antireflective film over the photoresist film, the antireflective film containing a fluorine-based acidic compound; selectively exposing the photoresist; and developing the photoresist. The novel method is characterized in that the acid-generating agent and the fluorine-based acidic compound are selected so that the acid that the acid-generating agent generates in the photoresist film upon exposure to light has a higher acidity than the fluorine-based acidic compound in the antireflective film. | 09-18-2008 |
20080227028 | PHOTORESIST TOPCOAT FOR A PHOTOLITHOGRAPHIC PROCESS - A composition that includes functionalized polyhedral oligomeric silsesquioxanes derivatives of the formulas T | 09-18-2008 |
20080233513 | Heat-Resistant Photosensitive Resin Composition, Method For Forming Pattern Using the Composition, and Electronic Part - A heat resistant photosensitive resin composition having excellent film properties is provided by constituting a photosensitive resin composition containing (A) a polymer having an acid functional group and/or a substituent derived therefrom, (B) a compound having at least one substituent derived from an amine functional group, (C) a photoreactive compound, and (D) a solvent. Using this composition, a pattern with high resolution can be produced, and thus an electronic part having a high quality can be produced. | 09-25-2008 |
20080233514 | POSITIVE PHOTORESIST COMPOSITION WITH A POLYMER INCLUDING A FLUOROSULFONAMIDE GROUP AND PROCESS FOR ITS USE - A positive photoresist composition comprises a radiation sensitive acid generator, and a polymer that includes a first repeating unit derived from a sulfonamide monomer including a fluorosulfonamide functionality, a second repeating unit having a pendant acid-labile moiety, and a third repeating unit having a lactone functionality. The positive photoresist composition may be used to form patterned features on a substrate, such as those used in the manufacture of a semiconductor device. | 09-25-2008 |
20080241735 | Lithographic Process - The present invention provides a lithographic process for producing high aspect ratio parts from an epoxy-type negative photoresist comprising the steps of: (i) irradiating a prebaked masked epoxy-type negative photoresist on a substrate with light at a total energy density of from 18,000 to 35,000 mJ/cm | 10-02-2008 |
20080241736 | RESIST COMPOSITION AND PATTERNING PROCESS - To a resist composition comprising a polymer which changes its alkali solubility under the action of an acid as a base resin, is added a copolymer comprising recurring units containing a carboxylic acid ammonium salt and recurring units containing at least one fluorine atom as an additive. The composition is suited for immersion lithography. | 10-02-2008 |
20080241737 | RESIST COMPOSITION AND PATTERN-FORMING METHOD USING SAME - A resist composition comprises (A) at least two kinds of resins each of which decomposes by the action of an acid to undergo an increase in its solubility for an alkali developer, wherein at least one kind of the resins (A) is a resin synthesized by living radical polymerization using a chain transfer agent represented by formula (I): | 10-02-2008 |
20080241738 | LITHOGRAPHIC PRINTING PLATE PRECURSOR AND PRINTING METHOD - A lithographic printing plate precursor includes: a support; and an ink-receptive layer which contains a particle of an organic polymer and a compound comprising a fluorine atom, or contains a particle of an organic polymer containing a fluorine atom. | 10-02-2008 |
20080241739 | LITHOGRAPHIC PRINTING PLATE PRECURSOR AND METHOD FOR PREPARING LITHOGRAPHIC PRINTING PLATE - A lithographic printing plate precursor includes a support; and an image forming layer formed from a photosensitive composition, wherein the photosensitive composition includes: a photopolymerization initiator; a polymerizable compound; and a binder polymer including a graft chain, and the graft chain is a hydrophilic graft chain including a hydrophilic group. | 10-02-2008 |
20080241740 | LITHOGRAPHIC PRINTING PLATE PRECURSOR AND METHOD OF PREPARING LITHOGRAPHIC PRINTING PLATE - A lithographic printing plate precursor includes: a support having a surface, a contact angle of water droplet in air on which is 70° or more; and a photosensitive layer, wherein the support has, on a surface of the support, a compound having a functional group X, the functional group X is a functional group capable of forming a chemical bond with a compound having a functional group Y which can interact with the functional group X, when the functional group X is brought into contact with the compound having a functional group Y, to adsorb the compound having a functional group Y on the surface of the support so as to decrease the contact angle of water droplet in air on the surface of the support to 30° or less. | 10-02-2008 |
20080248417 | Polyhydric phenol compound and chemically amplified resist composition containing the same - The present invention provides a polyhydric phenol compound represented by the formula (I): | 10-09-2008 |
20080248418 | SYNTHESIS OF FLUOROALCOHOL-SUBSTITUTED (METH)ACRYLATE ESTERS AND POLYMERS DERIVED THEREFROM - This invention relates to processes for preparing fluoroalcohol-substituted (meth)acrylate esters. This invention also relates to block copolymers incorporating repeat units derived from fluoroalcohol-substituted (meth)acrylate esters, and photoresists derived therefrom. | 10-09-2008 |
20080261146 | Lithographic Printing Plate Precursor - To provide a lithographic printing plate precursor which generates no stains in the non-image area and is also excellent in development latitude. Disclosed is a lithographic printing plate precursor comprising a support and a photosensitive layer, said lithographic printing plate precursor further comprising a subbing layer containing a maleamic acid (co)polymer, in which at least one hydrogen atom on a nitrogen atom is substituted with an onium group, provided between the photosensitive layer and the support. | 10-23-2008 |
20080261147 | Photoactive Compounds - The present invention relates to novel photoactive compounds that can be used in formulating photoresist compositions. | 10-23-2008 |
20080261148 | LIGHT TRANSMISSIVE STAMPER, PRODUCTION METHOD THEREOF, AND PRODUCTION METHOD OF MULTILAYER OPTICAL RECORDING MEDIUM - Provided is a light transmissive stamper having light transmissivity with respect to an ultraviolet ray and durability for repeated use. Specifically, on a light transmissive substrate, a light transmissive auxiliary layer and an inorganic resist layer are formed sequentially, and a light beam is selectively irradiated to the inorganic resist layer, to thereby modify an inorganic resist ranging from a surface of the inorganic resist layer to a surface of the light transmissive auxiliary layer. Then, a part modified by the light beam or an unmodified part is selectively removed until the light transmissive auxiliary layer is exposed, thereby forming an uneven pattern corresponding to an information pattern in the inorganic resist layer. | 10-23-2008 |
20080261149 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, ACID ETCHING RESISTANCE MATERIAL AND COPOLYMER - Disclosed is an acid etching resistance material comprising a compound having a repeating unit represented by the following general formula (1): | 10-23-2008 |
20080261150 | PATTERN FORMING METHOD, RESIST COMPOSITION FOR MULTIPLE DEVELOPMENT USED IN THE PATTERN FORMING METHOD, DEVELOPER FOR NEGATIVE DEVELOPMENT USED IN THE PATTERN FORMING METHOD, AND RINSING SOLUTION FOR NEGATIVE DEVELOPMENT USED IN THE PATTERN FORMING METHOD - A pattern forming method, including: (A) coating a substrate with a positive resist composition of which solubility in a positive developer increases and solubility in a negative developer decreases upon irradiation with actinic rays or radiation, so as to form a resist film; (B) exposing the resist film; and (D) developing the resist film with a negative developer; a positive resist composition for multiple development used in the method; a developer for use in the method; and a rinsing solution for negative development used in the method. | 10-23-2008 |
20080268369 | Organic Film Composition and Method for Forming Resist Pattern - Disclosed is a highly practical composition for under layers which enables to form a good undercut profile without causing a intermixing layer between an upper layer resist and an under layer film in a bi-layer photoresist process. Also disclosed is a method for forming a resist pattern. Specifically disclosed is a composition for under layer organic films for forming a resist pattern having an undercut profile on a substrate by exposing and developing a bi-layer film through a mask which bi-layer film is formed on the substrate and composed of an under layer organic film and an upper layer positive resist film. Such a composition for under layer organic films comprises an alkali-soluble resin (A) obtained by condensing a phenol component (A1) which is a mixture of 3-methylphenol and 4-methylphenol and an aldehyde component (A2) comprising an aromatic aldehyde and formaldehyde, and a solvent (B). Also specifically disclosed is a method for forming a resist pattern using such a composition of under layer organic films. | 10-30-2008 |
20080268370 | Positive resist compositions and patterning process - A positive resist composition comprises (A) a resin component which becomes soluble in an alkaline developer under the action of an acid and (B) an acid generator. The resin (A) is a polymer comprising specific recurring units. The acid generator (B) is a specific sulfonium salt compound. When processed by lithography, the composition is improved in resolution, and forms a pattern with a minimal line edge roughness. | 10-30-2008 |
20080268371 | LASER-DECOMPOSABLE RESIN COMPOSITION AND PATTERN-FORMING MATERIAL USING THE SAME - A laser-decomposable resin composition, includes: (A) a polymer compound containing a structure represented by formula (0) as a repeating unit in a main chain of the polymer compound: | 10-30-2008 |
20080268372 | LITHOGRAPHIC PRINTING PLATE PRECURSOR - A lithographic printing plate precursor includes: a support; and a photosensitive layer containing a binder polymer containing a positively charged nitrogen atom in at least one of a main chain and a side chain of the binder polymer, a compound containing an ethylenically unsubstituted bond; and a radical polymerization initiator. | 10-30-2008 |
20080268373 | Imide-Urethane Resin, Photosensitive Resin Composition Including the Same and Cured Product - [PROBLEMS] To provide a photosensitive resin composition which has excellent photosensitivity and gives a cured product reduced in warpage and excellent in flexing properties, adhesion, pencil hardness, solvent resistance, acid resistance, heat resistance, resistance to gold plating, etc. | 10-30-2008 |
20080274421 | PHOTOSENSITIVE COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - A photosensitive composition contains: a compound capable of generating an acid upon irradiation with actinic rays or radiation; a basic compound represented by the formula (I-a) as defined herein; a basic compound represented by the formula (I-b) as defined herein; and a surfactant represented by the formula (II) as defined herein. | 11-06-2008 |
20080274422 | PREPARATION PROCESS OF CHEMICALLY AMPLIFIED RESIST COMPOSITION - Provided are a preparation method of a resist composition which enables stabilization of a dissolution performance of a resist film obtained from the resist composition thus prepared; and a resist composition obtained by the preparation process and showing small lot-to-lot variations in degradation over time. The process of the present invention is for preparing a chemically amplified resist composition containing a binder, an acid generator, a nitrogenous basic substance and a solvent and it has steps of selecting, as the solvent, a solvent having a peroxide content not greater than an acceptable level, and mixing constituent materials of the resist composition in the selected solvent. | 11-06-2008 |
20080280227 | EXPOSING PRINTING PLATES USING LIGHT EMITTING DIODES - Particular embodiments include method embodiments and apparatus embodiments. One method embodiment comprises: placing a printing plate on an imaging device; imaging the plate according to imaging data; and applying UV radiation using a plurality of UV emitting LEDs during the process of imaging of the printing plate. In one embodiment, the plate initially has ablatable material, and wherein the imaging includes ablating ablatable material on the plate according to the imaging data to form an ablated plate, and wherein the applying the UV radiation includes exposing the ablated plate to UV radiation to cure the plate. In one embodiment, the imaging device is an external drum imager that includes a drum that rotates during imaging. | 11-13-2008 |
20080286682 | MATERIAL AND METHOD FOR PHOTOLITHOGRAPHY - A photosensitive material for use in semiconductor manufacture comprises a copolymer that includes a plurality of photoresist chains and a plurality of hydrophobic chains, each hydrophobic chain attached to the end of one of the photoresist chains. The copolymer in response to externally applied energy will self-assemble to a photoresist layer and a hydrophobic layer. | 11-20-2008 |
20080286683 | COMPOSITE STRUCTURES TO PREVENT PATTERN COLLAPSE - A method and a structure. The structure includes: a solid core comprising a first photoresist material, the core having a bottom surface on a substrate, a top surface and opposite first and second side surfaces between the top surface and the bottom surface; and a shell comprising a second photoresist material, the shell on the top surface of the substrate, the shell containing a cavity open to the top surface of the substrate, the shell formed over the top surface and the first and second side surfaces walls of the core, the core completely filling the cavity. The core is stiffer than the shell. The method includes: forming the core from a first photoresist layer and forming the shell from a second photoresist layer applied over the core. The core may be cross-linked to increase its stiffness. | 11-20-2008 |
20080286684 | COATING MATERIAL FOR PHOTORESIST PATTERN AND METHOD OF FORMING FINE PATTERN USING THE SAME - A coating material for a photoresist pattern includes a water-soluble polymer and an additive mixed with the water-soluble polymer. The additive may be at least one selected from the group represented by Formulas 1 and 2: | 11-20-2008 |
20080286685 | PLANOGRAPHIC PRINTING PLATE PRECURSOR AND PRINTING METHOD USING THE SAME - The invention provides a planographic printing plate precursor having at least: a support; and an image recording layer that is provided on the support, the image recording layer comprising: an infrared ray absorbing agent (A); a polymerization initiator (B); a polymerizable compound (C); and a compound (D) represented by the following Formula (I). | 11-20-2008 |
20080292986 | INKJET PRINTHEAD AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing an inkjet printhead having a small thickness variation and having excellent durability, and an inkjet printhead manufactured by the same. | 11-27-2008 |
20080299486 | Patterned Photoacid Etching and Articles Therefrom - Provided is an article that comprises a substrate comprising an acid-etchable layer, a water-soluble polymer matrix, and a photoacid generator. Also provided is a method for patterning that can provide patterned layers that can be used to form electroactive devices. | 12-04-2008 |
20080299487 | LITHOGRAPHY MATERIAL AND LITHOGRAPHY PROCESS - An immersion lithography resist material comprising a matrix polymer having a first polarity and an additive having a second polarity that is substantially greater than the first polarity. The additive may have a molecular weight that is less than about 1000 Dalton. The immersion lithography resist material may have a contact angle that is substantially greater than the contact angle of the matrix polymer. | 12-04-2008 |
20080305427 | Lithographic Printing Plate - It relates to a processless lithographic printing plate requiring no post treatments after exposure to laser, wherein a difference between the lightness (L*1) of a laser unexposed area and the lightness (L*2) of a laser exposed area after irradiation with laser is 10□(L*2−L*1)<100. An object of the present invention is to provide plate bodies having excellent printing plate inspection in a lithographic printing plate in which drawing with laser light and processless are realized. When the lithographic printing plate of the present invention is used, it is possible to provide a processless lithographic printing plate, which is excellent in sensitivity and resolution, requires no treatments such as development, wiping-off or the like and is excellent in printing plate inspection in the laser exposed area by changing only the surface of the laser exposed area into the oleophilicity. | 12-11-2008 |
20080305428 | METHOD FOR APPLYING A RESIST LAYER, USES OF ADHESIVE MATERIALS, AND ADHESIVE MATERIALS AND RESIST LAYER - A method in which a resist layer is applied to a base layer is disclosed. The resist layer includes an adhesive material, and the adhesive force of the adhesive material decreases or increases during an irradiation process. Residues of the resist layer may be stripped using the disclosed method. | 12-11-2008 |
20080305429 | RESIST COMPOSITION AND PATTERN FORMING METHOD USING THE RESIST COMPOSITION - A resist composition, includes: (A) a resin of which solubility in an alkali developer increases under an action of an acid; (B) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (C) a hydrophobic resin; and (D) a solvent, wherein a difference between a weight average molecular weight of the resin (A) and a weight average molecular weight of the hydrophobic resin (C) satisfies the following formula: weight average molecular weight of resin (A)−weight average molecular weight of hydrophobic resin (C)≧about 3,000; and a pattern forming method uses the resist composition. | 12-11-2008 |
20080311506 | GRADED TOPCOAT MATERIALS FOR IMMERSION LITHOGRAPHY - A topcoat material for immersion lithography and a method of performing immersion lithography using the topcoat material. The topcoat material includes a mixture of a first polymer and a second polymer. The first and second polymers of the topcoat material, when the topcoat material is formed into a topcoat layer between an immersion fluid and a photoresist layer, disperse non-homogenously throughout the topcoat layer. | 12-18-2008 |
20080311507 | Fluorine-Containing Compound, Fluorine-Containing Polymer, Postive-Type Resist Composition, And Patterning Process Using Same - Disclosed is a fluorine-containing compound represented by formula (1), | 12-18-2008 |
20080311508 | PROCESS OF MAKING A SEMICONDUCTOR DEVICE USING MULTIPLE ANTIREFLECTIVE MATERIALS - A lithographic structure consisting essentially of: an organic antireflective material disposed on a substrate; a vapor-deposited RCHX material, wherein R is one or more elements selected from the group consisting of Si, Ge, B, Sn, Fe and Ti, and wherein X is not present or is one or more elements selected from the group consisting of O, N, S and F; and a photoresist material disposed on the RCHX material. The invention is also directed to methods of making the lithographic structure, and using the structure to pattern a substrate. | 12-18-2008 |
20080318152 | Substrate for Exposure, Exposure Method and Device Manufacturing Method - A substrate for exposure prevents interference with a substrate holder at the time of being loaded onto the substrate holder and prevents a liquid from entering into a rear plane side after being loaded. A substrate (P) for exposure is a substrate to be exposed by irradiation of exposure light through the liquid, and has a size tolerance (DP) of an outer diameter (LP) of ±0.02 mm or less. | 12-25-2008 |
20080318153 | PHOTOSENSITIVE LAYER STACK - A photosensitive layer stack and methods for multiple exposure lithography are disclosed having a bleachable layer with a first absorption switching from absorptive to transmissive upon irradiation and a photochromic layer having a second absorption switching from transmissive to absorptive upon irradiation. | 12-25-2008 |
20080318154 | Ink-Less Printing - A method of ink-less printing comprises the steps of: providing a source ( | 12-25-2008 |
20080318155 | LITHOGRAPHIC PRINTING PLATE PRECURSOR AND PLATE MAKING METHOD - A lithographic printing plate precursor includes: an aluminum support; an intermediate layer; and an image-recording layer, in this order, wherein at least one of the intermediate layer and the image-recording layer contains a compound having an amino group and a functional group capable of interacting with the aluminum support in a molecule. | 12-25-2008 |
20080318156 | Adamantane Based Molecular Glass Photoresists for Sub-200 Nm Lithography - Disclosed are glass photoresists generated from adamantane derivatives containing acetal and/or ester moieties as novel high-performance photoresist materials. Some of the disclosed adamantane-based glass resists have a tripodal structure and other disclosed adamantane-based glass resists include one or more cholic groups. The disclosed adamantane derivatives can be synthesized from starting materials which are commercially available. By way of example only, one of many disclosed amorphous glass photoresists has the following structure: | 12-25-2008 |
20080318157 | RADIATION SENSITIVE SELF-ASSEMBLED MONOLAYERS AND USES THEREOF - The invention is directed to a radiation sensitive compound comprising a surface binding group proximate to one end of the compound for attachment to a substrate, and a metal binding group proximate to an opposite end of the compound. The metal binding group is not radiation sensitive. The radiation sensitive compound also includes a body portion disposed between the surface binding group and the metal binding group, and a radiation sensitive group positioned in the body portion or adjacent to the metal binding group. The surface binding group is capable of attaching to a substrate selected from a metal, a metal oxide, or a semiconductor material. | 12-25-2008 |
20090004595 | INITIATOR COMPOSITIONS, NEGATIVE-WORKING IMAGEABLE ELEMENTS, AND METHODS OF USE - An initiator composition and infrared radiation-sensitive composition include an onium cation and a boron-containing anion as well as a metallocene. These compositions can be used to provide negative-working imageable elements that can be imaged and developed to provide lithographic printing plates that have desired imaging speed, excellent run length, and shelf life without the need for a post-exposure baking step and oxygen barrier overcoat. | 01-01-2009 |
20090004596 | FUSED AROMATIC STRUCTURES AND METHODS FOR PHOTOLITHOGRAPHIC APPLICATIONS - A resist composition and a method for forming a patterned feature on a substrate. The composition comprises a molecular glass having at least one fused polycyclic moiety and at least one base soluble functional group protected with an acid labile protecting group, and a photosensitive acid generator. The method includes providing a composition including a photosensitive acid generator and a molecular glass having at least one fused polycyclic moiety and at least one base soluble functional group protected with an acid labile protecting group, forming a film of the composition on the substrate, patternwise imaging the film, wherein at least one region of the film is exposed to radiation or a beam of particles, resulting in production of an acid catalyst in the exposed region, baking the film, developing the film, resulting in removal of base-soluble exposed regions, wherein a patterned feature from the film remains following the removal. | 01-01-2009 |
20090004597 | Photosensitive Composition, Display Member, and Process for Producing The Same - Disclosed is a photosensitive composition comprising to 5% by weight of a photosensitive organic component and 50 to 95% by weight of a glass powder, wherein the glass powder contains 70 to 85% by weight of Bi | 01-01-2009 |
20090011360 | Photo-resist Material Structure and Method of Producing the Same - An apparatus includes a substrate and a photoresist material structure arranged adjacent to the substrate so that a cavity is formed between the substrate and the photoresist material structure. The cavity has an opening. The photoresist material structure includes a frame portion disposed on a main side of the substrate and a cap portion spanning over a part of the main side of the substrate at a distance to the main side. The cap portion is formed in the first photoresist layer and the frame portion is formed in the second photoresist layer. | 01-08-2009 |
20090011361 | AMINE-ARRESTING ADDITIVES FOR MATERIALS USED IN PHOTOLITHOGRAPHIC PROCESSES - Novel, poison-blocking compositions and methods of using those compositions to form poison-blocking layers are provided. The compositions comprise a typical composition used in microlithographic processes, but with a poison-blocking additive included in that composition. The preferred additive is a compound comprising one or more blocked isocyanates. Upon heating to certain temperatures, the blocking group is released from the isocyanate, leaving behind a moiety that is highly reactive with the poisonous amines generated by typical dielectric layers. | 01-08-2009 |
20090011362 | PATTERN FORMING METHOD - A pattern forming method performs a multiple exposure process, the multiple exposure process comprising: exposing a resist film with actinic rays or radiation a plurality of times, wherein a contact angle of the resist film for water is 75° or more. | 01-08-2009 |
20090023093 | ACID-AMPLIFIER HAVING ACETAL GROUP AND PHOTORESIST COMPOSITION INCLUDING THE SAME - An acid-amplifier having an acetal group and a photoresist composition including the same, are disclosed. The acid-amplifier produces an acid (second acid) during a post-exposure-bake (PEB), which is induced by an acid (first acid) generated from a photo-acid generator (PAG) at the exposure process so that a line edge roughness (LER) of the photoresist pattern and photoresist energy sensitivity are improved. The acid-amplifier has a structure of following Formula 1. | 01-22-2009 |
20090029283 | MANUFACTURING METHOD OF ALUMINUM SUPPORT FOR PLANOGRAPHIC PRINTING PLATE MATERIAL, ALUMINUM SUPPORT FOR PLANOGRAPHIC PRINTING PLATE MATERIAL, AND PLANOGRAPHIC PRINTING PLATE MATERIAL - Disclosed is a manufacturing method of an aluminum support for a planographic printing plate material, which comprises electrolytically surface-roughening an aluminum plate in an electrolytic solution containing mainly hydrochloric acid at current density of from 35 to 150 A/dm | 01-29-2009 |
20090029284 | PATTERN COATING MATERIAL AND PATTERN FORMING METHOD - There are provided a coating material which improves an etching resistance of a pattern in an etching process using a pattern formed on a substrate as a mask. | 01-29-2009 |
20090029285 | METHOD FOR PRODUCING PHOTOSENSITIVE LAMINATE ORIGINAL PRINTING PLATE FOR LETTERPRESS PRINTING, PHOTOSENSITIVE LAMINATE ORIGINAL PRINTING PLATE FOR LETTERPRESS PRINTING, AND METHOD FOR PRODUCING LETTERPRESS PRINTING PLATE - A mask pattern of high outline precision is formed on a photosensitive resin layer by a step of printing a predetermined pattern of a printing ink composition containing a light-blocking substance for absorbing light in a predetermined wavelength region and curable when receiving a predetermined energy on the photosensitive resin layer formed on a support and exhibiting a sensitivity to light in the predetermined wavelength region or on an intermediate layer formed on the photosensitive resin layer, and a step of forming a mask pattern by applying the predetermined energy to the print pattern to cure the print pattern. The problems when conventional negative films are employed in letterpress printing and when a mask pattern is formed of an ink composition directly on the surface of the photosensitive resin layer can thereby be solved simultaneously. | 01-29-2009 |
20090029286 | Method for Fabricating Photoresist Pattern - Disclosed is a method for fabricating a photoresist pattern. The method includes coating photoresist on an etch target layer, forming an initial photoresist pattern through an exposure process using a mask, and growing the initial photoresist pattern to form a final photoresist pattern by using an application of a photoresist material including a reactive organic material. | 01-29-2009 |
20090035691 | POSITIVE RESIST COMPOSITION, METHOD FOR FORMING RESIST PATTERN AND COMPOUND - A positive resist composition that includes a base material component (A) that contains an acid-dissociable, dissolution-inhibiting group and exhibits increased alkali solubility under the action of acid, and an acid generator component (B) that generates acid upon exposure, wherein the base material component (A) contains a compound (A | 02-05-2009 |
20090035692 | POSITIVE RESIST COMPOSITION AND PATTERN FORMING MEHTOD - A positive resist composition, includes: (A) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (B) a resin of which solubility in an alkali developer increases under an action of an acid; (C) a compound capable of decomposing under an action of an acid to generate an acid; and (D) a compound which itself acts as a base for the acids generated from the component (A) and the component (C) but decomposes upon irradiation with actinic rays or radiation to lose a basicity for the acids generated from the component (A) and the component (C). | 02-05-2009 |
20090035693 | PHOTOSENSITIVE RESIN COMPOSITION, PRODUCTION METHOD FOR CURED RELIEF PATTERN USING IT, AND SEMICONDUCTOR DEVICE - According to the present invention, there is provided: a photosensitive resin composition comprising a polyamide resin having a specific structure, a photosensitive agent, and a compound having at least two sulfonate ester groups; a production method for a cured relief pattern using the photosensitive resin composition; and a semiconductor device containing the cured relief pattern formed according to the production method. | 02-05-2009 |
20090042123 | CALIXRESORCINARENE COMPOUND, PHOTORESIST BASE COMPRISING THE SAME, AND COMPOSITION THEREOF - A calixresorcinarene compound represented by the following formula (1): | 02-12-2009 |
20090042124 | RESIST COMPOSITION CONTAINING NOVEL SULFONIUM COMPOUND, PATTERN-FORMING METHOD USING THE RESIST COMPOSITION, AND NOVEL SULFONIUM COMPOUND - A resist composition includes (A) a compound represented by the following formula (I): | 02-12-2009 |
20090047598 | RESIST COMPOSITION FOR ELECTRON BEAM, X-RAY, OR EUV, AND PATTERN-FORMING METHOD USING THE SAME - A positive resist composition for electron beam, X-ray or EUV includes (A) a compound represented by the following formula (I), and (B) a resin capable of decomposing by the action of an acid to increase solubility in an alkali developing solution, which includes a repeating unit represented by the following formula (II) and a repeating unit represented by the following formula (III): | 02-19-2009 |
20090053645 | Coated glass substrate with ultraviolet blocking characteristics and including a rheological modifier - There is provided a forming a solution by mixing at least a polymeric silane, a solvent, acetone, acetic acid, and a rheological enhancer, wherein the rheological enhancer may comprise an acrylic latex; and agitating the solution. Also provided are methods of using the same in coated articles, and coated articles themselves. | 02-26-2009 |
20090061352 | IMAGEABLE ELEMENTS WITH IMPROVED ABRASION RESISTANCE - Single- and multi-layer positive-working imageable elements include an ink receptive outer layer that includes inorganic, non-metallic, inert discrete particles, such as nano-sized silica, aluminum oxide, or titanium dioxide particles. The presence of these particles in the outermost layer improves the abrasion and scratch resistance of the elements. | 03-05-2009 |
20090061353 | Positive-Type Resist Composition - Disclosed is a fluorine-containing polymer compound containing first and second repeating units respectively represented by formulas (a-1) and (a-2), | 03-05-2009 |
20090061354 | Lithographic Printing Plate Support, Method of Manufacturing the Same, and Presensitized Plate - A lithographic printing plate support in which surface unevenness due to surface treatment has been suppressed and a presensitized plate of excellent sensitivity are produced from an aluminum alloy plate containing iron, silicon, titanium and boron by specifying the state in which TiB | 03-05-2009 |
20090068583 | POSITIVE RESIST COMPOSITION AND METHOD FOR FORMING RESIST PATTERN - A positive resist composition includes a resin component (A), and an acid generator component (B) which generates an acid upon exposure and includes an acid generator (B1) represented by general formula (B1): | 03-12-2009 |
20090068584 | POSITIVE PHOTOSENSITIVE RESIN COMPOSITION, CURED LAYER, PROTECTING LAYER, INSULATING LAYER AND SEMICONDUCTOR DEVICE AND DISPLAY THEREWITH - A positive-type photosensitive resin composition comprises (A) an alkali-soluble resin having at least a polybenzoxazole precursor structure, (B) a sensitizer, and (C) a cyclic compound having an alcoholic hydroxyl group. A protecting layer and an insulating layer include a cured layer which is a cured product of the positive-type photosensitive resin composition. A semiconductor device and a display device include the cured layer. According to the present invention, a highly reliable positive-type photosensitive resin composition can be obtained even when cured at a low temperature. | 03-12-2009 |
20090068585 | DISSOLUTION PROMOTER AND PHOTORESIST COMPOSITION INCLUDING THE SAME - In the formation of a fine pattern using a photolithography process, a dissolution promoter which can increase the difference of solubility between exposed region and unexposed region, and a photoresist composition including the same are disclosed. The dissolution promoter has the structure of the following formula (wherein, R is a hydrocarbon group of 1 to 40 carbon atoms, A is an alkyl group of 1 to 10 carbon atoms, p is 0 or 1, and q is an integer of 1 to 20). | 03-12-2009 |
20090068586 | SILSESQUIOXANE RESIN, POSITIVE RESIST COMPOSITION, RESIST LAMINATE, AND METHOD OF FORMING RESIST PATTERN - A silsesquioxane resin, a positive resist composition, a resist laminate, and a method of forming a resist pattern that are capable of suppressing a degas phenomenon are provided, and a silicon-containing resist composition and a method of forming a resist pattern that are ideally suited to immersion lithography are also provided. The silsesquioxane resin includes structural units represented by the general shown below [wherein, R | 03-12-2009 |
20090081578 | METHOD OF PREPARING SILVER CARBOXYLATE SOAPS - Silver salts of long chain carboxylic acids are prepared from long chain carboxylic acids by sequential addition of at least two different alkali metal hydroxides, one of which is lithium hydroxide, followed by converting the mixture of alkali metal carboxylates to silver carboxylates. Photothermographic materials prepared from such silver carboxylates display improved D | 03-26-2009 |
20090081579 | FUNCTIONALIZED CARBOSILANE POLYMERS AND PHOTORESIST COMPOSITIONS CONTAINING THE SAME - Linear or branched functionalized polycarbosilanes having an absorbance less than 3.0 μm | 03-26-2009 |
20090081580 | COMPOUND, DISSOLUTION INHIBITOR, POSITIVE TYPE RESIST COMPOSITION, AND METHOD OF FORMING RESIST PATTERN - A positive type resist composition for forming a high resolution resist pattern and a method of forming a resist pattern are provided which use a low-molecular-weight material as a base component, and a compound and a dissolution inhibitor that are each suitable for the positive type resist composition. Here, the compound is a non-polymer having a molecular weight of 500 to 3000, and is decomposed under the action of an acid to produce two or more molecules of a decomposition product having a molecular weight of 200 or more; the dissolution inhibitor comprises the compound; the positive type resist composition comprises the compound and the acid generator component; and the method of forming a resist pattern uses the positive type resist composition. | 03-26-2009 |
20090081581 | POSITIVE PHOTOSENSITIVE COMPOSITION AND A PATTERN-FORMING METHOD USING THE SAME - A positive photosensitive composition comprises: (A) a compound that generates an acid upon irradiation with actinic ray or radiation; and (B) a resin that increases its solubility in an alkali developer by action of an acid, wherein the resin (B) has a repeating unit that has an acid-decomposable group and is represented by formula (I): | 03-26-2009 |
20090081582 | PHOTOSENSITIVE COMPOSITION - A photosensitive composition is provided, which includes a compound represented by the formula BP; and a photo-acid generator which generates an acid by the action of actinic radiation, | 03-26-2009 |
20090081583 | METHOD FOR MAKING A LITHOGRAPHIC PRINTING PLATE - A method for making a lithographic printing plate includes the steps of: (1) providing a heat-sensitive lithographic printing plate precursor including on a support having a hydrophilic surface or which is provided with a hydrophilic layer, a heat-sensitive coating, (2) image-wise exposing the precursor with IR-radiation or heat, and (3) developing the image-wise exposed precursor with an alkaline developing solution including a compound having at least two onium groups. According to the above method, a printing plate is formed with an improved developing latitude or an improved exposure latitude. | 03-26-2009 |
20090087774 | COMPOSITIONS AND METHODS FOR WET LAMINATION OF PHOTOPOLYMERIZABLE DRY FILMS ONTO SUBSTRATES - The invention is directed to a lamination fluid useful in processes for wet laminating a photopolymerizable film onto circuit board panels or other substrates. The lamination system comprises 1) a dry film photoresist, 2) a laminate comprising i) copper ii) stainless steel iii) non metal on a surface, 3) a lamination fluid and 4) fluid application device on the laminates. The lamination fluid comprises water and a surface energy modification agent. The surface energy modification agent is present in a range between 0.0001and 3.0 moles/liter, and the pH of the fluid is between 3 and 11. | 04-02-2009 |
20090087775 | INORGANIC FILLER AND ORGANIC FILLER-CONTAINING CURABLE RESIN COMPOSITION, RESIST FILM COATED PRINTED WIRING BOARD, AND METHOD FOR PRODUCING THE SAME - A curable resin composition comprises: (I) 100 parts by-weight of a curable resin; (II) 10 to 1200 parts by weight of an inorganic filler; and (III) 1 to 100 parts by weight of an organic filler having an elastic modulus of 1 to 2000 (MPa) and an average particle diameter of 0.01 to 10 μm, wherein a content weight ratio of the components (II) and (III) is 1 to 41. | 04-02-2009 |
20090087776 | POSITIVE RESIST COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - A positive resist composition includes: (A) a resin containing a repeating unit represented by formula (I) as defined in the specification, of which solubility in an alkali developer increases under an action of an acid; and (B) a compound capable of generating an acid upon irradiation with actinic rays or radiation: | 04-02-2009 |
20090092921 | POSITIVE RESIST COMPOSITION AND RESIST PATTERN FORMING METHOD - A positive resist composition includes a base material component (A) which exhibits increased alkali solubility under an action of an acid, and an acid generator component (B) which generates an acid upon exposure, wherein the base material component (A) contains a compound (A1) in which phenolic hydroxyl groups in a polyhydric phenol compound (a) containing two or more phenolic hydroxyl groups and having a molecular weight of 300 to 2,500 are protected with acid dissociable, dissolution inhibiting groups, and the compound (A1) exhibits a standard deviation (s | 04-09-2009 |
20090098479 | EXPOSURE METHOD AND TOOL - A method for forming a regularly repeating pattern on to a substrate comprising the steps of: applying a resist on a surface of a substrate to be processed; imprinting on the applied resist a pattern formed by exposing it to a beam of ultra violet (‘UV’) light, which has been caused to pass through a suitable mask delineating the pattern and then trough a focusing lens on to the resist, so as to cause chemical changes in the resist which makes it more or less soluble in a suitable developer solution; the imprinting step being carried out: in a repetitive series of discrete exposure steps using a mask held stationery with respect to the beam and the lens that represents only a small area of the total area of the substrate and using a single short pulse of UV radiation at each step to illuminate the mask, the radiation pulse having such an energy density at the substrate that it is below the threshold value for ablation of the resist; and the series of discrete exposure steps being repeated over the full area of the surface of a substrate, to give a full structure comprising a plurality of pixels, by moving the substrate in a direction parallel to one axis of the structure to be formed on the substrate and activating the pulsed mask illumination light source at the instant that the substrate has moved over a distance equivalent to a complete number of periods of the repeating pattern on the substrate; treating the exposed resist with a developer to cause either exposed regions (for positive resists) or unexposed regions (for negative resists) to be dissolved and subsequently washed away by the developer solution to reveal the pattern formed by the remaining resist; treating the substrate with a suitable chemical etching solution, reactive plasma or abrasive particles that removes the substrate in resist free areas; and removing remaining resist from the substrate with a suitable solvent to leave a finished patterned substrate. | 04-16-2009 |
20090098480 | PHOTOSENSITIVE MATERIAL FOR FORMING CONDUCTIVE FILM, CONDUCTIVE FILM, LIGHT TRANSMITTING ELECTROMAGNETIC WAVE SHIELDING FILM AND METHOD FOR MANUFACTURING THE SAME - To provide a conductive film forming photosensitive material from which a conductive film having high electromagnetic wave shielding properties and high transparency simultaneously can be manufactured and which is reduced with respect to pressure properties. | 04-16-2009 |
20090104558 | Solution for a Treatment of a Resist, a Modified Resist, a Process for the Treatment of a Resist and an Intermediate Product - Solutions for the treatment of a resist used in the manufacturing of a semiconductor device or masks used in the manufacturing of semiconductor devices are described. Preferably, the solution includes a transition metal organic compound. Furthermore embodiments of modified resists, a process and an intermediate product are described. | 04-23-2009 |
20090104559 | Bottom Antireflective Coating Compositions - Developable bottom antireflective coating compositions are provided. | 04-23-2009 |
20090104560 | BARRIER FILM MATERIAL AND PATTERN FORMATION METHOD - In exposing a resist film to light with a liquid provided on a positive chemically amplified resist film, a barrier film material for a barrier film formed between the resist film and the liquid includes a compound having an acid leaving group and a thermal acid generator. | 04-23-2009 |
20090111046 | Direct laser and ultraviolet lithography of porous silicon photonic crystal devices - We have developed a simple method to locally change the optical properties of porous silicon multilayers and photonic crystal architectures. This technique allows for the direct photolithography of porous silicon multilayers, heterostructures, and photonic crystals. The procedure controls the local oxidation within the porous silicon layers via ultraviolet radiation or via high intensity laser beam (λ=532.8 nm) exposure. Subsequently, immersion of the non-irradiated and irradiated regions of the porous silicon heterostructures within an alcohol solvent (for example, methanol and ethanol) induces either a marked degradation or no degradation, respectively, in the optical properties of the material. This direct, optical lithographic technique may have significant use in the production of silicon-based optical and opto-electronic devices for laser, optical computation, telecommunications, and other applications. Potential devices include patternable porous silicon waveguides, optical filter, optical switches, and photonic band-gap structures. | 04-30-2009 |
20090111047 | POSITIVE RESIST COMPOSITION FOR ELECTRON BEAM, X-RAY OR EUV AND PATTERN FORMING METHOD USING THE SAME - A positive resist composition for electron beam, X-ray or EUV includes a compound having a proton acceptor functional group and capable of producing an acid radical upon irradiation with an actinic ray or radiation to reduce or lose the acceptor property or to change the proton acceptor functional group to be acidic, wherein the positive resist composition has a solid content concentration of from 2.5 to 4.5 mass %. | 04-30-2009 |
20090111048 | ALKALI-DEVELOPABLE BLACK PHOTOSENSITIVE RESIN COMPOSITION FOR FORMING LIGHT-SHIELDING BARRIER WALL - An alkali-developable black photosensitive resin composition for forming a light-shielding barrier wall which comprises, as indispensable components, (A) a carboxyl group-containing photosensitive prepolymer, (B) a photopolymerization initiator, (C) a black pigment, and (D) light-transmitting fine particles. By the use of this composition, light-shielding barrier walls ( | 04-30-2009 |
20090117488 | COMPOUND, POSITIVE RESIST COMPOSITION AND RESIST PATTERN FORMING METHOD - The present invention provides a positive resist composition and a resist pattern forming method that are capable of forming a resist pattern with a reduced level of roughness. The positive resist composition includes the compound represented by the general formula (I) below. The present invention also provides the resist pattern forming method using the positive resist composition above. | 05-07-2009 |
20090117489 | Compositons and processes for immersion lithography - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprises two or more distinct materials that can be substantially non-mixable with a resin component of the resist. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing. | 05-07-2009 |
20090123867 | PHOTOSENSITIVE RESIN COMPOSITION AND ADHESION PROMOTER - The present invention provides a photosensitive resin composition comprising (a) an alkali-soluble resin, (b) a silicon compound having a secondary aromatic amino group and an alkoxy group, and (c) at least one selected from a photopolymerization initiator, a photo acid generator and a photo base generator. According to the present invention, it is possible to obtain a photosensitive resin composition which remarkably enhances the adhesion property with a substrate after curing without deteriorating storage stability of a solution, and does not cause peeling of a fine pattern even upon development. | 05-14-2009 |
20090123868 | Resist polymer and method for producing the polymer - Solving problems in the prior art, provided are a resist polymer which is small in lot-to-lot, reactor-to-reactor and scale-to-scale variations, and contains no high polymer, is excellent in solubility and storage stability, and is suitable for fine pattern formation, and a method for production thereof. The present invention provides the resist polymer at least having a repeating unit having a structure which is decomposed by an acid to become soluble in an alkali developer and a repeating unit having a polar group to enhance adhesion to a substrate, characterized in that a peak area of a high molecular weight component (high polymer) with molecular weight of 100,000 or more is 0.1% or less based on an entire peak area in a molecular weight distribution determined, by gel permeation chromatography (GPC). | 05-14-2009 |
20090123869 | Compositions and processes for immersion lithography - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more materials that can be substantially non-mixable with a resin component of the resist. Further preferred photoresist compositions of the invention comprise 1) Si substitution, 2) fluorine substitution; 3) hyperbranched polymers; and/or 4) polymeric particles. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing. | 05-14-2009 |
20090130590 | PHOTORESIST COMPOSITIONS AND PROCESS FOR MULTIPLE EXPOSURES WITH MULTIPLE LAYER PHOTORESIST SYSTEMS - A photoresist composition and methods using the photoresist composition in multiple exposure/multiple layer processes. The photoresist composition includes a polymer comprising repeat units having a hydroxyl moiety; a photoacid generator; and a solvent. The polymer when formed on a substrate is substantially insoluble to the solvent after heating to a temperature of about 150° C. or greater. One method includes forming a first photoresist layer on a substrate, patternwise exposing the first photoresist layer, forming a second non photoresist layer on the substrate and patterned first photoresist layer. Another method includes forming a first photoresist layer on a substrate, patternwise exposing the first photoresist layer, forming a second photoresist layer on the substrate and patterned first photoresist layer and patternwise exposing the second photoresist layer. | 05-21-2009 |
20090130591 | Antireflective Coating Composition and Process Thereof - The present invention relates to an antireflective composition comprising a polymer, a thermal acid generator and optionally a crosslinking agent, where the polymer comprises at least one hydrophobic unit (1), at least one chromophore unit (2), at least one unit with a crosslinking site (3) and optionally a unit capable of crosslinking the polymer, | 05-21-2009 |
20090130592 | Compositions and processes for immersion lithography - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more materials that can be substantially non-mixable with a resin component of the resist. Further preferred photoresist compositions of the invention comprise 1) Si substitution, 2) fluorine substitution; 3) hyperbranched polymers; and/or 4) polymeric particles. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing. | 05-21-2009 |
20090136867 | Si-polymers and photoresists comprising same - New polymers are provided that have non-carbon tetravalent species (Si, Ti, Ge, Zr, Sn) and photoimageable compositions that contain such polymers. Preferred polymers are organic, e.g. one or more polymer repeat units comprise carbon atom(s). Particularly preferred are polymers that comprise SiO | 05-28-2009 |
20090136868 | PHOTOACID GENERATOR COMPOUNDS AND COMPOSITIONS - The invention provides various ionic and non-ionic photoacid generator compounds. Photoresist compositions that include the novel ionic and non-ionic photoacid generator compounds are also provided. The invention further provides methods of making and using the photoacid generator compounds and photoresist compositions disclosed herein. The compounds and compositions are useful as photoactive components in chemically amplified resist compositions for various microfabrication applications. | 05-28-2009 |
20090136869 | METAL OXIDE-CONTAINING FILM-FORMING COMPOSITION, METAL OXIDE-CONTAINING FILM, METAL OXIDE-CONTAINING FILM-BEARING SUBSTRATE, AND PATTERNING METHOD - A metal oxide-containing film is formed from a heat curable composition comprising (A) a metal oxide-containing compound obtained through hydrolytic condensation between a hydrolyzable silicon compound and a hydrolyzable metal compound, (B) a hydroxide or organic acid salt of Li, Na, K, Rb or Cs, or a sulfonium, iodonium or ammonium compound, (C) an organic acid, and (D) an organic solvent. The metal oxide-containing film ensures effective pattern formation. | 05-28-2009 |
20090136870 | POSITIVE RESIST COMPOSITION AND PATTERN MAKING METHOD USING THE SAME - A positive resist composition comprising: (A) a resin showing an increase in the solubility in an alkali developer by the action of an acid; (B) a compound being capable of generating an acid when irradiated with an actinic ray or a radiation; (C) a resin having a silicon-containing repeating unit of a specific structure and being stable to acids but insoluble in an alkali developer; and (D) a solvent; and a pattern making method using the same. | 05-28-2009 |
20090142693 | NEGATIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A negative resist composition and a method of forming a resist pattern that are capable of suppressing resist pattern swelling are provided. The negative resist composition includes an alkali-soluble resin component (A), an acid generator component (B) that generates acid upon exposure, and a cross-linking agent component (C), wherein the alkali-soluble resin component (A) is a copolymer (A1) that includes a structural unit (a1) containing, within the main chain, an aliphatic cyclic group having a fluorinated hydroxyalkyl group, a structural unit (a2) derived from an acrylate ester which contains a hydroxyl group-containing chain-like or cyclic alkyl group and has a fluoroalkyl group or fluorine atom bonded to the α-position, and a structural unit (a3) derived from an acrylate ester which contains an aliphatic cyclic group having a fluorinated hydroxyalkyl group and has a fluoroalkyl group or fluorine atom bonded to the α-position. | 06-04-2009 |
20090142694 | SILOXANE POLYMER COMPOSITIONS AND METHODS OF USING THE SAME - A siloxane composition and a method of producing the Same. The composition comprises a siloxane prepolymer with a backbone exhibiting a group which is capable of being deprotonated in an aqueous base solution. Further, there are reactive functional groups, which are capable of reacting during thermal or radiation initiated curing. The siloxane polymer is provided in a solvent which also contains a photo reactive compound. The composition can be used in negative tone lithographic fabrication processes where a water based developer system is applied in the development step of the lithography process. | 06-04-2009 |
20090142695 | IMAGEABLE ELEMENTS WITH COMPONENTS HAVING 1H-TETRAZOLE GROUPS - Radiation-sensitive compositions and imageable elements include a polymeric or non-polymeric component in an imageable layer, which component includes 1H-tetrazole groups. The non-polymeric components can be radically polymerizable compounds. The polymeric components can have 1H-tetrazole groups that are pendant to the backbone. The use of such components in negative- or positive-working imageable elements provides high photospeed and improved developability for providing imaged and developed elements, such as lithographic printing plates that show improved chemical resistance and run length. | 06-04-2009 |
20090142696 | POSITIVE RESIST COMPOSITION, POSITIVE RESIST COMPOSITION FOR THERMAL FLOW, AND RESIST PATTERN FORMING METHOD - Disclosed is a positive resist composition comprising a resin component (A) and an acid generator component (B), wherein the component (A) contains a polymer compound (A1) containing a structural unit (a0) represented by formula (a0) shown below and a structural unit (a2) derived from an acrylate ester containing a lactone-containing cyclic group: | 06-04-2009 |
20090148789 | Coating compositions for use with an overcoated photoresist - In one aspect, the invention relates to silicon-containing organic coating compositions, particularly antireflective coating compositions, that contain a repeat unit wherein chromophore moieties such as phenyl are spaced from Si atom(s). In another aspect, silicon-containing underlayer compositions are provided that are formulated as a liquid (organic solvent) composition, where at least one solvent of the solvent component comprise hydroxy groups. | 06-11-2009 |
20090148790 | RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition suitable as a chemically-amplified resist useful for microfabrication utilizing various types of radiation such as deep ultraviolet rays represented by a KrF excimer laser or ArF excimer laser. The radiation-sensitive resin composition of the present invention comprises: (A) a resin comprising a recurring unit (1-1) shown by the following formula (I-1) and (B) a radiation-sensitive acid generator such as 1-(4-n-butoxynaphthyl)tetrahydrothiophenium nonafluoro-n-butanesulfonate. The radiation-sensitive resin composition may further comprise (C) an acid diffusion controller such as phenylbenzimidazole. | 06-11-2009 |
20090148791 | POSITIVE PHOTOSENSITIVE COMPOSITION - A positive photosensitive composition comprises a compound capable of generating a specified sulfonic acid upon irradiation with one of an actinic ray and radiation and (B) a resin capable of decomposing under the action of an acid to increase the solubility in an alkali developer. | 06-11-2009 |
20090155713 | RESIST COMPOSITION AND PROCESS FOR PRODUCING SAME - A resist composition that includes an organic solvent (S) and a base material component dissolved in the organic solvent (S), wherein the organic solvent (S) contains ethyl lactate and an antioxidant, and the concentration of the antioxidant within the organic solvent (S) is 10 ppm or greater. | 06-18-2009 |
20090155714 | PHOTOSENSITIVE COMPOUND AND PHOTORESIST COMPOSITION INCLUDING THE SAME - A photosensitive compound whose size is smaller than conventional polymer for photoresist, and which has well-defined (uniform) structure, and a photoresist composition including the same are disclosed. The photosensitive compound represented by the following formula. Also, the present invention provides a photoresist composition comprising 1 to 85 wt % (weight %) of the photosensitive compound; 0.05 to weight parts of a photo-acid generator with respect to 100 weight parts of the photosensitive compound; and 10 to 5000 weight parts of an organic solvent. | 06-18-2009 |
20090155715 | PHOTORESIST COMPOSITIONS AND METHOD FOR MULTIPLE EXPOSURES WITH MULTIPLE LAYER RESIST SYSTEMS - A method and a resist composition. The resist composition includes a polymer having repeating units having a lactone moiety, a thermal base generator capable of generating a base and a photosensitive acid generator. The polymer has the properties of being substantially soluble in a first solvent and becoming substantially insoluble after heating the polymer. The method includes forming a film of a photoresist including a polymer, a thermal base generator capable of releasing a base, a photosensitive acid generator, and a solvent. The film is patternwise imaged. The imaging includes exposing the film to radiation, resulting in producing an acid catalyst. The film is developed in an aqueous base, resulting in removing base-soluble regions and forming a patterned layer. The patterned layer is baked above the temperature, resulting in the thermal base generator releasing a base within the patterned layer and the patterned layer becoming insoluble in the solvent. | 06-18-2009 |
20090155716 | Polymeric material, containing a latent acid - Polymeric material, containing a latent acid which can be converted to an acid by irradiation by a laser and optionally further ingredients. | 06-18-2009 |
20090162781 | RESIST COMPOSITION, RESIST PATTERN FORMING METHOD AND COMPOUND - This resist composition is a resist composition containing a compound in which a portion or all of hydrogen atoms of phenolic hydroxyl groups in a polyhydric phenol compound (a) having two or more phenolic hydroxyl groups and having a molecular weight of 300 to 2,500 are substituted with at least one selected from the group consisting of acid dissociable dissolution inhibiting groups represented by the following general formulas (p1) and (p2) wherein R | 06-25-2009 |
20090170024 | Method of Patterning a Substrate, Photosensitive Layer Stack and System for Lithography - A photosensitive layer stack, lithographic systems and methods of patterning a substrate are disclosed having a patterning layer and a photochromic layer with an absorption switching from transmissive to absorptive upon exposure. | 07-02-2009 |
20090170025 | LITHOGRAPHIC METHOD AND CARRIER SUBSTRATE - A carrier substrate is provided with a layer of PDMS and curing agent on one side of the carrier substrate. The PDMS and curing agent can be arranged to receive and adhere to a lithographic substrate. The carrier substrate can be dimensioned such that the combined carrier substrate and lithographic substrate may be handled by a conventional lithographic apparatus. | 07-02-2009 |
20090170026 | POSITIVE PHOTOSENSITIVE RESIN COMPOSITION, CURED LAYER, PROTECTING LAYER, INSULATING LAYER AND SEMICONDUCTOR DEVICE AND DISPLAY THEREWITH - A positive-type photosensitive resin composition that exhibits excellent adhesion to a substrate after a humidification treatment includes (A) an alkali-soluble resin, (B) a photosensitive diazoquinone compound, and (C-1) a silicon compound shown by the following formula (1), | 07-02-2009 |
20090176172 | PHOTOSENSITIVE POLYIMIDE COMPOSITION AND POLYIMIDE PRECURSOR COMPOSITION - There is provided a photosensitive composition including a polyimide or polyimide precursor. The polyimide and polyimide precursor of the present invention includes a group of a first acid-cleavable group, a first base-cleavable group or a first thermally-cleavable group, and another group of a hydrophilic group, or a protected hydrophilic group by a second acid-cleavable group, a second base-cleavable group, or a crosslinkable group. | 07-09-2009 |
20090186293 | DRY FILM PROTORESIST FOR A MICRO-FLUID EJECTION HEAD AND METHOD THEREFOR - A method for making a dry film photoresist layer for a micro-fluid ejection head and a micro-fluid ejection head made by the method. The method includes applying a photoimageable liquid to a moving web of release material to provide a photoimageable layer on the release material using a slot die coater. The layer on the release material has a coating thickness ranging from about 8 to about 25 microns with a thickness variation of no more than about one micron. The photoimageable layer on the web is dried to provide a dry film photoresist layer. A protective web is then applied to the dry film photoresist layer. | 07-23-2009 |
20090186294 | ORGANIC GRADED SPIN ON BARC COMPOSITIONS FOR HIGH NA LITHOGRAPHY - An antireflective coating that contains at least two polymer components and comprises chromophore moieties and transparent moieties is provided. The antireflective coating is useful for providing a single-layer composite graded antireflective coating formed beneath a photoresist layer. | 07-23-2009 |
20090186295 | Photosensitive Ink Composition for Screen Printing and Method of Forming Positive Relief Pattern with Use Thereof - Disclosed is a photosensitive ink which can form a coated film that is excellent in insulation properties, heat resistance, low warping, low elasticity and adhesion with the substrate, when used as an ink for screen printing, and with which clogging of the screen, bleeding, blur, chipping and the like are unlikely to occur even when the screen printing is repeatedly carried out, so that which has an excellent ease of handling in printing. The ink composition comprises 100 parts by weight of an organic solvent-soluble polyimide block copolymer(s), and 1 to 100 parts by weight of a photoacid generator(s). The polyimide block copolymer(s) and the photoacid generator(s) are dissolved in an organic solvent. The polyimide block copolymer(s) contain(s) in its molecular skeleton a diamine having a siloxane bond, and an aromatic diamine having a hydroxyl group(s) and/or carboxyl group(s) at ortho-position with respect to an amino group. | 07-23-2009 |
20090186296 | POSITIVE RESIST COMPOSITIONS AND PATTERNING PROCESS - A positive resist composition comprises (A) a resin component which becomes soluble in an alkaline developer under the action of an acid and (B) an acid generator. The resin (A) is a polymer comprising specific recurring units, represented by formula (1). The acid generator (B) is a specific sulfonium salt compound. When processed by lithography, the composition is improved in resolution and forms a pattern with a satisfactory mask fidelity and a minimal LER. | 07-23-2009 |
20090186297 | POSITIVE RESIST COMPOSITIONS AND PATTERNING PROCESS - A positive resist composition comprises (A) a resin component which becomes soluble in an alkaline developer under the action of an acid and (B) an acid generator. The resin (A) is a polymer comprising specific recurring units, represented by formula (1). The acid generator (B) is a specific sulfonium salt compound. When processed by lithography, the composition is improved in resolution and forms a pattern with a minimal LER. | 07-23-2009 |
20090186298 | POSITIVE RESIST COMPOSITIONS AND PATTERNING PROCESS - A positive resist composition comprises (A) a resin component which becomes soluble in an alkaline developer under the action of an acid and (B) an acid generator. The resin (A) is a polymer comprising specific recurring units, represented by formula (1). The acid generator (B) is a specific sulfonium salt compound. When processed by lithography, the composition is improved in resolution and forms a pattern with a satisfactory mask fidelity and a minimal LER. | 07-23-2009 |
20090191478 | METHOD OF FORMING RESIST PATTERN AND NEGATIVE RESIST COMPOSITION - A novel method of forming a resist pattern in which thickness loss from the resist pattern is reduced, and a negative resist composition that can be used in this method of forming a resist pattern. The method of forming a resist pattern includes: forming a first resist film by applying a first resist composition to a support, forming a first resist pattern by selectively exposing the first resist film through a first mask pattern and then developing the first resist film, forming a second resist film by applying a negative resist composition containing an ether-based organic solvent (S″) having no hydroxyl groups onto the support having the first resist pattern formed thereon, and forming a resist pattern by selectively exposing the second resist film through a second mask pattern and then developing the second resist film. | 07-30-2009 |
20090197197 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition comprising a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid, an acid-generator component (B) which generates acid upon exposure, and a nitrogen-containing organic compound (D), the nitrogen-containing organic compound (D) including a nitrogen-containing polymeric compound (D1) having a structural unit (d0) containing a nitrogen atom in the side chain thereof. | 08-06-2009 |
20090197198 | PHOTOSENSITIVE MOLECULAR COMPOUND AND PHOTORESIST COMPOSITION INCLUDING THE SAME - Disclosed are a photosensitive compound containing oxime group which is directly decomposed by exposure to light, which is a molecular resist whose size is smaller than conventional polymer for photoresist, and a photoresist composition including the same. The photosensitive molecular compound has a structure represented by a following formula. | 08-06-2009 |
20090202938 | METHOD OF IMPROVING SURFACE ABRASION RESISTANCE OF IMAGEABLE ELEMENTS - A computer-to-press multi-layer, positive-working imageable element has improved surface abrasion resistance from a micro-roughening of the outermost surface. This improvement is provided by spraying a solution consisting essentially of one or more dissolved organic resins in a solvent onto the outermost imageable layer of the imageable element. The one or more organic resins are soluble or dispersible in an aqueous alkaline solution and are present in the sprayed solution in an amount of at least 3 weight %. The sprayed solution is applied to deposit at least 10 mg/m | 08-13-2009 |
20090202939 | COMPOUND, POSITIVE RESIST COMPOSITION AND METHOD FOR FORMING RESIST PATTERN - Disclosed are a compound that can be used for a resist composition, a positive resist composition that includes the compound, and a method for forming a resist pattern. | 08-13-2009 |
20090202940 | Positive resist composition and patterning process using the same - The present invention provides a polymer, having a high sensitivity, a high degree of resolution, a good pattern configuration after exposure, and in addition an excellent etching resistance, suitable as a base resin for a positive resist composition, especially for a chemically amplified positive resist composition; a positive resist composition using the polymer; and a patterning process. | 08-13-2009 |
20090208865 | PHOTOLITHOGRAPHY FOCUS IMPROVEMENT BY REDUCTION OF AUTOFOCUS RADIATION TRANSMISSION INTO SUBSTRATE - An anti-reflective coating material, a microelectronic structure that includes an anti-reflective coating layer formed from the anti-reflective coating material and a related method for exposing a resist layer located over a substrate while using the anti-reflective coating layer provide for attenuation of secondary reflected vertical alignment beam radiation when aligning the substrate including the resist layer located thereover. Such enhanced vertical alignment provides for improved dimensional integrity of a patterned resist layer formed from the resist layer, as well as additional target layers that may be fabricated while using the resist layer as a mask. | 08-20-2009 |
20090208866 | PHOTOSENSITIVE COMPOSITION - A photosensitive composition is provided, which includes a compound expressed by the formula T3 and a photo-acid generator which generates an acid by an action of actinic radiation. In the formula T3, R | 08-20-2009 |
20090214980 | Melts - A light-attenuating composition and method of using it are described. The light-attenuating composition may be selectively applied to a radiant energy sensitive material on the substrate. Actinic radiation applied to the composite chemically changes portions of the radiant energy sensitive material not covered by the light-attenuating composition. The light-attenuating composition attenuates light in at least the UV range and is water-soluble or water-dispersible. | 08-27-2009 |
20090220886 | POLYHYDRIC COMPOUND AND CHEMICALLY AMPLIFIED RESIST COMPOSITION CONTAINING THE SAME - The present invention provides a polyhydric compound represented by the formula (I): | 09-03-2009 |
20090233220 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - Provided are a positive resist composition and a resist pattern forming method having fewer defects and superior lithographic characteristics. The positive resist composition includes a resin component (A) which has on a main chain a structural unit derived from an (α-lower alkyl)acrylate ester and exhibits increased alkali solubility under the action of an acid, and an acid generating component (B) which generates the acid upon irradiation with radiation, in which the resin component (A) is a copolymer having at least two structural units which is obtained by incorporating an acid when polymerizing at least one monomer for the production thereof. | 09-17-2009 |
20090233221 | NEGATIVE-WORKING PHOTOSENSITIVE MATERIAL AND NEGATIVE-WORKING PLANOGRAPHIC PRINTING PLATE PRECURSOR - The present invention provides a negative-working photosensitive material formed by sequentially layering an undercoat layer and a photosensitive layer on a support, wherein the undercoat layer contains a polymer containing (a) a structural unit containing at least one selected from a carboxylic acid or a carboxylic acid salt and (b) a structural unit containing at least one carboxylic acid ester; the photosensitive layer contains (A) an infrared absorbent, (B) an organoboron compound, (C) an onium salt compound and (D) a compound having a polymerizable unsaturated group; and a ratio of (a) with respect to (a) and (b) is 30 to 90% by mol. The invention also provides a negative-working planographic printing plate precursor that uses the negative-working photosensitive material. | 09-17-2009 |
20090233222 | LITHOGRAPHIC PRINTING PLATE PRECURSOR - A lithographic printing plate precursor includes an image recording layer and a support obtained by subjecting an aluminum plate having an iron content of 0.28 mass % or less to a surface roughening treatment and to an anodization treatment. | 09-17-2009 |
20090233223 | SULFONIUM SALT-CONTAINING POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A polymer comprising recurring units of a sulfonium salt represented by formula (1) is provided as well as a chemically amplified resist composition comprising the same. R | 09-17-2009 |
20090246683 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition and method of forming a resist pattern are provided which enable formation of a resist pattern having excellent shape with reduced LWR. | 10-01-2009 |
20090246684 | PHOTOSENSITIVE COMPOUND AND PHOTORESIST COMPOSITION INCLUDING THE SAME - A photosensitive compound as a molecular resist whose size is smaller than conventional polymer for photoresist, and which can form a nano assembly, and a photoresist composition including the same are disclosed. The photosensitive compound represented by the following formula. Also, the present invention provides a photoresist composition comprising 1 to 85 wt % (weight %) of the photosensitive compound; 0.05 to 15 weight parts of a photo-acid generator with respect to 100 weight parts of the photosensitive compound; and 50 to 5000 weight parts of an organic solvent with respect to 100 weight parts of the photosensitive compound. | 10-01-2009 |
20090246685 | POSITIVE RESIST COMPOSITION FOR ELECTRON BEAM, X-RAY OR EUV AND PATTERN FORMING METHOD USING THE SAME - Provided is a positive resist composition for an electron beam, an X-ray or EUV, including: (A) a resin capable of decomposing by the action of an acid to increase the dissolution rate in an aqueous alkali solution; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, represented by the following formula (ZI) or (ZII); (C) a basic compound; and (D) an organic solvent, wherein a concentration of all solid contents in said composition is from 1.0 to 4.5 mass %, and a total amount of the compound represented by formula (ZI) or (ZII) is 12 mass % or more based on all solid contents in said composition: | 10-01-2009 |
20090246686 | POLYMER, POLYMER PREPARATION METHOD, RESIST COMPOSITION AND PATTERNING PROCESS - A polymer having a rate of dissolution in an alkaline developer that increases under the action of acid is provided. The polymer is prepared by reacting a hydrogenated ROMP polymer with an O-alkylating agent in the presence of a base. | 10-01-2009 |
20090253069 | IMAGEABLE ELEMENTS USEFUL FOR WATERLESS PRINTING - A non-ablative negative-working imageable element has first and second polymeric layers under a crosslinked silicone rubber layer. These elements can be used in a simple method to provide lithographic printing plates useful for waterless printing (no fountain solution). Processing after imaging is relatively simple using either water or an aqueous solution containing very little organic solvent to remove the imaged regions. The crosslinked silicone rubber layer is ink-repelling and only the first layer that is closest to the substrate contains an infrared radiation absorbing compound to provide thermal sensitivity. | 10-08-2009 |
20090253070 | RESIST COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - Provided is a resist composition including a compound having a molecular weight of 1,000 or less and containing at least one sulfonamide group (—SO | 10-08-2009 |
20090253071 | PLANOGRAPHIC PRINTING PLATE PRECURSOR - A planographic printing plate precursor comprising a hydrophilic support having a surface roughness (Ra) in a range of from 0.45 to 0.60, and, on the support, a recording layer containing a phenolic resin, an infrared absorber and a polymer having at least one selected from the group consisting of a structural unit represented by the following formula (I) and a structural unit represented by the following formula (II). In the Formulae (I) and (II), R | 10-08-2009 |
20090253072 | NANOPARTICLE REVERSIBLE CONTRAST ENHANCEMENT MATERIAL AND METHOD - The invention is to a reversible photobleachable material comprised of nanoparticles of indium gallium oxide or gallium oxide, and a method of exposing a substrate, such as in semiconductor manufacture, using same. | 10-08-2009 |
20090258313 | RESIST COMPOSITION AND RESIST PATTERN FORMING METHOD - The present invention provides a resist composition prepared by dissolving components in an organic solvent containing ethyl lactate, which suppresses deterioration of sensitivity with time and also has required lithographic characteristics, and a method for forming a resist pattern. The resist composition is prepared by dissolving a resin component (A) which exhibits changeable alkali solubility under an action of an acid, an acid generator component (B) which generates an acid upon exposure, an amine (D) and acetic acid in an organic solvent (S) containing ethyl lactate. | 10-15-2009 |
20090258314 | NEGATIVE WORKING, HEAT SENSITIVE LITHOGRAPHIC PRINTING PLATE PRECURSOR - A heat-sensitive negative-working lithographic printing plate precursor include a support having a hydrophilic surface or which is provided with a hydrophilic layer and a coating provided thereon, the coating including an image-recording layer which includes hydrophobic thermoplastic polymer particles, a binder, and an infrared absorbing dye; wherein the hydrophobic thermoplastic polymer particles have an average particle diameter, measured by Photon Correlation Spectroscopy, of more than 10 nm and less than 40 nm; the amount of the IR-dye, without taking into account an optional counter ion, is more than 0.80 mg per m2 of the total surface of the thermoplastic polymer particles, measured by Hydrodynamic Fraction; and—the amount of hydrophobic thermoplastic polymer particles relative to the total weight of the ingredients of the imaging layer is at least 60-%. | 10-15-2009 |
20090258315 | PHOTOACID GENERATOR COMPOUNDS AND COMPOSITIONS - The invention provides various photoacid generator compounds and ionic components thereof. Photoresist compositions that include the ions and non-ionic photoacid generator compounds are also provided. The invention further provides methods of making and using the photoacid generator compounds and photoresist compositions disclosed herein. The compounds and compositions are useful as photoactive components in chemically amplified resist compositions for use in, for example, various microfabrication applications. | 10-15-2009 |
20090263741 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition that includes a resin component (A) and an acid generator component (B), wherein the component (A) includes a polymer compound (A1) having a structural unit (a0) represented by a general formula (a0) shown below, and a structural unit (a1), which is derived from an (α-lower alkyl) acrylate ester containing an acid-dissociable, dissolution-inhibiting group and is not classified as the structural unit (a0): | 10-22-2009 |
20090263742 | CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION - The present invention provides a chemically amplified resist composition comprising:
| 10-22-2009 |
20090263743 | RESIST POLYMER AND RESIST COMPOSITION - The resist polymer of the present invention comprises a specific constitutional unit having a cyano group, a constitutional unit having an acid-dissociable group, and a specific constitutional unit having a lactone skeleton. When the above polymer is used as a resist resin in DUV excimer laser lithography or electron beam lithography, it exhibits high sensitivity and high resolution, and provides a good resist pattern shape, having a small degree of occurrence of line edge roughness or generation of microgels. | 10-22-2009 |
20090269693 | NEGATIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - There are provided a resist composition capable of forming a resist pattern with high sensitivity and high resolution, and a method of forming such a resist pattern. The negative resist composition includes an alkali soluble base component (A), an acid generator component (B) that generates acid by exposure, and a cross-linking agent component (C), wherein the base component (A) includes a polyhydric phenol compound (A1) containing two or more phenolic hydroxyl groups represented by the following general formula (I), with a molecular weight of 300 to 2500. | 10-29-2009 |
20090269694 | POSITIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND POLYMERIC COMPOUND - A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the base component (A) including a polymeric compound (A1) having a structural unit (a0-1) represented by general formula (a0-1) (wherein R | 10-29-2009 |
20090269695 | CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION - The present invention provides a chemically amplified resist composition comprising:
| 10-29-2009 |
20090269696 | SULFONIUM SALT-CONTAINING POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A polymer comprising recurring units having formulae (1), (2) and (3) is provided as well as a chemically amplified resist composition comprising the same. R | 10-29-2009 |
20090269697 | POLYORGANOSILOXANE, RESIN COMPOSITION, AND PATTERNING PROCESS - A polyorganosiloxane compound is modified such that some silicon-bonded hydroxyl groups are substituted with acid labile groups and/or intermolecular or intramolecular crosslinks form with a crosslinking group having a C—O—C linkage. Cured films of a composition comprising the polyorganosiloxane are useful as interlayer dielectric films on TFT substrates. | 10-29-2009 |
20090269698 | COMPOUND, POSITIVE RESIST COMPOSITION AND METHOD FOR FORMATION OF RESIST PATTERN - The present invention provides a compound that can be used within a resist composition, an intermediate compound for the compound, a positive resist composition, and a method for forming a resist pattern. | 10-29-2009 |
20090274974 | SPIN-ON GRADED K SILICON ANTIREFLECTIVE COATING - Graded absorption silicon based antireflective coating compositions are described. | 11-05-2009 |
20090274975 | POSITIVE PHOTOSENSITIVE COMPOSITION AND METHOD OF FORMING PATTERN THEREWITH - A positive photosensitive composition includes (A) a resin having a repeating unit with a lactone structure of 5.0 or below an Onishi parameter and having any of repeating units of Formula (I) that when acted on by an acid, generates a carboxylic acid, and (B) a compound that when exposed to actinic rays or radiation, generates an acid, | 11-05-2009 |
20090274976 | Negative resist composition for immersion exposure and method of forming resist pattern - A negative resist composition for immersion exposure including a fluorine-containing polymeric compound (F) containing a structural unit having a base dissociable group, an alkali-soluble resin component (A) excluding the fluorine-containing polymeric compound (F), an acid generator component (B) that generates acid upon exposure, and a cross-linking component (C); and a method of forming a resist pattern including applying the negative resist composition for immersion exposure to a substrate to form a resist film, subjecting the resist film to immersion exposure, and subjecting the resist film to alkali developing to form a resist pattern. | 11-05-2009 |
20090274977 | COMPOUND AND RADIATION-SENSITIVE COMPOSITION - A compound shown by the following formula (1) can be used as a material for a radiation-sensitive composition capable of forming a resist film which effectively responds to electron beams or the like, exhibits low roughness, and can form a high precision minute pattern in a stable manner. | 11-05-2009 |
20090280431 | MATERIAL FOR PROTECTIVE FILM FORMATION, AND METHOD FOR PHOTORESIST PATTERN FORMATION USING THE SAME - To provide a material for protective film formation that can simultaneously prevent a change in quality of a resist film during liquid immersion exposure and a change in quality of a liquid for liquid immersion exposure used, and, at the same time, can form a resist pattern having a good shape without increasing the number of treatment steps. A material for protective film formation, comprising at least an alkali-soluble polymer comprising constitutional units represented by general formula (1): | 11-12-2009 |
20090280432 | PHOTOSENSITIVE SHEETS AND METHOD AND APPARATUS FOR MANUFACTURING THE SAME - Photosensitive film can be protected in stacking photosensitive sheets and a sheet can be separated one at a time in a state where photosensitive sheets are stacked, ensuring its taking out. An apparatus for manufacturing PS plates, which is adapted to provide photosensitive film on one side of both surfaces of the web, is provided with a coating device for applying coating liquid to form resin film on the other side of the web, a drying device for drying and solidifying the coating liquid applied, and a concave and convex portions forming device for forming concave and convex portions on the surface of the resin film. | 11-12-2009 |
20090280433 | RADIATION-SENSITIVE COMPOSITION AND PROCESS FOR PRODUCING LOW-MOLECULAR COMPOUND FOR USE THEREIN - A radiation-sensitive composition contains (A) a low-molecular-weight compound having one or more acid-dissociable groups which decompose by the action of an acid to enhance solubility in an alkaline developing solution and one or more radiation-sensitive acid-generating groups which generate an acid upon application of an active ray or radiation per molecule, and having a polystyrene-reduced number-average molecular weight (Mn) measured by gel permeation chromatography (GPC) of 500 to 4,000, and (B) a solvent. | 11-12-2009 |
20090280434 | RESIST COMPOSITION AND PATTERNING PROCESS - A copolymer of an alkali-soluble (α-trifluoromethyl)-acrylate and a norbornene derivative is useful as an additive to a resist composition. When processed by immersion lithography, the resist composition exhibits excellent water repellency and water slip and forms a pattern with few development defects. | 11-12-2009 |
20090286178 | PROCESS FOR PRODUCING RESIST COMPOSITION, FILTERING APPARATUS, RESIST COMPOSITION APPLICATOR, AND RESIST COMPOSITION - A process for producing a resist composition that yields a resist composition in which the occurrence of defects has been suppressed, a filtering apparatus that can be used favorably within the production process, a resist composition applicator that is fitted with the filtering apparatus, and a resist composition in which the level of defects has been suppressed. This composition is obtained by passing a resist composition, which is obtained by dissolving a resin component that displays changed alkali solubility under the action of acid and an acid generator component that generates acid upon exposure in an organic solvent, through a filter equipped with a polyethylene hollow thread membrane. | 11-19-2009 |
20090286179 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) which exhibits increased alkali solubility under action of acid and an acid-generator component (B) which generates acid upon exposure, the resin component (A) including a structural unit (a1) derived from an acrylate ester having a tertiary alkyl ester-type acid dissociable, dissolution inhibiting group containing an aliphatic monocyclic group and/or an aliphatic branched, tertiary alkyl ester-type acid dissociable, dissolution inhibiting group, and the acid-generator component (B) including an acid generator (B1) having a cation moiety represented by general formula (b′-1) shown below: | 11-19-2009 |
20090286180 | FUSED AROMATIC STRUCTURES AND METHODS FOR PHOTOLITHOGRAPHIC APPLICATIONS - A resist composition and a method for forming a patterned feature on a substrate. The composition comprises a molecular glass having at least one fused polycyclic moiety and at least one base soluble functional group protected with an acid labile protecting group, and a photosensitive acid generator. The method includes providing a composition including a photosensitive acid generator and a molecular glass having at least one fused polycyclic moiety and at least one base soluble functional group protected with an acid labile protecting group, forming a film of the composition on the substrate, patternwise imaging the film, wherein at least one region of the film is exposed to radiation or a beam of particles, resulting in production of an acid catalyst in the exposed region, baking the film, developing the film, resulting in removal of base-soluble exposed regions, wherein a patterned feature from the film remains following the removal. | 11-19-2009 |
20090286181 | CLEAR AND COLORLESS THREE-DIMENSIONAL ARTICLES MADE VIA STEREOLITHOGRAPHY AND METHOD OF MAKING SAID ARTICLES - The invention is a radiation curable liquid resin that can be used to make a clear and colorless, three-dimensional article by a stereolithography process. The clear and colorless three-dimensional articles have a clarity and transmittance of greater than about 67% as measured by UV-Visible spectrophotometer in the 400-500 nm range; and a lack of color as measured by a b* value of between about minus 0.5 (−0.5) and about positive 2.5 (+2.5) in the CIELAB color space using a spectrophotometer in the visible wavelengths of 400-750 nm. | 11-19-2009 |
20090291387 | METHOD OF IMAGING AND DEVELOPING POSITIVE-WORKING IMAGEABLE ELEMENTS - A method of making imaged elements such as lithographic printing plates is achieved by imagewise exposing an infrared radiation-sensitive positive-working imageable element to provide exposed and non-exposed regions. The imaged element is developed using a single processing solution having a pH of from about 9 to about 11.5 and containing carbonate ion and at least 1 weight % of one or more anionic surfactants, to remove predominantly only the exposed regions to provide an image and to provide a protective coating on the imaged surface. The imageable element comprises a substrate and a radiation absorbing compound, and has an imageable layer on the substrate that comprises a developability-enhancing compound and a poly(vinyl acetal) in which at least 25 mol % of its recurring units comprise pendant nitro-substituted phenolic groups. | 11-26-2009 |
20090291388 | Method for Forming a Self-Aligned Hard Mask for Contact to a Tunnel Junction - A method of forming a hard mask in a semiconductor device which is self-aligned with a MTJ formed in the device is provided. The method includes the steps of: forming a hard mask material layer on an upper surface of a magnetic stack in the MTJ; forming an anti-reflective coating (ARC) layer on at least a portion of an upper surface of the hard mask material layer, the ARC layer being selected to be removable by a wet etch; forming a photoresist layer on at least a portion of an upper surface of the ARC layer; removing at least a portion of the photoresist layer and the ARC layer to thereby expose at least a portion of the hard mask material layer; etching the hard mask material layer to remove the exposed portion of the hard mask material layer; and performing a wet strip to remove remaining portions of the ARC layer and photoresist layer in a same processing step without interference to the magnetic stack. | 11-26-2009 |
20090291389 | PHOTOPATTERNABLE DIELECTRIC MATERIALS FOR BEOL APPLICATIONS AND METHODS FOR USE - A method and a composition. The composition includes at least one carbosilane-substituted silsesquioxane polymer which crosslinks in the presence of an acid. The at least one carbosilane-substituted silsesquioxane polymer is soluble in aqueous base. The method includes forming a coating on a substrate. The coating includes one or more carbosilane-substituted silsesquioxane polymers. The carbosilane-substituted silsesquioxane polymer is soluble in aqueous base. The coating is exposed to radiation, resulting in generating a latent pattern in the coating. The exposed coating is baked at a first temperature less than about 150° C. The baked coating is developed, resulting in forming a latent image from the latent pattern in the baked coating. The latent image is cured at a second temperature less than about 500° C. | 11-26-2009 |
20090291390 | Acid generating agent for chemically amplified resist compositions - An acid generating agent represented by the following formula (1) or (2) is provided, which is included in chemically amplified resist compositions: | 11-26-2009 |
20090297978 | Method of Forming Exposure Visualization Image of Planographic Printing Plate Material, Aluminum Support, and Planographic Printing Plate Material - An objective is to provide a method of forming an exposure visualization image of a planographic printing plate material in which printing of the planographic printing plate material is capable of on-press printing, and also provided excellent plate inspection together with excellent color reproduction and excellent printing durability. Disclosed is a method of forming an exposure visualization image of a planographic printing plate material possessing the step of imagewise exposing the planographic printing plate material possessing an aluminum support having anodization film pores on a surface of the aluminum support and provided thereon, an image formation layer to form the visualization image, wherein the aluminum support posseses a colorant producing color change via heat, in the anodization film pores. | 12-03-2009 |
20090297979 | Polymerizable compound, polymer, positive resist composition, and patterning process using the same - The present invention provides; a polymer suitable as a base resin for a positive resist composition, in particular a chemically amplified positive resist composition, having a higher resolution, a larger exposure allowance, a smaller sparse-dense size difference, a better process applicability, a better pattern configuration after exposure, and in addition, a further excellent etching resistance, than a conventional positive resist; a positive resist composition using the same; a patterning process; and a novel polymerizable compound to obtain a polymer like this. | 12-03-2009 |
20090297980 | Resist composition and method of forming resist pattern - A novel resist composition and method of forming a resist pattern that can be used in lithography applications. The resist composition includes a base component (A) that exhibits changed solubility in an alkali developing solution under action of acid, and an acid generator component (B) that generates acid upon exposure, wherein the base component (A) contains a polymer compound (A1) having a structural unit (a0) represented by general formula (a0-1) shown below, wherein R | 12-03-2009 |
20090305161 | LIQUID IMMERSION LITHOGRAPHY - A radiation-sensitive resin composition for liquid immersion lithography which produces an excellent pattern profile, exhibits excellent resolution, provides sufficient focal depth allowance, and elutes only the minimal amount in the liquid when brought in contact with the liquid during exposure to radiation. The radiation-sensitive resin composition forms a photoresist film in liquid immersion lithography, in which radiation is emitted through a liquid for use in liquid immersion lithography having a refractive index larger than 1.44 and smaller than 1.85 at a wavelength of 193 nm, existing between a lens and a photoresist, the composition comprising a resin having a recurring unit with a lactone structure, which is insoluble or scarcely soluble in alkali, but becomes soluble in alkali by the action of an acid, and a radiation-sensitive acid generator. | 12-10-2009 |
20090311621 | SUPPORT FOR PLANOGRAPHIC PRINTING PLATE MATERIAL, MANUFACTURING METHOD THEREOF, AND PLANOGRAPHIC PRINTING PLATE MATERIAL EMPLOYING THE SAME - An objective is to provide a light sensitive planographic printing plate material exhibiting excellent tone reproduction, printing durability and anti-stain property during printing at high printing pressure; a support employed for the material; a manufacturing method thereof; and a plate-making method of the light sensitive planographic printing plate material. Also disclosed is a method of manufacturing a support for a planographic printing plate material, possessing the steps of conducting specific treatments on an aluminum plate as the support in that order, wherein the aluminum plate in each of the following methods comprises a Mg content of 0.1-0.4% by weight, a Ga content of 0.001-0.02% by weight, and an Al content of at least 99.0% by weight. | 12-17-2009 |
20090311622 | METHOD OF FORMING PATTERN, COMPOSITION FOR FORMING UPPER-LAYER FILM, AND COMPOSITION FOR FORMING UNDER-LAYER FILM - A pattern formation method suitable for forming micro-patterns using electron beams (EB), X-rays, or extreme ultraviolet radiation (EUV) is provided. The method includes the following steps in the following order: (1) a step of forming and curing a under-layer film containing a radiation-sensitive acid generator which generates an acid upon exposure to radiation on a substrate, (2) a step of irradiating the under-layer film with radiation through a mask to cause an acid to be selectively generated in the exposed area of the under-layer film, (3) a step of forming an upper-layer film which does not contain a radiation-sensitive acid generator, but contains a composition capable of polymerization or crosslinking by the action of an acid, (4) a step of forming a cured film by polymerization or crosslinking selectively in the area of the upper-layer film corresponding to the area of the under-layer film in which the acid has been generated, and (5) a step of removing the area of the upper-layer film corresponding to the area of the under-layer film in which the acid has not been generated. | 12-17-2009 |
20090311623 | PHOTOLITHOGRAPHY - The invention relates to a method of photolithography comprising the steps of: providing a substrate and forming a layer of a photoresist ( | 12-17-2009 |
20090317739 | Composition for Coating over a Photoresist Pattern - The present invention relates to an aqueous coating composition for coating a photoresist pattern, comprising a polymer comprising at least one unit with an alkylamino group, where the unit has a structure (1), | 12-24-2009 |
20090317740 | Composition containing hydroxylated condensation resin for forming resist underlayer film - Disclosed is a lithographic composition for forming a resist underlayer film, which can be used as a lower layer antireflection film by which an exposure light striking on a photoresist formed on a semiconductor substrate is inhibited from being reflected from the substrate in a lithographic process of manufacturing semiconductor equipment, a planarization film for flattening a semiconductor substrate having a rugged surface used in order to fill in a hole formed on the semiconductor substrate, a film which prevents a photoresist from being contaminated by a substance generated from a semiconductor substrate during heating/burning, or the like. The lithographic composition for forming a resist underlayer comprises a polymer having a structure of formula (1): | 12-24-2009 |
20090317741 | COMPOUND, ACID GENERATOR, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - There is provided a resist composition which includes a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid, and an acid generator component (B) which generates an acid upon exposure, wherein the acid generator component (B) comprises an acid generator composed of a compound represented by the general formula (b1-2) shown below: | 12-24-2009 |
20090317742 | Photosensitive composition, method for forming pattern, and method for manufacturing semiconductor device - The present invention relates to a photosensitive composition, which is capable of being irradiated with high energy beam having a wave length of 1 to 300 nm band. The photosensitive composition includes a binder resin; and a photoelectron absorbent, capable of being excited with photoelectron emitted from the binder resin that absorbs the high energy beam, when the binder resin is irradiated with the high energy beam. | 12-24-2009 |
20090317743 | Resist composition for immersion exposure, method of forming resist pattern, and flourine-containing polymeric compound - A resist composition for immersion exposure including: a fluorine-containing polymeric compound (F) containing a structural unit (f1) having a base dissociable group and a structural unit (f2) represented by general formula (f2-1) (wherein R represents a hydrogen atom, a lower alkyl group or a halogenated lower alkyl group; and W is a group represented by any one of general formulas (w-1) to (w-4)); a base component (A) that exhibits changed solubility in an alkali developing solution under the action of acid; and an acid generator component (B) that generates acid upon exposure. | 12-24-2009 |
20090317744 | POLYMER AND CHEMICALLY AMPLIFIED RESIST COMPOSITION COMPRISING THE SAME - A polymer comprising a structural unit represented by the formula (Ia) or (Ib): | 12-24-2009 |
20090325102 | PHOTOSENSITIVE COMPOSITION AND PATTERN FORMING METHOD USING SAME - A photosensitive composition comprises (A) a resin whose solubility in an alkali developer is increased by the action of an acid, and (B) a compound that generates an acid when exposed to actinic rays or radiation, wherein the resin (A) contains two or more repeating units respectively having acid-decomposable groups that are different from each other in the acid decomposition ratio at an image formation sensitivity. | 12-31-2009 |
20090325103 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND PATTERN FORMING METHOD USING SAME - An actinic ray-sensitive or radiation-sensitive resin composition includes (A) a resin having at least two of repeating units represented by general formula (1) below and exhibiting increased solubility in an alkali developer when acted on by an acid, and (B) a compound that generates an acid when exposed to actinic rays or radiation. | 12-31-2009 |
20100003615 | UPPER LAYER-FORMING COMPOSITION AND PHOTORESIST PATTERNING METHOD - An upper layer-forming composition formed on a photoresist while causing almost no intermixing with the photoresist film and a photoresist patterning method are provided. The upper layer-forming composition is stably maintained without being eluted in a medium such as water during liquid immersion lithography and is easily dissolved in an alkaline developer. The upper layer-forming composition covers a photoresist film for forming a pattern by exposure to radiation. The composition comprises a resin dissolvable in a developer for the photoresist film and a solvent in which the resin is dissolved. The solvent has a viscosity of less than 5.2×10 | 01-07-2010 |
20100003616 | PHOTOSENSITIVE SELF-ASSEMBLED MONOLAYER FOR SELECTIVE PLACEMENT OF HYDROPHILIC STRUCTURES - A photosensitive monolayer is self-assembled on an oxide surface. The chemical compound of the photosensitive monolayer has three components. A first end group provides covalent bonds with the oxide surface for self assembly on the oxide surface. A photosensitive group that dissociates upon exposure to ultraviolet radiation is linked to the first end group. A second end group linked to the photosensitive group provides hydrophobicity. Upon exposure to the ultraviolet radiation, the dissociated photosensitive group is cleaved and forms a hydrophilic derivative in the exposed region, rendering the exposed region hydrophilic. Carbon nanotubes or nanocrystals applied in an aqueous dispersion are selectively attracted to the hydrophilic exposed region to from electrostatic bonding with the hydrophilic surface of the cleaved photosensitive group. | 01-07-2010 |
20100009284 | COMPOUND, POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A compound including a polyhydric phenol compound represented by general formula (I) shown below (wherein R | 01-14-2010 |
20100009285 | FLEXOGRAPHIC PRINTING FORME PRECURSOR FOR LASER ENGRAVING - A method of making a flexographic printing form precursor for laser engraving including the steps of
| 01-14-2010 |
20100009286 | Chemically-amplified positive resist composition and patterning process thereof - There is disclosed a chemically-amplified positive resist composition comprising, as main components, (A) a base polymer, which contains one or more kinds of a monomer unit represented by the following general formula (1) and the like, and is an alkali-insoluble polymer whose hydroxyl group is partly protected by an acetal group while alkali-soluble when deprotected by an acid catalyst, (B) a sulfonium salt containing a sulfonate anion, (C) a basic component, and (D) an organic solvent. In a lithography technology by a photo resist, an extremely high temporal stability is necessary. In addition, it must give a good pattern profile not dependent on a substrate and have a high resolution power. There can be provided a chemically-amplified positive resist composition which can solve these problems simultaneously, a resist patterning process using the same, and a method for producing a photo mask blank. | 01-14-2010 |
20100009287 | CURABLE COMPOSITION FOR IMPRINTS, PATTERNING METHOD AND PATTERN - A curable composition for imprints, comprising at least one polymerizable monomer and a photopolymerization initiator, wherein the content of a polymerizable monomer having a viscosity at 25° C. of 7 mPa·s or more is 80% by mass or more, relative to all the polymerizable monomers contained in the composition. The curable composition for imprints has low volatility of the components even in a thin film coating on a substrate and is thus capable of forming a good pattern. | 01-14-2010 |
20100009288 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND PATTERN FORMING METHOD USING SAME - An actinic ray-sensitive or radiation-sensitive resin composition comprises (A) a resin that exhibits an increased solubility in an alkali developer when acted on by an acid, and (B) at least two types of sulfonic acid generators that generate a sulfonic acid when exposed to actinic rays or radiation, wherein the two types of sulfonic acid generators (B) consist of sulfonic acid generators (B1) and (B2) satisfying the following requirements, namely the sulfonic acid generator (B1) generates a sulfonic acid composed of 9 to 20 elements with an acid strength (pKa) satisfying the relationship pKa<−3.50, and the sulfonic acid generator (B2) generates an acid composed of 17 or more elements with an acid strength (pKa) satisfying the relationship −2.00>pKa≧−3.50, provided that no hydrogen atom is included in the number of elements of the generated acids. | 01-14-2010 |
20100015548 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) and an acid generator component (B), the resin component (A) including a copolymer (A1) having a structural unit (a1) derived from an acrylate ester having a monocyclic or polycyclic group-containing acid dissociable, dissolution inhibiting group, a structural unit (a2) derived from an acrylate ester having a lactone-containing cyclic group, a structural unit (a3) derived from an acrylate ester having a hydroxyl group and/or cyano group-containing polycyclic group, and a structural unit (a4) represented by general formula (a4-1) shown below: | 01-21-2010 |
20100015549 | ON-PRESS DEVELOPABLE NEGATIVE-WORKING IMAGEABLE ELEMENTS - The present invention relates to negative-working imageable elements that can be used for the manufacture of printing plates. These imageable elements can be developed on on-press by the action of a lithographic printing ink used in combination with either water or a fountain solution. The imageable elements comprise an imageable layer that is not removable in water or fountain solution alone. The imageable layer includes a free radically polymerizable compound, a free radical initiator composition, an infrared radiation absorbing compound, and a polymeric binder comprising poly(alkylene oxide) pendant groups, and preferably additionally pendant cyano groups. | 01-21-2010 |
20100021842 | LASER ENGRAVABLE FLEXOGRAPHIC PRINTING ARTICLES BASED ON MILLABLE POLYURETHANES, AND METHOD - A flexographic printing sleeve or plate is made by a method that includes providing a millable polyurethane, crosslinking the millable polyurethane, and forming a relief by at least laser engraving the crosslinked millable polyurethane. For example, crosslinking may be accomplished by a peroxide-based process or by a vulcanization process using sulfur. A relief in one example is formed by extruding the millable polyurethane, thermally crosslinking the polyurethane after the extrusion step and laser engraving the crosslinked millable polyurethane. A printing article is formed into the shape of a flat printing plate or a continuous in-the-round printing sleeve. | 01-28-2010 |
20100021843 | Inorganic Resist Sensitizer - Methods and compositions for enhancing the sensitivity of an inorganic resist composition are disclosed. In one aspect, compositions for use with a matrix material (e.g., a lithographically sensitive polymeric material such as a hydrogen-bearing siloxane material) can be formulated with a sensitizer, where the sensitizer can be present in a relatively small amount. The sensitizer can include a radical generator, and can act to enhance the efficiency of radical generation and/or resist crosslinking when the resist is impinged by a selected lithographic radiation. The methods of the present invention can be especially useful in performing short wavelength (e.g., less than 200 nm) lithography, or for processes such as e-beam lithography, which traditionally suffer from low throughput. Methods of utilizing one or more of these aspects are also disclosed. | 01-28-2010 |
20100028799 | RESIST COMPOSITION FOR IMMERSION EXPOSURE AND METHOD FOR RESIST PATTERN FORMATION - A resist composition for immersion exposure including a resin component (A) that exhibits changed alkali solubility under the action of acid, wherein the resin component (A) includes a polymer compound (A1) containing a structural unit (a0) having an acid-generating group that generates acid upon irradiation. | 02-04-2010 |
20100028800 | RADIATION-SENSITIVE RESIN COMPOSITION - It is intended to provide a radiation-sensitive resin composition, which comprises a radiation-sensitive acid generator excellent in resolution performance, heat stability, and storage stability, suppresses fluctuations in line width and deterioration in pattern profile attributed to standing waves, and produces a resist pattern improved in nano edge roughness and LEF. The radiation-sensitive resin composition is characterized by (A) a radiation-sensitive acid generator comprising: a sulfonium salt compound typified by 2,4,6-trimethylphenyldiphenylsulfonium 2,4-difluorobenzenesulfonate, 2,4,6-trimethylphenyldiphenylsulfonium 4-trifluoromethylbenzenesulfonate, or the like; and a sulfonimide compound. It is preferred that the composition should further comprise (B) a resin typified by a 4-hydroxystyrene/4-t-butoxystyrene copolymer, a 4-hydroxystyrene/t-butyl (meth)acrylate, or the like. | 02-04-2010 |
20100028801 | LITHOGRAPHY FOR PITCH REDUCTION - In one embodiment, a photoresist is lithographically patterned to form an array of patterned photoresist portions having a pitch near twice a minimum feature size. Fluorine-containing polymer spacers are formed on sidewalls of the patterned photoresist portions. The pattern of the fluorine-containing polymer spacers is transferred into an underlying layer to form a pattern having a sublithographic pitch. In another embodiment, a first pattern in a first photoresist is transferred into a first ARC layer underneath to form first ARC portions. A planarizing second optically dense layer, a second ARC layer, and a second photoresist are applied over the first ARC portions. A second pattern in the second photoresist is transferred into the second ARC layer to form second ARC portions. The combination of the first ARC portions and second ARC portions function as an etch mask to pattern an underlying layer with a composite pattern having a sublithographic pitch. | 02-04-2010 |
20100028802 | METHOD FOR RESIST UNDER LAYER FILM FORMATION, COMPOSITION FOR RESIST UNDER LAYER FILM FOR USE IN THE METHOD, AND METHOD FOR PATTERN FORMATION - This invention provides a method for resist under layer film formation, which can form a resist under layer film which can function as an anti-reflection film, is excellent in pattern transfer properties and etching resistance, and does not cause bending of a pattern even in the transfer of a fined pattern, and a composition for the resist under layer film for use in the method, and a method for pattern formation. The method for resist under layer film formation comprises the steps of coating a composition for resist under layer film formation (for example, a composition comprising a compound having a phenolic hydroxyl group, a solvent, and an accelerator) onto a substrate to be processed, and treating the formed coating film under an oxidizing atmosphere having an oxygen concentration of not less than 1% by volume and a temperature of 300° C. or higher to form a resist under layer film. | 02-04-2010 |
20100028803 | SURFACE TREATING AGENT FOR RESIST PATTERN FORMATION, RESIST COMPOSITION, METHOD OF TREATING SURFACE OF RESIST PATTERN THEREWITH AND METHOD OF FORMING RESIST PATTERN - A surface treating agent for resist pattern formation comprises a compound having two or more nucleophilic functional groups in each of the molecules thereof, or its salt, and a solvent. | 02-04-2010 |
20100028804 | RESIST COMPOSITION AND METHOD OF FORMING PATTERN THEREWITH - A resist composition comprises two or more polymers containing a first polymer and a second polymer and a compound that when exposed to actinic rays or radiation, generates an acid, wherein when the resist composition is formed into a dry resist film, the mixing ratios of at least the first and second polymers in the resist film exhibit a gradient distribution such that the mixing ratios continuously change in entirety or partially in the direction of the depth from the surface of the resist film on the air side toward a support, and wherein the mixing ratio of the first polymer at a superior portion of the resist film is higher than that of the second polymer, while the mixing ratio of the second polymer at an inferior portion of the resist film is higher than that of the first polymer. | 02-04-2010 |
20100035176 | IMAGING ELEMENT AND METHOD USING DIFFERENTIAL LIGHT SCATTERING - An imaging element is used to provide images based on the difference in index of refraction caused by imaging actinic radiation. Imaging provides desired results by the creation or elimination of light scattering in a two-phase imaging medium in which at least one phase contains a material that is capable of having a refractive index change in response to imaging actinic radiation. For example, if the refractive indices of the two phases are initially matched, imaging can cause a mismatch in imaged regions. Alternatively, the refractive indices of the two phases can be initially mismatched and imaging can create a match of refractive indices in imaged regions. An image can be produced using a controlled amount of imaging actinic radiation without any chemical processing or heating. | 02-11-2010 |
20100035177 | METHOD FOR FORMING PATTERN, AND MATERIAL FOR FORMING COATING FILM - A novel method for forming a pattern capable of decreasing the number of steps in a double patterning process, and a material for forming a coating film suitably used in the method for forming a pattern are provided. First resist film ( | 02-11-2010 |
20100035178 | Negative resist composition, method of forming resist pattern and polymeric compound - A negative resist composition including an alkali-soluble resin component (A), an acid generator component (B) that generates acid upon exposure, and a cross-linking component (C), the alkali-soluble resin component (A) including a polymeric compound (F) having a structural unit (f1) containing a base dissociable group and a structural unit (f2) containing a cross-linking group-containing group. | 02-11-2010 |
20100035179 | METHOD OF SYNTHESIZING ITO ELECTRON-BEAM RESIST AND METHOD OF FORMING ITO PATTERN USING THE SAME - Provided is a method of synthesizing an ITO electron beam resist and a method of forming an ITO pattern. The ITO electron beam resist is synthesized by dissolving indium chloride tetrahydrate and tin chloride dihydrate in 2-ethoxy ethanol. The method of forming an ITO pattern includes: forming an ITO electron beam resist film on a substrate, forming an ITO electron beam resist pattern by patterning the ITO electron beam resist film, and forming an ITO pattern by annealing the ITO electron beam resist pattern. | 02-11-2010 |
20100035180 | CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION - The present invention provides a chemically amplified positive composition comprising: a resin comprising a structural unit represented by the formula (I): | 02-11-2010 |
20100040970 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) which exhibits increased alkali solubility under action of acid and an acid-generator component (B) which generates acid upon exposure,
| 02-18-2010 |
20100040971 | PATTERN FORMING METHOD, AND RESIST COMPOSITION, DEVELOPER AND RINSING SOLUTION USED IN THE PATTERN FORMING METHOD - A pattern forming method comprising a step of applying a resist composition whose solubility in a negative tone developer decreases upon irradiation with an actinic ray or radiation and which contains a resin having an alicyclic hydrocarbon structure and a dispersity of 1.7 or less and being capable of increasing the polarity by the action of an acid, an exposure step, and a development step using a negative tone developer; a resist composition for use in the method; and a developer and a rinsing solution for use in the method, are provided, whereby a pattern with reduced line edge roughness and high dimensional uniformity can be formed. | 02-18-2010 |
20100040972 | PATTERN FORMING METHOD, AND RESIST COMPOSITION, DEVELOPER AND RINSING SOLUTION USED IN THE PATTERN FORMING METHOD - A pattern forming method comprising a step of applying a resist composition whose solubility in a negative tone developer decreases upon irradiation with an actinic ray or radiation and which contains a resin having an alicyclic hydrocarbon structure and a dispersity of 1.7 or less and being capable of increasing the polarity by the action of an acid, an exposure step, and a development step using a negative tone developer; a resist composition for use in the method; and a developer and a rinsing solution for use in the method, are provided, whereby a pattern with reduced line edge roughness and high dimensional uniformity can be formed. | 02-18-2010 |
20100047709 | RADIATION-SENSITIVE COMPOSITION - A radiation-sensitive composition containing a resist compound having a high sensitivity, a high resolution, a high etching resistance, and a low outgas which forms a resist pattern with a good shape is described. Further described is a method of forming a resist pattern using the radiation-sensitive composition. Still further described are a novel composition for forming a photoresist under coat film which is excellent in optical properties and etching resistance and contains substantially no sublimable substance and an under coat film formed by the composition. Still further described are a radiation-sensitive composition containing a solvent and a cyclic compound having a specific structure, for example, a cyclic compound (A) having a molecular weight of 700 to 5000 which is synthesized by the condensation reaction of a compound having 2 to 59 carbon atoms and 1 to 4 formyl groups (aldehyde compound (A1)) with a compound having 6 to 15 carbon atoms and 1 to 3 phenolic hydroxyl groups (phenol compound (A2)), and a cyclic compound for use in the radiation-sensitive composition. | 02-25-2010 |
20100047710 | COPOLYMER FOR IMMERSION LITHOGRAPHY AND COMPOSITIONS - The present invention provides a copolymer which can prevent problems associated with immersion lithography (including occurrence of a pattern defect such as water mark, and variation in sensitivity or abnormal patterning due to elution of an additive such as a radiation-sensitive acid-generator) and which provides surface characteristics suitable for immersion lithography, and a composition containing the copolymer. | 02-25-2010 |
20100047711 | RESIST COMPOSITION, METHOD FOR FORMING RESIST PATTERN, AND METHOD FOR PRODUCING ELECTRONIC DEVICE - A resist composition, which contains: a silicon compound having at least an alkyl-soluble group which may be substituted with a substituent; and a resin having an alkali-soluble group which may be substituted with an acid labile group, wherein the resist composition is designed to be subjected to immersion lithography. | 02-25-2010 |
20100055605 | PRINTED CIRCUIT BOARD AND METHOD OF MANUFACTURING THE SAME - A printed circuit board and a method of manufacturing the printed circuit board are disclosed. The method of manufacturing the printed circuit board in accordance with an embodiment of the present invention can include: forming an opaque conductive pattern on one side of a transparent insulation layer; forming a photosensitive insulation layer on the transparent insulation layer such that the conductive pattern is covered; hardening the photosensitive insulation layer excluding an area covering the conductive pattern by irradiating light on the other side of the transparent insulation layer; and forming an opening on the photosensitive insulation layer by removing the area of the photosensitive insulation layer covering the conductive pattern such that the conductive pattern is exposed. | 03-04-2010 |
20100055606 | Positive resist composition and method of forming resist pattern - The present invention provides a positive resist composition capable of forming a resist pattern with high resolution, and a method of forming a resist pattern. | 03-04-2010 |
20100055607 | LITHOGRAPHIC PRINTING PLATE PRECURSOR AND PLATE MAKING METHOD THEREOF - A lithographic printing plate precursor includes, in the following order: a support, an image-recording layer and a protective layer, and the image-recording layer contains (A) an infrared absorbing agent, (B) a radical generator, and a polymer which exhibits electrical conductivity upon oxidation. | 03-04-2010 |
20100055608 | POLYMERIZABLE ANION-CONTAINING SULFONIUM SALT AND POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A polymerizable anion-containing sulfonium salt having formula (1) is provided wherein R | 03-04-2010 |
20100055609 | COMPOUND, METHOD FOR PREPARING THE COMPOUND AND RESIST COMPOSITION CONTAINING THE COMPOUND - A compounds represented by the Formula (I) or the Formula (I′). | 03-04-2010 |
20100062363 | COMPOSITION FOR UPPER SURFACE ANTIREFLECTION FILM, AND METHOD FOR PATTERN FORMATION USING THE SAME - The present invention provides a composition for forming a top anti-reflection coating and also provides a pattern formation method employing that composition. The composition prevents pattern failures caused by light reflected in the resist layer in the exposure step, and it further avoids troubles caused by residues produced in the etching step. The composition contains a solvent and fine particles having a mean particle size of 1 to 100 nm. In the pattern formation method of the present invention, a top anti-reflection coating is formed from the composition. The composition and the method according to the present invention can be used to form a composite film composed of a resist layer and a top anti-reflection coating. | 03-11-2010 |
20100062364 | Positive resist composition, method of forming resist pattern, and polymeric compound - A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the resin component (A) including at least one structural unit (a0) selected from the group consisting of a structural unit represented by general formula (a0-1) [R | 03-11-2010 |
20100062365 | CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION - The present invention provides a chemically amplified positive composition comprising: | 03-11-2010 |
20100062366 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A positive resist composition comprises (A) a resin component which becomes soluble in an alkaline developer under the action of an acid and (B) an acid generator. The resin (A) is a polymer comprising recurring units containing a non-leaving hydroxyl group represented by formula (1) wherein R | 03-11-2010 |
20100068647 | RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition includes an acid-dissociable group-containing resin, a radiation-sensitive acid generator, and a solvent. The acid-dissociable group-containing resin includes a copolymer containing a repeating unit. The reparing unit includes an acid-dissociable group-containing repeating unit in an amount of more than about 55 mol % of a total amount of the repeating units in the copolymer. A content of the copolymer in the acid-dissociable group-containing resin is about 90 mass % or more of a total amount of the acid-dissociable group-containing resin. | 03-18-2010 |
20100075248 | BOTTOM ANTIREFLECTIVE COATINGS EXHIBITING ENHANCED WET STRIP RATES, BOTTOM ANTIREFLECTIVE COATING COMPOSITIONS FOR FORMING BOTTOM ANTIREFLECTIVE COATINGS, AND METHODS FOR FABRICATING THE SAME - Bottom antireflective coating (BARC) that exhibit enhanced wet strip rates, BARC compositions for fabricating such BARCs, and methods for manufacturing such BARC compositions are provided. According to one exemplary embodiment, a bottom antireflective coating (BARC) composition comprises an inorganic-based compound, an absorbing material, and a wet strip-rate modifier combination. The wet strip-rate modifier composition comprises a combination of a short chain linear alcohol and dipropylene glycol (DPG), a combination of the short chain linear alcohol and tetraethylene glycol (TEG), a combination of DPG and TEG, or a combination of the short chain linear alcohol, DPG, and TEG. | 03-25-2010 |
20100075249 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) which exhibits changed alkali solubility under action of acid and an acid-generator component (B) which generates acid upon exposure, the resin component (A) including a copolymer (A1) containing a structural unit (a1) represented by general formula (II) or a polymer (A2) consisting of a structural unit (a1) represented by general formula (II) (wherein R represents a hydrogen atom, a halogen atom, a lower alkyl group or a halogenated lower alkyl group; each of R | 03-25-2010 |
20100075250 | WATERLESS PLANOGRAPHIC PRINTING PLATE PRECURSOR - A waterless planographic printing plate precursor is capable of plate inspection without a post-dyeing step, capable of being handled in a light room and excellent in coloring matter fixing in silicone rubber layer. The waterless planographic printing plate precursor has at least a photosensitive layer or heat sensitive layer and a silicone rubber layer on a substrate, which is a waterless planographic printing plate precursor characterized in that a color pigment is contained in the above-mentioned silicone rubber layer, and it is possible to obtain a more excellent effect by further incorporating, in the above-mentioned silicone rubber layer, a pigment dispersant containing an organic complex compound comprising of a metal and an organic compound. Furthermore, when aluminum and/or titanium is contained as the above-mentioned metal, a more excellent effect can be obtained. | 03-25-2010 |
20100075251 | METHOD OF PREPARING LITHOGRAPHIC PRINTING PLATE AND LITHOGRAPHIC PRINTING PLATE PRECURSOR - A method for preparing a lithographic printing plate includes: imagewise exposing a lithographic printing plate precursor including a photosensitive layer containing a sensitizing dye, a polymerization initiator, a polymerizable compound and a binder polymer and an aluminum support on which a divalent cation is adsorbed in an amount of from 0.5 to 3.0 mg/m | 03-25-2010 |
20100081079 | POLYMER FOR RESIST AND RESIST COMPOSITION MANUFACTURED USING THE SAME - Disclosed are a polymer for a chemically amplified resist represented as | 04-01-2010 |
20100081080 | POLYMER COMPOUND, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A polymer compound including a structural unit (a0) represented by general formula (a0-1) shown below: | 04-01-2010 |
20100081081 | RESIST UNDERLAYER FILM FORMING COMPOSITION FOR ELECTRON BEAM LITHOGRAPHY - There is provided a resist underlayer film forming composition for an electron beam lithography that is used in a device production process using electron beam lithography and is effective for reducing adverse effects caused by an electron beam to obtain a favorable resist pattern, and a method of forming a resist pattern using the resist underlayer film forming composition for electron beam lithography. The resist underlayer film forming composition for an electron beam lithography comprises a polymer compound having a repeating unit structure that contains a halogen atom, and a solvent, and the composition is applied in a form of film between a film to be processed for forming a transferring pattern on a substrate and a resist film for an electron beam lithography, and used for manufacturing a semiconductor device. The polymer compound preferably contains at least 10% by mass of a halogen atom. | 04-01-2010 |
20100081082 | COMPOSITION FOR RESIST UNDER LAYER FILM FORMATION AND METHOD FOR PATTERN FORMATION - A resist under layer film-forming composition comprises (A) an aminated fullerene having at least one amino group bonded to a fullerene skeleton, and (B) a solvent. The composition exhibits excellent etching resistance, causes an under layer film pattern to bend only with difficulty in a dry etching process, and can transfer a resist pattern faithfully onto a substrate to be processed with high reproducibility. | 04-01-2010 |
20100081083 | PRINTING ORIGINAL PLATE CAPABLE OF BEING LASER-ENGRAVED - The present invention provides a printing original plate for laser engraving which generates no printing deficiencies and from which a printing plate with a satisfactory resolution can be produced. A printing original plate for laser engraving which is obtained by molding a resin composition containing (A) at least one latex having a weight average degree of gelation of 75% or more, (B) a photopolymerizable compound and (C) a photopolymerization initiator into a sheet-like or tubular form, and then irradiating the molded article with light to crosslink and cure, characterized in that the depth of 10% screen dot at 150 lpi is 80 μm or more. | 04-01-2010 |
20100081084 | NOVEL DIAZONAPHTHOQUINONESULFONIC ACID BISPHENOL DERIVATIVE USEFUL IN PHOTO LITHOGRAPHIC SUB MICRON PATTERNING AND A PROCESS FOR PREPARATION THEREOF - The present invention provides novel diazonaphthoquinonesulfonic acid bisphenol derivatives. More particularly, the present invention relates to photo restive coating comprising alkali-soluble resin, a photoactive compound and a surfactant. The photoresist film prepared has less then one micron. The photoactive compound is soluble or swellable in aqueous alkaline solutions and is diazonaphthoquinonesulfonic bisphenol esters of the general formula (A), wherein DNQ represents a 2-Diazo-1-naphthoquinone-4-sulfonyl, 2-Diazo-1-naphthoquinone-5-sulfonyl, 1-Diazo-2-naphthoquinone-4-sulfonyl groups and R1 R1 represents an alkyl, aryl and substituted aryl groups. The invention also provides a process for coating and imaging the light-sensitive composition. | 04-01-2010 |
20100081085 | Polymer and Resist Composition Comprising the Same - A polymer comprising a structural unit represented by the formula (I): | 04-01-2010 |
20100081086 | POSITIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND POLYMERIC COMPOUND - A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the base component (A) including a polymeric compound (A1′) containing an acid dissociable, dissolution inhibiting group within the structure thereof and including a structural unit (a0) represented by general formula (a0-1) (R | 04-01-2010 |
20100086870 | Composition for forming silicon-containing film, silicon-containing film-formed substrate and patterning process - There is disclosed a thermosetting composition for forming a silicon-containing film to form a silicon-containing film formed in a multilayer resist process used in lithography, including at least (A) a silicon-containing compound obtained by hydrolyzing and condensing a hydrolyzable silicon compound using an acid as a catalyst, (B) a thermal crosslinking accelerator (C) a monovalent or bivalent or more organic acid having 1 to 30 carbon atoms, (D) trivalent or more alcohol and (E) an organic solvent. There can be provided a composition for a silicon-containing film which can form a good pattern in a photoresist film, can form a silicon-containing film for an etching mask having a good dry etching resistance, can give a good storage stability and can be delaminated with a solution used in a delamination process in a multilayer resist process used for lithography, a substrate on which the silicon-containing film is formed, and further a method for forming a pattern. | 04-08-2010 |
20100086871 | PHOTOSENSITIVE POLYIMIDES - The invention pertains to an epoxy-modified photosensitive polyimide, which possesses excellent heat resistance, chemistry resistance, and flexibility, and can be used in a liquid photo resist or dry film resist, or used in a solder resist, coverlay film, or printed circuit board. | 04-08-2010 |
20100092887 | FLUORINE-CONTAINING QUINACRIDONES IN COLOUR FILTERS FOR LCDs - Use of quinacridones of the formula (I) | 04-15-2010 |
20100099041 | POSITIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION - [Problem] To provide a positive-type photosensitive resin composition that is produced with novolac resin and has good mechanical properties and high storage stability.
| 04-22-2010 |
20100099042 | POLYMERIZABLE ANION-CONTAINING SULFONIUM SALT AND POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A polymerizable anion-containing sulfonium salt having formula (1) is provided wherein R | 04-22-2010 |
20100104972 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition of the present invention is obtained by dissolving a resin component (A) that displays changed alkali solubility under action of acid and an acid generator component (B) that generates acid upon exposure in an organic solvent (S), wherein the organic solvent (S) includes an aromatic organic solvent (S1). According to the present invention, a resist composition and a method of forming a resist pattern, in which the level of LWR is reduced, can be provided. | 04-29-2010 |
20100104973 | COMPOUND, ACID GENERATOR, RESIST COMPOSITION, AND METHOD OF FORMING RESIST PATTERN - There are provided a compound preferable as an acid generator for a resist composition, an acid generator including the compound, a resist composition containing the acid generator, and a method of forming a resist pattern using the resist composition, and the compound is represented by general formula (b1-12) shown below: | 04-29-2010 |
20100104974 | POSITIVE RESIST COMPOSITION AND PATTERN FORMING METHOD - A positive resist composition comprises: (A) a compound that generates an acid upon irradiation with an actinic ray or radiation; and (B) a resin that has an acid-decomposable repeating unit represented by formula (I′), has a dispersity of 1.5 or less and increases its solubility in an alkali developer by action of an acid, | 04-29-2010 |
20100104975 | Use of Blended Solvents in Defectivity Prevention - The present invention provides a blended solvent for solubilizing an ultraviolet photoresist. The blended solvent comprises a mixture of from about 5 vol % to about 95 vol % of a first solvent, wherein the first solvent comprises a cyclic ester. A balance of the mixture comprises a second solvent, wherein the second solvent comprises a volatile organic liquid. | 04-29-2010 |
20100104976 | OXIME DERIVATIVE, PHOTOPOLYMERIZABLE COMPOSITION, COLOR FILTER, AND PROCESS FOR PRODUCING THE SAME - There are provided a compound represented by the following formula (I), a photopolymerizable composition containing (A) a photopolymerization initiator represented by the formula (I) and (B) a radical polymerizable monomer, a color filter produced by using the photopolymerizable composition, and a process for producing the color filter. | 04-29-2010 |
20100112474 | PHOTOSENSITIVE COMPOSITION, PHOTOSENSITIVE FILM, METHOD FOR FORMING A PERMANENT PATTERN, AND PRINTED BOARD - This invention provides a photosensitive composition, which can form a smooth photosensitive layer, has good storage stability, and exhibits high sensitivity when a blue-violet laser exposure system is used, a photosensitive film, a method for forming a permanent pattern using the photosensitive composition, and a printed board with a permanent pattern formed thereon by the method for forming a permanent pattern. | 05-06-2010 |
20100112475 | RESIN FOR FORMATION OF UPPER ANTIREFLECTIVE FILM, COMPOSITION FOR FORMATION OF UPPER ANTIREFLECTIVE FILM, AND RESIST PATTERN FORMATION METHOD - The objective of the present invention is to provide a resin for forming an upper antireflective film and a composition for forming an upper antireflective film that can reduce a standing wave effect satisfactorily and lead excellent solubility in an alkaline developer in lithography and a method for forming a resist pattern. Specifically, the resin for forming an upper antireflective film has at least one unit selected from a repeating unit represented by the formula (1) and a repeating unit represented by the formula (2), has a weight average molecular weight of 1,000 to 100,000 as measured by GPC method, and is soluble in an alkaline developer. (In the formulae (1) and (2), R | 05-06-2010 |
20100112476 | A LITHOGRAPHIC PRINTING PLATE PRECURSOR - A lithographic printing plate precursor is disclosed which comprises a support having a hydrophilic surface or which is provided with a hydrophilic layer, and a coating thereon, said coating comprising an IR absorbing agent and a contrast enhancing compound, characterized in that said contrast enhancing compound has the structure of formula (I). The printing plate comprising the contrast enhancing compound improves the thermoresponsivity of the coating and is capable of improving the resistance of the coating in the non-exposed areas against the alkaline developer. | 05-06-2010 |
20100112477 | POSITIVE RESIST COMPOSITION AND PATTERN FORMING METHOD - A positive photosensitive composition comprises:
| 05-06-2010 |
20100119969 | POSITIVE PHOTOSENSITIVE RESIN COMPOSITION AND DPOLYHYDROXYAMIDE RESIN - There is provided a positive photosensitive resin composition that is excellent in electric insulating properties, heat resistance, mechanical strength and electrical characteristics, and capable of forming a high-resolution circuit pattern. The positive photosensitive resin composition comprises at least one type of a polyhydroxyamide resin containing a repeating unit represented by Formula (1) and having a weight average molecular weight of 3,000 to 100,000, and a compound generating an acid by light irradiation. | 05-13-2010 |
20100119970 | Resist lower-layer composition containing thermal acid generator, resist lower layer film-formed substrate, and patterning process - There is disclosed a resist lower-layer composition configured to be used by a multi-layer resist method used in lithography to form a layer lower than a photoresist layer acting as a resist upper layer film, wherein the resist lower-layer composition becomes insoluble or poorly-soluble in an alkaline developer after formation of the lower layer, and
| 05-13-2010 |
20100119971 | RESIST INK AND METHOD OF FORMING PATTERN USING THE SAME - Disclosed is a resist ink having superior acid-resistance and coupling property, the resist ink composed of 70% or less by weight of solvent, 10-15% by weight of base polymer, 10-15% by weight of tacktifier, 3% or less by weight of additive, and 1-10% by weight of coupling agent. | 05-13-2010 |
20100124718 | POLYMERIC COMPOUND, POSITIVE RESIST COMPOSITION, AND METHOD OF FORMING RESITS PATTERN - A polymeric compound including a structural unit (a0) represented by the structural unit (a0-1) shown below: | 05-20-2010 |
20100124719 | Polymer and Resist Composition Comprising the Same - A polymer comprising a structural unit represented by the formula (I): | 05-20-2010 |
20100136477 | Photosensitive Composition - The present invention relates to a novel photosensitive composition comprising a) an organic polymer, b) a photobase generator of structure (1), and c) optionally a photoacid generator, | 06-03-2010 |
20100136478 | Resist composition, method of forming resist pattern, novel compound, and acid generator - A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the acid-generator component (B) including an acid generator (B1) containing a compound having a cation moiety represented by general formula (I) (in the formula, R | 06-03-2010 |
20100136479 | POSITIVE PHOTOSENSITIVE COMPOSITION - A positive photosensitive composition includes at least one compound that when exposed to actinic rays or radiation, generates any of the sulfonic acids of general formula (I) and a resin whose solubility in an alkali developer is increased by the action of an acid, | 06-03-2010 |
20100136480 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including: a base component (A) which exhibits changed solubility in an alkali developing solution under action of an acid; an acid generator component (B) which generates an acid upon exposure; and an organic solvent (S), wherein the base component (A) includes a polymeric compound (A1) which contains a structural unit (a0) represented by the general formula (a0-1) shown below:
| 06-03-2010 |
20100136481 | RESIST COMPOSITION - A resist composition comprising:
| 06-03-2010 |
20100136482 | RESIST COMPOSITION AND PATTERNING PROCESS - A resist composition is provided comprising (A) an additive polymer of acyl-protected hexafluoroalcohol structure, (B) a base polymer having a structure derived from lactone ring, hydroxyl group and/or maleic anhydride, the base polymer becoming soluble in alkaline developer under the action of acid, (C) a photoacid generator, and (D) an organic solvent. The additive polymer is transparent to radiation of wavelength up to 200 nm, and its properties can be tailored by a choice of the polymer structure. | 06-03-2010 |
20100136483 | IMAGING ELEMENT HAVING A PHOTOLUMINESCENT TAG AND PROCESS OF USING THE IMAGING ELEMENT TO FORM A RECORDING ELEMENT - The invention relates to an imaging element and a method of using the imaging element to form a recording element. The imaging element includes a composition sensitive to actinic radiation from a source of radiation having a range of wavelengths and a photoluminescent tag that is responsive to at least one wavelength from the source of radiation. The photoluminescent tag can be used to authenticate the identity of the element, provide information about the element, and/or to establish one or more conditions in a device used to prepare the recording element from the imaging element. | 06-03-2010 |
20100143840 | FLEXOGRAPHIC ELEMENT AND METHOD OF IMAGING - A relief (or flexographic) printing precursor has first and second radiation-sensitive layers, or a plurality of radiation-sensitive layers. The first radiation-sensitive layer is sensitive to a first imaging radiation having a first λ | 06-10-2010 |
20100143841 | ENHANCED RELIEF PRINTING PLATE - An improved relief printing plate and method for producing said plate is disclosed. Substantially all sizes of relief features resolve a fixed pattern which improves print quality. The pattern is applied to image areas in halftone data used to produce an image mask that is subsequently used to convert a plate precursor into a relief plate. The accuracy, ink density and tonal response of printed images corresponding to relief features that include the pattern are comparable or better than relief features produced without the pattern. | 06-10-2010 |
20100143842 | METHOD FOR PRODUCING A COPOLYMER SOLUTION WITH A UNIFORM CONCENTRATION FOR SEMICONDUCTOR LITHOGRAPHY - A method of producing a copolymer solution for semiconductor lithography having a copolymer and a solvent for coating film formation, which copolymer contains at least one repeating unit selected from the group consisting of: a repeating unit (A) having a hydroxyl group; a repeating unit (B) having a structure in which a hydroxyl group is protected by a group which suppresses dissolution into an alkaline developer and which dissociates in the action of an acid; a repeating unit (C) having a lactone structure; and a repeating unit (D) having a cyclic ether structure, the difference in the copolymer concentration among a plurality of containers which were filled with copolymer solution from the same manufacturing lot is not more than a certain range, or the method includes a certain production step. | 06-10-2010 |
20100151379 | COMPOUND AND CHEMICALLY AMPLIFIED RESIST COMPOSITION CONTAINING THE SAME - The present invention provides a compound represented by the formula (I): | 06-17-2010 |
20100151380 | RESIST COMPOSITION - A resist composition comprising:
| 06-17-2010 |
20100151381 | ANTIREFLECTIVE COATING COMPOSITION, ANTIREFLECTIVE COATING , AND PATTERNING PROCESS - A composition comprising (A) a fluorinated polymer having k=0.01-0.4 and n=1.4-2.1 and (B) an aromatic ring-bearing polymer having k=0.3-1.2 is used to form an antireflective coating. The ARC-forming composition can be deposited by the same process as prior art ARCs. The resulting ARC is effective in preventing reflection of exposure light in photolithography and has an acceptable dry etching rate. | 06-17-2010 |
20100151382 | Bottom resist layer composition and patterning process using the same - There is disclosed a bottom resist layer composition for a multilayer-resist film used in lithography which comprises, at least, a polymer having a repeating unit represented by the following general formula (1). Thereby, there can be provided a bottom resist layer composition which shows an antireflection effect against an exposure light by combining with an intermediate resist layer having an antireflection effect if necessary, has a higher etching resistance during etching a substrate than polyhydroxy styrene, cresol novolac resin, etc., has a high poisoning-resistant effect, and is suitable for using in a multilayer-resist process like a bilayer resist process or a trilayer resist process. | 06-17-2010 |
20100151383 | POLYMER COMPOUND, PHOTORESIST COMPOSITION CONTAINING SUCH POLYMER COMPOUND, AND METHOD FOR FORMING RESIST PATTERN - A polymer compound that, within a chemically amplified positive resist system, exhibits a significant change in alkali solubility from a state prior to exposure to that following exposure, as well as a photoresist composition that includes such a polymer compound and a method for forming a resist pattern, which are capable of forming fine patterns with a high level of resolution. The polymer compound includes, as an alkali-soluble group (i), a substituent group in which a group selected from amongst alcoholic hydroxyl groups, carboxyl groups, and phenolic hydroxyl groups is protected with an acid dissociable, dissolution inhibiting group (ii) represented by a general formula (1) shown below: | 06-17-2010 |
20100167199 | CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION - A chemically amplified positive resist composition comprising: a resin comprising a structural unit having an acid-labile group in a side chain and an acid generator wherein the resin contains 40 to 90% by mole of the structural unit having an acid-labile group in a side chain based on all the structural units and the structural unit having an acid-labile group in a side chain contains a structural unit represented by the formula (I): | 07-01-2010 |
20100167200 | (Meth)acrylate compound, photosensitive polymer, and resist composition including the same - A (meth)acrylate compound having an acid-labile ester group, a photosensitive polymer, and a resist composition including the same, the (meth)acrylate compound being represented by the following Chemical Formula 1 | 07-01-2010 |
20100167201 | RESIST COMPOSITION FOR NEGATIVE TONE DEVELOPMENT AND PATTERN FORMING METHOD USING THE SAME - To provide a resist composition for negative tone development, which can form a pattern having a good profile improved in the pattern undercut and moreover, can reduce the line edge roughness and enhance the in-plane uniformity of the pattern dimension, and a pattern forming method using the same. | 07-01-2010 |
20100173245 | Compositions comprising carboxy component and processes for photolithography - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more block copolymers. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer dining immersion lithography processing. | 07-08-2010 |
20100178608 | RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition includes a resin (A1) that includes a repeating unit shown by the following formula (1-1) and a repeating unit shown by the following formula (1-2), and a radiation-sensitive acid generator (B). The radiation-sensitive resin composition exhibits excellent sensitivity, and can reduce a mask error factor (MEEF). | 07-15-2010 |
20100178609 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, POLYMERIC COMPOUND, AND COMPOUND - A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of an acid, and an acid generator component (B), wherein the base component (A) includes a polymeric compound (A0) containing a structural unit (a0) represented by the general formula (a0-1) shown below: | 07-15-2010 |
20100183974 | COMPOUND, METHOD FOR PRODUCING SAME, POSITIVE RESIST COMPOSITION AND METHOD FOR FORMING RESIST PATTERN - A compound of the present invention is a compound represented by a general formula (A-1) [wherein, R′ represents a hydrogen atom or an acid-dissociable, dissolution-inhibiting group, provided that at least one R′ group is an acid-dissociable, dissolution-inhibiting group, R | 07-22-2010 |
20100183975 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, PATTERN FORMING METHOD USING THE SAME, AND RESIN - Provided is a actinic ray-sensitive or radiation-sensitive resin composition including (P) a resin containing (A) a repeating unit having an ionic structure moiety that contains a cation represented by formula (Ia) and is capable of producing an acid anion on the side chain upon irradiation with an actinic ray or radiation: | 07-22-2010 |
20100183976 | Compositions and processes for photolithography - Topcoat layer compositions are provided that are applied above a photoresist composition. The compositions find particular applicability to immersion lithography processing. | 07-22-2010 |
20100183977 | Compositions and processes for photolithography - Topcoat layer compositions are provided that are applied above a photoresist composition. The compositions find particular applicability to immersion lithography processing. | 07-22-2010 |
20100183978 | SURFACE-TREATING AGENT FOR PATTERN FORMATION AND PATTERN FORMING METHOD USING THE TREATING AGENT - There are provided a surface-treating agent containing a specific compound having an amino group and an aromatic ring as a surface-treating agent for a freezing process for chemically treating a first resist pattern used in a freezing process of chemically treating and thereby qualitatively changing the first resist pattern so as not to dissolve in a second resist solution in order to form a second resist film on the first resist pattern and form a second resist pattern after the first resist pattern is formed on a first resist film, wherein the surface-treating agent performs a chemical treatment on the first resist pattern to satisfy the requirements that the first resist pattern does not dissolve in the second resist solution, the dimension of the first resist pattern is not changed, and the first resist pattern and the second resist pattern have the same dry etching resistance; and a pattern forming method using the surface-treating agent. | 07-22-2010 |
20100183979 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - An actinic ray-sensitive or radiation-sensitive resin composition includes (A) a compound capable of generating a specific acid having a norbornyl structure upon irradiation with an actinic ray or radiation, and (B) a resin capable of increasing the dissolution rate of the resin (B) in an alkali developer by an action of an acid, the resin (B) containing a specific repeating unit having a lactone structure on the resin side chain through a linking group, and a pattern forming method uses the composition. | 07-22-2010 |
20100190104 | METHOD FOR PATTERN FORMATION AND RESIN COMPOSITION FOR USE IN THE METHOD - A pattern forming method includes (1) selectively exposing a first resist layer, and developing the exposed first resist layer to form a first pattern, (2) applying a resin composition containing a hydroxyl group-containing resin and a solvent to the first pattern, baking the applied resin composition, and developing the baked resin composition to form a second pattern, the hydroxyl group-containing resin becoming insoluble or scarcely soluble in a developer when baked, and (3) totally or selectively exposing the second pattern to make the second pattern partly soluble in the developer, and developing the exposed second pattern to form a third pattern in which at least a hole or a groove is formed in the second pattern. | 07-29-2010 |
20100190105 | LITHOGRAPHIC PRINTING PLATE PRECURSOR - A lithographic printing plate precursor comprising an image-recording layer, said image-recording layer being photopolymerizable upon exposure to light having a wavelength of from 300 to 500 nm and containing a mixture of sensitizers. | 07-29-2010 |
20100190106 | RESIST COMPOSITION FOR NEGATIVE TONE DEVELOPMENT AND PATTERN FORMING METHOD USING THE SAME - For stably forming a high-precision fine pattern and thereby producing a highly integrated electronic device with high precision, a resist composition for negative tone development, which can reduce the line edge roughness and enhance the in-plane uniformity of the pattern dimension and furthermore, can ensure excellent bridge margin, and a pattern forming method using the same are provided. | 07-29-2010 |
20100190107 | CYCLIC COMPOUND, PHOTORESIST BASE MATERIAL AND PHOTORESIST COMPOSITION - A cyclic compound shown by the following formula (I): | 07-29-2010 |
20100190108 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including:
| 07-29-2010 |
20100196820 | Resist composition, method of forming resist pattern, novel compound and acid generator - A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the acid-generator component (B) including an acid generator (B1) containing a compound having a cation moiety represented by general formula (I) (in the formula, R | 08-05-2010 |
20100196821 | POSITIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN USING THE SAME, AND POLYMERIC COMPOUND - A positive resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under the action of acid and an acid-generator component (B) which generates acid upon exposure dissolved in an organic solvent (S), the base component (A) containing a polymeric compound (A1) including a structural unit (a0) derived from an acrylate ester having a cyclic group containing a sulfonyl group on the side chain thereof, a structural unit (a1) derived from an acrylate ester containing an acid dissociable, dissolution inhibiting group and a structural unit (a5) represented by general formula (a5-1) (Y | 08-05-2010 |
20100203444 | PHOTORESIST COMPOSITION AND PATTERNING METHOD THEREOF - Disclosed is a resist composition which has desirable physical properties such as sensitivity, resolution, residual film ratio and coating property, and forms a pattern having the desirable profile and depth of focus due to excellent light transmissivity during a semiconductor process and a flat panel display process using a short wavelength of 248 nm (KrF) or less, even though the resist composition is applied to a non-chemically amplified resist. The photoresist composition comprises a novolac-based resin A, a photosensitizer B, and a low molecular substance C having low absorbance. The low molecular substance having low absorbance has absorbance that is lower than absorbance of the novolac-based resin at one or more wavelengths of 248 nm, 193 nm, and 157 nm, and the photoresist composition is used at the wavelength of 248 nm or less. | 08-12-2010 |
20100203445 | NEGATIVE RESIST COMPOSITION AND RESIST PATTERN FORMING METHOD USING THE SAME - An object of the present invention is to solve the technical task of enhancing the performance in micro-photofabrication using far ultraviolet light, particularly ArF excimer laser at a wavelength of 193 nm, and more specifically, provide a negative resist composition hardly allowing occurrence of pattern collapse and exhibiting good resolution even in the formation of a fine pattern, and a resist pattern forming method using the composition, which are a negative resist composition comprising (A) an alkali-soluble resin, (B) a compound that contains a low molecular compound having a molecular weight of 2,000 or less and having an oxetane structure, and (C) a cationic photopolymerization initiator, and a resist pattern forming method using the composition. | 08-12-2010 |
20100203446 | CHEMICALLY AMPLIFIED PHOTORESIST COMPOSITION AND METHOD FOR FORMING PATTERN - A chemically amplified photoresist composition, comprises: an acid generator (A) represented by the formula (I), and a resin which comprises a structural unit (b1) derived from a monomer that becomes soluble in an alkali by an action of an acid, a structural unit (b2) derived from a monomer that has an adamantyl group having at least two hydroxyl groups, and a structural unit (b3) derived from a monomer that has a lactone ring; | 08-12-2010 |
20100203447 | RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition includes (A) an acid labile group-containing resin which becomes alkali-soluble by an action of an acid, (B) a radiation-sensitive acid generator, and (C) a solvent. The resin (A) includes repeating units shown by formulas (1) and (2), wherein R | 08-12-2010 |
20100209844 | METHOD AND APPARATUS FOR PREPARING A PRINTING FORM USING VIBRATIONAL ENERGY - This invention pertains to a method and apparatus for preparing a relief printing form from a photosensitive element that includes a photopolymerizable composition layer having an exterior surface and capable of being partially liquefied. The method includes the steps of (a) heating the exterior surface of the photopolymerizable composition layer to a temperature sufficient to cause a portion of the layer to liquefy, forming the liquefied material; and (b) removing the liquefied material; wherein the heating step is performed using vibrationally-induced frictional energy. | 08-19-2010 |
20100209845 | DISPERSION COMPOSITION, PHOTOSENSITIVE RESIN COMPOSITION FOR LIGHT-SHIELDING COLOR FILTER, LIGHT-SHIELDING COLOR FILTER, METHOD OF PRODUCING THE SAME, AND SOLID-STATE IMAGE SENSOR HAVING THE COLOR FILTER - A method of producing a dispersion composition containing titanium black, a solvent and a dispersant including at least a first dispersant and a second dispersant, and the method includes dispersing a mixed liquid containing the titanium black, the first dispersant and the solvent, and adding the second dispersant to the dispersed mixed liquid and dispersing the same, wherein at least a part of the dispersant has an acid value of 50 mgKOH/g or more. | 08-19-2010 |
20100216070 | Photosensitive Polyimides and Methods of Making the Same - Photosensitive polyimide compositions include a photosensitive additive and a polymer comprising a repeating unit represented by the following formula (I): wherein R | 08-26-2010 |
20100221656 | PHOTOSENSITIVE COMPOUND, PHOTOSENSITIVE COMPOSITION, RESIST PATTERN FORMING METHOD, AND DEVICE PRODUCTION PROCESS - A photosensitive compound has two or more structural units, in a molecule, represented by the following general formula (1): | 09-02-2010 |
20100221657 | RESIST UNDERLAYER FILM FORMING COMPOSITION AND METHOD OF FORMING RESIST PATTERN USING THE SAME - There is provided a composition for forming a resist underlayer film not only having a large selection ratio of a dry etching rate but also exhibiting desired values of the k value and of the refractive index n at a short wavelength such as a wavelength of an ArF excimer laser. A resist underlayer film forming composition for lithography comprising: a linear polymer; and a solvent, wherein a backbone of the linear polymer has a unit structure in which 2,4-dihydroxy benzoic acid is introduced through an ester bond and an ether bond. | 09-02-2010 |
20100221658 | LITHOGRAPHIC PRINTING PLATE PRECURSOR AND METHOD OF PREPARING LITHOGRAPHIC PRINTING PLATE - A lithographic printing plate precursor includes a support and one or more layers, one of the one or more layers is a photosensitive layer containing an initiator compound, a polymerizable compound and a binder polymer, and a layer being in contact with the support of the one or more layers contains a copolymer containing a repeating unit having a zwitterionic structure and a repeating unit having a structure capable of interacting with a surface of the support. | 09-02-2010 |
20100221659 | COMPOUND, SALT, AND RADIATION-SENSITIVE RESIN COMPOSITION - A compound has a partial structure shown by a following formula (1), | 09-02-2010 |
20100221660 | LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD AND A SUBSTRATE - A substrate is provided with a coating of material which is substantially transparent to the wavelength of the projection beam. The coating may be thicker than the wavelength of the projection beam and have a refractive index of the coating such that the wavelength of the projection beam is shortened as it passes through it. This allows the imaging of smaller features on the substrate. Alternatively, the coating may be used with a liquid supply system and act to keep bubbles away from a radiation sensitive layer of the substrate. | 09-02-2010 |
20100227269 | IMAGEABLE ELEMENTS WITH COLORANTS - Both positive-working and negative-working imageable element can have a radiation-sensitive imageable layer that has at least one pigment colorant that does not change color when heated, and at least one dye that can change color when heated. The dye is soluble in the solvent or mixture of solvents used to coat the radiation-sensitive imageable layer on a substrate and the pigment colorant is not. This combination of pigment colorant and dye provide excellent image contrast after imaging, development, and postbaking. The pigment colorant and the dye independently have a maximum absorption of from about 480 to about 700 nm. | 09-09-2010 |
20100227270 | REUSABLE PAPER MEDIA WITH COMPATIBILITY MARKINGS AND PRINTER WITH INCOMPATIBLE MEDIA SENSOR - A reusable image forming medium, including a substrate; an imaging layer coated on or impregnated into the substrate, wherein an irradiation of the imaging layer produces an image; and a signature material coated on or impregnated into the substrate or the imaging layer, the signature material being detectable by a sensor. | 09-09-2010 |
20100227271 | METHOD FOR MAKING A LITHOGRAPHIC PRINTING PLATE - A method for making a lithographic printing plate is disclosed which comprises the steps of: (1) providing a heat-sensitive lithographic printing plate precursor comprising on a support having a hydrophilic surface or which is provided with a hydrophilic layer, a heat-sensitive coating, (2) image-wise exposing said precursor with IR-radiation or heat, and (3) developing said image-wise exposed precursor with an alkaline developing solution, characterised in that a sludge inhibiting agent is present in said precursor or in said developing solution or in said precursor and said developing solution, and wherein said sludge inhibiting agent is a triazaindolisine compound. According to the above method, the formation of sludge is inhibited or reduced. | 09-09-2010 |
20100227272 | Highly Functional Multiphoton Curable Reactive Species - A multiphoton curable photoreactive composition including hydantoin hexaacrylate and a photoinitiator system. In some embodiments, the multiphoton curable photoreactive composition consists essentially of hydantoin hexaacrylate and a photoinitiator system. Additionally, the applying a multiphoton curable photoreactive composition comprising hydantoin hexaacrylate and a photoinitiator system may be applied to a substrate and a portion of the multiphoton curable photoreactive composition may be at least partially cured to form an at least partially cured structure. | 09-09-2010 |
20100233616 | Method for producing plastic lens - Disclosed is a method for producing a plastic lens having reflow heat resistance at 260° C., which is characterized in that a photosensitive resin composition containing a specific resin and a photopolymerization initiator is molded into a lens shape. The resin is obtained by mining one or more compounds (a) selected from the group consisting of (CH | 09-16-2010 |
20100233617 | PHOTOSENSITIVE COMPOSITION, PATTERN FORMING METHOD USING THE PHOTOSENSITIVE COMPOSITION AND COMPOUND FOR USE IN THE PHOTOSENSITIVE COMPOSITION - A photosensitive composition includes: (A) a resin containing a repeating unit corresponding to a compound represented by the following formula (I); the resin being capable of producing an acid group upon irradiation with an actinic ray or radiation: | 09-16-2010 |
20100233618 | POLYIMIDE-BASED POLYMERS, COPOLYMERS THEREOF AND POSITIVE TYPE PHOTORESIST COMPOSITIONS COMPRISING THE SAME - Polyimide-based polymers and copolymers thereof are provided. Further provided is a positive type photoresist composition comprising at least one of the polyimide-based polymers and copolymers thereof as a binder resin. The photoresist composition exhibits high resolution, high sensitivity, excellent film characteristics and improved mechanical properties, which are required for the formation of semiconductor buffer coatings. | 09-16-2010 |
20100233619 | NOVEL POLYIMIDE SILICONE, PHOTOSENSITIVE RESIN COMPOSITION CONTAINING THE NOVEL POLYIMIDE SILICONE, AND METHOD FOR PATTERN FORMATION - A polyimide silicone having in the molecule a phenolic hydroxy group in which a part or all of hydrogen atoms are substituted with an acid labile group is provided. The polyimide silicone comprises the unit represented by the formula (1): | 09-16-2010 |
20100233620 | Copolymer and photoresist composition including the same - A resist copolymer includes a repeating unit having the following Chemical Formula 1, a repeating unit having the following Chemical Formula 2, and a repeating unit having the following Chemical Formula 3: | 09-16-2010 |
20100239976 | LITHOGRAPHIC IMAGING WITH PRINTING MEMBERS HAVING METAL IMAGING BILAYERS - Printing members include very thin metal imaging bilayers that combine to trap and utilize imaging radiation and, due to their minimal thicknesses, ablate easily. The bilayer may include a first (bottommost) metal layer having a high extinction coefficient in the imaging wavelength range (e.g., 600-1200 nm) and, thereover, a second metal layer having a high transmittance and low emissivity for imaging radiation. | 09-23-2010 |
20100239977 | POSITIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION, CURED FILM, PROTECTIVE FILM, INSULATING FILM, AND SEMICONDUCTOR DEVICE - A positive-type photosensitive resin composition includes (A) a polyamide resin that includes a structural unit shown by the following formula (1) and a structural unit shown by the following formula (2), and (B) a photosensitive compound, the polyamide resin (A) having a weight average molecular weight (Mw) of 5000 to 80,000, and a cured film obtained by curing the positive-type photosensitive resin composition at 250° C. having a tensile modulus of elasticity of 2.0 to 4.0 GPa and a tensile elongation of 10 to 100%. | 09-23-2010 |
20100239978 | PHOTOSENSITIVE COMPOSITION, AND PATTERN-FORMING METHOD AND RESIST FILM USING THE PHOTOSENSITIVE COMPOSITION - A photosensitive composition containing a compound having a specific structure, a pattern-forming method using the photosensitive composition, and a compound having a specific structure used in the photosensitive composition. | 09-23-2010 |
20100239979 | GRADED ARC FOR HIGH NA AND IMMERSION LITHOGRAPHY - A method of forming a device using a graded anti-reflective coating is provided. One or more amorphous carbon layers are formed on a substrate. An anti-reflective coating (ARC) is formed on the one or more amorphous carbon layers wherein the ARC layer has an absorption coefficient that varies across the thickness of the ARC layer. An energy sensitive resist material is formed on the ARC layer. An image of a pattern is introduced into the layer of energy sensitive resist material by exposing the energy sensitive resist material to patterned radiation. The image of the pattern introduced into the layer of energy sensitive resist material is developed. | 09-23-2010 |
20100248133 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) which exhibits increased alkali solubility under action of acid and an acid-generator component (B) which generates acid upon exposure, the resin component (A) including: a polymer (A1) including a structural unit (a0) represented by general formula (a0) shown below and no structural unit (a1) derived from an acrylate ester containing an acetal-type acid dissociable, dissolution inhibiting group, exclusive of the structural unit (a0), and a polymer (A2) including the structural unit (a1) and no structural unit (a0). | 09-30-2010 |
20100248134 | Methods of forming a pattern using negative-type photoresist compositions - A method of forming a pattern and a negative-type photoresist composition, the method including forming a photoresist film on a substrate by coating a photoresist composition thereon, the photoresist composition including a polymer, a photoacid generator, and a solvent, wherein the polymer includes an alkoxysilyl group as a side chain and is cross-linkable by an acid to be insoluble in a developer; curing a first portion of the photoresist film by exposing the first portion to light, the exposed first portion being cured by a cross-linking reaction of the alkoxysilyl groups therein; and providing a developer to the photoresist film to remove a second portion of the photoresist film that is not exposed to light, thereby forming a photoresist pattern on the substrate. | 09-30-2010 |
20100248135 | SULFONIUM COMPOUND - The present invention provides a sulfonium compound represented by the formula (I): | 09-30-2010 |
20100248136 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN USING THE COMPOSITION - According to one embodiment, an actinic-ray- or radiation-sensitive resin composition comprises a hydrophobic resin (HR) containing a fluorine atom, wherein the hydrophobic resin (HR) comprises any of repeating units (a) of general formula (I) or (II) below: | 09-30-2010 |
20100248137 | Antireflective Coating Compositons - The present invention discloses novel bottom anti-reflective coating compositions where a coating from the composition has an etch rate that can be regulated by the etch plate temperature. | 09-30-2010 |
20100255416 | COMPOSITION FOR FORMING UPPER LAYER FILM FOR IMMERSION EXPOSURE, UPPER LAYER FILM FOR IMMERSION EXPOSURE, AND METHOD OF FORMING PHOTORESIST PATTERN - The object of the invention is to provide a composition for forming an upper layer film for immersion exposure capable of forming an upper layer film effectively inhibited from developing defects through an immersion exposure process, such as a watermark defect and dissolution residue defect. Also provided are an upper layer film for immersion exposure and a method of forming a resist pattern. The composition for forming an upper layer film includes a resin ingredient and a solvent. The resin ingredient includes a resin (A) having at least one kind of repeating units selected among those represented by the formulae (1-1) to (1-3) and at least either of the two kinds of repeating units represented by the formulae (2-1) and (2-2). (1-1) (1-2) (1-3) (2-1) (2-2) [In the formulae, R | 10-07-2010 |
20100255417 | RADIATION-CURABLE SILICONE COMPOSITION - A silicone composition comprising an epoxy-containing organopolysiloxane and a fluoroalkylfluorophosphoric acid salt as a photoacid generator is curable and safe. | 10-07-2010 |
20100255418 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN THEREWITH - According to one embodiment, An actinic-ray- or radiation-sensitive resin composition comprises a basic compound (C) having n basic groups and m groups that when exposed to actinic rays or radiation, generate an acid, provided that n and m satisfy the relationships n≧1, m≧2 and n10-07-2010 | |
20100255419 | POSITIVE PHOTOSENSITIVE COMPOSITION - A positive photosensitive composition comprises a compound capable of generating a specified sulfonic acid upon irradiation with one of an actinic ray and radiation and (B) a resin capable of decomposing under the action of an acid to increase the solubility in an alkali developer. | 10-07-2010 |
20100261116 | Developer for a photopolymer protective layer - This invention relates to a composition used as a developer that contains a surfactant to improve the developing of photoresist, which may contain at least 50 mol % of monomers containing carboxylic acid. The present invention is also a process for the use of the composition. | 10-14-2010 |
20100266951 | RESIST UNDERLAYER FILM FORMING COMPOSITION AND METHOD FOR FORMING RESIST PATTERN - There is provided a composition for forming a resist underlayer film having a large selection ratio of dry etching rate, exhibiting desired values of the k value and the refractive index n at a short wavelength, for example, in an ArF excimer laser, and further, exhibiting solvent resistance. A resist underlayer film forming composition for lithography comprises a linear polymer having, in a main chain thereof, at least one of an aromatic ring-containing structure and a nitrogen atom-containing structure; and a solvent, wherein to the aromatic ring or the nitrogen atom, at least one alkoxyalkyl group or hydroxyalkyl group is directly bonded. | 10-21-2010 |
20100266952 | CYCLIC COMPOUND, PHOTORESIST BASE, PHOTORESIST COMPOSITION, MICROFABRICATION PROCESS, AND SEMICONDUCTOR DEVICE - A cyclic compound shown by the following formula (I): | 10-21-2010 |
20100266953 | COPOLYMER AND TOP COATING COMPOSITION - A resin composition for forming a top coat which can be formed on a photoresist film without causing intermixing with the photoresist film, can maintain a stable film coating which is not eluted into a medium during immersion lithography, does not impair pattern profiles during dry exposure (which is not immersion lithography), and can be easily dissolved in an alkaline developer. The resin is a copolymer which has a recurring unit (I) having a carboxyl group, a recurring unit (II) having a sulfo group and a recurring unit obtained by copolymerizing fluoroalkyl(meth)acrylates having 1 to 20 carbon atoms in a fluoroalkyl group thereof other than a recurring unit having a side chain that includes an alcoholic hydroxyl group having a fluoroalkyl group at least in an α-position thereof, the copolymer having a weight average molecular weight determined by gel permeation chromatography of 2,000 to 100,000. | 10-21-2010 |
20100273104 | POSITIVE PHOTOSENSITIVE COMPOSITION, POSITIVE PERMANENT RESIST, AND METHOD FOR PRODUCING POSITIVE PERMANENT RESIST - The present invention provides: a positive photosensitive composition that yields an insulation layer superior not only in high transparency, but also in heat resistance enduring a temperature during the production of a substrate, solvent resistance, and anti-aging property as a permanent resist; a positive permanent resist utilizing the positive photosensitive composition; and a method for producing the positive permanent resist. The present invention provides: a positive photosensitive composition containing (A) a curable silicone resin having a silanol group, which resin has a structure obtained by a reaction between one or more cyclic siloxane compounds represented by the following general formula (1): | 10-28-2010 |
20100273105 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, COMPOUND AND METHOD OF PRODUCING THE SAME, ACID GENERATOR - A resist composition including a base material component (A) which exhibits changed solubility in an alkali developing solution under action of acid, and an acid generator component (B) which generates acid upon exposure,
| 10-28-2010 |
20100279226 | RESIST PROCESSING METHOD - The present invention has the object of providing a method of manufacturing a resist pattern in which an extremely fine and highly accurate resist pattern can be formed which is obtained using the resist composition for forming a first resist pattern in a multi-patterning method such as a double patterning method. The resist processing method comprising; forming a first resist film by applying a first resist composition onto a substrate and drying, the first resist composition comprising a resin (A), a photo acid generator (B) and a cross-linking agent (C), the resin (A) having an acid-labile group, being insoluble or poorly soluble in alkali aqueous solution but of being rendered soluble in alkali aqueous solution through the action of an acid; prebaking; exposure processing; post-exposure baking; developing; hard-baking the first resist pattern; and obtaining a second resist film; pre-baking; exposure processing; post-exposure baking; developing to obtain a second resist pattern. | 11-04-2010 |
20100285404 | METHOD FOR PRODUCING POLYAMIDE AND RESIN COMPOSITION - Disclosed is a method for producing polyamide, wherein a diimidazolide compound represented by the general formula (1) shown below is reacted with a diamine compound represented by the general formula (2) shown below. The present invention provides a method for producing a chlorine-free high molecular weight alkali-soluble polyamide by simple process. | 11-11-2010 |
20100285405 | RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition includes a resin, a radiation-sensitive acid generator, an acid diffusion controller, and a mixed solvent. The radiation-sensitive acid generator includes a compound (I) shown by a following general formula (I). The mixed solvent includes about 50 mass % to about 90 mass % of propylene glycol monomethyl ether acetate, | 11-11-2010 |
20100285406 | ABLATABLE ELEMENTS FOR MAKING FLEXOGRAPHIC PRINTING PLATES - Flexographic printing plates and other relief images can be formed from a laser-ablatable element having a laser-ablatable layer that is from about 300 to about 4,000 μm in thickness. The laser-ablatable layer includes a film-forming material that is a laser-laser-ablatable material or the film-forming material has dispersed therein a laser-ablatable material. The laser-ablatable material is a polymeric material that when heated to 300° C. at a rate of 10° C./minute, loses at least 60% of its mass to form at least one predominant low molecular weight product. The laser-ablatable material also comprises at least 0.01 weight % of a depolymerization catalyst that is a Lewis acid or organometallic based catalyst. The element can be imaged by ablation at an energy of at least 1 J/cm | 11-11-2010 |
20100297549 | Compositions comprising hetero-substituted carbocyclic aryl component and processes for photolithography - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprises one or more materials that have hetero-substituted carbocyclic aryl groups. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing. | 11-25-2010 |
20100297550 | Compositions comprising sulfonamide material and processes for photolithography - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more materials that have sulfonamide substitution. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing. | 11-25-2010 |
20100297551 | PROCESS FOR PRODUCING PHOTORESIST POLYMERIC COMPOUNDS - Disclosed is a process for producing a photoresist polymeric compound. The process includes the steps of polymerizing a monomer mixture containing at least one monomer selected from a monomer (a) containing a group capable of leaving with an acid to allow the polymeric compound to be soluble in an alkali, a monomer (b) having a lactone skeleton, and a monomer (c) having a hydroxyl-containing alicyclic skeleton, to give a polymer; passing a solution containing the polymer through a filter including a porous membrane having an anion-exchange group to give a polymer solution; and thereafter passing the polymer solution through a filter including a porous membrane having a cation-exchange group. The polymer solution before passing through the filter including a porous membrane having a cation-exchange group preferably has a content of metals of 1000 ppb by weight or less per the weight of the polymer. | 11-25-2010 |
20100297552 | RESIST FILM FORMING METHOD - The method prepares a substrate provided thereon with a first resist film having a first pattern of first pillars spaced at intervals, the pillars having a first height, and forms a second resist film on the substrate. The second resist film is formed by alternately performing, each at least twice, applying of a resist solution to the substrate such that at least the spaces between adjacent first pillars are filled with a resist solution having a thickness smaller than the first height, and by heat-treating of the substrate to solidify the resist solution thus applied, thereby forming a resist layer, whereby the spaces between the adjacent first pillars are filled with resist layers, as the second resist film, having a total thickness at least approximately equal to the first height. | 11-25-2010 |
20100297553 | POSITIVE RESIST COMPOSITION AND PATTERN-FORMING METHOD USING THE SAME - A positive resist composition comprising (A) resin having a monocyclic or polycyclic alicyclic hydrocarbon structure and capable of decomposing by the action of an acid to increase the solubility in an alkaline developer, (B) a compound capable of generating an acid upon treatment with one of an actinic ray and radiation and (F) a specific surfactant containing a fluorine atom in an amount of from 30 to 60 mass %, and a pattern-forming method using the same. | 11-25-2010 |
20100297554 | RESIST-MODIFYING COMPOSITION AND PATTERN FORMING PROCESS - A patterning process includes (1) coating a first positive resist composition onto a substrate, baking, exposing, post-exposure baking, and alkali developing to form a first resist pattern, (2) coating a resist-modifying composition onto the first resist pattern and heating to effect modifying treatment, and (3) coating a second positive resist composition, baking, exposing, post-exposure baking, and alkali developing to form a second resist pattern. The resist-modifying composition comprises a carbamate compound and a solvent. | 11-25-2010 |
20100297555 | MONOMER HAVING ELECTRON-WITHDRAWING SUBSTITUENT AND LACTONE SKELETON, POLYMERIC COMPOUND, AND PHOTORESIST COMPOSITION - Disclosed is a monomer having an electron-withdrawing substituent and a lactone skeleton, represented by following Formula (1), wherein R | 11-25-2010 |
20100304289 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) and an acid-generator component (B), the resin component (A) including a structural unit (a1) derived from hydroxystyrene, and a structural unit (a2) having an acetal-type acid dissociable dissolution inhibiting group, and the acid-generator component (B) including an acid generator (B1- | 12-02-2010 |
20100304290 | Compositions and processes for photolithography - New photoresist compositions are provided that are useful for immersion lithography. In one preferred aspect, photoresist composition are provided that comprise: (i) one or more resins that comprise photoacid-labile groups, (ii) a photoactive component, and (iii) one or more materials that comprise photoacid labile groups and that are distinct from the one or more resins; wherein the deprotection activation energy of photoacid-labile groups of the one or more materials is about the same as or lower than the deprotection activation energy of photoacid-labile groups of the one or more resins. In another preferred aspect, photoresist compositions are provided that comprise (i) one or more resins, (ii) a photoactive component, and (iii) one or more materials that comprise a sufficient amount of acidic groups to provide a dark field dissolution rate of at least one angstrom per second. | 12-02-2010 |
20100304291 | PRODUCTION METHOD OF POLYHYDROXYIMIDE AND POSITIVE PHOTOSENSITIVE RESIN COMPOSITION CONTAINING POLYHYDROXYIMIDE OBTAINED BY THE PRODUCTION METHOD - There is provided a simple production method of polyhydroxyimide and a positive photosensitive resin composition containing the polyhydroxyimide. A production method of a polyhydroxyimide comprising: adding an acid component that is at least one type of carboxylic acid having a pKa of 0 to 5 to a polyhydroxyimide precursor of Formula (1): | 12-02-2010 |
20100304292 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt represented by the formula (I-BB): | 12-02-2010 |
20100304293 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt represented by the formula (a): | 12-02-2010 |
20100304294 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt represented by the formula (I-AA): | 12-02-2010 |
20100304295 | ACID-LABILE ESTER MONOMER HAVING SPIROCYCLIC STRUCTURE, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - An acid-labile ester monomer of spirocyclic structure has formula (1) wherein Z is a monovalent group having a polymerizable double bond, X is a divalent group which forms a cyclopentane, cyclohexane or norbornane ring, R | 12-02-2010 |
20100304296 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt represented by the formula (I-CC): | 12-02-2010 |
20100304297 | PATTERNING PROCESS AND RESIST COMPOSITION - A pattern is formed by coating a first positive resist composition comprising a base resin, a photoacid generator, and a base generator onto a substrate to form a first resist film, patternwise exposure, PEB, and development to form a first resist pattern, heating the first resist pattern for causing the base generator to generate a base for inactivating the pattern to acid, coating a second positive resist composition comprising a C | 12-02-2010 |
20100304298 | NEGATIVE PHOTOSENSITIVE MATERIAL, PHOTOSENSITIVE BOARD EMPLOYING THE NEGATIVE PHOTOSENSITIVE MATERIAL, AND NEGATIVE PATTERN FORMING METHOD - A negative photosensitive material is provided which has a lower linear expansion coefficient and a lower hygroscopic expansion coefficient and is excellent in gradational patternability and PI etchability in patterning. The negative photosensitive material comprises: | 12-02-2010 |
20100304299 | CHEMICALLY AMPLIFIED POSITIVE PHOTORESIST COMPOSITION - A photoresist composition. The composition has the following: (a) one or more resin binders that include one or more acid sensitive groups and that are substantially free of phenolic groups protected by acetal or ketal groups; (b) one or more photo acid generators, that, upon exposure to a source of high energy, decompose and generate a photoacid strong enough to remove the one or more acid sensitive groups; (c) one or more ionic non-photosensitive additives including an iminium salt; and (d) one or more solvents. There is also a process for patterning relief structures on a substrate employing the photoresist composition. | 12-02-2010 |
20100304300 | PHOTOSENSITIVE COMPOSITION AND PATTERN-FORMING METHOD USING THE PHOTOSENSITIVE COMPOSITION - A photosensitive composition comprises (A) a sulfonium or iodonium salt having an anion represented by one of formulae (I) and (II): | 12-02-2010 |
20100310985 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a base component, an acid-generator component and a fluorine-containing polymer component (F) in a specific amount, the fluorine-containing polymer component (F) including a fluorine-containing polymer (F1) consisting of a structural unit (F-1) represented by general formula (F-1) (R | 12-09-2010 |
20100310986 | POSITIVE RESIST COMPOSITIONS AND PATTERNING PROCESS - A positive resist composition comprises (A) a resin component which becomes soluble in an alkaline developer under the action of an acid and (B) an acid generator. Resin component (A) is a polymer comprising recurring units of formula (1) wherein R | 12-09-2010 |
20100310987 | POLYMER, RADIATION-SENSITIVE COMPOSITION, MONOMER, AND METHOD OF PRODUCING COMPOUND - A radiation-sensitive composition includes (A) an acid-dissociable group-containing polymer, and (B) a radiation-sensitive acid generator. The acid-dissociable group-containing polymer (A) includes a polymer that includes a repeating unit shown by a general formula (1) in which R | 12-09-2010 |
20100310988 | RESIST PATTERN-FORMING METHOD AND RESIST PATTERN MINIATURIZING RESIN COMPOSITION - A resist pattern-forming method includes forming a first resist pattern using a first positive-tone radiation-sensitive resin composition. A resist pattern-miniaturizing resin composition is applied to the first resist pattern. The resist pattern-miniaturizing resin composition applied to the first resist pattern is baked and developed to form a second resist pattern that is miniaturized from the first resist pattern. A resist pattern-insolubilizing resin composition is applied to the second resist pattern. The resist pattern-insolubilizing resin composition applied to the second resist pattern is baked and washed to form a third resist pattern that is insoluble in a developer and a second positive-tone radiation-sensitive resin composition. A second resist layer is formed on the third resist pattern using the second positive-tone radiation-sensitive resin composition. The second resist layer is exposed and developed to form a fourth resist pattern. | 12-09-2010 |
20100316949 | Spin On Organic Antireflective Coating Composition Comprising Polymer with Fused Aromatic Rings - The present invention relates to an organic spin on hard mask antireflective coating composition comprising a polymer comprising at least one unit of fused aromatic rings in the backbone of the polymer and at least one unit with a cycloaliphatic moiety in the backbone of the polymer. The invention further relates to a process for making the polymer and a process for imaging the present composition. | 12-16-2010 |
20100316950 | COMPOSITION FOR FORMING BASE FILM FOR LITHOGRAPHY AND METHOD FOR FORMING MULTILAYER RESIST PATTERN - A composition for forming an underlayer film for lithography for imparting excellent optical characteristics and etching resistance to an underlayer film for lithography, an underlayer film being formed of the composition and having a high refractive index (n) and a low extinction coefficient (k), being transparent, having high etching resistance, containing a significantly small amount of a sublimable component, and a method for forming a pattern using the underlayer film are provided. The composition for forming an underlayer film contains a naphthalene formaldehyde polymer having a specific unit obtained by reacting naphthalene and/or alkylnaphthalene with formaldehyde, and an organic solvent. | 12-16-2010 |
20100316951 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt having a group represented by the formula (I): | 12-16-2010 |
20100316952 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt represented by the formula (a1): | 12-16-2010 |
20100316953 | SILOXANE-BASED RESIN COMPOSITION - The present invention is a siloxane-based resin composition including a siloxane-based resin and an imidosilane compound having a specific structure. Moreover, the present invention is a siloxane-based resin composition including a siloxane-based resin which is a reactive product to be obtained by hydrolyzing an alkoxysilane compound and an imidosilane compound having a specific structure and then making the resulting hydrolysate undergo a condensation reaction. According to the present invention, it is possible to form a cured film excellent in adhesion. | 12-16-2010 |
20100316954 | MONOMER HAVING LACTONE SKELETON, POLYMER COMPOUND AND PHOTORESIST COMPOSITION - Disclosed is a novel monomer having a lactone skeleton, which is useful typically as a monomer component typically for a highly functional polymer, because, when the monomer is applied typically to a resist resin, the resin is satisfactory stable and resistant typically to chemicals, is highly soluble in organic solvents, and has improved hydrolyzability and/or water solubility after hydrolysis. | 12-16-2010 |
20100323292 | RESIST PATTERN FORMATION METHOD, AND RESIN COMPOSITION CAPABLE OF INSOLUBILIZING RESIST PATTERN - A resist pattern formation method includes (1) a step of forming a first resist pattern which includes forming a first resist layer on a substrate, selectively exposing the first resist layer to radiation through a mask, and developing the exposed first resist layer, (2) a step of insolubilizing the first resist pattern by coating the first resist pattern with a resist pattern insolubilizing resin composition, baking or curing with UV, and developing the resist pattern insolubilizing resin composition, (3) a step of forming a second resist layer on the insolubilized resist pattern and selectively exposing the second resist layer to radiation through a mask, and (4) a step of developing the exposed second resist layer to form a second resist pattern. | 12-23-2010 |
20100323293 | Optical recording material, optical recording method, photosensitive material, photolithography method, photopolymerization initiator, and photosensitizer - Provided is a nonlinear optical material, an optical recording material, an optical recording method, a photosensitive material, a photopolymerization initiator, and a photosensitizer. One exemplary aspect of the present invention is a photosensitive material used for photolithography for forming a pattern by irradiating a photoresist with excitation light which includes a donor molecule | 12-23-2010 |
20100323294 | PHOTOACID GENERATORS AND PHOTORESISTS COMPRISING SAME - This invention relates to new photoacid generator compounds and photoresist compositions that comprise such compounds. In particular, the invention relates to photoacid generator compounds that comprise a multi cyclic lactone moiety. | 12-23-2010 |
20100330497 | CHEMICALLY AMPLIFIED PHOTORESIST COMPOSITION AND METHOD FOR FORMING RESIST PATTERN - The present invention provides a resist composition giving a resist pattern excellent in CD uniformity and focus margin. A chemically amplified photoresist composition comprises a resin (A) and an acid generator (B), and the resin (A) contains, as a part or an entirety thereof, a copolymer (A1) which is obtained by polymerizing at least: a (meth) acrylic monomer (a1) having C | 12-30-2010 |
20100330498 | SELF-ALIGNED SPACER MULTIPLE PATTERNING METHODS - Self-aligned spacer multiple patterning method are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture. | 12-30-2010 |
20100330499 | METHODS OF FORMING ELECTRONIC DEVICES - Methods of forming electronic devices are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture. | 12-30-2010 |
20100330500 | METHODS OF FORMING ELECTRONIC DEVICES - Methods of forming electronic devices are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture. | 12-30-2010 |
20100330501 | METHODS OF FORMING ELECTRONIC DEVICES - Methods of forming electronic devices are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture. | 12-30-2010 |
20100330502 | High Aspect Ratio Microstructures - A method for forming a high aspect ratio microstructure ( | 12-30-2010 |
20110003246 | ELECTRODE AND METHOD FOR MANUFACTURING THE SAME - A low-resistance, fine electrode is formed by baking in air a photosensitive paste which has an inorganic component containing copper powder, boron powder, and glass frit, and an organic component containing a photopolymerization initiator, monomer, and organic vehicle, and in which the average particle size of the copper powder is 2.5 μm or less, and the content of boron powder based on the total amount of copper powder and boron powder is 8 to 25 wt %. | 01-06-2011 |
20110003247 | PHOTOACID GENERATOR, RESIST COMPOSITION, AND PATTERNING PROCESS - The photoacid generator produces a sulfonic acid which has a bulky cyclic structure in the sulfonate moiety and a straight-chain hydrocarbon group and thus shows a controlled acid diffusion behavior and an adequate mobility. The PAG is fully compatible with a resin to form a resist composition which performs well during the device fabrication process and solves the problems of resolution, LWR, and exposure latitude. | 01-06-2011 |
20110003248 | Positive photosensitive resin composition - A positive photosensitive resin composition according to one embodiment of the present invention includes a polyamic acid or a polyamic acid ester compound including a repeating unit represented by Formula 1 defined in this specification, a photosensitive diazoquinone compound, a silane compound, a phenol compound, and a solvent. The positive photosensitive resin composition can be cured at a low temperature of 260° C. or less, and can have high sensitivity, resolution, residue removal, substrate adherence, and pattern-forming capabilities, and low film shrinkage. | 01-06-2011 |
20110003249 | Silsesquioxane Resins - A silsesquioxane resin comprised of the units (Ph(CH | 01-06-2011 |
20110008727 | Low Activation Energy Photoresist Composition and Process for Its Use - The present invention relates to a radiation sensitive photoresist composition. The composition comprises a polymer comprising at least two monomers. The first monomer has an acid cleavable tertiary ester group. The second monomer is an acidic monomer. The acid cleavable ester group of the polymer has a surprisingly low activation energy which results in improved resist images in lithographic processes. | 01-13-2011 |
20110008728 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including: a base component which exhibits changed solubility in an alkali developing solution under the action of acid; and an acid-generator component containing an acid generator (B1) consisting of a compound represented by general formula (b1); dissolved in an organic solvent containing an alcohol-based organic solvent having a boiling point of at least 150° C., wherein R | 01-13-2011 |
20110008729 | COMPOSITIONS AND METHODS FOR FORMING ELECTRONIC DEVICES - Compositions are provided which can be used for treating photoresist patterns in the manufacture of electronic devices. The compositions allow for the formation of fine lithographic patterns and find particular applicability in semiconductor device manufacture. | 01-13-2011 |
20110008730 | POSITIVE-TYPE RADIATION-SENSITIVE COMPOSITION, CURED FILM, INTERLAYER INSULATING FILM, METHOD OF FORMING INTERLAYER INSULATING FILM, DISPLAY DEVICE, AND SILOXANE POLYMER FOR FORMING INTERLAYER INSULATING FILM - The present invention provides a positive-type radiation-sensitive composition containing (A) a siloxane polymer, and (B) a quinone diazide compound, in which the content of aryl groups relative to Si atoms in the siloxane polymer (A) is greater than 60% by mole and no greater than 95% by mole. | 01-13-2011 |
20110008731 | ACTINIC-RAY-OR RADIATION-SENSITIVE RESIN COMPOSITION, COMPOUND AND METHOD OF FORMING PATTERN USING THE COMPOSITION - According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes a compound (A) that when exposed to actinic rays or radiation, generates any of the acids of general formula (II) below and a resin (B) whose rate of dissolution into an alkali developer is increased by the action of an acid. | 01-13-2011 |
20110008732 | PHOTOACID GENERATOR COMPOUNDS AND COMPOSITIONS - The invention provides various ionic and non-ionic photoacid generator compounds. Photoresist compositions that include the novel ionic and non-ionic photoacid generator compounds are also provided. The invention further provides methods of making and using the photoacid generator compounds and photoresist compositions disclosed herein. The compounds and compositions are useful as photoactive components in chemically amplified resist compositions for various microfabrication applications. | 01-13-2011 |
20110014566 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt represented by the formula (I-Pa): | 01-20-2011 |
20110014567 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt represented by the formula (I—Pb): | 01-20-2011 |
20110014568 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt having a divalent group represented by the formula (aa): | 01-20-2011 |
20110014569 | RADIATION-SENSITIVE RESIN COMPOSITION AND POLYMER - A radiation-sensitive resin composition includes a solvent and a polymer. The polymer includes a first repeating unit shown by a general formula (1) in which R | 01-20-2011 |
20110014570 | RESIST COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - A resist composition and a pattern forming method using the composition are provided, the resist composition including: (A) a resin that decomposes by an action of an acid to increase a solubility of the resin (A) in an alkali developer; (B) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (C) a compound represented by formula (C1); and (D) a solvent: | 01-20-2011 |
20110020749 | CHEMICALLY AMPLIFIED RESIST COMPOSITION AND SALT EMPLOYED THEREIN - The object of the present invention is to provide a chemically amplified resist composition excellent in a resolution and a mask error enhancement factor. | 01-27-2011 |
20110027716 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, COMPOUND AND METHOD OF FORMING PATTERN USING THE COMPOSITION - According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes any of the compounds (A) of general formula (I) below that when exposed to actinic rays or radiation, generates an acid and a resin (B) whose rate of dissolution into an alkali developer is increased by the action of an acid. (The characters used in general formula (I) have the meanings mentioned in the description.) | 02-03-2011 |
20110033799 | PATTERN FORMING PROCESS, CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION, AND RESIST-MODIFYING COMPOSITION - A pattern is formed by (1) coating a first positive resist composition onto a substrate, baking, patternwise exposing, PEB, and developing to form a first positive resist pattern including a large area feature, (2) applying a resist-modifying composition comprising a basic nitrogen-containing compound and heating to modify the first resist pattern, and (3) coating a second positive resist composition thereon, patternwise exposing, and developing to form a second resist pattern. The large area feature in the first resist pattern has a film retentivity of at least 50% after the second pattern formation. | 02-10-2011 |
20110039203 | Hydrofluoroalkanesulfonic Acids and Salts from Fluorovinyl Ethers - Hydrofluoroalkanesulfonates of the general formula R—O—CXH—CX | 02-17-2011 |
20110039204 | ESTER COMPOUNDS AND THEIR PREPARATION, POLYMERS, RESIST COMPOSITIONS AND PATTERNING PROCESS - Novel ester compounds having formulae (1) to (4) wherein A | 02-17-2011 |
20110039205 | SULFONIUM SALT, PHOTOACID GENERATOR, AND PHOTOCURABLE COMPOSITION AND CURED BODY THEREOF - An object of the present invention is to provide a sulfonium salt that has sufficient photosensitivity by active energy rays, such as visible light, ultraviolet rays, electron beams, and X-rays. The present invention is a sulfonium salt represented by formula (1). It is noted that R | 02-17-2011 |
20110045404 | COMPOSITION FOR FORMING RESIST UNDERLAYER FILM FOR LITHOGRAPHY AND PRODUCTION METHOD OF SEMICONDUCTOR DEVICE - There is provided a composition for forming a resist underlayer film that can be homogeneously applied and a sublimate is suppressed during the thermal curing. There is also provided a composition for forming a resist underlayer film having a high selection ratio of dry etching relative to a resist applied thereon. A composition for forming a resist underlayer film for lithography comprising: a polysilane compound having a unit structure of Formula (1): | 02-24-2011 |
20110045405 | (Meth)acrylate compound, photosensitive polymer, and resist composition - Disclosed are a (meth)acrylate compound, a photosensitive polymer, and a resist composition, and the (meth)acrylate compound includes a lactone-group-containing (meth)acrylate compound represented by the following Chemical Formula 1. | 02-24-2011 |
20110045406 | SOLUTION PROCESSED THIN FILMS AND LAMINATES, DEVICES COMPRISING SUCH THIN FILMS AND LAMINATES, AND METHOD FOR THEIR USE AND MANUFACTURE - Devices having a thin film or laminate structure comprising hafnium and/or zirconium oxy hydroxy compounds, and methods for making such devices, are disclosed. The hafnium and zirconium compounds can be doped, typically with other metals, such as lanthanum. Examples of electronic devices or components that can be made include, without limitation, insulators, transistors and capacitors. A method for patterning a device using the materials as positive or negative resists or as functional device components also is described. For example, a master plate for imprint lithography can be made. An embodiment of a method for making a device having a corrosion barrier also is described. Embodiments of an optical device comprising an optical substrate and coating also are described. Embodiments of a physical ruler also are disclosed, such as for accurately measuring dimensions using an electron microscope. | 02-24-2011 |
20110045407 | Functionalized Carbosilane Polymers and Photoresist Compositions Containing the Same - Linear or branched functionalized polycarbosilanes having an absorbance less than 3.0 μm | 02-24-2011 |
20110053080 | Positive Typed Photosensitive Composition - Disclosed is a positive typed photosensitive composition including a polyamide derivative represented by the following Chemical Formula, and a heat-acid generator. | 03-03-2011 |
20110053081 | Positive Type Photosensitive Composition - Disclosed is a positive typed photosensitive composition including a polyamide derivative being represented by | 03-03-2011 |
20110053082 | RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resin comprises a structural unit derived from a compound represented by the formula (I); | 03-03-2011 |
20110059396 | PATTERNING PROCESS AND CHEMICAL AMPLIFIED PHOTORESIST WITH A PHOTODEGRADABLE BASE - A method for fabricating an integrated circuit device is disclosed. The method includes providing a substrate; forming a first material layer over the substrate; forming a second material layer over the first material layer, wherein the second material layer comprises a photodegradable base material; and exposing at least a portion of the second material layer. | 03-10-2011 |
20110059397 | POSITIVE PHOTOSENSITIVE POLYIMIDE COMPOSITION - The present invention relates to a positive photosensitive polyimide composition that includes polyimide, a polyamic acid, and a photoactive compound. An organic insulating layer for organic light-emitting devices (OLED), which includes the positive photosensitive polyimide composition, may control a taper angle and outgassing, and has excellent adhesion in respects to a substrate, water repellent control ability, and storage stability and the like. | 03-10-2011 |
20110059398 | PHOTOPOLYMERIZABLE COMPOSITION - A composition that is photopolymerizable upon absorption of light and/or heat, the composition including a binder, a polymerizable compound, a sensitizer, and a photoinitiator, characterized in that the composition includes, with respect to its non-volatile compounds, at least about 0.01 wt. % of a polythiol compound and has a very high sensitivity and hardness. | 03-10-2011 |
20110065040 | PHOTORESIST COMPOSITION - The present invention provides a photoresist composition comprising a resin, an acid generator and a compound represented by the formula (I): | 03-17-2011 |
20110065041 | PHOTORESIST COMPOSITION - The present invention provides a photoresist composition comprising an acid generator and a resin comprising a structural unit derived from a monomer represented by the formula (I): | 03-17-2011 |
20110065042 | PHOTOPOLYMERIZABLE COMPOSITION - A composition that is photopolymerizable upon absorption of light and/or heat, the composition including a binder, a polymerizable compound, a sensitizer, and a photoinitiator, characterized in that the composition includes, with respect to its non-volatile compounds, at least about 0.01 wt. % of a polythiol compound and has a very high sensitivity and hardness. | 03-17-2011 |
20110065043 | PHOTOSENSITIVE ADHESIVE COMPOSITION, AND OBTAINED USING THE SAME, ADHESIVE FILM, ADHESIVE SHEET, SEMICONDUCTOR WAFER WITH ADHESIVE LAYER, SEMICONDUCTOR DEVICE AND ELECTRONIC PART - A photosensitive adhesive composition comprising: (A) a polyimide having a carboxyl group as a side chain, whereof the acid value is 80 to 180 mg/KOH; (B) a photo-polymerizable compound; and (C) a photopolymerization initiator. | 03-17-2011 |
20110065044 | POLYMER FOR LITHOGRAPHIC PURPOSES AND METHOD FOR PRODUCING SAME - A polymer for lithographic purposes has at least a repeating structural unit represented by following General Formula (I). In Formula (I), R | 03-17-2011 |
20110070542 | PHOTORESIST AND PATTERNING PROCESS - A method and material layer for forming a pattern are disclosed. The method includes providing a substrate; forming a first material layer over the substrate; forming a second material layer over the first material layer, wherein the second material layer comprises a photoacid generator and a photobase generator; and exposing one or more portions of the second material layer | 03-24-2011 |
20110076613 | RESIN COMPOSITION FOR LASER ENGRAVING, RELIEF PRINTING PLATE PRECURSOR FOR LASER ENGRAVING AND METHOD FOR PRODUCING THE SAME, AND RELIEF PRINTING PLATE AND METHOD FOR MAKING THE SAME - A resin composition for laser engraving, including at least a phenol derivative (A) represented by the following Formula (A), a binder polymer (B), and a crosslinking agent (C), wherein the content of the phenol derivative (A) with respect to the total solid content of the resin composition is from 5% by mass to 50% by mass: | 03-31-2011 |
20110076614 | METHOD OF DRYING ORGANIC PIGMENT, METHOD OF PROCESSING PHTHALOCYANINE PIGMENT, AND METHOD OF MANUFACTURING ELECTROPHOTOGRAPHIC PHOTOSENSITIVE MEMBER - A method of drying an organic pigment is provided which includes an under-depressurization microwave drying step of irradiating the organic pigment with microwaves under depressurization and drying the organic pigment. A method of processing a phthalocyanine pigment and a method of manufacturing an electrophotographic photosensitive member are also provided each of which utilizes the drying method. | 03-31-2011 |
20110076615 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN USING THE COMPOSITION - According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes a compound that when exposed to actinic rays or radiation, generates any of acids of general formula (I) below, | 03-31-2011 |
20110081612 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN THEREWITH - According to one embodiment, an actinic ray-sensitive or radiation-sensitive resin composition includes (A) a resin containing the repeating units of formulae (I), (II) and (III) that when acted on by an acid, becomes soluble in an alkali developer, and (B) a compound that when irradiated with actinic rays or radiation, generates a fluorine-containing acid, | 04-07-2011 |
20110081613 | RADIATION-SENSITIVE RESIN COMPOSITION - An object is to provide a radiation-sensitive resin composition such that little out gas is emitted from a resin film after heat-burning even when a novolac resin is used. The present invention is directed to a radiation-sensitive resin composition containing (A) a novolac resin having repeating units with a structure in which at least a methyl group, a phenyl group, or a hydroxyphenyl group is attached in place of a hydrogen atom in a methylene group combining phenols, (B) at least one thermally-reactive compound selected from the group consisting of benzoxazine compounds, carbodiimide compounds, triazinethiol compounds, and bismaleimide compounds, and (C) a radiation-sensitive compound, and an organic electroluminescent element in which an insulating film has been formed by radiation lithography by using the composition. | 04-07-2011 |
20110086309 | PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE ELEMENT, METHOD OF FORMING RESIST PATTERN, AND PROCESS FOR PRODUCING PRINTED WIRING BOARD - The invention provides a photosensitive resin composition comprising (A) 100 parts by weight of a binder polymer having 10-65 parts by weight of a divalent group obtained from a specific styrene compound and its derivative, 5-55 parts by weight of a divalent group obtained from a specific (meth)acrylic acid ester and its derivative and 15-50 parts by weight of a divalent group obtained from (meth)acrylic acid, (B) a photopolymerizing compound and (C) a photopolymerization initiator. | 04-14-2011 |
20110086310 | POSITIVE RESIST COMPOSITION AND METHOD FOR PRODUCTION OF MICROLENS - There is provided a positive resist composition excellent in transparency, heat resistance, and refractive index particularly for forming a microlens and for forming a planarization film; and a microlens and a planarization film formed from the positive resist composition. A positive resist composition comprising a component (A): an alkali-soluble polymer comprising a unit structure having a biphenyl structure; a component (B): a compound having an organic group to be photolyzed to generate an alkali-soluble group; and a component (C): a solvent. The positive resist composition wherein the alkali-soluble polymer as the component (A) is a polymer comprising a unit structure of Formula (1): | 04-14-2011 |
20110091807 | PHOTORESIST COMPOSITION - The present invention provides a photoresist composition comprising a polymer comprising a structural unit derived from a compound represented by the formula (I): | 04-21-2011 |
20110091808 | PHOTORESIST COMPOSITION - The present invention provides a photoresist composition comprising a compound capable of generating an acid and a base by irradiation, a resin having an acid-labile group and being insoluble or poorly soluble in an aqueous alkali solution but becoming soluble in an aqueous alkali solution by the action of an acid, and an acid generator. | 04-21-2011 |
20110091809 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN USING THE COMPOSITION - According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes (A) a resin that when acted on by an acid, increases its solubility in an alkali developer, (B) a compound that when exposed to actinic rays or radiation, generates an acid, and (C) a resin containing at least one group selected from among the following groups (x) to (z) and further containing at least either a fluorine atom or a silicon atom, in which three or more polymer chains are contained through at least one branch point, (x) an alkali-soluble group, (y) a group that when acted on by an alkali developer, is decomposed to thereby increase its solubility in the alkali developer, and (z) a group that when acted on by an acid, is decomposed to thereby increase its solubility in an alkali developer. | 04-21-2011 |
20110091810 | COMPOUND, DISSOLUTION INHIBITOR, POSITIVE TYPE RESIST COMPOSITION, AND METHOD OF FORMING RESIST PATTERN - A positive type resist composition for forming a high resolution resist pattern and a method of forming a resist pattern are provided which use a low-molecular-weight material as a base component, and a compound and a dissolution inhibitor that are each suitable for the positive type resist composition. Here, the compound is a non-polymer having a molecular weight of 500 to 3000, and is decomposed under the action of an acid to produce two or more molecules of a decomposition product having a molecular weight of 200 or more; the dissolution inhibitor comprises the compound; the positive type resist composition comprises the compound and the acid generator component; and the method of forming a resist pattern uses the positive type resist composition. | 04-21-2011 |
20110097666 | LITHOGRAPHIC PRINTING PLATE PRECURSORS - Lithographic printing plate precursors can have an imageable layer that includes a polymeric binder having an acid number of 40 meq/g KOH or more, at least 3 weight % of recurring units derived from one or more N-alkoxymethyl (alkyl)acrylamides or alkoxymethyl(alkyl)acrylates, at least 2 weight % of recurring units having pendant 1H-tetrazole groups, and at least 10 weight % of recurring units having pendant cyano groups. The use of such polymeric binders provides good bakeability and chemical solvent resistance, especially for positive-working precursors. | 04-28-2011 |
20110097667 | POSITIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND POLYMERIC COMPOUND - A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the base component (A) including a polymeric compound (A1) containing a structural unit (a0) represented by general formula (a0-1) shown below and a structural unit (a1) derived from an acrylate ester containing an acid dissociable, dissolution inhibiting group. | 04-28-2011 |
20110104610 | POSITIVE PHOTOSENSITIVE COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - A positive photosensitive composition comprising: (A) a compound capable of generating an acid upon irradiation with actinic rays or radiation; (B1) a resin of which solubility in an alkali developer increases under an action of an acid; and (B2) a resin that has at least one group selected from (a) an alkali-soluble group and (b) a group capable of decomposing under an action of an alkali to produce an alkali-soluble group, and the resin (B2) does not have a group capable of decomposing under an action of an acid; and a pattern forming method using the same. | 05-05-2011 |
20110104611 | NOVEL COMPOUND, POLYMER, AND RADIATION-SENSITIVE COMPOSITION - A compound is shown by a following formula (1), | 05-05-2011 |
20110104612 | POSITIVE-TYPE RADIATION-SENSITIVE COMPOSITION, AND RESIST PATTERN FORMATION METHOD - A positive-tone radiation-sensitive composition is used in a resist pattern-forming method as a first positive-tone radiation-sensitive composition. A positive-tone radiation-sensitive composition includes a polymer, a photoacid generator, and a solvent. The polymer includes an acid-labile group and a crosslinkable group. The resist pattern-forming method includes providing the first positive-tone radiation-sensitive composition on a substrate to form a first resist pattern on the substrate. The first resist pattern is made to be inactive to light or heat so that the first resist pattern is insoluble in a second positive-tone radiation-sensitive composition. The second positive-tone radiation-sensitive composition is provided on the substrate to form a second resist pattern on the substrate on which the first resist pattern is formed. | 05-05-2011 |
20110111339 | BILAYER SYSTEMS INCLUDING A POLYDIMETHYLGLUTARIMIDE-BASED BOTTOM LAYER AND COMPOSITIONS THEREOF - Bilayer systems include a bottom layer formed of polydimethylglutarimide, an acid labile dissolution inhibitor and a photoacid generator. The bilayer system can be exposed and developed in a single exposure and development process. | 05-12-2011 |
20110111340 | NOVEL SILOXANE POLYMER COMPOSITIONS - A siloxane composition and a method of producing the same. The composition comprises a siloxane prepolymer with a backbone exhibiting a group which is capable of being deprotonated in an aqueous base solution. Further, there are reactive functional groups, which are capable of reacting during thermal or radiation initiated curing. The siloxane is cross-linked during condensation polymerisation to increase molecular weight thereof. | 05-12-2011 |
20110111341 | POLYIMIDE AND PHOTORESIST RESIN COMPOSITION COMPRISING THEREOF - The present invention provides polyimide applied to the buffer coating of semiconductors and a photosensitive resin composition including the same. The polyimide is a polyimide polymer represented by Chemical Formula 1 below. Further, the present invention provides a photosensitive resin composition, including 1) BDA-series soluble polyimide having an i-ray permeability of 70% or more; 2) a polyamic acid having elongation of 40% or more; 3) a novolak resin, and 4) diazonaphthoquinone-series photosensitive substance and having a high resolution, high sensitivity, an excellent film characteristic, and mechanical physical properties which are the requirements of semiconductor buffer coating. | 05-12-2011 |
20110111342 | PHOTORESIST COMPOSITION - The present invention provides a photoresist composition comprising a resin comprising a structural unit derived from a compound represented by the formula (I): | 05-12-2011 |
20110111343 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A) which exhibits increased solubility in an alkali developing solution under the action of acid and an acid-generator component (B), the resin component (A) including a polymeric compound (A1) having a structural unit (a1) containing an acid dissociable, dissolution inhibiting group, a structural unit (a5) containing a base dissociable group an a structural unit (a6) represented by general formula (a6-1) (R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms; each of R | 05-12-2011 |
20110117489 | COMPOUND AND RADIATION-SENSITIVE COMPOSITION - A compound shown by the following formula (1). | 05-19-2011 |
20110117490 | METHODS OF FORMING ELECTRONIC DEVICES - Methods of forming electronic devices are provided. The methods involve alkaline treatment of photoresist patterns and allow for the formation of high density resist patterns. The methods find particular applicability in semiconductor device manufacture. | 05-19-2011 |
20110117491 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base material component (A) that exhibits changed solubility in an alkali developing solution under the action of acid, an acid generator component (B) that generates acid upon exposure, and an nitrogen-containing organic compound (D), wherein the nitrogen-containing organic compound (D) includes a compound represented by general formula (d1) shown below: | 05-19-2011 |
20110117492 | PHOTORESIST COATING AND DEVELOPING APPARATUS, SUBSTRATE TRANSFER METHOD AND INTERFACE APPARATUS - A photoresist coating and developing apparatus | 05-19-2011 |
20110117493 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt represented by the formula (X): | 05-19-2011 |
20110117494 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt represented by the formula (X): | 05-19-2011 |
20110117495 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt represented by the formula (X): | 05-19-2011 |
20110117496 | NEGATIVE PHOTOSENSITIVE FLUORINATED AROMATIC RESIN COMPOSITION - The present invention provides a negative photosensitive fluorinated aromatic resin composition having a low relative permittivity, low water absorptivity, high heat resistance and high productivity. The composition includes a photosensitizer, a solvent and a fluorinated aromatic prepolymer represented by the following formula (1): | 05-19-2011 |
20110117497 | ACRYLATE DERIVATIVE, HALOESTER DERIVATIVE, POLYMER COMPOUND AND PHOTORESIST COMPOSITION - An acrylate derivative represented by the following general formula (1): | 05-19-2011 |
20110123925 | POLYMER FOR PROTECTIVE LAYER OF RESIST, AND POLYMER COMPOSITION INCLUDING THE SAME - A polymer includes a first repeating unit represented by the following Chemical Formula 1, and a second repeating unit including at least one repeating unit represented by the following Chemical Formulae 2 to 6. | 05-26-2011 |
20110123926 | PHOTORESIST COMPOSITION - The present invention provides a photoresist composition comprising a resin, an acid generator and a compound represented by the formula (C1): | 05-26-2011 |
20110123927 | PHOTOSENSITIVE RESIN COMPOSITION CONTAINING POLYIMIDE RESIN AND NOVOLAK RESIN - A photosensitive resin composition is provided. The photosensitive resin composition comprises a) an alkali-soluble polyimide resin, b) an alkali-soluble novolak resin, c) a photosensitizer, and d) an organic solvent. The photosensitive resin composition is resistant to heat and can be used to form a pattern whose lateral angles are easily controllable. A large difference in developability between exposed and unexposed portions of the photosensitive resin composition is caused when patterning. The photosensitive resin composition is advantageous in terms of sensitivity, resolution, heat resistance and adhesiveness. Particularly, the lateral angles of the pattern can be easily controlled by varying the contents of the alkali-soluble resins. Therefore, the photosensitive resin composition is useful in the formation of an insulating film pattern of an organic light emitting diode (OLED). | 05-26-2011 |
20110129777 | CHEMICALLY AMPLIFIED RESIST COMPOSITION AND PATTERNING PROCESS - A chemically amplified resist composition comprising a base polymer, an acid generator, and a basic compound of thiomorpholine dioxide structure has many advantages including a high contrast of alkaline dissolution rate before and after exposure, a good pattern profile after exposure, minimized roughness, and a wide focus margin. The resist composition which may be positive or negative is useful for the fabrication of VLSI and photomasks. | 06-02-2011 |
20110136061 | CROSSLINKED POLYIMIDE, COMPOSITION COMPRISING THE SAME AND PROCESS FOR PRODUCING THE SAME - A novel polyimide which retains the characteristics of polyimides, that is, excellent heat resistance, electrical insulation and chemical resistance, of which dielectric constant is lower than those of the known polyimides, as well as a composition containing the same and a process for producing the same, is disclosed. The polyimide of the present invention is a cross-linked polyimide having a dielectric constant of not more than 2.7, which was produced by polycondensing (a) tetramine(s), (a) tetracarboxylic dianhydride(s) and (an) aromatic diamine(s) in the presence of a catalyst. | 06-09-2011 |
20110136062 | POSITIVE PHOTOSENSITIVE COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - A positive photosensitive composition, includes: (A) a resin having a repeating unit represented by formula (1) as defined in the specification and a repeating unit represented by formula (2) as defined in the specification and being capable of increasing a solubility of the resin (A) in an alkali developer by an action of an acid; (B) a compound capable of generating an acid upon irradiation with actinic rays or radiation; and a solvent, and a pattern forming method uses the positive photosensitive composition. | 06-09-2011 |
20110143279 | RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition includes a sulfonate or sulfonic acid group-containing photoacid generator and a resin. The sulfonate or sulfonic acid group-containing photoacid generator includes a partial structure shown by a following formula (1), | 06-16-2011 |
20110143280 | POSITIVE RESIST COMPOSITION FOR IMMERSION EXPOSURE AND PATTERN FORMING METHOD - A positive resist composition for immersion exposure includes the following (A) to (D): (A) a resin capable of decomposing by an action of an acid to increase a solubility of the resin in an alkali developer; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (C) a resin having at least either one of a fluorine atom and a silicon atom; and (D) a mixed solvent containing at least one kind of a solvent selected from the group consisting of solvents represented by any one of the following formulae (S1) to (S3) as defined in the specification, in which a total amount of the at least one kind of the solvent is from 3 to 20 mass % based on all solvents of the mixed solvent (D). | 06-16-2011 |
20110151376 | Antireflective Coating Composition and Process Thereof - The invention relates to an antireflective coating composition comprising a crosslinker and a crosslinkable polymer capable of being crosslinked by the crosslinker, where the crosslinkable polymer comprises a unit represented by structure (1): | 06-23-2011 |
20110151377 | Compositions Including Magnetic Materials - Compositions including hard magnetic photoresists, soft photoresists, hard magnetic elastomers and soft magnetic elastomers are provided. | 06-23-2011 |
20110151378 | RADIATION-SENSITIVE RESIN COMPOSITION FOR LIQUID IMMERSION LITHOGRAPHY, POLYMER, AND RESIST PATTERN-FORMING METHOD - A radiation-sensitive resin composition for liquid immersion lithography includes a resin component, a photoacid generator and a solvent. The resin component includes an acid-dissociable group-containing resin in an amount of more than 50% by mass. The acid-dissociable group-containing resin includes a repeating unit that includes a fluorine atom and an acid-dissociable group in a side chain of the repeating unit. | 06-23-2011 |
20110151379 | BLACK MATRIX COMPOSITION WITH HIGH LIGHT-SHIELDING AND IMPROVED ADHESION PROPERTIES - The present invention relates to a black matrix photosensitive resin composition having high light-shielding and improved adhesion properties and a black matrix for a liquid crystal display including the same. The black matrix photosensitive resin composition comprises an alkali-soluble binder resin, a multi-functional monomer having an ethylenic unsaturated double bond, a photopolymerization initiator, an adhesion accelerator, a solvent, and a colorant comprising black pigments. A Cardo type binder is mixed in an amount of 10 to 90 wt % and an acryl type binder is mixed in an amount of 10 to 90 wt % based on a total weight of the alkali-soluble binder resin including the Cardo type binder and the acryl type binder. | 06-23-2011 |
20110159428 | Positive Type Photosensitive Resin Composition - This disclosure relates to a positive photosensitive resin composition including (A) a resin precursor including a polybenzoxazole precursor, a polyamic acid, or a combination thereof, (B) a dissolution-controlling agent having a boiling point ranging from about 210° C. to about 400° C. and a polarity ranging from about 1D to about 4D, (C) an acid generator, (D) a silane-based compound, and (E) a solvent. The polybenzoxazole precursor includes a repeating unit represented by Chemical Formula 1, or both of repeating units represented by Chemical Formulae 1 and 2 and has a thermally polymerizable functional group at least one end. The polyamic acid includes a repeating unit of Chemical Formulae 50 and 51. | 06-30-2011 |
20110159429 | PHOTOSENSITIVE COMPOSITIONS - Provided are radiation-sensitive polymers and compositions which may be used in photolithographic processes. The polymers and compositions provide enhanced sensitivity to activating radiation. | 06-30-2011 |
20110159430 | PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE ELEMENT, METHOD OF FORMING RESIST PATTERN AND METHOD OF PRODUCING PRINTED WIRING BOARD - A photosensitive resin composition comprising: (A) a binder polymer having a divalent group represented by formula (I), (II) and (III); (B) a photopolymerizing compound; and (C) a photopolymerization initiator. | 06-30-2011 |
20110159431 | PHOTOACID GENERATORS AND LITHOGRAPHIC RESISTS COMPRISING THE SAME - The present invention provides photoacid generators for use in chemically amplified resists and lithographic processes using the same. | 06-30-2011 |
20110159432 | POSITIVE PHOTOSENSITIVE RESIN COMPOSITION, CURED FILM, PROTECTING FILM, INSULATING FILM AND SEMICONDUCTOR AND DISPLAY DEVICES USING THE SAME - An object of the present invention is to provide a positive photosensitive resin composition which has high sensitivity and which is highly heat resistant and reliable even when it is cured at a low temperature. Another object of the present invention is to provide a cured film which has high sensitivity and which is highly heat resistant and reliable even when it is cured at a low temperature, a protecting film; an insulating film and semiconductor and display devices using the same. | 06-30-2011 |
20110165512 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base component (A) that exhibits changed solubility in an alkali developing solution under the action of acid, an acid-generator component (B) that generates acid upon exposure, and a nitrogen-containing organic compound (D), wherein the acid generator component (B) includes an acid generator (B1) consisting of a compound represented by general formula (b0), and the nitrogen-containing organic compound (D) includes a compound represented by general formula (d1) or general formula (d2) [wherein each of R | 07-07-2011 |
20110165513 | PHOTORESIST COMPOSITION - The present invention provides a photoresist composition comprising | 07-07-2011 |
20110165514 | PHOTOSENSITIVE CONDUCTIVE FILM, METHOD FOR FORMING CONDUCTIVE FILM, METHOD FOR FORMING CONDUCTIVE PATTERN, AND CONDUCTIVE FILM SUBSTRATE - A photosensitive conductive film | 07-07-2011 |
20110165515 | NOVEL PHOTORESIST MATERIALS AND PHOTOLITHOGRAPHY PROCESSES - A material for use in lithography processing includes a polymer that turns soluble to a base solution in response to reaction with acid and a plurality of magnetically amplified generators (MAGs) each having a magnetic element and each decomposing to form acid bonded with the magnetic element in response to radiation energy. | 07-07-2011 |
20110165516 | COMPOUND FOR RESIST AND RADIATION-SENSITIVE COMPOSITION - A radiation-sensitive composition containing 1 to 80% by weight of a solid component and 20 to 99% by weight of a solvent. The solid component contains a compound B which has (a) a structure derived from a polyphenol compound A by introducing an acid-dissociating group to at least one phenolic hydroxyl group of the polyphenol compound A which is synthesized by a condensation between a di- to tetrafunctional aromatic ketone or aromatic aldehyde each having 5 to 36 carbon atoms with a compound having 1 to 3 phenolic hydroxyl groups and 6 to 15 carbon atoms, and (b) a molecular weight of 400 to 2000. The composition containing the compound B is useful as an acid-amplified, non-polymeric resist material, because it is highly sensitive to radiation such as KrF excimer lasers, extreme ultraviolet rays, electron beams, and X-rays, and provides resist patterns with a high resolution, high heat resistance, and high etching resistance. | 07-07-2011 |
20110165517 | ORGANIC EL ELEMENT, ORGANIC EL DISPLAY APPARATUS, AND MANUFACTURING METHOD OF ORGANIC EL ELEMENT - An organic EL element includes a substrate and a light refractive layer, a first transparent electrode layer, an insulation layer, a hole transport layer, and a photosensitive resin layer stacked above the substrate. A luminous function layer is above the hole transport layer in a recess defined by the photosensitive resin layer. A second transparent electrode layer is above the luminous function layer. The light reflective layer, the first transparent electrode layer, the insulation layer, and the hole transport layer are formed above the substrate in a region isolated by the photosensitive resin layer. The photosensitive resin layer comprises a material that absorbs incident light of a predetermined wavelength. The first transparent electrode layer, the insulation layer, the hole transport layer, and the photosensitive resin layer each have a reflectance value that is between a local minimum value and a neighborhood value of the local minimum value. | 07-07-2011 |
20110171575 | PHOTORESIST COMPOSITION - The present invention provides a photoresist composition comprising:
| 07-14-2011 |
20110171576 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt represented by the formula (I): | 07-14-2011 |
20110171577 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN WITH THE COMPOSITION - An actinic ray-sensitive or radiation-sensitive resin composition includes any of the compounds of general formula (I) below; | 07-14-2011 |
20110171578 | Positive Photosensitive Resin Composition - A positive photosensitive resin composition includes: (A) a polybenzoxazole precursor; (B) a photosensitive diazoquinone compound; (C) a silane compound; (D) a polyamic acid ester compound; and (E) a solvent. The positive photosensitive resin composition can reduce film shrinkage, can have high sensitivity, high resolution, and excellent residue removal properties, and can provide good pattern shapes. | 07-14-2011 |
20110177453 | Fluorine-Containing Sulfonates Having Polymerizable Anions and Manufacturing Method Therefor, Fluorine-Containing Resins, Resist Compositions, and Pattern-Forming Method Using Same - According to the present invention, there is provided a polymerizable fluorine-containing sulfonic acid onium salt of the following general formula (2) and a resin obtained by polymerization thereof. It is possible by the use of this sulfonate resin of the present invention to provide a resist composition with high resolution, board depth of focus tolerance (DOF), small line edge roughness (LER) and high sensitivity. | 07-21-2011 |
20110183258 | POSITIVE RESIST COMPOSITION, PATTERN FORMING METHOD USING THE COMPOSITION, AND COMPOUND FOR USE IN THE COMPOSITION - A positive resist composition comprising (A) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, (B) a resin capable of increasing the solubility in an alkali developer by the action of an acid, and (C) a compound having a specific structure, which decomposes by the action of an acid to generate an acid, a pattern forming method using the positive resist composition, and a compound for use in the positive resist composition are provided as a positive resist composition exhibiting good performance in terms of pattern profile, line edge roughness, pattern collapse, sensitivity and resolution in normal exposure (dry exposure), immersion exposure and double exposure, a pattern forming method using the positive resist composition and a compound for use in the positive resist composition. | 07-28-2011 |
20110183259 | FLUORINE-FREE FUSED RING HETEROAROMATIC PHOTOACID GENERATORS AND RESIST COMPOSITIONS CONTAINING THE SAME - The present invention relates to a fluorine-free photoacid generator (PAG) and a photoresist composition containing the same. The PAG is characterized by the presence of an onium cationic component and a fluorine-free fused ring heteroaromatic sulfonate anionic component containing one or more electron withdrawing substituents. The onium cationic component of the PAG is preferably a sulfonium or an iodonium cation. The photoresist composition further contains an acid sensitive imaging polymer. The photoresist composition is especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography. | 07-28-2011 |
20110183260 | FLEXOGRAPHIC PROCESSING SOLUTION AND USE - A processing solution useful for providing flexographic relief images includes one or more esters of monobasic carboxylic acids represented by one or both of the following Structures (I) and (II): | 07-28-2011 |
20110183261 | DEVELOPER COMPOSITION - A developer composition with low metal corrosiveness is provided. The developer composition includes 1 to 10 weight parts of tetraalkylammonium hydroxide; 0.01 to 3 weight parts of a metal corrosion inhibiting agent; 0.1 to 5 weight parts of a pH control agent; 0.1 to 5 weight parts of a surfactant; and water in balance. The developer composition of the present invention has a metal corrosion prevents corrosiveness to metals such as aluminum and copper during a resist development. | 07-28-2011 |
20110183262 | POSITIVE RESIST COMPOSITIONS AND PATTERNING PROCESS - A positive resist composition is provided comprising an acid generator, a resin component which generates resin-solubilizing groups under the action of acid so that the resin component becomes soluble in an alkaline developer, at least some resin-solubilizing groups being carboxyl groups, and a compound for activating or condensing a carboxyl group. When processed by the lithography, the resist composition forms a resist pattern having a very high resolution and good mask fidelity. | 07-28-2011 |
20110183263 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN USING THE COMPOSITION - According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes a resin (P) containing a repeating unit (A) that when exposed to actinic rays or radiation, is decomposed to thereby generate an acid and at least two types of repeating units (B1), (B2) that when acted on by an acid, are decomposed to thereby generate an alkali-soluble group, wherein the alkali-soluble group generated by the repeating unit (B1) is different from the alkali-soluble group generated by the repeating unit (B2). | 07-28-2011 |
20110183264 | RESIST PROCESSING METHOD AND USE OF POSITIVE TYPE RESIST COMPOSITION - A resist processing method has the steps of: (1) forming a first resist film by applying a first resist composition comprising: a resin (A) including a structural unit represented by the formula (XX), and having an acid-labile group, being insoluble or poorly soluble in alkali aqueous solution, and being rendered soluble in alkali aqueous solution through the action of an acid, and a photo acid generator (B) onto a substrate and drying; (2) prebaking the first resist film; (3) exposing the first resist film; (4) post-exposure baking of the first resist film; (5) developing with a first alkali developer to obtain a first resist pattern; (6) hard-baking the first resist pattern, (7) obtaining a second resist film by applying a second resist composition onto the first resist pattern, and then drying; (8) pre-baking the second resist film; (9) exposing the second resist film; (10) post-exposure baking the second resist film; and (11) developing with a second alkali developer to obtain a second resist pattern. | 07-28-2011 |
20110189607 | NOVEL SULFONIUM SALT, POLYMER, METHOD FOR PRODUCING THE POLYMER, RESIST COMPOSITION AND PATTERNING PROCESS - There is disclosed a sulfonium salt represented by the following general formula (1). In the formula, X and Y each represents a group having a polymerizable functional group; Z represents a divalent hydrocarbon group having 1 to 33 carbon atoms optionally containing a hetero atom; R | 08-04-2011 |
20110189608 | PHOTORESIST COMPOSITION FOR FABRICATING PROBE ARRAY, METHOD OF FABRICATING PROBE ARRAY USING THE PHOTORESIST COMPOSITION, COMPOSITION FOR PHOTOSENSITIVE TYPE DEVELOPED BOTTOM ANTI-REFLECTIVE COATING, FABRICATING METHOD OF PATTERNS USING THE SAME AND FABRICATING METHOD OF SEMICONDUCTOR DEVICE USING THE SAME - A photoresist composition for fabricating a probe array is provided. The photoresist composition includes a photoacid generator having an onium salt and an i-line reactive sensitizer. | 08-04-2011 |
20110189609 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN USING THE COMPOSITION - According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes an arylsulfonium salt that when exposed to actinic rays or radiation, generates an acid, the arylsulfonium salt containing at least one aryl ring on which there are a total of one or more electron donating groups, the acid generated upon exposure to actinic rays or radiation having a volume of 240 Å | 08-04-2011 |
20110189610 | PHOTORESIST COMPOSITION - The present invention provides a photoresist composition comprising a compound represented by the formula (I): | 08-04-2011 |
20110200935 | PHOTORESIST COMPOSITION - The present invention provides a photoresist composition having a sulfonium salt comprising an anion represented by the formula (IA): | 08-18-2011 |
20110200936 | SALT AND PHOTORESIST COMPOSITION CONTAINING THE SAME - A salt represented by the formula (I): | 08-18-2011 |
20110200937 | POSITIVE PHOTOSENSITIVE RESIN COMPOSITION FOR SPRAY COATING AND METHOD FOR PRODUCING THROUGH ELECTRODE USING THE SAME - The present invention provides a positive photosensitive resin composition for spray coating, which comprises an alkali-soluble resin, a compound which generates an acid when exposed to light and a solvent, and which has a viscosity of 0.5 to 200 cP. By using the positive photosensitive resin composition, it is possible to form a coating film having a uniform thickness on the inner surface of a hole having a high aspect ratio. By using a coating film pattern, which is obtained by exposing and developing a predetermined region of the obtained coating film, as an insulating film or mask for forming an insulating film pattern, it is possible to suppress generation of leakage current in a hole and to form a through electrode with a high yield. | 08-18-2011 |
20110207047 | Antireflective Hardmask Composition and a Method of Preparing a Patterned Material Using Same - An antireflective hardmask composition layer including a polymer having Si—O and non-silicon inorganic units in its backbone. The polymer includes chromophore and transparent moieties and a crosslinking component. The antireflective hardmask composition layer is employed in a method of forming a patterned material on a substrate. | 08-25-2011 |
20110207048 | METHOD OF PRODUCING ELECTRICAL COMPONENT, ELECTRICAL COMPONENT PRODUCTION DEVICE, AND PHOTOSENSITIVE RESIST - A method of producing an electrical component includes the steps of: selectively forming a powder containing organic layer containing conductive powders on an exposed surface of a first conductive member exposed through an opening portion formed in an insulation film; and thermally processing the powder containing organic layer to agglutinate the conductive powders so that a second conductive member electrically connected to the first conductive member is formed on the exposed surface. | 08-25-2011 |
20110207049 | ASYMMETRIC PHOTO-PATTERNABLE SOL-GEL PRECURSORS AND THEIR METHODS OF PREPARATION - Described herein are photo-patternable sol-gel precursors and their methods of preparation. The sol-gel precursors are thermally stable and form compositions that have high refractive indices and low optical loss values. The precursors can be used to make sol-gel compositions that are ideally suited toward optical waveguide applications in the realm of telecommunications wavelengths. | 08-25-2011 |
20110212401 | RADIATION-SENSITIVE RESIN COMPOSITION, AND RESIST PATTERN FORMATION METHOD - A radiation-sensitive resin composition includes a resin, a photoacid generator, a fluorine-containing resin, and a lactone compound. The resin does not include a first fluorine-containing repeating unit. The resin includes a first repeating unit that becomes alkali-soluble due to an acid. The fluorine-containing resin includes a second fluorine-containing repeating unit and a second repeating unit that becomes alkali-soluble due to an acid. A content of the lactone compound in the radiation-sensitive resin composition is about 31 to about 200 parts by mass based on 100 parts by mass of the resin. | 09-01-2011 |
20110217654 | Latent acids and their use - The invention pertains to a compound generating an acid of the formula I or II, for instance corresponding sulfonium and iodonium salts, as well as corresponding sulfonyloximes | 09-08-2011 |
20110217655 | LITHOGRAPHIC DRY DEVELOPMENT USING OPTICAL ABSORPTION - A novel approach to dry development of exposed photo resist is described in which a photo resist layer is exposed to a visible light source in order to remove the resist in the areas of exposure. The class of compounds used as the resist material, under the influence of the light source, undergoes a chemical/structural change such that the modified material becomes volatile and is thus removed from the resist surface. The exposure process is carried out for a time sufficient to ablate the exposed resist layer down to the layer below. A group of compounds found to be useful in this process includes aromatic calixarenes. | 09-08-2011 |
20110217656 | POSITIVE-WORKING LITHOGRAPHIC PRINTING PLATE PRECURSOR FOR INFRARED LASER AND PROCESS FOR MAKING LITHOGRAPHIC PRINTING PLATE - A positive-working lithographic printing plate precursor for infrared laser is provided that includes, layered sequentially above a support, a lower layer and an upper layer, the lower layer and/or the upper layer including an infrared absorbing agent, either the lower layer comprising an alkali-soluble group-containing graft copolymer or the upper layer comprising a sulfonamide group-, active imide group-, and/or amide group-containing graft copolymer, and the graft copolymer being a polyurethane resin having as a graft chain an ethylenically unsaturated monomer-derived constitutional unit. There is also provided a process for making a lithographic printing plate, the process including in sequence an exposure step of imagewise exposing by means of an infrared laser the positive-working lithographic printing plate precursor for infrared laser and a development step of developing using an aqueous alkali solution with a pH of 8.5 to 10.8. | 09-08-2011 |
20110217657 | METHODS TO FABRICATE A PHOTOACTIVE SUBSTRATE SUITABLE FOR MICROFABRICATION - A method of fabrication and device with holes for electrical conduction made by preparing a photosensitive glass substrate comprising at least silica, lithium oxide, aluminum oxide, and cerium oxide, masking a design layout comprising one or more holes to form one or more electrical conduction paths on the photosensitive glass substrate, exposing at least one portion of the photosensitive glass substrate to an activating energy source, exposing the photosensitive glass substrate to a heating phase of at least ten minutes above its glass transition temperature, cooling the photosensitive glass substrate to transform at least part of the exposed glass to a crystalline material to form a glass-crystalline substrate and etching the glass-crystalline substrate with an etchant solution to form the one or more depressions or through holes for electrical conduction in the device. | 09-08-2011 |
20110223535 | PHOTORESIST COMPRISING NITROGEN-CONTAINING COMPOUND - New nitrogen-containing compounds are provided that comprise multiple hydroxyl moieties and photoresist compositions that comprise such nitrogen-containing compounds. Preferred nitrogen-containing compounds comprise 1) multiple hydroxyl substituents (i.e. 2 or more) and 2) one or more photoacid-labile groups. | 09-15-2011 |
20110223536 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, AND RESIST FILM AND PATTERN FORMING METHOD USING THE SAME - An actinic ray-sensitive or radiation-sensitive resin composition, and a resist film and a pattern forming method each using the composition are provided, the composition including: (A) a resin containing a repeating unit having a specific lactone structure and a repeating unit having a specific sulfonamide structure and being capable of increasing the solubility of the resin (A) in an alkali developer by the action of an acid; and (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation. | 09-15-2011 |
20110223537 | RADIATION-SENSITIVE RESIN COMPOSITION AND POLYMER - A radiation-sensitive resin composition includes a polymer, a photoacid generator, and an acid diffusion controller. The polymer includes a first repeating unit shown by a following formula (a-1). The acid diffusion controller includes at least one of a base shown by a following formula (C-1) and a photodegradable base, | 09-15-2011 |
20110223538 | PHOTOSENSITIVE ORGANIC INSULATOR COMPOSITION FOR OLED DEVICE - Polyimide or a precursor thereof represented by Chemical Formula 1 or 2 and a photosensitive organic insulator composition having the same are disclosed. The polyimide or the precursor thereof is prepared from diamine including polyalkyleneoxide. The use of the photosensitive organic insulator composition can allow for low temperature curing and shorten a curing time, form a low taper angle, and implement a high sensitivity and high residual film. Also, since the photosensitive organic insulator composition, as a positive photosensitive composition, has excellent solubility with respect to an alkali solution of an exposed portion, the generation of impurity can be minimized. | 09-15-2011 |
20110229821 | Method of Photochemical Hydrolysis-Polycondensation of Cross-Linkable Chromophores with Steric Hindrance, Catalysed by a Photogenerated Acid, and the Applications Thereof - The invention relates to a process for the hydrolysis-polycondensation of a sterically hindered crosslinkable chromophore, characterized in that the hydrolysis-polycondensation is catalyzed with an acid released by a photoacid generator (PAG). | 09-22-2011 |
20110236823 | NOVEL POLYMERS AND PHOTORESIST COMPOSITIONS - The invention relates to new polymers that comprise units that contain one or more photoacid generator groups and photoresists that contain the polymers. Preferred polymers of the invention are suitable for use in photoresists imaged at short wavelengths such as sub-250 nm or sub-200 nm, particularly 248 nm and 193 nm. | 09-29-2011 |
20110236824 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid, an acid-generator component (B) which generates acid upon exposure and a fluorine-containing polymeric compound (C′) which generates acid upon exposure, the base component (A) having a structural unit (a0-1) represented by general formula (a0-1) and a structural unit (a1) derived from an acrylate ester containing an acid dissociable, dissolution inhibiting group, and the fluorine-containing polymeric compound (C′) having a structural unit (c0) which generates acid upon exposure and a structural unit (c1) represented by formula (c1) (wherein R | 09-29-2011 |
20110236825 | PHOTORESIST COMPOSITION AND METHOD OF FORMING PHOTORESIST PATTERN USING THE SAME - In a photoresist composition suitable for forming a photoresist pattern having a high profile angle, and a method of forming a photoresist pattern using the same, the photoresist composition includes an alkali-soluble resin, a quinone diazide containing compound, a compound represented by Formula 1, and a solvent: | 09-29-2011 |
20110236826 | PATTERNING PROCESS, RESIST COMPOSITION, AND ACETAL COMPOUND - A pattern is formed by applying a resist composition comprising a polymer comprising recurring units having an acid labile group-substituted hydroxyl group, an acid generator, and an organic solvent onto a substrate, prebaking the composition to form a resist film, exposing the resist film to high-energy radiation to define exposed and unexposed regions, baking, and developing the exposed film with an organic solvent developer to form a negative pattern wherein the unexposed region of film is dissolved and the exposed region of film is not dissolved. | 09-29-2011 |
20110236827 | RESIN AND PHOTORESIST COMPOSITION CONTAINING THE SAME - The present invention provides a resin obtained by reacting a compound represented by the formula (I): | 09-29-2011 |
20110236828 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND PATTERN FORMING METHOD USING THE SAME - An actinic ray-sensitive or radiation-sensitive resin composition, includes: (A) a resin capable of increasing the solubility of the resin (A) in an alkali developer by the action of an acid; and (C) a resin having at least either a fluorine atom or a silicon atom and containing (c) a repeating unit having at least two or more polarity conversion groups. | 09-29-2011 |
20110244391 | COMPOSITION AND METHOD FOR REDUCING PATTERN COLLAPSE - A radiation-sensitive composition and method for using the composition to reduce the probability of pattern collapse is provided. The radiation-sensitive composition includes a bulk matrix of radiation-sensitive material with a base-reactive, surface-modifying agent dispersed throughout the matrix. The base-reactive, surface-modifying agent is reactive to hydroxide and increases the surface hydrophobicity of a pattern formed in a layer of the radiation-sensitive composition upon treatment with a basic developing solution during lithographic processing of a substrate. | 10-06-2011 |
20110244392 | POSITIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN AND POLYMERIC COMPOUND - A positive resist composition including a base component (A′) which exhibits increased solubility in an alkali developing solution under the action of acid and generates acid upon exposure, the base component (A′) including a resin component (A1) having a structural unit (a0-1) represented by general formula (a0-1), a structural unit (a0-2) which generates acid upon exposure and a structural unit (a1) derived from an acrylate ester containing an acid dissociable, dissolution inhibiting group (wherein R | 10-06-2011 |
20110244393 | PHOTOSENSITIVE RESIN COMPOSITION AND METHOD FOR PRODUCING LIQUID DISCHARGE HEAD - A method for producing a liquid discharge head having a flow path wall member which includes walls of a liquid flow path communicating with discharge ports that discharge a liquid includes: preparing a substrate having a resin layer formed from a resin composition containing a polyhydroxystyrene-based resin in which the hydrogen atoms of phenolic hydroxyl groups are partially substituted with groups which are dissociable by acid, a compound having two or more vinyl ether groups, and a compound capable of generating a particular acid upon receiving energy from light; exposing the resin layer to light and removing exposed areas to form a pattern of the flow path from the resin layer; preparing a coating layer which serves as the flow path wall member; exposing the coating layer to light and removing unexposed areas of the coating layer to form openings that serve as the discharge ports; and removing the pattern. | 10-06-2011 |
20110244394 | METHOD FOR PRODUCING RESIN SOLUTION FOR PHOTORESIST, PHOTORESIST COMPOSITION, AND PATTERN-FORMING METHOD - Disclosed is a method for producing a resin solution for photoresists, which includes the steps of heating and thereby aging a solution at 30° C. to 90° C. for 30 minutes or longer, the solution containing, in a solvent, a photoresist resin capable of being alkali-soluble by the action of an acid; and filtering the aged solution through a filter medium having a pore size of 1 μm or less. The method gives a photoresist composition having good filterability which enables uniform patterning. The method also gives a resin solution for photoresists which is stable over a long time, namely, a resin solution for photoresists which maintains good filterability even after long-term storage. | 10-06-2011 |
20110250537 | CHOLATE PHOTOACID GENERATORS AND PHOTORESISTS COMPRISING SAME - New photoacid generator compounds (“PAGs”) are provided that comprise a cholate moiety and photoresist compositions that comprise such PAG compounds. | 10-13-2011 |
20110250538 | PHOTOACID GENERATORS AND PHOTORESISTS COMPRISING SAME - New methods are provided for synthesis of photoacid generator compounds (“PAGs”), new photoacid generator compounds and photoresist compositions that comprise such PAG compounds. In a particular aspect, sulfonium-containing (S+) photoacid generators and methods of synthesis of sulfonium photoacid generators are provided. | 10-13-2011 |
20110250539 | FLUORINATED MONOMER, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A fluorinated monomer has formula (1) wherein R | 10-13-2011 |
20110256481 | PHOTORESISTS AND METHODS OF USE THEREOF - New photoresist are provided that comprises a low-Tg component and that are particularly useful for ion implant lithography applications. Preferred photoresists of the invention can exhibit good adhesion to underlying inorganic surfaces such as SiON, silicon oxide, silicon nitride and other inorganic surfaces. | 10-20-2011 |
20110262859 | UPPER LAYER-FORMING COMPOSITION AND RESIST PATTERNING METHOD - An upper-layer film-forming composition includes (A) a resin that is soluble in an alkaline aqueous solution, and includes a fluorine atom, and (B) a solvent component that includes (B1) a solvent having a boiling point at 101.3 kPa of 150° C. or more and a static surface tension of 23.0 mN/m or less, the upper-layer film-forming composition being used to form an upper-layer film on a photoresist film. | 10-27-2011 |
20110262860 | NOVEL DUAL-TONE RESIST FORMULATIONS AND METHODS - Dual tone photoresist formulations comprising a photoacid generator are described and employed in fabrication techniques, including methods of making structures on substrates, and more particularly, methods of making electronic devices (e.g. transistors and the like) on flexible substrates wherein two patterns are formed simultaneously in one layer of photoresist. | 10-27-2011 |
20110262861 | PHOTOSENSITIVE COMPOSITION - Photoresist compositions and methods suitable for depositing a thick photoresist layer in a single coating application are provided. Such photoresist layers are particularly suitable for use in chip scale packaging, for example, in the formation of metal bumps. | 10-27-2011 |
20110269070 | PHOTOACID GENERATORS AND PHOTORESISTS COMPRISING SAME - New methods are provided for synthesis of photoacid generator compounds (“PAGs”), new photoacid generator compounds and photoresist compositions that comprise such PAG compounds. In a particular aspect, photoacid generators that comprise 1) a SO | 11-03-2011 |
20110269071 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, CHEMICAL AMPLIFICATION RESIST COMPOSITION, AND RESIST FILM AND PATTERN FORMING METHOD USING THE COMPOSITION - An actinic ray-sensitive or radiation-sensitive resin composition of the first invention includes (A1) an acid-decomposable resin, the resin containing three kinds of repeating units each having a specific structure, (B) a photo-acid generator and (C1) a 2-phenylbenzimidazole-based basic compound; an actinic ray-sensitive or radiation-sensitive resin composition of the second invention includes (A2) an acid-decomposable resin, (B) a photo-acid generator and (C2) 2-heteryl benzimidazole-based basic compound; a chemical amplification resist composition of the third invention includes (A3) an acid-decomposable resin, (B) a photo-acid generator and (C3) a benzimidazole-based basic compound having a sulfur atom-containing specific structure; and a resist film and a pattern forming method each use such a composition. | 11-03-2011 |
20110269072 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN USING THE SAME - Provided is an actinic-ray- or radiation-sensitive resin composition excelling in the LWR, pattern collapse performance and DOF, and a method of forming a pattern using the same. The composition according to the present invention contains (A) a resin containing a repeating unit with any of partial structures of general formula (I) below, (B) a compound (PA) as defined in the specification, and (C) a compound being configured to generate an acid when exposed to actinic rays or radiation, wherein the resin is contained in an amount of 50 mass % or more based on total solids of the composition. | 11-03-2011 |
20110269073 | METHOD FOR APPLYING A RESIST LAYER, USES OF ADHESIVE MATERIALS, AND ADHESIVE MATERIALS AND RESIST LAYER - A method in which a resist layer is applied to a base layer is disclosed. The resist layer includes an adhesive material, and the adhesive force of the adhesive material decreases or increases during an irradiation process. Residues of the resist layer may be stripped using the disclosed method. | 11-03-2011 |
20110275016 | ABLATION LAYER, PHOTOSENSITIVE RESIN STRUCTURE, AND METHOD FOR PRODUCING RELIEF PRINTING PLATE USING THE PHOTOSENSITIVE RESIN STRUCTURE - Using a photosensitive resin structure having an ablation layer for a photosensitive resin for a relief printing that is capable of being processed by infrared radiation and containing an anionic polymer, a relief printing plate is produced by drawing a pattern by irradiating the ablation layer with infrared radiation; exposing the pattern by irradiating the photosensitive resin layer with ultraviolet radiation; and removing the ablation layer and unexposed photosensitive resin layer with a developer. | 11-10-2011 |
20110287360 | PHOTORESIST COMPOSITION AND METHOD OF FORMING PATTERN BY USING THE SAME - A photoresist composition is provided. The photoresist composition includes an alkali-soluble resin; a photosensitizer containing a first compound that contains a diazonaphthoquinone represented by Formula 1 and a second compound that contains a diazonaphthoquinone represented by Formula 2; and a solvent. | 11-24-2011 |
20110287361 | PHOTOACID GENERATORS AND PHOTORESISTS COMPRISING SAME - New photoacid generator compounds are provided that comprise a nitrogen-base functional component of the structure —C(═O)N<. Photoresist compositions also are provided that comprise one or more PAGs of the invention. | 11-24-2011 |
20110287362 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, NOVEL COMPOUND, AND ACID GENERATOR - A resist composition including a base component (A) which exhibits changed solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the acid-generator component (B) including an acid generator (B1) represented by general formula (b1-1) shown below (in the formula, R | 11-24-2011 |
20110294066 | POSITIVE PHOTOSENSITIVE RESIN COMPOSITION, CURED FILM USING THE SAME, PROTECTING FILM, INSULATING FILM, SEMICONDUCTOR DEVICE, AND DISPLAY DEVICE - Disclosed is a positive photosensitive resin composition which can reduce warping of a substrate such as a semiconductor wafer or the like even when the positive photosensitive resin composition is subjected to dehydration ring closing by the application of a thermal history; a cured film; a protecting film; an insulating film; a semiconductor device; and a display device. The positive photosensitive resin composition comprises a polyamide resin mainly composed of a polybenzoxazole precursor and being patterned on the substrate such as a semiconductor wafer or the like by coating, exposure and development. The cured film is obtained by subjecting the positive photosensitive resin composition containing a polyamide resin mainly composed of a polybenzoxazole precursor to dehydration ring closing. The protecting film comprises the cured film. Specifically disclosed is a positive photosensitive resin composition comprises a polyamide resin (A) and a photosensitizing agent (B), wherein the polyamide resin comprises a repeating unit (A-1) represented by the general formula (1), and a repeating unit (A-2) represented by the general formula (2) and/or a repeating unit (A-3) represented by the general formula (3). | 12-01-2011 |
20110300482 | SULFONIUM SALT, PHOTO-ACID GENERATOR, AND PHOTOSENSITIVE RESIN COMPOSITION - There is provided a sulfonium salt having high photosensitivity to the i-line. The invention relates to a sulfonium salt represented by formula (1) described below: [in formula (1), R | 12-08-2011 |
20110305990 | METHOD FOR MANUFACTURING MICRO-STRUCTURE - A micro-structure is manufactured by patterning a sacrificial film, forming an inorganic material film on the pattern, providing the inorganic material film with an aperture, and etching away the sacrificial film pattern through the aperture to define a space having the contour of the pattern. The patterning stage includes the steps of (A) forming a sacrificial film using a composition comprising a cresol novolac resin and a crosslinker, (B) exposing patternwise the film to first high-energy radiation, (C) developing, and (D) exposing the sacrificial film pattern to second high-energy radiation and heat treating for thereby forming crosslinks within the cresol novolac resin. | 12-15-2011 |
20110305991 | POSITIVE RESIST COMPOSITION AND PATTERN-FORMING METHOD - A positive resist composition comprises: (A) a resin that has a repeating unit represented by general formula (a1) and increases its solubility in an alkali developer by action of an acid; (B) a compound which generates an acid upon irradiation with an actinic ray or a radiation; and (C) a resin that has at least one of a fluorine atom and a silicon atom and has a group selected from the group consisting of (x), (y) and (z); and (D) a solvent:
| 12-15-2011 |
20110311912 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including:
| 12-22-2011 |
20110311913 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including: a base component (A′) that exhibits increased solubility in an alkali developing solution under action of acid, without including an acid generator component other than the base component (A′), wherein the base component (A′) includes a resin component (A1) having a structural unit (a0-1) represented by general formula (a0-1) shown below and a structural unit (a1) containing an acid dissociable, dissolution inhibiting group: | 12-22-2011 |
20110311914 | RESIST COMPOSITION FOR NEGATIVE-TONE DEVELOPMENT AND PATTERN FORMING METHOD USING THE SAME - Provided is a resist composition for negative-tone development, including: (A) a resin having an acid-decomposable repeating unit represented by the following general formula (1) and being capable of decreasing the solubility in a negative developer by the action of an acid: | 12-22-2011 |
20110318686 | POSITIVE PHOTOSENSITIVE RESIN COMPOSITION - The present invention provides a positive photosensitive resin composition, including an alkali soluble phenolic resin; an alkali soluble acrylic resin; and a photosensitive compound having quinone diazide. The positive photosensitive resin composition is applicable to a semi-additive process for forming tiny and fine wirings. | 12-29-2011 |
20110318687 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION AND PATTERN FORMING METHOD USING THE COMPOSITION - Provided is an actinic ray-sensitive or radiation-sensitive resin composition including: (A) a resin capable of increasing the solubility in an alkali developer by the action of an acid, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, and (C) a resin which contains (c) a repeating unit having at least one polarity conversion group and has at least either a fluorine atom or a silicon atom. | 12-29-2011 |
20110318688 | SALT, ACID GENERATOR AND RESIST COMPOSITION - The present invention is a salt represented by the formula (I) | 12-29-2011 |
20120003582 | PHOTORESIST AND PATTERNING PROCESS - A method and photoresist material for the patterning of integrated circuit (IC) components using ultra violet (UV) and extreme ultraviolet lithography (EUV) that includes providing a substrate, forming a first material layer over the substrate, forming a second material layer over the first material layer, the second material layer having a luminescent agent, and exposing one or more portions of the second material layer. | 01-05-2012 |
20120009519 | COMPOUND, RESIN AND PHOTORESIST COMPOSITION - The present invention provides a compound represented by the formula (I): | 01-12-2012 |
20120009520 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including a resin component (A1) having a structural unit (a1) derived from an acrylate ester which may have an atom other than hydrogen or a group bonded to the carbon atom on the α position and containing an acid dissociable, dissolution inhibiting group, and a structural unit (a0) containing an —SO | 01-12-2012 |
20120015293 | POSITIVE TYPE RESIST COMPOSITION FOR USE IN LIQUID IMMERSION EXPOSURE AND A METHOD OF FORMING THE PATTERN USING THE SAME - A positive type resist composition for use in liquid immersion exposure comprises: (A) a resin having a monocyclic or polycyclic cycloaliphatic hydrocarbon structure, the resin increasing its solubility in an alkali developer by an action of acid; (B) a compound generating acid upon irradiation with one of an actinic ray and a radiation; (C) an alkali soluble compound having an alkyl group of 5 or more carbon atoms; and (D) a solvent. | 01-19-2012 |
20120015294 | IMAGING ELEMENT HAVING A PHOTOLUMINESCENT TAG AND PROCESS OF USING THE IMAGING ELEMENT TO FORM A RECORDING ELEMENT - The invention relates to an imaging element and a method of using the imaging element to form a recording element. The imaging element includes a composition sensitive to actinic radiation from a source of radiation having a range of wavelengths and a photoluminescent tag that is responsive to at least one wavelength from the source of radiation. The photoluminescent tag can be used to authenticate the identity of the element, provide information about the element, and/or to establish one or more conditions in a device used to prepare the recording element from the imaging element. | 01-19-2012 |
20120021354 | COLORED CURABLE COMPOSITION, COLOR RESIST, INK-JET INK, COLOR FILTER AND METHOD FOR PRODUCING THE SAME, SOLID-STATE IMAGE PICKUP DEVICE, IMAGE DISPLAY DEVICE, LIQUID CRYSTAL DISPLAY, ORGANIC EL DISPLAY, AND COLORANT COMPOUND AND TAUTOMER THEREOF - A colored curable composition including: at least one selected from the group consisting of a compound represented by the following Formula (1a) and a tautomer thereof; and at least one polymerizable compound, | 01-26-2012 |
20120034557 | Method for preparing alignment mark for multiple patterning - A method for image pattern recognition in a multi-image patterning scheme is described. The method includes forming a first feature pattern on a substrate using a lithographic process, and forming a second feature pattern on the substrate using the lithographic process. The method further includes forming an inspection alignment mark on the substrate to distinguish the first feature pattern from the second feature pattern. The inspection alignment mark comprises a negative tone pattern having a first alignment structure aligned with at least a portion of the first feature pattern and a second alignment structure aligned with at least a portion of the second feature pattern, wherein the first alignment structure is independent from the second alignment structure. | 02-09-2012 |
20120034558 | PHOTOLITHOGRAPHY MATERIAL FOR IMMERSION LITHOGRAPHY PROCESSES - A photolithography material is provided. The photolithography material is a surface modifying material. The photolithography material includes a polymer (e.g., fluorine polymer) that includes less than approximately 80% hydroxyl groups. In an embodiment, the photolithography material includes less than approximately 80% fluoro-alcohol functional units. Methods of using the photolithography material include as an additive to a photoresist or topcoat layer. The photolithography material may be used in an immersion lithography process. | 02-09-2012 |
20120034559 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM THEREFROM AND METHOD OF FORMING PATTERN THEREWITH - Provided is an actinic-ray- or radiation-sensitive resin composition, includes a resin (P) containing a repeating unit (A) that when exposed to actinic rays or radiation, is decomposed to thereby generate an acid and a repeating unit (B) with a structure that when acted on by an acid, is decomposed to thereby increase its solubility in an alkali developer, and a compound (U) structured so that when the composition is formed into a film, the compound is unevenly distributed in a surface of the film. | 02-09-2012 |
20120034560 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN AND POLYMER - A radiation-sensitive resin composition includes (A) a polymer that includes a structural unit (I) including a group shown by the following formula (i), (B) a photoacid generator, and (C) a polymer that has a fluorine atom content lower than that of the polymer (A), and includes an acid-labile group. The polymer (A) preferably includes a structural unit shown by the following formula (1) as the structural unit (I). It is preferable that X in the formula (1) represent a divalent or trivalent chain-like hydrocarbon group or alicyclic hydrocarbon group. | 02-09-2012 |
20120034561 | RESIST POLYMER AND RESIST COMPOSITION - The resist polymer of the present invention comprises a specific constitutional unit having a cyano group, a constitutional unit having an acid-dissociable group, and a specific constitutional unit having a lactone skeleton. When the above polymer is used as a resist resin in DUV excimer laser lithography or electron beam lithography, it exhibits high sensitivity and high resolution, and provides a good resist pattern shape, having a small degree of occurrence of line edge roughness or generation of microgels. | 02-09-2012 |
20120040288 | Epoxy formulations with controllable photospeed - The present invention is directed to an epoxy film composition, comprising: novolac resin; solvent; a photoacid generator having the structure A | 02-16-2012 |
20120040289 | CHEMICALLY AMPLIFIED SILSESQUIOXANE RESIST COMPOSITIONS - The present invention provides chemically amplified silsesquioxane polymers for preparing masks using e-beam lithography. The silsesquioxane polymers have reactive sidechains that in the presence of an acid undergo acid catalyzed rearrangement to generate reactive functionalities that crosslink to form Si—O—Si bonds. The reactive side-chains comprise β- and γ-substituted alkyl groups bound to the silicon of the silsesquioxane polymer. The substituent of the β- and γ-substituted alkyl group is an electron withdrawing group. Resists generated with the chemically amplified silsesquioxane polymers of the present invention and imaged with e-beams have resolution of ≦60 nm line/space. | 02-16-2012 |
20120058427 | PATTERN FORMING METHOD, CHEMICAL AMPLIFICATION RESIST COMPOSITION AND RESIST FILM - A pattern forming method, including: (i) forming a film from a chemical amplification resist composition; (ii) exposing the film, so as to form an exposed film; and (iii) developing the exposed film by using a developer containing an organic solvent, wherein the chemical amplification resist composition contains: (A) a resin capable of decreasing a solubility of the resin (A) in the developer containing an organic solvent by an action of an acid; (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; and (C) a basic, compound or ammonium salt compound whose basicity decreases upon irradiation with an actinic ray or radiation, and a resist composition used for the pattern forming method and a resist film formed from the resist composition are provided. | 03-08-2012 |
20120064455 | PHOTORESIST COMPOSITION AND METHOD OF FORMING PATTERN USING THE SAME - A photoresist composition and method of forming a pattern using the same are provided. The photoresist composition includes a 60 to 90 wt % novolac resin, a diazide compound, an organic solvent, and an anticorrosive agent. | 03-15-2012 |
20120064456 | PHOTORESIST COMPOSITIONS AND METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS - Provided are photoresist compositions useful in forming photolithographic patterns by a negative tone development process. Also provided are methods of forming photolithographic patterns by a negative tone development process and substrates coated with the photoresist compositions. The compositions, methods and coated substrates find particular applicability in the manufacture of semiconductor devices. | 03-15-2012 |
20120064457 | FUNCTIONALIZED PERFLUOROPOLYETHER MATERIAL AS A HYDROPHOBIC COATING - In some embodiments, without limitation, a hydrophobic photoresist material and a method of making the photoresist material are provided. The photoresist material is derived by creating a high resolution insoluble, or more soluble, polymer structure as a result of a controlled chemical reaction with a functionalized perfluoropolyether. Also provided are methods of coating an inkjet printhead surface and processing the coated inkjet printhead surface. | 03-15-2012 |
20120070778 | RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resin having a structural unit derived from a compound represented by the following formula (I), wherein R | 03-22-2012 |
20120077120 | PHOTORESISTS COMPRISING MULTI-AMIDE COMPONENT - New photoresist compositions are provided that comprise a component that comprises two or more amide groups. Preferred photoresists of the invention may comprise a resin with photoacid-labile groups; a photoacid generator compound; and a multi-amide component that can function to decrease undesired photogenrated-acid diffusion out of unexposed regions of a photoresist coating layer | 03-29-2012 |
20120077121 | FLUOROALCOHOL, FLUORINATED MONOMER, POLYMER, RESIST COMPOSITION AND PATTERNING PROCESS - Fluoroalcohol compounds of formula (1) are useful in producing polymers which are used as the base resin to formulate radiation-sensitive resist compositions having transparency to radiation having a wavelength of up to 500 nm and improved development characteristics. R | 03-29-2012 |
20120077122 | PATTERN FORMING METHOD, CHEMICAL AMPLIFICATION RESIST COMPOSITION AND RESIST FILM - A pattern forming method includes: (i) forming a film from a chemical amplification resist composition that contains (A) a resin, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation and (C) a tertiary alcohol; (ii) exposing the film; and (iii) performing development by using a developer containing an organic solvent. | 03-29-2012 |
20120082932 | Inkjet Printable Flexography Substrate and Method of Using - A flexography substrate for making I-plates with liquid photopolymers has, in addition to the tie-coat applied to one side to hold the photopolymers in place on the substrate, a micro-porous coating applied to the other side, enabling a masking image to be applied to it using an inkjet printer. In this way, one single sheet of film performs the dual role of substrate and I-plate masking film. By eliminating a sheet of film, the invention provides cost, quality, and environmental benefits. | 04-05-2012 |
20120088188 | UNDERLAYER COMPOSITION AND METHOD OF IMAGING UNDERLAYER COMPOSITION - A method of forming a pattern comprises diffusing an acid formed by irradiating a portion of a photosensitive layer, into an underlayer comprising an acid sensitive copolymer having acid decomposable groups and attachment groups covalently bonded to the surface of the substrate and/or forming an interpolymer crosslink. Diffusing comprises heating the underlayer and photosensitive layer. The acid sensitive group reacts with the diffused acid to form a polar region on the underlayer, with the shape of the pattern. The photosensitive layer is removed, forming a self-assembling layer comprising a block copolymer having a first block with an affinity for the polar region, and a second block having less affinity for the polar region. The first block forms a domain aligned to the polar region, and the second block forms another domain aligned to the first. Removing either domain exposes a portion of the underlayer. | 04-12-2012 |
20120094232 | PRODUCTION METHOD OF POLYHYDROXYIMIDE - There is provided a simple production method of a polyhydroxyimide. A production method of a polyhydroxyimide, characterized by comprising adding to a polyhydroxyimide precursor containing a repeating structure of Formula (1): | 04-19-2012 |
20120100480 | COMPOUND, FLUORINE-CONTAINING POLYMER, RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD FOR PRODUCING COMPOUND - A compound has a following general formula (1). | 04-26-2012 |
20120107742 | METHOD AND PHOTORESIST WITH ZIPPER MECHANISM - The present disclosure provides a resist utilized in a photolithography patterning process. The resist includes a polymeric material having a plurality of zipper molecules, each including a first zipper portion and a second zipper portion, wherein the first and second zipper portions each include a plurality of zipper branches bonded together in pairs and cleavable to one of thermal energy, radiation energy, and chemical reaction. | 05-03-2012 |
20120115082 | RESIN AND PHOTORESIST COMPOSITION COMPRISING THE SAME - The present invention provides a resin comprising a structural unit represented by the formula (aa): | 05-10-2012 |
20120122029 | Underlayer Developable Coating Compositions and Processes Thereof - The present invention relates to a photoimageable underlayer composition comprising a polymer, a crosslinker comprising a vinyl ether group, and a thermal acid generator comprising a salt of a mono or polycarboxylic acid and an amine, where the amine has a boiling point of at least 150° C. The invention also relates to a process for forming an image in the underlayer comprising the novel composition. | 05-17-2012 |
20120122030 | COMPOSITIONS COMPRISING BASE-REACTIVE COMPONENT AND PROCESSES FOR PHOTOLITHOGRAPHY - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more materials that comprise one or more base reactive groups and (i) one or more polar groups distinct from the base reactive groups, and/or (ii) at least one of the base reactive groups is a non-perfluorinated base reactive group. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing. | 05-17-2012 |
20120129095 | SILICATE-FREE DEVELOPER COMPOSITIONS - A silicate-free alkaline aqueous developer composition has a pH of at least 12 and comprises a metal cation M | 05-24-2012 |
20120129096 | LIGHT REACTIVE MEDIA - A light reactive medium comprises an imaging layer reactive to radiation of a first frequency to exhibit a visible change, and a further layer above the imaging layer, the further layer being changeable from being substantially transparent to said first frequency to being substantially opaque to said first frequency, in response to electromagnetic radiation of a second frequency substantially different from the first frequency, the at least one other layer being at least partially transparent to visible light reflected from the imaging layer while being opaque to said first frequency. Also disclosed is a lenticular imaging method in which a lenticular layer is provided over the imaging layer, and images to be viewed from different directions are written using light incident at different directions. Also disclosed is a phosphorescent display that may be used to display lenticular images. | 05-24-2012 |
20120135347 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, POLYMERIC COMPOUND AND COMPOUND - There are provided a resist composition, a method of forming a resist pattern using the resist composition, a novel polymeric compound and a compound useful as a monomer for the polymeric compound,
| 05-31-2012 |
20120141935 | DEVELOPER AND ITS USE TO PREPARE LITHOGRAPHIC PRINTING PLATES - A developer solution can be used to prepare lithographic printing plates from negative-working precursors. The developer solution has a pH of at least 4 and up to and including 11. It also comprises both an ethylene/propylene glycol block copolymer and either or both of a sugar alcohol or a mono- or oligosaccharide. This combination of components provides desired processing to provide lithographic printing plates that can be used for printing without any post-development treatments with other solutions. | 06-07-2012 |
20120141936 | PHOTO-CURING POLYSILOXANE COMPOSITION AND PROTECTIVE FILM FORMED FROM THE SAME - A photo-curing polysiloxane composition includes a polysiloxane, an o-naphthoquinonediazidesulfonate compound, and a solvent. The polysiloxane contains 25 wt % to 60 wt % of a polysiloxane fraction having a molecular weight ranging from 10,000 to 80,000 based on a total weight of the polysiloxane when calculated from an integral molecular weight distribution curve obtained by plotting cumulative weight percentage versus molecular weight falling within a range between 400 and 100,000 measured by gel permeation chromatography. The amount of oligomers in the polysiloxane having a molecular weight less than 800 is from 0 wt % to 10 wt % based on a total weight of the photo-curing polysiloxane composition. A protective film formed from the photo-curing polysiloxane composition and an element containing the protective film are also disclosed. | 06-07-2012 |
20120148952 | RADIATION-SENSITIVE RESIN COMPOSITION AND COMPOUND - A radiation-sensitive resin composition includes a compound, a resin and a radiation-sensitive acid generator. The compound has a structure in which a group represented by a following formula (1) is bound to a nitrogen atom. The resin has an acid-dissociative dissolution-controlling group and has a property such that alkali solubility of the resin increases by an action of an acid. In the formula (1), Y is a monovalent group having 5 to 20 carbon atoms, and “*” represents a bonding hand with the nitrogen atom. In the formula (i), R | 06-14-2012 |
20120148953 | Resist composition, and method of forming resist pattern - A resist composition including a base component (A) which exhibits changed solubility in a developing solution under the action of acid, and an acid generator component (B) which generates acid upon exposure, wherein the base component (A) contains a polymeric compound (A1) having a structural unit (a5) represented by general formula (a5-1). In formula (a5-1), R represents a hydrogen atom, an alkyl group or a halogenated alkyl group, X represents single bond or divalent linking group, W represents a cyclic alkylene group which may include an oxygen atom at arbitrary position, each of R | 06-14-2012 |
20120156611 | Fluoroalcohol Containing Molecular Photoresist Materials and Processes of Use - Phenolic molecular glasses such as calixarenes include at least one fluoroalcohol containing unit. The fluoroalcohol containing molecular glasses can be used in photoresist compositions. Also disclosed are processes for generating a resist image on a substrate using the photoresist composition. | 06-21-2012 |
20120156612 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING A RESIST PATTERN, COMPOUND, AND POLYMER - A radiation-sensitive resin composition includes a first polymer that includes a repeating unit having an acid-labile group and becomes alkali-soluble upon dissociation of the acid-labile group, and a radiation-sensitive acid-generating agent. The acid-labile group has a structure shown by a general formula (1). R | 06-21-2012 |
20120164572 | COATING METHOD, COATING DEVICE, AND STORAGE MEDIUM - A device to form a coating film which can quickly coat a substrate of a follow-up lot after coating a preceding lot. The device is configured such that nozzles for a preceding lot and a following lot are integrated into a common movement mechanism and moved between an upper side of a liquid processing unit and a standby area. A coating method includes sucking air into the nozzle for the preceding lot to form an upper gas layer, sucking a solvent for the preceding lot in the standby area to form a thinner layer, and sucking air into the nozzle for the preceding lot to form a lower gas layer within the nozzle, and thus forming a state that a solvent layer is interposed between the upper gas layer and the lower gas layer. | 06-28-2012 |
20120171609 | Positive Photosensitive Resin Composition, Photosensitive Resin Layer Prepared by Using the Same, and Semiconductor Device Including the Photosensitive Resin Layer - Provided is a positive photosensitive resin composition that includes (A) a polybenzoxazole precursor including a first polybenzoxazole precursor including a repeating unit represented by Chemical Formula 1 and a repeating unit represented by Chemical Formula 2, and having a thermally polymerizable functional group at least one terminal end; (B) a photosensitive diazoquinone compound; (C) a silane compound; (D) a phenol compound; and (E) a solvent. A photosensitive resin film fabricated using the positive photosensitive resin composition is provided. | 07-05-2012 |
20120171610 | Positive Photosensitive Resin Composition, Photosensitive Resin Film Prepared by Using the Same, and Semiconductor Device Including the Photosensitive Resin Film - Disclosed is a positive photosensitive resin composition that includes (A) an alkali soluble resin; (B) a novolac resin including a repeating unit represented by Chemical Formula 6; (C) a photosensitive diazoquinone compound; (D) a silane compound; and (E) a solvent, a photosensitive resin film prepared by using the positive photosensitive resin composition, and a semiconductor device including the photosensitive resin film. | 07-05-2012 |
20120171611 | AROMATIC HYDROCARBON RESIN AND COMPOSITION FOR FORMING UNDERLAYER FILM FOR LITHOGRAPHY - The aromatic hydrocarbon resin can be used as a coating material and a resist resin for a semiconductor, and has a high carbon concentration and a low oxygen concentration. A composition for forming an underlayer film for lithography that has excellent etching resistance as an underlayer film for a multilayer resist process, an underlayer film formed with the same, and a pattern forming method using the same are disclosed. An aromatic hydrocarbon is reacted with an aromatic aldehyde in the presence of an acidic catalyst, thereby providing an aromatic hydrocarbon resin that has a high carbon concentration of from 90 to 99.9% by mass and a low oxygen concentration of from 0 to 5% by mass. A composition for forming an underlayer film for lithography contains the resin and an organic solvent, an underlayer film is formed with the same, and a pattern forming method uses the same. | 07-05-2012 |
20120171612 | RADIATION-SENSITIVE RESIN COMPOSITION, RESIST PATTERN FORMATION METHOD, AND POLYMER - A radiation-sensitive resin composition includes a first polymer, a second polymer and a radiation-sensitive acid generator. The first polymer includes a repeating unit (I) shown by the following general formula (1), a fluorine atom in a molecule of the first polymer. The second a polymer includes an acid-labile group, and is insoluble or scarcely soluble in an alkali. R | 07-05-2012 |
20120178021 | RESIN AND PHOTORESIST COMPOSITION COMPRISING THE SAME - The present invention provides a resin comprising a structural unit represented by the formula (aa): | 07-12-2012 |
20120178022 | POSITIVE PHOTOSENSITIVE RESIN COMPOSITION, CURED FILM OBTAINED USING SAME, AND OPTICAL DEVICE - Disclosed is a positive photosensitive resin composition which is characterized by containing (a) a polysiloxane that is synthesized by hydrolyzing and partially condensing a specific organosilane and an organosilane oligomer, (b) aluminum compound particles, tin compound particles, titanium compound particles, zirconium compound particles, composite particles of the aforementioned compounds or composite particles of any of the aforementioned compounds and a silicon compound, (c) a naphthoquinonediazide compound and (d) a solvent. The positive photosensitive resin composition is also characterized in that the organosilane oligomer contains a specific organosilane. The positive photosensitive resin composition has achieved excellent sensitivity and resolution without deteriorating high refractive index and high transparency. | 07-12-2012 |
20120183899 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition containing a base component (A) which exhibits changed solubility in a developing solution under the action of acid, and an acid generator component (B) which generates acid upon exposure, dissolved in an organic solvent (S) which contains an alcohol-based solvent, wherein the base component (A) contains a copolymer (A1) that exhibits increased polarity under the action of acid, and the copolymer (A1) is a copolymer in which a structural unit (a2), which is derived from an acrylate ester in which the hydrogen atom bonded to the carbon atom on the α-position may be substituted with a substituent, and includes a lactone-containing cyclic group, is dispersed uniformly within the copolymer molecule. | 07-19-2012 |
20120183900 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN AND POLYMERIC COMPOUND - A resist composition including a base component (A) which exhibits changed solubility in a developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, wherein the base component (A) includes a polymeric compound (A1) having a structural unit (a5) represented by general formula (a5-1). In the formula (a5-1), R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms; each of R | 07-19-2012 |
20120196225 | Photo Patternable Coating Compositions of Silicones and Organic-Inorganic Hybrids - A negative-tone photo patternable coating composition containing: (1) at least one silicone or organic-inorganic hybrid resin with acid labile alkoxysilane groups which can be thermally decomposed into silanol groups at 80-160° C. in the presence of a catalytic amount of strong acid; (2) optionally, an organic/polymer with two or more trialkoxysilyl, alkyldialkoxysilyl, or dialkylalkoxysilyl functional groups; (3) a photoacid generator; (4) an acid quencher; (5) a flow control agent; and (6) at least one organic solvent. Methods of preparing the silicones and/or organic-inorganic hybrids and procedures of processing the photo patternable compositions are described. | 08-02-2012 |
20120196226 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition including a base component (A), which exhibits changed solubility in a developing solution under the action of acid and can be used in a lithography process that employs light having a wavelength of 193 nm or less as the exposure light source, an acid generator component (B) which generates acid upon exposure, and a polymeric compound (C) having a structural unit (c0) represented by general formula (c0) shown below, wherein the amount of the polymeric compound (C) is less than 25 parts by mass relative to 100 parts by mass of the base component (A). | 08-02-2012 |
20120196227 | CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION, PATTERNING PROCESS, AND ACID-DECOMPOSABLE KETO ESTER COMPOUND - A chemically amplified positive resist composition comprises an acid-decomposable keto ester compound of steroid skeleton which is insoluble in alkaline developer, but turns soluble in alkaline developer under the action of acid. The composition is exposed to EB, deep-UV or EUV and developed to form a pattern with a high resolution and improved LER. | 08-02-2012 |
20120202150 | RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition includes an acid-labile group-containing polymer and photoacid generator. The radiation-sensitive resin composition is used to form a resist pattern using a developer that includes an organic solvent in an amount of 80 mass % or more. The radiation-sensitive resin composition has a contrast value γ of 5.0 to 30.0. The contrast value γ is calculated from a resist dissolution contrast curve obtained when developing the radiation-sensitive resin composition using the organic solvent. | 08-09-2012 |
20120202151 | POSITIVE RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND POLYMERIC COMPOUND - A positive resist composition including a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid and an acid-generator component (B) which generates acid upon exposure, the base component (A) including a polymeric compound (A1) containing a structural unit (a0) represented by general formula (a0-1) (R | 08-09-2012 |
20120208124 | RESIST COMPOSITION FOR EUV, METHOD FOR PRODUCING RESIST COMPOSITION FOR EUV, AND METHOD OF FORMING RESIST PATTERN - The present invention is related to a resist composition for EUV exhibiting E0 | 08-16-2012 |
20120219897 | PHOTORESIST HAVING IMPROVED EXTREME-ULTRAVIOLET LITHOGRAPHY IMAGING PERFORMANCE - Provided is a photoresist that includes a polymer is free of a aromatic group and a photo acid generator (PAG) that has less than three aromatic groups. In an embodiment, the PAG includes an anion component and a cation component. The anion component has one of the following chemical formulas: | 08-30-2012 |
20120219898 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition having; (A1) a resin having a structural unit represented by the formula (I), (A2) a resin having a structural unit represented by the formula (II) and being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and (B) an acid generator, | 08-30-2012 |
20120219899 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition contains (A1) a resin having a structural unit represented by the formula (I), (A2) a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid, (B) an acid generator, and (D) a compound represented by the formula (II), | 08-30-2012 |
20120219900 | LITHOGRAPHIC PRINTING PLATE PRECURSOR AND PLATE MAKING METHOD THEREOF - A lithographic printing plate precursor includes a support and an image-recording layer containing a star polymer, a radical polymerizable compound and a radical polymerization initiator, the star polymer is a star polymer in which a polymer chain is branched from a central skeleton via a sulfide bond and the polymer chain contains an acid group and a crosslinkable group in a side chain of the polymer chain. | 08-30-2012 |
20120219901 | PHOTORESIST COMPOSITIONS AND METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS - Provided are photoresist compositions useful in forming photolithographic patterns. Also provided are substrates coated with the photoresist compositions and methods of forming photolithographic patterns. The compositions, methods and coated substrates find particular applicability in the manufacture of semiconductor devices. | 08-30-2012 |
20120219902 | PHOTORESIST COMPOSITIONS AND METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS - Provided are photoresist compositions useful in forming photolithographic patterns. Also provided are substrates coated with the photoresist compositions and methods of forming photolithographic patterns. The compositions, methods and coated substrates find particular applicability in the manufacture of semiconductor devices. | 08-30-2012 |
20120219903 | RADIATION-SENSITIVE RESIN COMPOSITION - The radiation-sensitive resin composition includes a compound represented by a following formula (1), and a resin. The resin has an acid-dissociable group, is insoluble or hardly soluble in an alkali, and turns to be soluble in an alkali when the acid-dissociable group is dissociated. R represents a hydrogen atom or a hydrocarbon group having 1 to 20 carbon atoms, R | 08-30-2012 |
20120225383 | RESIST COMPOSITION FOR IMMERSION EXPOSURE AND METHOD OF FORMING RESIST PATTERN - A resist composition for immersion exposure and a method of forming a resist pattern which can satisfy both of excellent resistance to an immersion medium and lithography properties. The resist composition for immersion exposure includes a resin component (A) which exhibits changed alkali solubility under action of acid and an acid-generator component (B) which generates acid upon irradiation, the resin component (A) including a resin (A1) which contains a fluorine atom and a resin (A2) which has a structural unit (a′) derived from acrylic acid and contains no fluorine atom, and the amount of the resin (A1) contained in the resin component (A) being within the range from 0.1 to 50% by weight. | 09-06-2012 |
20120237871 | FLEXOGRAPHIC PRINTING PLATE PRECURSOR, IMAGING ASSEMBLY, AND USE - A flexographic printing plate precursor can be imaged and developed to provide a flexographic relief image. This flexographic printing plate precursor includes a radiation curable layer in which a flexographic relief image can be formed. It also includes a transparent release layer disposed on the radiation sensitive layer, which release layer consists essentially of a miscible mixture of a polyamide in an amount of at least 20 and up to and including 80 weight % and a natural or synthetic rubber latex in an amount of at least 20 and up to and including 80 weight %. The transparent release layer has a peel force in relation to an imaged film comprising a mask image of at least 50 and up to and including 200 g/inch (127-508 g/cm). This precursor can be used in optical contact with the imaged film to form a relief image using curing radiation through the mask image. | 09-20-2012 |
20120251943 | ANTIREFLECTIVE COATING COMPOSITION AND PROCESS THEREOF - The invention relates to an antireflective coating composition comprising a crosslinker and a crosslinkable polymer capable of being crosslinked by the crosslinker, where the crosslinkable polymer comprises a unit represented by structure (1): | 10-04-2012 |
20120251944 | PHOTOLITHOGRAPHY METHOD AND APPARATUS - Embodiments of the disclosed technology disclose a photolithography method and apparatus, and the method comprises: hydrophobicity-treating edge portions of a surface of a substrate to be applied with photoresist; and applying hydrophilic photoresist to the surface of the substrate subject to hydrophobic treatment. With the disclosed technology, the usage amount of thinner in the edge photoresist-removing procedure can be greatly reduced or even eliminated, thereby reducing production costs and increasing production efficiency. | 10-04-2012 |
20120258399 | RADIATION-SENSITIVE RESIN COMPOSITION, COMPOUND AND PRODUCING METHOD OF COMPOUND - A radiation-sensitive resin composition includes a compound shown by a following general formula (A), a solvent and a resin having an acid-labile group. Each of R | 10-11-2012 |
20120264052 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND POLYMERIC COMPOUND - A resist composition including a base component (A) which exhibits changed solubility in a developing solution under the action of acid and an acid-generator component (B) which generates acid upon exposure, the base component (A) containing a resin component (A1) including a structural unit (a0) represented by general formula (a0-1) shown below and a structural unit derived from an acrylate ester which may have the hydrogen atom bonded to the carbon atom on the α-position substituted with a substituent and contains an acid decomposable group which exhibits increased polarity by the action of acid (wherein R represents a hydrogen atom, an alkyl group of 1 to 5 carbon atoms or a halogenated alkyl group of 1 to 5 carbon atoms). | 10-18-2012 |
20120270150 | SUBSTRATE DISTORTION MEASUREMENT - A substrate distortion measurement apparatus comprising one or more optical detectors arranged to measure the locations of pits or holes provided in a substrate, a memory arranged to store previously determined locations of the pits or holes in the substrate, and a comparator arranged to compare the measured locations of the pits or holes with the previously determined locations of the pits or holes, to determine distortion of the substrate. | 10-25-2012 |
20120270151 | RADIATION SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING AN INTERLAYER INSULATING FILM - The present invention relates to a radiation sensitive resin composition comprising [A] an alkali-soluble resin, [B] a 1,2-quinonediazide compound and [C] a radical trapping agent. | 10-25-2012 |
20120288794 | POLYMERS, PHOTORESIST COMPOSITIONS AND METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS - Provided are polymers containing a unit having a particular acetal moiety and photoresist compositions containing such a polymer. Also provided are substrates coated with the photoresist compositions and methods of forming photolithographic patterns. The polymers, photoresist compositions, methods and coated substrates find particular applicability in the manufacture of semiconductor devices. | 11-15-2012 |
20120295197 | RADIATION-SENSITIVE RESIN COMPOSITION, POLYMER AND METHOD FOR FORMING A RESIST PATTERN - A radiation-sensitive resin composition includes a first polymer, a second polymer and a radiation-sensitive acid generator. The first polymer has a structure unit represented by a following formula (1-1), a structure unit represented by a following formula (1-2), or both thereof, and has a content of fluorine atoms of no less than 5% by mass to a total mass of the first polymer. The second polymer has an acid-dissociable group, and has a content of fluorine atoms of less than 5% by mass to a total mass of the second polymer. | 11-22-2012 |
20120295198 | RADIATION-SENSITIVE RESIN COMPOSITION - A radiation-sensitive resin composition includes a compound represented by a following formula (1), and a first polymer that serves as a base resin. R | 11-22-2012 |
20120301823 | POLYMER COMPOSITION AND PHOTORESIST COMPRISING THE POLYMER - A copolymer comprises the polymerized product of a base-soluble monomer of formula (I): | 11-29-2012 |
20120301824 | LAYERED STRUCTURE AND PHOTOSENSITIVE DRY FILM TO BE USED THEREFOR - In a layered structure having at least a substrate and a photosensitive resin layer or cured film layer formed on the substrate and containing an inorganic filler, the content of the inorganic filler in the photosensitive resin layer or cured film layer is low on the side contacting the substrate and high on the surface side away from the substrate, so that a linear thermal expansion coefficient of the photosensitive resin layer or cured film layer as a whole is maintained as low as possible. Preferably, the inorganic filler content in the layer gradually increases continuously obliquely or stepwise from the side contacting the substrate to the surface side away from the substrate. A photosensitive dry film containing the above-mentioned photosensitive resin layer is suitable for use as a solder resist or an interlayer resin insulation layer of a printed wiring board. | 11-29-2012 |
20120301825 | LAYERED STRUCTURE AND PHOTOSENSITIVE DRY FILM TO BE USED THEREFOR - In a layered structure having at least a substrate and a photosensitive resin layer or cured film layer formed on the substrate and containing an inorganic filler, the content of the inorganic filler in the photosensitive resin layer or cured film layer is lower in a surface layer region away from the substrate than in other region, so that a linear thermal expansion coefficient of the layer as a whole is maintained as low as possible. Preferably, the photosensitive resin layer or cured film layer comprises at least two layers having different inorganic filler contents, wherein the inorganic filler content in the layer on the surface side away from the substrate is lower than the inorganic filler content in the other layer. A photosensitive dry film containing the photosensitive resin layer is suitable for use as a solder resist or an interlayer resin insulation layer of a printed wiring board. | 11-29-2012 |
20120308927 | POLYMERS, PHOTORESIST COMPOSITIONS AND METHODS OF FORMING PHOTOLITHOGRAHIC PATTERNS - Provided are polymers and photoresist compositions useful in forming photolithographic patterns. Also provided are substrates coated with the photoresist compositions and methods of forming photolithographic patterns. The compositions, methods and coated substrates find particular applicability in the manufacture of semiconductor devices. | 12-06-2012 |
20120308928 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, POLYMERIC COMPOUND AND METHOD OF PRODUCING THE SAME - A resist composition including a base component (A) that exhibits changed solubility in a developing solution under action of acid and an acid generator component (B) that generates acid upon exposure, wherein the base component (A) contains a resin component (A1) including a structural unit (a0) represented by general formula (a0-1) shown below and a structural unit (a1)) containing an acid decomposable group that exhibits increased polarity under action of acid, and the amount of the structural unit (a0) is less than 50 mol %, | 12-06-2012 |
20120308929 | WET LAMINATION OF PHOTOPOLYMERIZABLE DRY FILMS ONTO SUBSTRATES AND COMPOSITIONS RELATING THERETO - The invention is directed to a lamination fluid useful in processes for wet laminating a photopolymerizable film onto circuit board panels or other substrates. The lamination system comprises 1) a dry film photoresist, 2) a laminate comprising i) copper ii) stainless steel iii) non metal on a surface, 3) a lamination fluid and 4) fluid application device on the laminates. The lamination fluid comprises water and a surface energy modification agent. The surface energy modification agent is present in a range between 0.0001 and 3.0 moles/liter, and the pH of the fluid is between 3 and 11. | 12-06-2012 |
20120315578 | APPARATUS AND METHOD FOR SPIN-COATING, AND METHOD FOR MANUFACTURING SUBSTRATE HAVING STRUCTURE - Disclosed herein are an apparatus and a method for spin coating, and a method for manufacturing a substrate having a structure. The spin-coating apparatus includes a support to support a substrate having a structure, a motor to rotate the support to perform spin-coating with respect to the structure, and a heat source to heat a coating material which is subjected to the spin-coating to perform pre-baking. The method for spin-coating a substrate having a structure includes preparing the substrate having the structure of a predetermined height formed on an upper portion thereof, coating the structure of the substrate with photoresist, and performing spin-coating of the photoresist in a place in which a heat source is provided, while performing pre-baking of the photoresist. | 12-13-2012 |
20120315579 | COLORED CURABLE COMPOSITION, COLOR FILTER AND METHOD OF PRODUCING THE SAME, AND DIPYRROMETHENE METAL COMPLEX COMPOUND AND TAUTOMER THEREOF - A colored curable composition is provided which has good developability, has excellent color purity, can be formed into a thin film, and has a high absorption coefficient. The colored curable composition includes at least one of specific dipyrromethene metal complex compounds and tautomers thereof. Also, a colored curable composition suitable for forming a color filter which is used in a liquid crystal display device or a solid-state imaging device, and a color filter using the colored curable composition and a method of producing the same are provided. | 12-13-2012 |
20120328982 | POSITIVE RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A positive resist composition including: a base component (A) which exhibits increased solubility in an alkali developing solution under action of acid; an acid generator component (B) which generates acid upon exposure; a fluorine-containing compound component (F); and a photosensitizer (G). | 12-27-2012 |
20120328983 | POLYMER COMPOSITION AND PHOTORESIST COMPRISING SAME - A polymer comprises the polymerized product of monomers comprising an acid-deprotectable monomer, a base-soluble monomer, a lactone-containing monomer, and a photoacid-generating monomer; a chain transfer agent of the formula; | 12-27-2012 |
20130004893 | COATING COMPOSITIONS FOR USE WITH AN OVERCOATED PHOTORESIST - Organic coating compositions, particularly antireflective coating compositions, are provided that comprise that comprise a component that comprises one or more parabanic acid moieties. Preferred compositions of the invention are useful to reduce reflection of exposing radiation from a substrate back into an overcoated photoresist layer and/or function as a planarizing, conformal or via-fill layer. | 01-03-2013 |
20130011783 | MONOMERS, POLYMERS, PHOTORESIST COMPOSITIONS AND METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS - Provided are (meth)acrylate monomers containing acetal moieties, polymers containing a unit formed from such a monomer and photoresist compositions containing such a polymer. The monomers, polymers and photoresist compositions are useful in forming photolithographic patterns. Also provided are substrates coated with the photoresist compositions, methods of forming photolithographic patterns and electronic devices. The compositions, methods and coated substrates find particular applicability in the manufacture of semiconductor devices. | 01-10-2013 |
20130011784 | Photosensitive Sacrificial Polymer with Low Residue - Embodiments according to the present invention relate generally to PAG bilayer and PAG-doped unilayer structures using sacrificial polymer layers that incorporate a photoacid generator having a concentration gradient therein. Said PAG concentration being higher in a upper portion of such structures than in a lower portion thereof. Embodiments according to the present invention also relate to a method of using such bilayers and unilayers to form microelectronic structures having a three-dimensional space, and methods of decomposition of the sacrificial polymer within the aforementioned layers. | 01-10-2013 |
20130011785 | PATTERN FORMING METHOD AND RESIST COMPOSITION - Provided is a method of forming a pattern, ensuring excellent exposure latitude (EL) and focus latitude (depth of focus DOF). The method of forming a pattern includes (A) forming a film from a resist composition, the resist composition, (B) exposing the film to light, and (C) developing the exposed film using a developer containing an organic solvent, thereby forming a negative pattern. The resist composition contains (a) a resin that is configured to decompose when acted on by an acid and ΔSP thereof represented by formula (1) below is 2.5 (MPa) | 01-10-2013 |
20130017483 | ELECTRODE AND METHOD FOR MANUFACTURING THE SAME - The invention relates to an electrode that can be formed by firing in air a conductive paste comprising a copper powder, a boron powder, an additional inorganic powder, a glass frit, and an organic medium, wherein the additional inorganic powder is selected from the group consisting of silica powder, indium tin oxide powder, zinc oxide powder, alumina powder, and mixture thereof. | 01-17-2013 |
20130017484 | POLYMERIZABLE ESTER COMPOUND, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESSAANM HASEGAWA; KojiAACI Joetsu-shiAACO JPAAGP HASEGAWA; Koji Joetsu-shi JPAANM SAGEHASHI; MasayoshiAACI Joetsu-shiAACO JPAAGP SAGEHASHI; Masayoshi Joetsu-shi JPAANM SUKA; YuukiAACI Joetsu-shiAACO JPAAGP SUKA; Yuuki Joetsu-shi JPAANM IIO; MasashiAACI Joetsu-shiAACO JPAAGP IIO; Masashi Joetsu-shi JP - Polymerizable ester compounds having formula (1) are novel wherein R | 01-17-2013 |
20130017485 | LITHOGRAPHIC PRINTING PLATE PRECURSOR AND METHOD OF PRODUCING THEREOFAANM Fujiki; YuzoAACI Haibara-gunAACO JPAAGP Fujiki; Yuzo Haibara-gun JPAANM Suzuki; ShotaAACI Haibara-gunAACO JPAAGP Suzuki; Shota Haibara-gun JP - To provide a lithographic printing plate precursor which is excellent in the gum development property, running processing property and scratch resistance and a lithographic printing plate precursor which is good in all performances of the on-press development property, ink receptivity, sensitivity and printing durability, and a method of producing thereof. A lithographic printing plate precursor has a support, an image-recording layer containing a radical polymerization initiator and a radical polymerizable compound, and an overcoat layer containing a polymer resin which has a cloud point in an aqueous solution and includes a monomer unit containing at least any of an amino group and an amido bond, in this order. | 01-17-2013 |
20130022909 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition having a resin having a structural unit represented by the formula (I), a resin being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I), an acid generator, and a compound represented by the formula (II), | 01-24-2013 |
20130022910 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition having; (A1) a resin having a structural unit represented by the formula (I), (A2) a resin having a structural unit represented by the formula (II) and being insoluble or poorly soluble in alkali aqueous solution, but becoming soluble in an alkali aqueous solution by the action of an acid and not including the structural unit represented by the formula (I) and (B) an acid generator. | 01-24-2013 |
20130022911 | POLYMER, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A polymer containing an anion part which generates acid upon exposure on at least one terminal of the main chain, and at least one structural unit selected from the group consisting of a structural unit (a0) containing a —SO | 01-24-2013 |
20130022912 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, POLYMER AND COMPOUND - A radiation-sensitive resin composition includes a first polymer having a structural unit represented by a following formula (1), and a radiation-sensitive acid generator. R | 01-24-2013 |
20130022913 | METHOD FOR PRODUCING POSITIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION, POSITIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION, AND FILTER - A method for producing a positive-type photosensitive resin composition which includes a process of filtering the positive-type photosensitive resin composition containing a surfactant by using a filter, wherein a contact angle on one surface of the filter is equal to or more than 30 degrees and equal to or less than 80 degrees when measured using formamide. | 01-24-2013 |
20130022914 | HOMOADAMANTANE DERIVATIVE, METHOD FOR PRODUCING THE SAME AND PHOTOSENSITIVE MATERIALS FOR PHOTORESIST - A homoadamantane derivative represented by the following formula (I): wherein R | 01-24-2013 |
20130034811 | METHOD FOR PROVIDING AN ORDERED LAYER OF SELF-ASSEMBLABLE POLYMER FOR USE IN LITHOGRAPHY - A method for providing an ordered polymer layer at a surface of a substrate includes depositing a self-assemblable polymer layer directly onto a primer layer on a substrate to provide an interface between the self-assemblable polymer layer and the primer layer, and treating the self-assemblable polymer layer to provide self-assembly into an ordered polymer layer, such as a block copolymer, having first and second domain types at the interface. The primer layer is adapted to improve its chemical affinity to each domain type at the interface, in response to the presence of the respective domain type in the self-assembled polymer at the interface during the self-assembly of the self-assemblable polymer layer into the ordered polymer layer. This may lead to reduction in defect levels and/or improved persistence length for the ordered polymer layer. The method may be useful for forming resist layers for use in device lithography. | 02-07-2013 |
20130040237 | SALT, PHOTORESIST COMPOSITION AND METHOD FOR PRODUCING PHOTORESIST PATTERN - A photoresist composition which comprises
| 02-14-2013 |
20130045443 | POLYMER, RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A polymer comprising: an anion part which generates acid upon exposure on at least one terminal of the main chain; and a structural unit (a1) containing an acid decomposable group that exhibits increased polarity by the action of acid, wherein the structural unit (a1) comprises two types of structural units, and a difference in an activation energy of the acid decomposable groups within the two types of structural units is at least 3.0 kJ/mol. | 02-21-2013 |
20130065178 | COMPOSITIONS AND PROCESSES FOR IMMERSION LITHOGRAPHY - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprises two or more distinct materials that can be substantially non-mixable with a resin component of the resist. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing. | 03-14-2013 |
20130065179 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - There is disclosed a positive resist composition comprising (A) a specific resin (B) a photo acid generator, (C) a basic compound, and (D) a solvent. There can be a positive resist composition having, in a photolithography using a high energy beam such as an ArF excimer laser beam as a light source, an excellent resolution, especially excellent depth of focus (DOF) characteristics with an excellent pattern profile, and in addition, in formation of a contact hole pattern, giving a pattern having excellent circularity and high rectangularity; and a patterning process using this positive resist composition. | 03-14-2013 |
20130065180 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition comprising: a base component (A) which exhibits changed solubility in a developing solution under action of acid; an acid-generator component (B) which generates acid upon exposure; and a compound (D1) including of a cation moiety which contains a quaternary nitrogen atom, and an anion moiety represented by formula (d1-an1) or (d1-an2) shown below. In the formulas, X represents a cyclic aliphatic hydrocarbon group of 3 to 30 carbon atoms which may have a substituent; and Y | 03-14-2013 |
20130065181 | LITHOGRAPHIC PRINTING PLATE SUPPORT, METHOD OF MANUFACTURING THE SAME, AND PRESENSITIZED PLATE - A lithographic printing plate support in which surface unevenness due to surface treatment has been suppressed and a presensitized plate of excellent sensitivity are produced from an aluminum alloy plate containing iron, silicon, titanium and boron by specifying the state in which TiB | 03-14-2013 |
20130078569 | CALIXARENE AND PHOTORESIST COMPOSITION COMPRISING SAME - A molecular glass compound comprises a vinyl ether adduct of an aromatic vinyl ether of formula C(R | 03-28-2013 |
20130078570 | METHOD OF FORMING PATTERN AND LAMINATE - According to one embodiment, there is provided a method of forming a pattern, including forming a thermally crosslinkable molecule layer including a thermally crosslinkable molecule on a substrate, forming a photosensitive composition layer including a photosensitive composition on the thermally crosslinkable molecule layer, chemically binding the thermally crosslinkable molecule to the photosensitive composition by heating, selectively irradiating the photosensitive composition layer with energy rays, forming a block copolymer layer including a block copolymer on the photosensitive composition layer, and forming a microphase-separated structure in the block copolymer layer. | 03-28-2013 |
20130078571 | PHOTORESIST COMPOSITION, METHOD FOR PRODUCING PHOTORESIST COMPOSITION, AND METHOD FOR FORMING RESIST PATTERN - A photoresist composition includes a first polymer, a second polymer and a third polymer. The first polymer has a fluorine atom and a first structural unit that includes a hydrophilic group. The second polymer has a fluorine atom a second structural unit that includes an alkali-dissociable group. The third polymer has an acid-dissociable group. The first polymer, the second polymer and the third polymer are different with one another. It is preferred that the first structural unit is represented by a following formula, and the second structural unit is represented by a following formula (2). | 03-28-2013 |
20130084523 | RESIST COMPOSITIOIN AND METHOD OF FORMING RESIST PATTERN - A method of forming a resist pattern, including: a step (1) in which a resist film is formed by coating a resist composition including a base component (A) that exhibits increased solubility in an alkali developing solution, a photo-base generator component (C) that generates a base upon exposure, an acid supply component (Z) and a compound (F) containing at least one selected from the group consisting of a fluorine atom and a silicon atom and containing no acid decomposable group which exhibits increased polarity by the action of acid on a substrate; a step (2) in which the resist film is subjected to exposure; a step (3) in which baking is conducted after the step (2); and a step (4) in which the resist film is subjected to an alkali development, thereby forming a negative-tone resist pattern, and a resist composition used in the step (1). | 04-04-2013 |
20130084524 | COMPOSITION FOR FORMING LIQUID IMMERSION UPPER LAYER FILM AND METHOD FOR FORMING RESIST PATTERN - A composition for forming a liquid immersion upper layer film, includes a first polymer, a second polymer and a solvent. The first polymer includes a first structural unit having a group represented by a following formula (i). In the formula (i), n is an integer of 1 to 3, and R | 04-04-2013 |
20130084525 | PHOTOACID GENERATOR AND PHOTORESIST COMPRISING SAME - A photoacid generator compound has the formula (I): | 04-04-2013 |
20130089817 | PHOTORESIST COMPOSITION AND RESIST PATTERN-FORMING METHOD - A photoresist composition includes a polymer that includes a first structural unit shown by a formula (1), and an acid generator. R | 04-11-2013 |
20130095424 | COMPOUND, RESIN AND PHOTORESIST COMPOSITION - A resin comprising a structural unit represented by formula (aa): | 04-18-2013 |
20130108956 | NANOCOMPOSITE POSITIVE PHOTOSENSITIVE COMPOSITION AND USE THEREOF | 05-02-2013 |
20130108958 | PRODUCTION METHOD OF RESIST COMPOSITION FOR LITHOGRAPHY | 05-02-2013 |
20130108959 | USE OF A COMPOSITION COMPRISING VINYL MONOMER-COMPRISING POLYMER, SOLVENT AND AT LEAST ONE HALOGEN-FREE BIOCIDE | 05-02-2013 |
20130122419 | (METH)ACRYLATE DERIVATIVE, POLYMER AND PHOTORESIST COMPOSITION HAVING LACTONE STRUCTURE, AND METHOD FOR FORMING PATTERN BY USING IT - A photoresist material for lithography using a light of 220 nm or less which comprises at least a polymer represented by the following formula (2) and a photo-acid generator for generating an acid by exposure: | 05-16-2013 |
20130130175 | Compound for Photoacid Generator, Resist Composition Using the Same, and Pattern-Forming Method - A sulfonic acid onium salt represented by the following formula (1) useful as a superior radiosensitive acid generator for resist compositions. It is possible to form a good pattern by using a resist composition containing this sulfonic acid onium salt corresponding to the formula (1): | 05-23-2013 |
20130137034 | METHOD OF PRE-TREATING A WAFER SURFACE BEFORE APPLYING A SOLVENT-CONTAINING MATERIAL THEREON - A method for pre-treating a wafer surface before applying a material thereon. The method includes positioning the wafer on a rotating apparatus. The wafer is rotated at a first rotational speed between about 50 revolutions per minute (rpm) and about 300 rpm and for a period of about 1 second to about 10 seconds while dispensing a cleaning solvent on the wafer surface. The wafer is rotated at a second rotational speed between about 500 rpm and about 1,500 rpm for a period of about 1 second to about 10 seconds. The wafer is then rotated at a third rotational speed between about 50 rpm and about 300 rpm for a period of about 1 second to about 5 seconds. With the wafer rotating at the third rotational speed, a solvent-containing material is thereafter deposited on the surface of the wafer. | 05-30-2013 |
20130137035 | SURFACE ACTIVE ADDITIVE AND PHOTORESIST COMPOSITION COMPRISING SAME - A polymer comprises the polymerized product of monomers comprising a nitrogen-containing monomer comprising formula (Ia), formula (Ib), or a combination of formulas (Ia) and (Ib), and an acid-deprotectable monomer having the formula (II): | 05-30-2013 |
20130143157 | HOMOADAMANTANE DERIVATIVES, PROCESS FOR PREPARING SAME, AND PHOTORESIST COMPOSITIONS - A compound represented by the following formula (I), wherein R | 06-06-2013 |
20130149644 | RADIATION-SENSITIVE COMPOSITION AND COMPOUND - A radiation-sensitive composition includes a photoacid generator represented by a general formula (1), and a solvent. Each R | 06-13-2013 |
20130157194 | PATTERNING PROCESS, RESIST COMPOSITION, POLYMER, AND POLYMERIZABLE ESTER COMPOUND - A negative pattern is formed by applying a resist composition onto a substrate, prebaking, exposing to high-energy radiation, baking (PEB), and developing the exposed resist film in an organic solvent developer to dissolve the unexposed region of resist film. The resist composition comprising a polymer adapted to form a lactone ring under the action of an acid so that the polymer may reduce its solubility in an organic solvent developer, an acid generator, and an organic solvent displays a high dissolution contrast between the unexposed region of promoted dissolution and the exposed region of inhibited dissolution. | 06-20-2013 |
20130164674 | NOVEL ACRYL MONOMER, POLYMER AND RESIST COMPOSITION COMPRISING SAME - Disclosed are an acrylic monomer having a structure represented by formula (1), a polymer containing a repeating unit derived from the acrylic monomer, and a resist composition prepared by using the polymer, which exhibits excellent adhesiveness, storage stability, and enhanced line width roughness, exhibits excellent resolution in both C/H patterns and L/S patterns, has an excellent process window so that an excellent pattern profile can be obtained regardless of the type of the substrate, and exhibits improved contrast. | 06-27-2013 |
20130164675 | ACRYLIC ESTER DERIVATIVE, HIGH-MOLECULAR COMPOUND AND PHOTORESIST COMPOSITION - The invention provides a novel acrylic ester derivative which can form a structural unit of a polymer to be incorporated into a photoresist composition; a polymer produced through polymerization of a raw material containing the acrylic ester derivative; and a photoresist composition which contains the polymer and which, as compared with the case of conventional ones, realizes formation of a high-resolution resist pattern having improved LWR. Specifically, the present invention provides, for example, an acrylic ester derivative represented by the following formula (1): | 06-27-2013 |
20130164676 | CARBAMOYLOXYADAMANTANE DERIVATIVE, POLYMER COMPOUND, AND PHOTORESIST COMPOSITION - To provide a novel acrylic ester derivative which can form a structural unit of a polymer to be incorporated into a photoresist composition, a polymer produced through polymerization of a raw material containing the acrylic ester derivative, and a photoresist composition which contains the polymer and which, as compared with the case of conventional ones, realizes formation of a high-resolution resist pattern having improved LWR. The invention provides a carbamoyloxyadamantane derivative represented by the following formula (wherein R represents a hydrogen atom, a methyl group, or a trifluoromethyl group), a polymer produced by polymerizing a raw material containing the carbamoyloxyadamantane derivative, and a photoresist composition containing the polymer, a photoacid generator, and a solvent. | 06-27-2013 |
20130171560 | ADDITIVE FOR RESIST AND RESIST COMPOSITION COMPRISING SAME - Provided are an additive for resist represented by the following formula (1), and a resist composition containing the additive. The additive according to the present invention can suppress leaching caused by water during an immersion lithographic process by increasing hydrophobicity of the surface of the resist film in the exposure at the time of applying the additive to a resist composition, and can form a fine resist pattern having excellent sensitivity and resolution at the time of applying the additive to a resist composition. | 07-04-2013 |
20130177847 | PHOTORESIST FOR IMPROVED LITHOGRAPHIC CONTROL - Methods and corresponding photoresists are described for fine linewidth lithography using x-rays, e-beams, visible spectrum optical lithography, ultra-violet optical lithography or extreme ultra-violet lithography. The methods include the formation of a photoresist film including a dopant having an atomic mass greater than or equal to twenty two. The dopant may be introduced daring the formation of the photoresist. The photoresist includes the dopant to increase the absorption of radiation during lithography. The photoresist may be silicon-, germanium or carbon-based photoresists. | 07-11-2013 |
20130177848 | Polymer, Resist Material Containing Same, and Method for Forming Pattern Using Same - A polymer containing a repeating unit represented by the following general formula (1) and a repeating unit having an acid-releasable group. | 07-11-2013 |
20130183621 | PATTERN FORMING PROCESS AND RESIST COMPOSTION - A resist composition is provided comprising a polymer comprising recurring units having a carboxyl group substituted with an acid labile group, an acid generator, a sulfonium or iodonium salt of fluoroalkanesulfonamide and an organic solvent. A positive pattern is formed by applying the resist composition onto a substrate, prebaking to form a resist film, exposing the resist film to high-energy radiation, baking, and immersing in an alkaline developer to dissolve away the exposed region of resist film, but not the unexposed region. | 07-18-2013 |
20130189617 | Laser Imageable Polyolefin Film - The presently disclosed subject matter is directed generally to a polymeric film that comprises at least one laser imageable marking layer. The marking layer comprises a polyolefin, a photochromatic pigment, and an additive. It has been surprisingly discovered that a polyolefin film comprising a marking layer formulated with a photochromatic pigment and an additive offers a substantial advantage over prior art methods of laser imaging polyolefin films. | 07-25-2013 |
20130189618 | METHOD OF FORMING RESIST PATTERN - A method of forming a resist pattern, including: step (1) in which a resist composition including a base component, a photobase generator component and an acid supply component is applied to a substrate to form a resist film; step (2) in which the resist film is subjected to exposure without being subjected to prebaking; step (3) in which baking is conducted after step (2), such that, at an exposed portion of the resist film, the base generated from the photobase generator component upon the exposure and an acid derived from the acid supply component are neutralized, and at an unexposed portion of the resist film, the solubility of the base component in an alkali developing solution is increased by the action of the acid derived from the acid supply component; and step (4) in which the resist film is subjected to an alkali development, thereby forming a negative-tone resist pattern. | 07-25-2013 |
20130189619 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition containing a base component (A) that exhibits changed solubility in a developing solution under action of acid, a photoreactive quencher (C), and an acid generator component (B) that generates acid upon exposure, and further containing an acid (G) having a pKa of 4 or less. | 07-25-2013 |
20130189620 | POLYMERIZABLE TERTIARY ESTER COMPOUND, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - The present invention provides a polymerizable tertiary ester compound represented by the following general formula (1a) or (1b). There is provided a polymerizable ester compound useful as a monomer for a base resin of a resist composition having a high resolution and a reduced pattern edge roughness in photolithography using a high-energy beam such as an ArF excimer laser light as a light source, especially in immersion lithography, a polymer containing a polymer of the ester compound, a resist composition containing the polymer as a base resin, and a patterning process using the resist composition. | 07-25-2013 |
20130189621 | RADIATION-SENSITIVE RESIN COMPOSITION, POLYMER AND COMPOUND - A radiation-sensitive resin composition includes a polymer having a structural unit represented by a formula (I). In the formula (I), R | 07-25-2013 |
20130189622 | BLOCK COPOLYMER OF POLYMIDE AND POLYAMIC ACID, METHOD FOR PRODUCING THE BLOCK COPOLYMER, PHOTOSENSITIVE RESIN COMPOSITION COMPRISING THE BLOCK COPOLYMER AND PROTECTIVE FILM FORMED USING THE BLOCK COPOLYMER - A block copolymer of a polyimide and a polyamic acid is disclosed. Further disclosed are a method for producing the block copolymer and a positive type photosensitive composition comprising the block copolymer. The solubility of the photosensitive composition in an alkaline aqueous solution is controlled to achieve high resolution of a pattern. Further disclosed are a protective film of a semiconductor device and an ITO insulating film of an organic light emitting diode (OLED), which are formed using the block copolymer. The protective film and the ITO insulating film are very stable over time. | 07-25-2013 |
20130189623 | POSITIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION AND BLACK BANK OF AN ORGANIC LIGHT-EMITTING DEVICE INCLUDING SAME - The present invention relates to a positive type photosensitive resin composition and an organic light emitting device black bank comprising the same, and more particularly, an organic light emitting device black bank comprising the photosensitive resin composition according to the exemplary embodiment of the present invention may further have a function of a black matrix without an additional process, such that it is possible to simplify a manufacturing process of the organic light emitting device and largely improve visibility. | 07-25-2013 |
20130202999 | PATTERN FORMING METHOD, CHEMICAL AMPLIFICATION RESIST COMPOSITION AND RESIST FILM - Provided is a negative type pattern forming method that satisfies high sensitivity, high resolution, good roughness and good dry etching resistance at the same time, and further, has a good development time dependency, the method including (i) forming a film by a chemical amplification resist composition containing (A) a fullerene derivative having an acid-decomposable group, (B) a compound generating an acid upon irradiation with an actinic ray or radiation, and (C) a solvent, (ii) exposing the film, and (iii) developing the exposed film by using an organic solvent-containing developer. | 08-08-2013 |
20130216948 | RADIATION-SENSITIVE RESIN COMPOSITION AND PATTERN-FORMING METHOD - A radiation-sensitive resin composition for forming a resist film includes a polymer including a first structural unit represented by a formula (1) and a second structural unit represented by a formula (2). The first structural unit and the second structural unit are included in an identical polymer molecule or different polymer molecules. R | 08-22-2013 |
20130216949 | WATER MARK DEFECT PREVENTION FOR IMMERSION LITHOGRAPHY - A photoresist material having a polymer that turns soluble to a base solution in response to reaction with acid. The material includes a photo-acid generator (PAG) that decomposes to form acid in response to radiation energy and a quencher capable of neutralizing acid and having a reduced mobility. The photoresist material can thereby prevent water mark defects from immersion lithography. | 08-22-2013 |
20130224652 | METAL PEROXO COMPOUNDS WITH ORGANIC CO-LIGANDS FOR ELECTRON BEAM, DEEP UV AND EXTREME UV PHOTORESIST APPLICATIONS - Compositions are disclosed having the formula (3): | 08-29-2013 |
20130224653 | CROSSLINKED POLYIMIDE, COMPOSITION COMPRISING THE SAME AND METHOD FOR PRODUCING THE SAME - A novel polyimide which retains the characteristics of polyimides, that is, excellent heat resistance, electrical insulation and chemical resistance, of which dielectric constant is lower than those of the known polyimides, as well as a composition containing the same and a process for producing the same, is disclosed. The polyimide of the present invention is a cross-linked polyimide having a dielectric constant of not more than 2.7, which was produced by polycondensing (a) tetramine(s), (a) tetracarboxylic dianhydride(s) and (an) aromatic diamine(s) in the presence of a catalyst. | 08-29-2013 |
20130224654 | COPOLYMERS FOR LITHOGRAPHY AND METHOD FOR PRODUCING SAME, RESIST COMPOSITION, METHOD FOR PRODUCING SUBSTRATE WITH PATTERN FORMED THEREUPON, METHOD FOR EVALUATING COPOLYMERS, AND METHOD FOR ANALYZING COPOLYMER COMPOSITIONS - A target variable analysis unit ( | 08-29-2013 |
20130224655 | POSITIVE-TYPE PHOTORESIST COMPOSITION - Provided is a positive photoresist composition containing a cresol novolac resin (A) manufactured using m-cresol, p-cresol, and formaldehyde as essential raw materials and a novolac phenolic resin (B) manufactured using o-cresol, resorcinol, and formaldehyde as essential raw materials. This positive photoresist composition has high sensitivity and high heat resistance at the same time, which have so far been difficult to achieve at the same time, at a higher level and is suitable for use as a resist in, for example, the manufacture of semiconductor devices such as ICs and LSIs, which have required formation of finer patterns with the increasing packing density in recent years, the manufacture of displays such as LCDs, and the manufacture of printing plates. | 08-29-2013 |
20130230801 | POSITIVE-TYPE PHOTORESIST COMPOSITION, PHOTORESIST LAMINATE, METHOD FOR PRODUCING PHOTORESIST PATTERN, AND METHOD FOR PRODUCING CONNECTING TERMINAL - What is provided is a positive-type photoresist composition containing an acid generator (A) capable of generating an acid when irradiated with an active ray or radiation, a resin (B) whose solubility in alkali increases under the action of acid, and an organic solvent (S), the photoresist composition further containing an alkali-metal salt (C). | 09-05-2013 |
20130230802 | ACRYLAMIDE DERIVATIVE, POLYMER COMPOUND AND PHOTORESIST COMPOSITION - To provide an acrylamide derivative which can form a structural unit of a polymer to be incorporated into a photoresist composition, a polymer produced through polymerization of a raw material containing the acrylamide derivative, and a photoresist composition which contains the polymer and which, as compared with the case of conventional ones, realizes formation of a high-resolution resist pattern having improved LWR. The invention provides an acrylamide derivative represented by the following formula (1): | 09-05-2013 |
20130244174 | POSITIVE-TYPE PHOTORESIST COMPOSITION - Provided is a positive photoresist composition containing, as an essential component, a novolac phenolic resin (C) prepared by condensing an aromatic compound (A) represented by general formula (1) or (2) with an aliphatic aldehyde (B). | 09-19-2013 |
20130252170 | Polymer Compound, And Resist-Protecting Film Composition Including Same For A Liquid Immersion Exposure Process - A polymer compound and a resist protective film composition for an immersion lithography process including the same. | 09-26-2013 |
20130260311 | PHOTOSENSITIVE MATERIAL AND METHOD OF PHOTOLITHOGRAPHY - Methods and materials directed to solubility of photosensitive material in negative tone developer are described. The photosensitive material may include greater than 50% acid labile groups as branches to a polymer chain. In another embodiment, a photosensitive material, after exposure or irradiation, is treated. Exemplary treatments include applying a base to the photosensitive material. | 10-03-2013 |
20130260312 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, AND POLYMERIC COMPOUND - A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution under the action of acid, the resist composition including a base component that exhibits changed solubility in a developing solution under the action of acid, the base component containing a resin component having a structural unit represented by general formula (a0-1) shown below: | 10-03-2013 |
20130266898 | TRANSPARENT CONDUCTIVE FILMS, ARTICLES, AND METHODS - Transparent conductive films, articles made from them, and methods of making them are disclosed. Some transparent conductive films include flexible glass substrates and conductive layers containing metal nanoparticles. Others include at least one layer with cell walls that contain metal nanorods or conductive nanowires. Still others include a substrate with a coating disposed on it, with the coating including conductive components and photopolymers. Such films are useful in such articles as electronic displays, touch screens, and the like. | 10-10-2013 |
20130273473 | DIRECT DRAWING-TYPE WATERLESS LITHOGRAPHIC PRINTING ORIGINAL PLATE - The objective is to provide a direct drawing-type waterless lithographic printing original plate which is highly sensitive and is not susceptible to formation of blisters, namely a direct drawing-type waterless lithographic printing original plate which has a wide latitude. Provided is a direct drawing-type waterless lithographic printing original plate which has at least a heat-sensitive layer and a silicone rubber layer on a substrate in this order. The direct drawing-type waterless lithographic printing original plate is characterized in that the heat-sensitive layer contains non-photosensitive particles and the average particle diameter of the non-photosensitive particles is not less than ½ of the average film thickness of a portion of the heat-sensitive layer where the non-photosensitive particles are not present. | 10-17-2013 |
20130280654 | POSITIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION, CURED FILM, PROTECTIVE FILM, INSULATING FILM, AND SEMICONDUCTOR DEVICE AND DISPLAY DEVICE INCLUDING THE CURED FILM - A positive-type photosensitive resin composition includes (A) an alkali-soluble resin, (B) a photosensitizer, and (C) a silicon compound shown by the following general formula (1). R | 10-24-2013 |
20130280655 | AROMATIC HYDROCARBON RESIN, UNDERLAYER FILM FORMING COMPOSITION FOR LITHOGRAPHY, AND METHOD FOR FORMING MULTILAYER RESIST PATTERN - Provided is an aromatic hydrocarbon resin with a high carbon concentration and a low oxygen concentration that can be used as a coating agent or a resist resin for semiconductors, as well as a composition for forming an underlayer film for photolithography with excellent etching resistance as an underlayer film for a multilayer resist process, an underlayer film formed from the composition, and a method for forming a pattern using the underlayer film. | 10-24-2013 |
20130295505 | RADIATION-SENSITIVE RESIN COMPOSITION, AND RADIATION-SENSITIVE ACID GENERATING AGENT - A radiation-sensitive resin composition includes a compound represented by a formula (1), and a base polymer. In the formula (1), R | 11-07-2013 |
20130295506 | RESIST PATTERN-FORMING METHOD, AND RADIATION-SENSITIVE RESIN COMPOSITION - A resist pattern-forming method includes forming a resist coating film using a radiation-sensitive resin composition. The resist coating film is exposed and developed using a developer solution containing no less than 80% by mass of an organic solvent. The radiation-sensitive resin composition includes a polymer component including a polymer having an acid-labile group, and a radiation-sensitive acid generator. The polymer component includes, in an identical polymer or different polymers, a first structural unit having a first hydrocarbon group, and a second structural unit having a second hydrocarbon group. The first hydrocarbon group is an unsubstituted or substituted branched chain group, or the is like. The second hydrocarbon group has an adamantane skeleton. A molar ratio of the second hydrocarbon group to the first hydrocarbon group is less than 1. A proportion of a structural unit having a hydroxyl group in the polymer component is less than 5 mol %. | 11-07-2013 |
20130295507 | PROCESSES TO FORM AQUEOUS PRECURSORS, HAFNIUM AND ZIRCONIUM OXIDE FILMS, AND HAFNIUM AND ZIRCONIUM OXIDE PATTERNS - Embodiments of a method for synthesizing aqueous precursors comprising Hf | 11-07-2013 |
20130302735 | MONOMERS, POLYMERS AND PHOTORESIST COMPOSITIONS - Provided are monomers, polymers, photoresist compositions and coated substrates which find use in the formation of photolithographic patterns by negative tone development. The monomers are of the following general formula (I): | 11-14-2013 |
20130309605 | METHODS FOR FORMING RESIST FEATURES, PATTERNS IN A RESIST, AND ARRAYS OF ALIGNED, ELONGATE RESIST FEATURES - Methods of forming resist features, resist patterns, and arrays of aligned, elongate resist features are disclosed. The methods include addition of a compound, e.g., an acid or a base, to at least a lower surface of a resist to alter acidity of at least a segment of one of an exposed, acidic resist region and an unexposed, basic resist region. The alteration, e.g., increase or decrease, in the acidity shifts an acid-base equilibrium to either encourage or discourage development of the segment. Such “chemical proximity correction” techniques may be used to enhance the acidity of an exposed, acidic resist segment, to enhance the basicity of an unexposed, basic resist segment, or to effectively convert an exposed, acidic resist segment to an unexposed, basic resist segment or vice versa. Thus, unwanted line breaks, line merges, or misalignments may be avoided. | 11-21-2013 |
20130309606 | RESIST COMPOSITION, PATTERNING PROCESS, MONOMER, AND COPOLYMER - A polymer is obtained from copolymerization of a recurring unit having a carboxyl and/or phenolic hydroxyl group substituted with an acid labile group and a recurring unit having formula (1) wherein R | 11-21-2013 |
20130316285 | RESIST COMPOSITION, METHOD OF FORMING RESIST PATTERN, POLYMERIC COMPOUND AND METHOD OF PRODUCING POLYMERIC COMPOUND - A resist composition which generates acid upon exposure and exhibits changed solubility in an alkali developing solution under action of acid, the resist composition including a polymeric compound containing a base decomposable group in a main chain thereof. | 11-28-2013 |
20130316286 | ACRYLIC ACID ESTER DERIVATIVE, POLYMER COMPOUND AND PHOTORESIST COMPOSITION - Provided is a photoresist composition containing a polymer that contains, as a constituent unit, a specific methacrylic acid ester derivative. The photoresist composition can form a photoresist pattern with improved LWR and high resolution. More specifically, provided is an acrylic acid ester derivative represented by the following general formula (1): | 11-28-2013 |
20130323641 | PHOTOSENSTIVE MATERIAL AND METHOD OF LITHOGRAPHY - Photosensitive materials and method of forming a pattern that include providing a composition of a component of a photosensitive material that is operable to float to a top region of a layer formed from the photosensitive material. In an example, a photosensitive layer includes a first component having a fluorine atom (e.g., alkyl fluoride group). After forming the photosensitive layer, the first component floats to a top surface of the photosensitive layer. Thereafter, the photosensitive layer is patterned. | 12-05-2013 |
20130323642 | Photoinduced Alkyne-Azide Click Reactions - The present invention includes a composition comprising an alkyne-based substrate, an azide-based substrate, a Cu(II) salt and a photoinducible reducing agent. The present invention further includes a method of immobilizing a chemical structure in a given pattern onto a section of the surface of a solid substrate, using the photoinducible Cu(I)-catalyzed azide-alkyne cycloaddition Click reaction. | 12-05-2013 |
20130330668 | NEUTRAL LAYER POLYMER COMPOSITION FOR DIRECTED SELF ASSEMBLY AND PROCESSES THEREOF - The present invention relates to a novel polymeric composition comprising a novel polymer having two or more repeat units and a terminus having the structure (1): | 12-12-2013 |
20130330669 | PHOTORESIST COMPOSITION - A photoresist composition comprising:
| 12-12-2013 |
20130337378 | SULFONIUM SALT, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A sulfonium salt comprising (a) a polymerizable substituent, (b) a sulfonium cation, and (c) a sulfonate anion within a common molecule is capable of generating a sulfonic acid in response to high-energy radiation or heat. A resist composition comprising the sulfonium salt as base resin has high resolution and is suited for precise micropatterning by ArF immersion, EB or EUV lithography. | 12-19-2013 |
20130344435 | RESIST COMPPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition which generates acid upon exposure and exhibits changed solubility in a developing solution by the action of acid, and which includes a base component which exhibits changed solubility in a developing solution by the action of acid, and a nitrogen-containing compound which has a boiling point of 50 to 200° C., a conjugate acid thereof having a pKa of 0 to 7, and a photodecomposable base; and a method of forming a resist pattern using the resist composition. | 12-26-2013 |
20130344436 | RESIST COMPOSITION AND METHOD OF FORMING RESIST PATTERN - A resist composition which generates a base upon exposure and exhibits increased solubility in an alkali developing solution under the action of acid, and the resist composition including: a base component (A) that exhibits increased solubility in an alkali developing solution under the action of acid; an acidic compound component (G1) including a nitrogen-containing cation having a pKa value of 7 or less and a counteranion; and a buffer component (K) including a nitrogen-containing cation and a counteranion being a conjugate base for the acid having a pKa value of 0 to 5. | 12-26-2013 |
20140017610 | LITHOGRAPHY PROCESS AND STRUCTURES - A photo resist layer includes a first region and a second region. A treatment layer is applied to the photo resist layer. | 01-16-2014 |
20140017611 | PHOTORESIST COMPOSITION - A photoresist composition comprising:
| 01-16-2014 |
20140023968 | RESIST PATTERN-FORMING METHOD, RESIST PATTERN-FORMING RADIATION-SENSITIVE RESIN COMPOSITION, AND RESIST FILM - A resist pattern-forming method includes providing a resist film having a surface free energy of 30 to 40 mN/m on a substrate using a radiation-sensitive resin composition. The resist film is exposed by applying radiation via a mask. The exposed resist film is developed. It is preferable that the exposing of the resist film includes exposing the resist film via an immersion liquid that is provided over the resist film | 01-23-2014 |
20140023969 | POSITIVE PHOTORESIST COMPOSITION, COATING FILM THEREOF, AND NOVOLAC PHENOL RESIN - A positive photoresist composition includes 3 to 80 parts by mass of a novolac phenol resin (B) relative to 100 parts by mass of a cresol novolac resin (A). The novolac phenol resin (B) has a repeating structural unit represented by formula (1) | 01-23-2014 |
20140030652 | PRIMER AND PATTERN FORMING METHOD FOR LAYER INCLUDING BLOCK COPOLYMER - An undercoat agent usable in phase separation of a layer formed on a substrate, the layer containing a block copolymer having a plurality of polymers bonded, the undercoat agent including a resin component, and 20 mol % to 80 mol % of all the structural units of the resin component being a structural unit derived from an aromatic ring-containing monomer; and a method of forming a pattern of a layer containing a block copolymer, the method including: step (1) coating the undercoat agent on a substrate ( | 01-30-2014 |
20140030653 | COATING COMPOSITIONS FOR PHOTOLITHOGRAPHY - Underlying coating compositions are provided that comprise one or more resins comprising one or more modified imide groups. These coating compositions are particularly useful as antireflective layers for an overcoated photoresist layer. Preferred systems can be thermally treated to increase hydrophilicity of the composition coating layer to inhibit undesired intermixing with an overcoated organic composition layer, while rendering the composition coating layer removable with aqueous alkaline photoresist developer. | 01-30-2014 |
20140038102 | PHOTORESIST COMPOSITIONS AND METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS - Provided are photoresist compositions useful in forming photolithographic patterns by a negative tone development process. Also provided are methods of forming photolithographic patterns by a negative tone development process and substrates coated with the photoresist compositions. The photoresist compositions include one or more polymer additive that contains a basic moiety and which is substantially non-miscible with a resin component of the resist. The compositions, methods and coated substrates find particular applicability in the manufacture of semiconductor devices. | 02-06-2014 |
20140038103 | LITHOGRAPHY USING PHOTORESIST WITH PHOTOINITIATOR AND PHOTOINHIBITOR - Technologies are generally described for a photoresist and methods and systems effective to form a pattern in a photoresist on a substrate. In some examples, the photoresist includes a resin, a photoinitiator and a photoinhibitor. The photoinitiator may be effective to generate a first reactant upon the absorption of at least one photon of a particular wavelength of light. The first reactant may be effective to render the resin soluble or insoluble in a photoresist developer. The photoinhibitor may be effective to generate a second reactant upon the absorption of at least one photon of the particular wavelength of light. The second reactant may be effective to inhibit the first reactant. | 02-06-2014 |
20140045117 | PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, MANUFACTURING METHOD OF ELECTRONIC DEVICE AND ELECTRONIC DEVICE - A pattern forming method, includes: (i) a step of forming a film from an actinic ray-sensitive or radiation-sensitive resin composition containing (P) a resin having (a1) a repeating unit capable of decomposing by an action of an acid to produce a carboxyl group, represented by the following formula (I) as defined in the specification and (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation; (ii) a step of exposing the film; and (iii) a step of performing a development by using a developer containing an organic solvent to form a negative pattern. | 02-13-2014 |
20140045118 | NEGATIVE-WORKING LITHOGRAPHIC PRINTING PLATE PRECURSORS WITH IR DYES - A lithographic printing plate precursor comprises an imageable layer comprising a free radically polymerizable component, an initiator composition capable of generating free radicals upon exposure to imaging infrared radiation, an infrared radiation absorbing dye that is defined by Structure (I) shown in the disclosure, which dyes comprise one or more ethylenically unsaturated polymerizable groups in an organic group that is attached to the methine chain. These infrared radiation absorbing dyes exhibit a reduced tendency to crystallize in the imageable layers in the presence of tetraaryl borate counter anions and therefore provide improved shelf life. | 02-13-2014 |
20140045119 | PHOTOSENSITIVE ORGANIC PARTICLES - A material forms a pattern by applying a photosensitive composition to a base material and drying to form a photosensitive coating and performing exposure and development, and a method for forming the pattern. A photosensitive composition includes water-soluble organic particles, and a solvent, wherein the solvent is a poor solvent for the water-soluble organic particles. Preferably, the water-soluble organic particles of the photosensitive composition includes a polymer which contains a unit structure (A) for forming organic particles, a unit structure (B) for forming interparticle crosslinkage, and a unit structure (C) for imparting dispersibility, and the photosensitive composition further includes a photoacid generator. In addition, the water-soluble organic particles of the photosensitive composition includes a polymer which contains the unit structure (A) for forming organic particles, the unit structure (B) for forming interparticle crosslinkage, the unit structure (C) for imparting dispersibility, and a unit structure (D) having a photoacid generating group. | 02-13-2014 |
20140051024 | FLUORINATED ESTER MONOMER, MAKING METHOD, FLUORINATED ESTER POLYMER, AND DIFLUOROHYDROXYCARBOXYLIC ACID - A fluorinated ester monomer is provided having formula (1) wherein R | 02-20-2014 |
20140065538 | POSITIVE-WORKING LITHOGRAPHIC PRINTING PLATE PRECURSOR FOR INFRARED LASER AND PROCESS FOR MAKING LITHOGRAPHIC PRINTING PLATE - A positive-working lithographic printing plate precursor for infrared laser is provided that includes, layered sequentially above a support, a lower layer and an upper layer, the lower layer and/or the upper layer including an infrared absorbing agent, either the lower layer comprising an alkali-soluble group-containing graft copolymer or the upper layer comprising a sulfonamide group-, active imide group-, and/or amide group-containing graft copolymer, and the graft copolymer being a polyurethane resin having as a graft chain an ethylenically unsaturated monomer-derived constitutional unit. There is also provided a process for making a lithographic printing plate, the process including in sequence an exposure step of imagewise exposing by means of an infrared laser the positive-working lithographic printing plate precursor for infrared laser and a development step of developing using an aqueous alkali solution with a pH of 8.5 to 10.8. | 03-06-2014 |
20140080055 | CHEMICALLY AMPLIFIED RESIST COMPOSITION AND PATTERNING PROCESS - A chemically amplified resist composition comprising a base polymer and an amine quencher in the form of a β-alanine, γ-aminobutyric acid, 5-aminovaleric acid, 6-aminocaproic acid, 7-aminoheptanoic acid. 8-aminooctanoic acid or 9-aminononanoic acid derivative having an unsubstituted carboxyl group has a high contrast of alkaline dissolution in rate before and after exposure and forms a pattern of good profile at a high resolution, minimal roughness and wide DOF. | 03-20-2014 |
20140080056 | ONIUM COMPOUNDS AND METHODS OF SYNTHESIS THEREOF - New onium salt compounds and methods for synthesis of such compounds are provided. Preferred methods of the invention include (a) providing an onium salt compound comprising a sulfonate component having an electron withdrawing group; and (b) treating the onium salt compound with a halide salt to form a distinct salt of the onium compound. The present onium compounds are useful as an acid generator component of a photoresist composition. | 03-20-2014 |
20140080057 | Composition, process of preparation and method of application and exposure for light imaging paper - The present invention provides dual energy imaging compositions, processes for forming dual energy imaging compositions, methods for forming images using dual energy imaging compositions and substrate (e.g., paper web) treated (e.g., coated) on one or both sides with a dual energy imaging composition. Also provided is a particulate comprising a matrix of polymer material and containing one or more image-forming agents and a photo-oxidizing agent useful in making dual energy imaging compositions. | 03-20-2014 |
20140087306 | PRINTING FORM PRECURSOR HAVING INDICIA AND A METHOD FOR PREPARING A PRINTING FORM FROM THE PRECURSOR - The present invention relates to a photosensitive printing form precursor and a method for forming a printing form from the precursor. The precursor includes a support and a layer of a photosensitive composition adjacent the support, in which at least one indicia is disposed between the support and the photosensitive layer. The indicia identifies the precursor, is retained throughout a multi-step process to convert the precursor into a printing form and in the end-use of the printing form. | 03-27-2014 |
20140099580 | SPATIAL AND TEMPORAL CONTROL OF BRUSH FORMATION ON SURFACES - The invention disclosed herein provides highly efficient methods and materials useful for surface patterning via polymerization reactions. In particular, by using a light-mediated radical polymerization procedure, brush formation from a surface can be regulated spatially by controlling the area of irradiation. In embodiments of the invention, polymer chain length (and/or film thickness) can be effectively controlled by either the amount of time a surface is irradiated with light (temporal control) or by the intensity of light allowed to reach the surface. Such light-mediated control allows artisans to use the disclosed processes to form complex 3-dimensional structures on a variety of surfaces. Moreover, the simplicity of the disclosed polymerization processes allows them to be adapted for use in a wide range of technologies. | 04-10-2014 |
20140113230 | POSITIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION AND CURED FILM PREPARED THEREFROM - Disclosed herein is a photosensitive resin composition which comprises (A1) at least one compound selected from the group consisting of a silane compound represented by formula (I), a hydrolyzate thereof and a condensate of the hydrolyzate, (A2) a 1,2-quinonediazide compound, and (A3) an amino-based silane coupling agent represented by formula (II). A cured film prepared from the photosensitive resin composition has an excellent pattern development property, heat resistance and light transmittance, as well as an excellent adhesion property to a silicon nitride(SiNx) substrate, and it can be used as a protective film of an electronic component. | 04-24-2014 |
20140113231 | PIGMENT DISPERSION, AND RESIST COMPOSITION FOR COLOR FILTER AND INK COMPOSITION EACH USING THE PIGMENT DISPERSION - To provide a pigment dispersion excellent in dispersibility and spectral characteristics. The pigment dispersion at least includes: a dispersion medium; a compound represented by a general formula (1), the compound being dispersed in the dispersion medium; and a pigment having a quinacridone skeleton, the pigment being dispersed in the dispersion medium: | 04-24-2014 |
20140120469 | THERMAL ACID GENERATORS FOR USE IN PHOTORESIST - New photoresist compositions are provided that comprise a component that comprises a thermal acid generator and a quencher. Preferred photoresists of the invention may comprise a resin with photoacid-labile groups; a photoacid generator compound; and at least one thermal acid generator and at least one quencher that can function to improve line width roughness and photospeed. | 05-01-2014 |
20140120470 | PHOTORESISTS COMPRISING IONIC COMPOUND - New photoresist compositions are provided that comprise a component that comprises a radiation-insensitive ionic compound. Preferred photoresists of the invention may comprise a resin with photoacid-labile groups; a photoacid generator compound; and a radiation-insensitive ionic compound that can function to decrease undesired photogenerated-acid diffusion out of unexposed regions of a photoresist coating layer. | 05-01-2014 |
20140127625 | ORTHOGONAL SOLVENTS AND COMPATIBLE PHOTORESISTS FOR THE PHOTOLITHOGRAPHIC PATTERNING OF ORGANIC ELECTRONIC DEVICES - The present invention provides improved solvents and photoresists for the photolithographic patterning of organic electronic devices, systems comprising combinations of these solvents and photoresists, and methods for using these systems of solvents and photoresists to pattern various organic electronic materials. | 05-08-2014 |
20140134538 | Patterning Process and Chemical Amplified Photoresist Composition - A lithography method includes forming a photosensitive layer on a substrate, exposing the photosensitive layer, baking the photosensitive layer., and developing the exposed photosensitive layer. The photosensitive layer includes a polymer that turns soluble to a base solution in response to reaction with acid, a plurality of photo-acid generators (PAGs) that decompose to form acid in response to radiation energy, and a plurality of quenchers having boiling points distributed between about 200 C and about. 350 C. The quenchers also have molecular weights distributed between 300 Dalton and about 20000 Dalton, and are vertically distributed in the photosensitive layer such that a first concentration C1 at a top portion of the photosensitive layer is greater than a second concentration C2 at a bottom portion of the photosensitive layer. | 05-15-2014 |
20140134539 | ALCOHOL COMPOUND AND METHOD FOR PRODUCING SAME, METHOD FOR PRODUCING LACTONE COMPOUND, (METH)ACRYLATE ESTER AND METHOD FOR PRODUCING SAME, POLYMER AND METHOD FOR PRODUCING SAME, AND RESIST COMPOSITION AND METHOD FOR PRODUCING SUBSTRATE USING SAME - To provide an alcohol compound containing fewer impurities at a high yield by conducting the following steps: a hydroboration process in which a reaction mixture is obtained by reacting in a solvent a compound represented by formula (C) and a boron agent selected from a group of diborane and borane complexes; and an oxidation process in which the pH of the reaction mixture is set at 0.5 to 4, which is conducted after treating the reaction mixture with hydrogen peroxide. In the formula, A | 05-15-2014 |
20140141372 | PHOTOSENSITIVE POLYMER, RESIST COMPOSITION INCLUDING THE PHOTOSENSITIVE POLYMER AND METHOD OF PREPARING RESIST PATTERN USING THE RESIST COMPOSITION - A photosensitive polymer includes a repeating unit represented by Formula 1 and the photosensitive polymer has a weight average molecule weight of from about 3,000 to about 50,000: | 05-22-2014 |
20140147787 | RESIST COMPOSITION AND METHOD FOR FORMING RESIST PATTERN - A resist composition which generates an acid upon exposure and exhibits changed solubility in a developing solution by the action of an acid, the resist composition containing a base material component which exhibits changed solubility in a developing solution by the action of an acid, the base material component containing a high-molecular weight compound having a constituent unit derived from a compound represented by the following formula (a0-1), a constituent unit containing a lactone-containing, a SO | 05-29-2014 |
20140147788 | RESIST COMPOSITION AND METHOD FOR FORMING RESIST PATTERN - A resist composition which generates an acid upon exposure and exhibits changed solubility in a developing solution by the action of an acid, the resist composition containing a base material component which exhibits changed solubility in a developing solution by the action of an acid; and the base material component containing a resin component having a constituent unit derived from a compound represented by the following general formula (a0-1), at least two or more kinds of a constituent unit containing an acid dissociable group represented by the following general formula (a1-r-1) or (a1-r-2), and a constituent unit containing a lactone-containing, an —SO | 05-29-2014 |
20140154624 | POSITIVE WORKING PHOTOSENSITIVE MATERIAL - Disclosed herein is a photosensitive composition comprising a heterocyclic thiol compound or tautomeric form thereof and its method of use on a substrate, which may include a chalcophile substrate. | 06-05-2014 |
20140154625 | RADIATION-SENSITIVE RESIN COMPOSITION, AND RADIATION-SENSITIVE ACID GENERATING AGENT - A radiation-sensitive resin composition includes a compound represented by a formula (1), and a base polymer. In the formula (1), R | 06-05-2014 |
20140162187 | POSITIVE-WORKING LITHOGRAPHIC PRINTING PLATE - Positive-working lithographic printing plate precursors have an inner layer that includes a polymeric binder having an acid number of at least 30 mg KOH/g of polymer to and including 150 mg KOH/g of polymer, at least 3 weight % of recurring units derived from one or more N-alkoxymethyl(alkyl)acrylamides or alkoxymethyl(alkyl)acrylates, at least 2 weight % of recurring units having pendant 1H-tetrazole groups, and at least 10 weight % of recurring units having pendant cyano groups. The use of such polymeric binders in the inner layer provides good bakeability and chemical solvent resistance. Such positive-working lithographic printing plate precursors also include an outer layer disposed over the inner layer, which outer layer comprises an infrared radiation absorbing compound. | 06-12-2014 |
20140162188 | POSITIVE RESIST COMPOSITION, MONOMER, POLYMER, AND PATTERNING PROCESS - A positive resist composition is provided comprising a polymer comprising recurring styrene units having an ester group bonded to a CF | 06-12-2014 |
20140162189 | SULFONIUM SALT, POLYMER, POLYMER MAKING METHOD, RESIST COMPOSITION, AND PATTERNING PROCESS - A sulfonium salt having formula (1a) is provided wherein R | 06-12-2014 |
20140162190 | FLUORINE-CONTAINING POLYMER, PURIFICATION METHOD, AND RADIATION-SENSITIVE RESIN COMPOSITION - A fluorine-containing polymer for use in a radiation-sensitive resin composition is used for forming a photoresist film in a process of forming a resist pattern, including a liquid immersion lithographic process in which radiation is emitted through a liquid having a refractive index larger than the refractive index of air at a wavelength of 193 nm, and being present between a lens and the photoresist film. The fluorine-containing polymer has a weight average molecular weight determined by gel permeation chromatography in the range from 1,000 to 50,000 and a receding contact angle with water and the photoresist film formed therefrom is 70° or more. | 06-12-2014 |
20140170560 | NEGATIVE RESIST COMPOSITION AND PATTERNING PROCESS USING THE SAME - The invention provides a negative resist composition including, as a base resin, a polymer that contains at least a repeating unit “a” having a cyclopentadienyl complex and is represented by the following general formula (1). There can be a negative resist composition, especially a chemically amplified negative resist composition that has a higher resolution than conventional hydroxystyrene-based and novolak-based negative resist compositions, a good pattern configuration after exposure, and further excellent etching resistance, and a patterning process using the same. | 06-19-2014 |
20140170561 | VINYL ETHER GROUP-CONTAINING COPOLYMER, PREPARATION PROCESS AND USE THEREOF - The invention provides a vinyl ether group-containing copolymer, preparation process and use thereof. The copolymer comprises of the structural units represented by the following general formulae I, II and III, wherein, R | 06-19-2014 |
20140170562 | Positive Photosensitive Resin Composition - Disclosed is a positive photosensitive resin composition that includes (A) an alkali soluble resin selected from a polybenzoxazole precursor, a polyimide precursor, and a combination thereof, (B) a photosensitive diazoquinone compound, (C) a phenol compound, (D) an organic dye and (E) a solvent, wherein the organic dye (D) includes at least one red dye having an absorption wavelength of 590 to 700 nm, at least one yellow dye having an absorption wavelength of 550 to 590 nm, and at least one blue dye having an absorption wavelength of 450 to 500 nm. | 06-19-2014 |
20140199628 | LITHOGRAPHIC MATERIAL STACK INCLUDING A METAL-COMPOUND HARD MASK - A lithographic material stack including a metal-compound hard mask layer is provided. The lithographic material stack includes a lower organic planarizing layer (OPL), a dielectric hard mask layer, and the metal-compound hard mask layer, an upper OPL, an optional anti-reflective coating (ARC) layer, and a photoresist layer. The metal-compound hard mask layer does not attenuate optical signals from lithographic alignment marks in underlying material layers, and can facilitate alignment between different levels in semiconductor manufacturing. | 07-17-2014 |
20140205947 | PATTERN FORMING METHOD, CHEMICAL AMPLIFICATION RESIST COMPOSITION AND RESIST FILM - A pattern forming method includes: (i) forming a film from a chemical amplification resist composition that contains (A) a resin, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation and (C) a tertiary alcohol; (ii) exposing the film; and (iii) performing development by using a developer containing an organic solvent. | 07-24-2014 |
20140212808 | PATTERNING PROCESS AND RESIST COMPOSITION - A resist composition comprising a polymer comprising recurring units having an acid labile group of cyclopentyl with tert-butyl or tert-amyl pendant is coated onto a substrate, baked, exposed to high-energy radiation, PEB and developed in an organic solvent to form a negative pattern. A fine hole pattern can be formed from the resist composition with advantages including high dissolution contrast, good size control and wide depth of focus. | 07-31-2014 |
20140220489 | METHOD FOR PROCESSING SAMPLE AND SAMPLE PROCESSING APPARATUS - Long-period roughness in patterned resist is reduced in a manufacturing process of a sample such as a semiconductor device. A method for processing a sample to be processed, with patterned resist, in a sample processing apparatus includes: disposing the sample to be processed, with the patterned resist on the stage in the processing chamber; supplying silicon tetrachloride (SiCl | 08-07-2014 |
20140220490 | Polymerizable Fluoromonomer, Fluoropolymer, Resist Material, and Method of Pattern Formation - Disclosed is a polymerizable fluoromonomer represented by the following general formula (1). In the formula, R | 08-07-2014 |
20140234772 | PHOTO RESIST (PR) PROFILE CONTROL - One or more techniques or systems for controlling a profile for photo resist (PR) are provided herein. In some embodiments, a first shield layer is formed on a first PR layer and a second PR layer is formed on the first shield layer. A first window is formed within the second PR layer during a first exposure with a mask. A second window is formed within the first shield layer based on the first window. A third window is formed within the first PR layer during a second exposure without a mask. Because, the third window is formed while the first shield layer and the second PR layer are on the first PR layer, a profile associated with the first PR layer is controlled. Contamination during ion bombardment is mitigated due to the controlled profile. | 08-21-2014 |
20140234773 | METHOD OF COATING RESIST AND RESIST COATING APPARATUS - An aspect of the present embodiment, there is provided a method of coating resist, including providing solvent on a substrate to be processed being set to be nearly still, and rotating the substrate to be processed to provide resist solution on the substrate to be processed from a resist supply nozzle in a state that a top edge of the resist supply nozzle is inserted into the solvent. | 08-21-2014 |
20140255843 | PATTERNING PROCESS AND RESIST COMPOSITION - A negative pattern is formed by coating a resist composition comprising (A) a polymer having an acid labile group, adapted to change its polarity under the action of acid, (B) a photoacid generator, and (C) an organic solvent onto a substrate, baking, exposing the resist film to high-energy radiation, PEB, and developing in an organic solvent-based developer to selectively dissolve the unexposed region of resist film. The photoacid generator has the formula: R | 09-11-2014 |
20140255844 | SUBSTRATE TREATMENT METHOD, NON-TRANSITORY COMPUTER STORAGE MEDIUM AND SUBSTRATE TREATMENT SYSTEM - In the present invention, photolithography processing is performed on a substrate to form a resist pattern over the substrate, and a treatment agent is caused to enter a side surface of the resist pattern and metal is caused to infiltrate the side surface of the resist pattern via the treatment agent, the formed resist pattern has a high etching selection ratio with respect to a film to be treated on the substrate so as to suppress a so-called pattern collapse, therefore. | 09-11-2014 |
20140272704 | THICKENING PHASE FOR SPIN COATING PROCESS - Among other things, one or more techniques and systems for performing a spin coating process associated with a wafer and for controlling thickness of a photoresist during the spin coating process are provided. In particular, a thickening phase is performed during the spin coating process in order to increase a thickness of the photoresist. For example, air temperature of down flow air, flow speed of the down flow air, and heat temperature of heat supplied towards the wafer are increased during the thickening phase. The increase in down flow air and heat increase a vaporization factor of the photoresist, which results in an increase in viscosity and thickness of the photoresist. In this way, the wafer can be rotated at relatively lower speeds, while still attaining a desired thickness. Lowering rotational speed of wafers allows for relatively larger wafers to be stably rotated. | 09-18-2014 |
20140272705 | Photoresist Application - Devices and methods are provided where photoresist is applied on a substrate and at least some regions of the photoresist are dried prior to removing a substrate from a substrate support. | 09-18-2014 |
20140272706 | RESIST COMPOSITION AND METHOD FOR FORMING PATTERN - A resist composition includes: a solvent; and a resin in the solvent, the resin being prepared by the hydrolysis and condensation of an alkoxy group-containing compound that contains an alkoxy group bound to a silicon atom or a germanium atom in the presence of an acid or an alkali, wherein a portion of the resist composition irradiated with an energy radiation is insoluble in a developing solution. | 09-18-2014 |
20140272707 | SULFONIUM SALT, POLYMER, RESIST COMPOSITION, AND PATTERNING PROCESS - A sulfonium salt having formula (1a) is provided wherein R | 09-18-2014 |
20140272708 | PHOTOSENSITIVE SACRIFICIAL POLYMER WITH LOW RESIDUE - Embodiments according to the present invention relate generally to PAG bilayer and PAG-doped unilayer structures using sacrificial polymer layers that incorporate a photoacid generator having a concentration gradient therein. Said PAG concentration being higher in a upper portion of such structures than in a lower portion thereof. Embodiments according to the present invention also relate to a method of using such bilayers and unilayers to form microelectronic structures having a three-dimensional space, and methods of decomposition of the sacrificial polymer within the aforementioned layers. | 09-18-2014 |
20140287359 | Fluorine-Containing Sulfonate, Fluorine-Containing Sulfonate Resin, Resist Composition and Pattern Formation Method - According to the present invention, there is provided a fluorine-containing sulfonate resin having a repeating unit of the following general formula (3). | 09-25-2014 |
20140295347 | ACID GENERATORS AND PHOTORESISTS COMPRISING SAME - Acid generator compounds are provided that comprise an oxo-1,3-dioxolane moiety and/or an oxo-1,3-dioxane moiety. The acid generators are particularly useful as a photoresist composition component. | 10-02-2014 |
20140295348 | COMPOSITIONS AND PROCESSES FOR PHOTOLITHOGRAPHY - Topcoat layer compositions are provided that are applied above a photoresist composition. The compositions find particular applicability to immersion lithography processing. | 10-02-2014 |
20140322646 | ABLATION IMAGEABLE LITHOGRAPHIC PRINTING PLATE - A positive-working, ablation-imagable lithographic printing plate precursor can be imaged and used for lithographic printing without wet processing. This precursor has a sulfuric acid or phosphoric acid anodized aluminum-containing substrate, a crosslinked hydrophilic inner layer comprising a crosslinked polymer derived by using a crosslinking agent that comprises at least two aldehyde groups, and an acidic compound. Over the crosslinked hydrophilic inner layer is an oleophilic outer layer comprising an infrared radiation absorber and an oleophilic polymer. The precursor also has a copolymer comprising randomly recurring units derived from each of a (meth)acrylamide and vinyl phosphonic acid. This copolymer is present either within the crosslinked hydrophilic inner layer, as part of a different copolymer layer between the crosslinked hydrophilic inner layer and the substrate, or in both places. | 10-30-2014 |
20140322647 | PHOTOSENSITIVE COMPOSITIONS AND APPLICATIONS THEREOF - The present invention relates to photosensitive compositions containing polynorbornene (PNB) polymers and certain additives that are useful for forming microelectronic and/or optoelectronic devices and assemblies thereof, and more specifically to compositions encompassing PNBs and certain hindered phenols as additives which are resistant to thermo-oxidative chain degradation. | 10-30-2014 |
20140322648 | COMPOSITIONS AND PROCESSES FOR IMMERSION LITHOGRAPHY - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more materials that can be substantially non-mixable with a resin component of the resist. Further preferred photoresist compositions of the invention comprise 1) Si substitution, 2) fluorine substitution; 3) hyperbranched polymers; and/or 4) polymeric particles. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing. | 10-30-2014 |
20140322649 | Method of Improving Surface Cure in Digital Flexographic Printing Plates - A photocurable relief image printing element is described. The photocurable relief image printing element comprises (a) a support layer; (b) one or more photocurable layers disposed on the support layer, wherein the one or more photocurable layers comprise: (i) a binder; (ii) one or more monomers; (iii) a photoinitiator; and (iv) an additive selected from the group consisting of phosphites, phosphines, thioether amine compounds, and combinations of one or more of the foregoing; (c) a laser ablatable masking layer disposed on the one or more photocurable layers, the laser ablatable masking layer comprising a radiation opaque material; and (d) optionally, a removable coversheet. The photocurable relief image printing element provides improved surface cure in digital relief image printing elements. | 10-30-2014 |
20140335452 | POSITIVE PHOTOSENSITIVE SILOXANE COMPOSITION - A positive photosensitive siloxane composition comprising at least three types of following polysiloxanes (A), (B) and (C) obtained by hydrolyzing and condensing a silane compound represented by general formula (1) R | 11-13-2014 |
20140335453 | METHOD FOR PRODUCING RESIST COMPOSITION - Provided by the present invention is a method for producing a resist composition, especially a silicon-containing resist underlayer film composition, with fewer film defects, the composition used in immersion exposure, double patterning, development by an organic solvent, and so forth. Specifically, provided is a method for producing a resist composition to be used for manufacturing a semiconductor device, wherein the resist composition is filtered using a filter which filters through 5 mg or less of an eluate per unit surface area (m | 11-13-2014 |
20140342287 | METHOD OF PATTERNING A DEVICE - A fluorinated photopolymer is formed on a device substrate and exposed to patterned radiation. The photopolymer has a total fluorine content in a weight range of 15 to 60% and comprises at least three distinct repeating units, including a first repeating unit having a fluorine-containing group, a second repeating unit having an acid- or alcohol-forming precursor group, and a third repeating unit different from the first and second repeating units. The pattern-exposed photopolymer layer is contacted with a developing solution comprising at least a first fluorinated solvent that dissolves the unexposed photopolymer thereby forming a developed structure having a first pattern of photopolymer covering the substrate and a complementary second pattern of uncovered substrate. The developing solution is selected to provide a maximum photopolymer contrast in a range of 1.9 to 5.0. | 11-20-2014 |
20140356785 | PHOTORESISTS COMPRISING CARBAMATE COMPONENT - New photoresist compositions are provided that comprise a carbamate compound that comprises 1) a carbamate group and 2) an ester group. Preferred photoresists of the invention may comprise a resin with acid-labile groups; an acid generator compound; and a carbamate compound that can function to decrease undesired photogenerated-acid diffusion out of unexposed regions of a photoresist coating layer. | 12-04-2014 |
20140363766 | RESIST PATTERN-FORMING METHOD, AND RADIATION-SENSITIVE RESIN COMPOSITION - A resist pattern-forming method includes forming a resist coating film using a radiation-sensitive resin composition. The resist coating film is exposed and developed using a developer solution containing no less than 80% by mass of an organic solvent. The radiation-sensitive resin composition includes a polymer component including a polymer having an acid-labile group, and a radiation-sensitive acid generator. The polymer component includes, in an identical polymer or different polymers, a first structural unit having a first hydrocarbon group, and a second structural unit having a second hydrocarbon group. The first hydrocarbon group is an unsubstituted or substituted branched chain group, or the is like. The second hydrocarbon group has an adamantane skeleton. A molar ratio of the second hydrocarbon group to the first hydrocarbon group is less than 1. A proportion of a structural unit having a hydroxyl group in the polymer component is less than 5 mol %. | 12-11-2014 |
20140363767 | METHOD FOR FORMING RESIN CURED FILM PATTERN, PHOTOSENSITIVE RESIN COMPOSITION, PHOTOSENSITIVE ELEMENT, METHOD FOR PRODUCING TOUCH PANEL, AND RESIN CURED FILM - The method for forming a resin cured film pattern according to the invention comprises a first step in which there is formed on a base material a photosensitive layer composed of a photosensitive resin composition comprising a binder polymer with a carboxyl group having an acid value of 75 mgKOH/g or greater, a photopolymerizable compound and a photopolymerization initiator, and having a thickness of 10 μm or smaller, a second step in which prescribed sections of the photosensitive layer are cured by irradiation with active light rays, and a third step in which the sections of the photosensitive layer other than the prescribed sections are removed to form a cured film pattern of the prescribed sections of the photosensitive layer, wherein the photosensitive resin composition comprises an oxime ester compound and/or a phosphine oxide compound as the photopolymerization initiator. | 12-11-2014 |
20140363768 | NAPHTHALENE DERIVATIVE, RESIST BOTTOM LAYER MATERIAL, AND PATTERNING PROCESS - A naphthalene derivative having formula (1) is provided wherein Ar1 and Ar2 denote a benzene or naphthalene ring, and n is such a natural number as to provide a weight average molecular weight of up to 100,000. A material comprising the naphthalene derivative or a polymer comprising the naphthalene derivative is spin coated to form a resist bottom layer having improved properties. A pattern forming process in which a resist bottom layer formed by spin coating is combined with an inorganic hard mask formed by CVD is available. | 12-11-2014 |
20140363769 | PHOTORESIST COMPOSITION, RESIST PATTERN-FORMING METHOD, COMPOUND, ACID GENERATING AGENT, AND PHOTODEGRADABLE BASE - A photoresist composition containing a polymer having a structural unit including an acid-labile group, and a compound represented by the formula (1). In the formula (1), R | 12-11-2014 |
20140370439 | METHODS AND SYSTEMS FOR REDUCING BUBBLES IN LAYERS OF PHOTORESIST MATERIAL - One illustrative system disclosed herein includes a tank adapted to hold a fluid, a photoresist filter adapted to receive the fluid and allow the fluid to flow through the filter, and a vibrator that is operatively coupled to the photoresist filter and adapted to vibrate the photoresist filter as the fluid flows through the photoresist filter. A method disclosed herein includes forcing a fluid through a photoresist filter and actuating a vibrator so as to vibrate the photoresist filter while the fluid is flowing through the photoresist filter. | 12-18-2014 |
20150010864 | Meta-Photoresist for Lithography - Provided are a meta-photoresist capable of transferring mask patterns on which fine patterns having a diffraction limit or less are formed, on a substrate, and a lithography method using the same, wherein the meta-photoresist contains a photosensitive resin layer and a metal particle layer which is a layer of metal particles arranged so as to be spaced apart from each other. | 01-08-2015 |
20150024325 | LITHOGRAPHIC PRINTING ORIGINAL PLATE - A presensitized plate having a long press life and excellent resistance to scum and corrosive micro-stains and capable of on-press development is provided. The presensitized plate includes a photosensitive layer containing (A) a sensitizing dye, (B) a polymerization initiator, (C) a polymerizable compound, and (D) a binder polymer; and a protective layer which are formed on a support in this order. The support is prepared from an aluminum alloy plate containing intermetallic compound particles with a circle equivalent diameter of 0.2 μm or more at a surface density of 35,000 pcs/mm | 01-22-2015 |
20150037733 | ACRYLIC ACID ESTER DERIVATIVE AND METHOD FOR PRODUCING SAME, INTERMEDIATE AND METHOD FOR PRODUCING SAME, HIGH-MOLECULAR-WEIGHT COMPOUND, AND PHOTORESIST COMPOSITION - Provided is an acrylic acid ester derivative which, when used as a constituent unit of a polymer which is included in a photoresist composition for a semiconductor, exhibits excellent lithography characteristics such as LWR and the like. Specifically, provided is an acrylic acid ester derivative represented by the following general formula (1). Furthermore, provided are an intermediate of the acrylic acid ester derivative and a process for producing the same; a polymer containing the acrylic acid ester derivative as a constituent unit; and a photoresist composition for a semiconductor containing the polymer. | 02-05-2015 |
20150044608 | METHOD OF MANUFACTURING POLYMER FOR LITHOGRAPHY, METHOD OF MANUFACTURING RESIST COMPOSITION, AND METHOD OF MANUFACTURING SUBSTRATE HAVING PATTERN | 02-12-2015 |
20150044609 | COMPOSITIONS AND PROCESSES FOR IMMERSION LITHOGRAPHY - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprises two or more distinct materials that can be substantially non-mixable with a resin component of the resist. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing. | 02-12-2015 |
20150056552 | Method of Processing a Photosensitive Structure - The invention provides a method of applying a coating material to a photosensitive material to form a surface coating, wherein the photosensitive material, before or after curing, and the surface coating are soluble in a first liquid, the method comprising applying the coating material as a dispersion in a second liquid in which the photosensitive material is insoluble. By applying the coating material as a dispersion in a liquid in which the photosensitive material is insoluble, the photosensitive material is not disrupted. | 02-26-2015 |
20150064620 | PHOTOACID GENERATOR, PHOTORESIST, COATED SUBSTRATE, AND METHOD OF FORMING AN ELECTRONIC DEVICE - A photoacid generator compound has formula (1) | 03-05-2015 |
20150064621 | SUBSTRATE TREATMENT DEVICE AND METHOD OF APPLYING TREATMENT SOLUTION - Provided is a substrate treatment device. The device includes: a substrate support member supporting a substrate to be treated; a rotation driving member rotating the substrate support member; a container provided around the substrate support member; and a treatment solution supply unit including a photoresist nozzle for supplying a photoresist to a top surface of the substrate, wherein the photoresist nozzle starts supplying the photoresist while the substrate support member rotates at a first supply speed and stops supplying the photoresist while the substrate support member rotates at a second supply speed decelerated from the first supply speed. | 03-05-2015 |
20150064622 | COMPOUND, RESIN, PHOTORESIST COMPOSITION, AND METHOD FOR PRODUCING PHOTORESIST PATTERN - A compound represented by formula (I): | 03-05-2015 |
20150072289 | POSITIVE-WORKING LITHOGRAPHIC PRINTING PLATE - Positive-working lithographic printing plate precursors have an inner layer that includes a polymeric binder having an acid number of at least 30 mg KOH/g of polymer to and including 150 mg KOH/g of polymer, at least 3 weight % of recurring units derived from one or more N-alkoxymethyl(alkyl)acrylamides or alkoxymethyl(alkyl)acrylates, at least 2 weight % of recurring units having pendant 1H-tetrazole groups, and at least 10 weight % of recurring units having pendant cyano groups. The use of such polymeric binders in the inner layer provides good bakeability and chemical solvent resistance. Such positive-working lithographic printing plate precursors also include an outer layer disposed over the inner layer, which outer layer comprises an infrared radiation absorbing compound. | 03-12-2015 |
20150072290 | COATING COMPOSITIONS - In one aspect, coating compositions are provided that comprise a component a component that comprises one or more silicon, antimony, aluminum, yttrium, cerium, lanthanum, tin, titanium, zirconium, hafnium, indium or zinc compounds. In another aspect, coating compositions are provided that comprise a plurality of discrete particles. Preferred coating compositions of the invention are useful for antireflective purposes, particularly with an underlaying photoresist coating layer, as well as for a barrier layer in immersion lithography. | 03-12-2015 |
20150079518 | METHOD FOR USING ACOUSTIC WAVES FOR PURGING FILTERS IN SEMICONDUCTOR MANUFACTURING EQUIPMENT - An apparatus and method for qualifying a filter used to filter fluid used in a coating operation associated with photolithography or other semiconductor manufacturing processes, provides a semiconductor manufacturing tool that includes a filter and an acoustic wave generator. The filter may be housed inside a filter housing and the acoustic wave generator may produce ultrasonic, megasonic or other acoustic energy. The acoustic wave generator contacts or is in close proximity with the filter housing and provides acoustic wave energy to the filter through the housing. The acoustic wave energy causes any bubbles in the filter to become disengaged. | 03-19-2015 |
20150086923 | SUBSTRATE PROCESSING METHOD - A substrate processing apparatus comprises an indexer block, an anti-reflection film processing block, a resist film processing block, a development processing block, a resist cover film processing block, a resist cover film removal block, a cleaning/drying processing block, and an interface block. An exposure device is arranged adjacent to the interface block in the substrate processing apparatus. The exposure device subjects a substrate to exposure processing by means of an immersion method. In the edge cleaning unit in the cleaning/drying processing block, a brush abuts against an end of the rotating substrate, so that the edge of the substrate before the exposure processing is cleaned. At this time, the position where the substrate is cleaned is corrected. | 03-26-2015 |
20150086924 | Photoresist System and Method - A system and method for photoresists is provided. In an embodiment a cross-linking or coupling reagent is included within a photoresist composition. The cross-linking or coupling reagent will react with the polymer resin within the photoresist composition to cross-link or couple the polymers together, resulting in a polymer with a larger molecular weight. This larger molecular weight will cause the dissolution rate of the photoresist to decrease, leading to a better depth of focus for the line. | 03-26-2015 |
20150093702 | EXPOSURE ACTIVATED CHEMICALLY AMPLIFIED DIRECTED SELF-ASSEMBLY (DSA) FOR BACK END OF LINE (BEOL) PATTERN CUTTING AND PLUGGING - Self-aligned via and plug patterning for back end of line (BEOL) interconnects are described. In an example, a structure for directed self-assembly includes a substrate and a block co-polymer structure disposed above the substrate. The block co-polymer structure has a polystyrene (PS) component and a polymethyl methacrylate (PMMA) component. One of the PS component or the PMMA component is photosensitive. | 04-02-2015 |
20150099228 | RESIST COMPOSITION AND PATTERNING PROCESS - A resist composition comprises a metal compound obtained from reaction of a starting metal compound having formula (A-1) or a (partial) hydrolyzate or condensate or (partial) hydrolytic condensate thereof, with a di- or trihydric alcohol having formula (A-2). | 04-09-2015 |
20150118616 | METHOD FOR FORMING RESIST PATTERN AND RESIST COMPOSITION - A resist pattern formation method with enhanced resolution and process margin in forming a resist pattern. The method includes using a resist composition containing a high-molecular weight compound having a constituent unit represented by the general formula (a0-1) and conducting patterning by negative type development with a developing solution containing an organic solvent to forma resist pattern | 04-30-2015 |
20150125791 | LINE PATTERN COLLAPSE MITIGATION THROUGH GAP-FILL MATERIAL APPLICATION - Disclosed is a method and apparatus for mitigation of photoresist line pattern collapse in a photolithography process by applying a gap-fill material treatment after the post-development line pattern rinse step. The gap-fill material dries into a solid layer filling the inter-line spaces of the line pattern, thereby preventing line pattern collapse due to capillary forces during the post-rinse line pattern drying step. Once dried, the gap-fill material is depolymerized, volatilized, and removed from the line pattern by heating, illumination with ultraviolet light, by application of a catalyst chemistry, or by plasma etching. | 05-07-2015 |
20150125792 | PHTHALOCYANINE PIGMENT AND PIGMENT DISPERSION, INK AND COLOR FILTER RESIST COMPOSITION CONTAINING SAME - The present invention provides a phthalocyanine pigment having superior color development property, and a pigment dispersion, an ink and a color filter resist composition containing the phthalocyanine pigment, in which the phthalocyanine pigment having a structure represented by general formula (1), and the pigment dispersion, the ink and the color filter resist composition containing the phthalocyanine pigment. | 05-07-2015 |
20150125793 | PROCESSING LIQUID SUPPLYING APPARATUS AND METHOD OF SUPPLYING PROCESSING LIQUID - A processing liquid supplying apparatus performs an ejecting step in which a processing liquid suctioned into a pump passes through a filter device and is ejected from an ejecting part without returning the processing liquid back to the pump; a returning step in which the processing liquid suctioned into the pump is returned to a processing liquid source side of a mixing section; and a replenishing step in which the processing liquid returned to the processing liquid source side is suctioned into the pump together with the processing liquid replenished from the processing liquid source. The processing liquid passes through the filter device in at least one of the returning step and the replenishing step. The amount of the processing liquid returned to the processing liquid source side in the returning step is larger than the amount of the processing liquid ejected from the ejecting part in the ejecting step. | 05-07-2015 |
20150140489 | Composition of Matter and Molecular Resist Made Therefrom - Disclosed and claimed herein is a composition of matter having a general structure chosen from (I), (II), (III) or (IV); | 05-21-2015 |
20150309415 | RESIST PERFORMANCE FOR THE NEGATIVE TONE DEVELOP ORGANIC DEVELOPMENT PROCESS - A process and composition for negative tone development comprises providing a photoresist film that generates acidic sites. Irradiating the photoresist film patternwise provides an irradiated film having exposed and unexposed regions where the exposed regions comprise imaged sites. Baking the irradiated film at elevated temperatures produces a baked-irradiated film comprising the imaged sites which after irradiating, baking, or both irradiating and baking comprise acidic imaged sites. Treating the baked-irradiated film with a liquid, gaseous or vaporous weakly basic compound converts the acidic imaged sites to a base treated film having chemically modified acidic imaged sites. Applying a solvent developer substantially dissolves regions of the film that have not been exposed to the radiant energy, where the solvent developer comprises a substantial non-solvent for the chemically modified acidic imaged sites. One-step simultaneous base treatment and solvent development employs a composition comprising a mix of the basic compound and solvent developer. | 10-29-2015 |
20150315326 | (ETHYLENE, VINYL ACETAL) COPOLYMERS AND THEIR USE IN LITHOGRAPHIC PRINTING PLATE PRECURSORS - A copolymer includes (i) a plurality of ethylenic moieties A having a structure according to the following formula: | 11-05-2015 |
20150316845 | PHOTOSENSITIVE RESIN MATERIAL AND RESIN FILM - A photosensitive resin material of the invention is a photosensitive resin material used to form a permanent film including one or more selected from a novolac-type phenol resin, a phenol aralkyl resin, and a hydroxystyrene resin as an alkali-soluble resin (A) and a photosensitive diazoquinone compound as a photosensitizing agent (B), in which a content of iron with respect to all non-volatile components, which is measured through flameless atomic absorption spectroscopy, is equal to or more than 0.005 ppm and equal to or less than 80 ppm, and non-ionic iron is included as the iron. | 11-05-2015 |
20150316846 | Method of Preparing and Using Photosensitive Material - Provided in one embodiment is a method that includes selecting a photoresist that is one of a positive-tone photoresist and a negative-tone photoresist. A first additive or a second additive is selected based on the photoresist. The first additive has a fluorine component and a base component attached to a polymer and is selected if the a positive-tone resist is provided. The second additive has the fluorine component and an acid component attached to the polymer and is selected with a negative-tone resist is provided. The selected photoresist and the selected additive material are applied to a target substrate. | 11-05-2015 |
20150316847 | HOMOADAMANTANE DERIVATIVE, METHOD FOR PRODUCING THE SAME AND PHOTOSENSITIVE MATERIALS FOR PHOTORESIST - A (meth)acrylic polymer obtained by polymerizing a (meth)acrylic ester of formula | 11-05-2015 |
20150321462 | Flexographic Printing Plates and Methods - Flexography relief images are produced in currently-available sheet photopolymers by printing them with one inkjet printer pass using solvent-based inks without the need for an inkjet-receptive coating. A solvent ink that will adhere to the surface of the photopolymer slip film with no coating is used and, in embodiments, quick-dried to maximize image quality by heating the inked surface up to about 50° C. In embodiments, sheet photopolymer plates so masked and exposed can then be exposed to UV light in a modified printer that, in effect, transforms a batch process to a continuous one. | 11-12-2015 |
20150331320 | Photoresist Film and Manufacturing Method for Organic Light Emitting Display Device Using the Same - Disclosed is a photoresist film including a light-to-heat conversion layer on a support film, and a thermo-responsive polymer layer on the light-to-heat conversion layer, wherein the photoresist film is easily detached from a transfer substrate through a temperature adjustment and detach film since the photoresist film includes thermo-responsive polymer. | 11-19-2015 |
20150331324 | Methods for Small Trench Patterning Using Chemical Amplified Photoresist Compositions - A method for forming a pattern on a substrate is described. The method includes providing a substrate, forming a photosensitive layer over the substrate, exposing the photosensitive layer to a first exposure energy through a first mask, exposing the photosensitive layer to a second exposure energy through a second mask, baking the photosensitive layer, and developing the exposed photosensitive layer. The photosensitive layer includes a polymer that turns soluble to a developer solution, at least one photo-acid generator (PAG), and at least one photo-base generator (PBG). A portion of the layer exposed to the second exposure energy overlaps with a portion exposed to the first exposure energy. | 11-19-2015 |
20150338732 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM, PATTERN FORMING METHOD, PROCESS FOR MANUFACTURING ELECTRONIC DEVICE AND ELECTRONIC DEVICE - An actinic-ray- or radiation-sensitive resin composition includes a compound (A) that is configured to produce an acid when exposed to actinic rays or radiation, and a resin (B). The compound (A) is expressed by general formula (1) or (2) below. The resin (B) contains a repeating unit (b) containing a group that is configured to decompose when acted on by an acid to thereby produce an alcoholic hydroxyl group. | 11-26-2015 |
20150338734 | ENERGY-SENSITIVE RESIN COMPOSITION - The present invention provides an energy-sensitive resin composition, a method of manufacturing a polyimide film or a polyimide molded product in which said composition is used, and a method of forming a pattern in which said composition is used. The composition supplies a polyimide resin exhibiting exceptional thermal resistance and low permittivity even by a heat treatment at a low temperature. The composition contains polyamic acid obtained by reacting tetracarboxylic dianhydride and diamine, a solvent, and a compound (A) decomposing by the action of light and/or heat and generating a base and/or an acid. The method of manufacturing a polyimide film or a polyimide molded product includes forming a coating film or molded product comprising the composition and decomposing the compound (A) in the film or product through exposure or heating. The method of forming a pattern sequentially includes forming, selectively exposing, developing and heating the film or product. | 11-26-2015 |
20150353468 | MOLECULAR GLASS OF SPIROFLUORENE DERIVATIVE, PREPARATION METHOD THEREOF AND USE THEREOF IN PHOTO-ETCHING - Disclosed is a molecular glass of a spirofluorene derivative having a molecular structure as follows: formula (I), | 12-10-2015 |
20150353482 | PHOTORESIST COMPRISING NITROGEN-CONTAINING COMPOUND - New nitrogen-containing compounds are provided that comprise multiple hydroxyl moieties and photoresist compositions that comprise such nitrogen-containing compounds. Preferred nitrogen-containing compounds comprise 1) multiple hydroxyl substituents (i.e. 2 or more) and 2) one or more photoacid-labile groups. | 12-10-2015 |
20150355541 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM AND PATTERN FORMING METHOD - An actinic-ray- or radiation-sensitive resin composition includes (A) a resin containing an acid-decomposable repeating unit and having a polarity that is changed when the resin is acted on by an acid, and (B) a compound that is configured to produce an acid when exposed to actinic rays or radiation. The acid produced by the compound (B) exhibits a Log P value of 3.0 or below and has a molecular weight of 430 or greater. | 12-10-2015 |
20150355542 | PHENOLIC POLYMERS AND PHOTORESISTS COMPRISING SAME - The present invention relates to new polymers that contain phenolic groups spaced from a polymer backbone and photoacid-labile group. Preferred polymers of the invention are useful as a component of chemically-amplified positive-acting resists. | 12-10-2015 |
20150355543 | CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A chemically amplified positive resist composition is provided comprising a substantially alkali-insoluble polymer having an acid labile group-protected acidic functional group, a poly(meth)acrylate polymer having Mw of 1,000-500,000, and an acid generator in a solvent. The composition forms on a substrate a resist film of 5-100 μm thick which can be briefly developed to form a pattern at a high sensitivity and a high degree of removal or dissolution to bottom. | 12-10-2015 |
20150362835 | RESIST OVERLAYER FILM FORMING COMPOSITION FOR LITHOGRAPHY AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICE USING THE SAME - There is provided a resist overlayer film forming composition for use in a lithography process in semiconductor device production, which does not intermix with a resist, blocks undesirable exposure light particularly in EUV exposure, for example, UV and DUV and selectively transmits EUV alone, and which can be developed with a developer after exposure. A resist overlayer film forming composition including: a polymer including an organic group including a linear or branched saturated alkyl group having a carbon atom number of 1 to 10, in which some or all of hydrogen atoms thereof are substituted with fluorine atoms, and an optionally substituted C | 12-17-2015 |
20150362836 | PHOTOSENSITIVE COMPOSITION, PHOTOCURABLE COMPOSITION, CHEMICAL AMPLIFICATION RESIST COMPOSITION, RESIST FILM, PATTERN FORMING METHOD, METHOD OF MANUFACTURING ELECTRONIC DEVICE AND ELECTRONIC DEVICE - There is provided a photosensitive composition containing a compound represented by Formula (I), and the Formula (I) is defined as herein, | 12-17-2015 |
20150370165 | PHOTORESIST COMPOSITION - A photoresist composition, comprising: from 0.1 to 1.0 parts of a polyether-modified organosilicon levelling agent 58; from 7 to 23 parts of a polyfunctional monomer; from 13 to 29 parts of a alkaline soluble resin; from 23 to 62.8 parts of a pigment dispersion; from 1.5 to 11.9 parts of a photo-initiator; and from 10 to 45 parts of a solvent, on the basis of parts by weight. The photoresist composition can solve the problem of poor levelling property of the coating film and shrinkage of the film surface after high temperature baking occurring in the existing photoresist composition. | 12-24-2015 |
20150370168 | NEGATIVE PHOTORESIST AND METHODS OF PREPARING AND USING THE SAME - Disclosed is a negative photoresist comprising 1 to 90 parts of hydroxyl-containing or carboxyl-containing film-forming resin, 1 to 99 parts of silicon-containing vinyl ether monomer, 0.1 to 15 parts by weigh of a crosslinking agent, and an organic solvent capable of dissolving the aforesaid substances. Disclosed is also methods of preparing and using the negative photoresist. | 12-24-2015 |
20150370169 | PHOTORESIST WITH POSITIVE-RESIST BEHAVIOUR, METHOD FOR PHOTOCHEMICAL STRUCTURING THEREOF, METHOD FOR THE PRODUCTION OF SILANES AND OF SILICIC ACID (HETERO)POLY(CO)CONDENSATES WITH POSITIVE-RESIST BEHAVIOUR AND ALSO SILICIC ACID (HETERO)POLY(CO)CONDENSATES - The present invention relates to a special heteropolymer, namely a silicic acid (hetero)poly(co)condensate with positive-resist behaviour which is distinguished by polycondensation or copolycondensation of specially modified silanes. The invention relates likewise to monomeric silanes from which the corresponding heteropolymers, i.e. the silicic acid (hetero)poly(co)condensates, can be produced. The silicic acid (hetero)poly(co)condensates according to the invention can be used for a photoresist which has positive-resist behaviour. In addition, the invention relates to corresponding methods both for the production of the silanes, the silicic acid (hetero)poly(co)condensates or a method for photochemical structuring of the photoresist according to the invention which is based on the silicic acid (hetero)poly(co)condensates. | 12-24-2015 |
20150376157 | RESIST COMPOSITION, METHOD FOR FORMING RESIST PATTERN, POLYPHENOL DERIVATIVE FOR USE IN THE COMPOSITION - The present invention provides a resist composition which is excellent in heat resistance, has high solubility in a safe solvent, has high sensitivity, and can impart a good shape to a resist pattern. Such a resist composition contains a compound represented by the following general formula (1) or (2): | 12-31-2015 |
20150378255 | COMPOSITIONS COMPRISING CARBOXY COMPONENT AND PROCESSES FOR PHOTOLITHOGRAPHY - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more block copolymers. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing. | 12-31-2015 |
20150378259 | POSITIVE RESIST COMPOSITION AND PATTERN FORMING PROCESS - A positive resist composition comprising an organosiloxane-modified novolak resin, a photosensitive agent, and an organic solvent is provided, the resin comprising structural units having formula (1) wherein R | 12-31-2015 |
20160002199 | ACID GENERATORS AND PHOTORESISTS COMPRISING SAME - Acid generator compounds are provided that are particularly useful as photoresist composition components. Preferred acid generators include cyclic sulfonium compounds that comprise a covalently linked acid-labile group. | 01-07-2016 |
20160004155 | PHOTO ACID GENERATOR, CHEMICALLY AMPLIFIED RESIST COMPOSITION, AND PATTERNING PROCESS - A photo acid generator represented (1a), | 01-07-2016 |
20160004156 | PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION FOR ORGANIC SOLVENT DEVELOPMENT USED THEREFOR AND METHOD OF MANUFACTURING THE SAME, METHOD OF MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE - There is provided a pattern forming method including: (1) filtering, by using a filter, a resin solution containing (A) a resin capable of increasing its polarity by an action of an acid to decrease solubility in a developer including an organic solvent, and (C1) a solvent; (2) preparing an actinic ray-sensitive or radiation-sensitive resin composition containing the resin (A) obtained from the filtrating (1) and a solvent (C2) different from the solvent (C1); (3) filtering the actinic ray-sensitive or radiation-sensitive resin composition by using a filter; (4) forming a film by using a filtrate obtained by the filtering (3); (5) exposing the film; and (6) performing development using a developer containing an organic solvent to form a negative pattern, wherein an absolute value of the difference between solubility parameter (SP | 01-07-2016 |
20160004157 | METHOD OF FORMING PATTERN, ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM, PROCESS FOR MANUFACTURING ELECTRONIC DEVICE AND ELECTRONIC DEVICE - A method of forming a pattern includes (a) forming a film of an actinic-ray- or radiation-sensitive resin composition, (b) exposing the film to light, and (c) developing the exposed film with a developer comprising an organic solvent to thereby form a negative pattern. The actinic-ray- or radiation-sensitive resin composition includes (A) a resin whose solubility in the developer comprising an organic solvent is lowered when acted on by an acid, which resin contains a repeating unit with any of lactone structures of general formula (1) below, and (B) a compound that when exposed to actinic rays or radiation, generates an acid. | 01-07-2016 |
20160004159 | COMPOSITION FOR FORMING RESIST PROTECTION FILM FOR LITHOGRAPHY AND METHOD FOR FORMING PATTERN OF SEMICONDUCTOR DEVICE USING THE SAME - Provided are a composition for forming a resist protection film for lithography and a method for forming a pattern of a semiconductor device using the same. The composition comprises a repeat unit having a fluorine-containing functional group on a side chain thereof and contains a polymer having a weight average molecular weight of 2,000-100,000 and a solvent. The solvent containing 10-100 parts by weight of a material has a Hildebrand solubility parameter of 12.5-22.0, based on 100 parts by weight of the total weight thereof. | 01-07-2016 |
20160011505 | PATTERNED INORGANIC LAYERS, RADIATION BASED PATTERNING COMPOSITIONS AND CORRESPONDING METHODS | 01-14-2016 |
20160011507 | Negative Tone Developer Compatible Photoresist Composition and Methods of Use | 01-14-2016 |
20160011508 | COMPOSITION FOR FORMING FINE RESIST PATTERN, AND PATTERN FORMATION METHOD USING SAME | 01-14-2016 |
20160048076 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - A positive resist composition is provided comprising a polymer having an acid labile group and an acid generator bound to its backbone, in admixture with an onium salt having a specific cation structure capable of generating sulfonic acid having a molecular weight of at least 540. The composition is effective for suppressing acid diffusion, has high resolution, and forms a pattern of satisfactory profile and minimal edge roughness after exposure and development. | 02-18-2016 |
20160052859 | COMPOUND, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A compound having a group represented by formula (Ia): | 02-25-2016 |
20160052860 | COMPOUND, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A compound represented by the formula (I). | 02-25-2016 |
20160052861 | COMPOUND, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A compound having a group represented by the formula (Ia): | 02-25-2016 |
20160053032 | COMPOUND, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition has a resin (A1) including a structural unit having an acid-labile group, a resin (A2) including a structural unit having a group represented by formula (Ia), and an acid generator. | 02-25-2016 |
20160054652 | CHEMICALLY AMPLIFIED RESIST COMPOSITION AND PATTERNING PROCESS - A chemically amplified resist composition comprising a base polymer, an acid generator, and a specific oxime compound has a high contrast of alkaline dissolution rate before and after exposure and high resolution and forms a pattern of satisfactory profile with minimal roughness and wide focus margin. | 02-25-2016 |
20160062233 | SALT, ACID GENERATOR, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A salt having a group represented by formula (a): | 03-03-2016 |
20160062234 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition has a resin which includes a structural unit having an acid-labile group, an acid generator, and a non-ionic compound having a group represented by the formula (a) and having no unsaturated bond: | 03-03-2016 |
20160062237 | FLUORINE-CONTAINING POLYMER, PURIFICATION METHOD, AND RADIATION-SENSITIVE RESIN COMPOSITION - An object of the present invention is to provide a novel fluorine-containing polymer, a radiation-sensitive resin composition for liquid immersion lithography which contains the fluorine-containing polymer, which leads to a pattern having an excellent shape and excellent depth of focus, wherein the amount of an eluted component in a liquid for liquid immersion lithography such as water that comes in contact with the resist during exposure in liquid immersion lithography is little, and which provides a larger receding contact angle between the resist film and the liquid for liquid immersion lithography such as water, and a method for purifying the fluorine-containing polymer. The present resin composition comprises a novel fluorine-containing polymer (A) containing repeating units represented by the general formulae (1) and (2) and having Mw of 1,000-50,000, a resin (B) having an acid-unstable group, a radiation-sensitive acid generator (C), a nitrogen-containing compound (D) and a solvent (E). | 03-03-2016 |
20160070168 | NOVEL ALICYCLIC ESTER COMPOUND, AND (METH)ACRYLIC COPOLYMER AND PHOTOSENSITIVE RESIN COMPOSITION CONTAINING SAME - The present invention provides, as a chemically amplified resist, a well-balanced resist or compound which results in improved sensitivity, resolution and line edge roughness (LER) without impairing the fundamental physical properties required as a resist (e.g., pattern shape, dry etching resistance, heat resistance). A mixture of cycloaliphatic ester compounds represented by general formulae (1) to (3), and a process for preparation thereof, as well as a (meth)acrylic copolymer comprising the cycloaliphatic ester compounds of general formulae (1) to (3) and a photosensitive resin composition thereof are provided. | 03-10-2016 |
20160070170 | CHEMICALLY AMPLIFIED POSITIVE RESIST DRY FILM, DRY FILM LAMINATE AND METHOD OF PREPARING LAMINATE - A chemically amplified positive resist dry film to be formed on a support film contains 5-40 wt % of a component having a boiling point of 55-250° C. under atmospheric pressure. The resist dry film having flexibility and dimensional stability can be prepared through simple steps. The resist dry film can be efficiently and briefly laid on an article and processed to form a pattern. | 03-10-2016 |
20160070172 | COMPOSITIONS COMPRISING SULFONAMIDE MATERIAL AND PROCESSES FOR PHOTOLITHOGRAPHY - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more materials that have sulfonamide substitution. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing. | 03-10-2016 |
20160077429 | RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition include (A1) a resin which includes a structural unit represented by formula (a4), and which resin has neither an acid-labile group nor an aromatic ring, (A2) a resin having an acid-labile group, and an acid generator, | 03-17-2016 |
20160077430 | RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - 1. A resist composition includes (A1) a resin which includes a structural unit represented by formula (a4), and a structural unit having a sulfonyl group, and the resin has no acid-labile group, (A2) a resin having an acid-labile group, and an acid generator. | 03-17-2016 |
20160077431 | RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition includes (A1) a resin which includes a structural unit represented by formula (a4), a structural unit having a cyclic carbonate and a structural unit represented by formula (I), the resin has no acid-labile group; (A2) a resin which has an acid-labile group; and an acid generator: | 03-17-2016 |
20160077432 | RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition includes (A1) a resin which includes a structural unit represented by formula (a4), and a structural unit having an adamantane lactone group, and the resin has no acid-labile group, (A2) a resin having an acid-labile group, and an acid generator. | 03-17-2016 |
20160077433 | RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A resist composition includes (A1) a resin which includes a structural unit represented by formula (a4), a structural unit represented by formula (II), the resin has no acid-labile group, (A2) a resin having an acid-labile group, and an acid generator: | 03-17-2016 |
20160102157 | POLYMER COMPRISING REPEAT UNITS WITH PHOTOACID-GENERATING FUNCTIONALITY AND BASE-SOLUBILITY-ENHANCING FUNCTIONALITY, AND ASSOCIATED PHOTORESIST COMPOSITION AND ELECTRONIC DEVICE FORMING METHOD - A polymer includes repeat units, at least half of which are photoacid-generating repeat units. Each of the photoacid-generating repeat units includes photoacid-generating functionality and base-solubility-enhancing functionality. The polymer is useful as a component of a photoresist composition that further includes a second polymer that exhibits a change in solubility in an alkali developer under action of acid. | 04-14-2016 |
20160102158 | POLYMER COMPRISING REPEAT UNITS WITH PHOTOACID-GENERATING FUNCTIONALITY AND BASE-SOLUBILITY-ENHANCING FUNCTIONALITY, AND ASSOCIATED PHOTORESIST COMPOSITION AND ELECTRONIC DEVICE FORMING METHOD - A polymer includes repeat units, most of which are photoacid-generating repeat units. Each of the photoacid-generating repeat units includes photoacid-generating functionality and base-solubility-enhancing functionality. Each of the photoacid-generating repeat units comprises an anion and a photoacid-generating cation that collectively have structure (I) | 04-14-2016 |
20160103391 | PHOTORESIST COMPOSITION AND ASSOCIATED METHOD OF FORMING AN ELECTRONIC DEVICE - A photoresist composition includes a first polymer in which at least half of the repeat units are photoacid-generating repeat units, and a second polymer that exhibits a change in solubility in an alkali developer under the action of acid. In the first polymer, each of the photoacid-generating repeat units comprises photoacid-generating functionality and base-solubility-enhancing functionality. | 04-14-2016 |
20160103392 | PHOTORESIST COMPOSITION AND ASSOCIATED METHOD OF FORMING AN ELECTRONIC DEVICE - A photoresist composition includes a first polymer in which at least half of the repeat units are photoacid-generating repeat units, and a second polymer that exhibits a change in solubility in an alkali developer under the action of acid. In the first polymer, each of the photoacid-generating repeat units comprises photoacid-generating functionality and base-solubility-enhancing functionality. | 04-14-2016 |
20160108170 | PHOTORESIST HAVING DECREASED OUTGASSING - Provided is a method of fabricating a semiconductor device. A substrate is provided. A material layer is formed over the substrate. A photoresist layer is formed over the material layer. The photoresist layer contains a polymer. The polymer includes an acid labile group (ALG) that is linked to a plurality of carboxylic acid function groups. The photoresist layer is then patterned using a lithography process, for example an extreme ultraviolet (EUV) lithography process. | 04-21-2016 |
20160109800 | PHOTORESIST COMPOSITIONS AND METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS - Provided are photoresist compositions useful in forming photolithographic patterns by a negative tone development process. Also provided are methods of forming photolithographic patterns by a negative tone development process and substrates coated with the photoresist compositions. The compositions, methods and coated substrates find particular applicability in the manufacture of semiconductor devices. | 04-21-2016 |
20160109803 | PATTERNING PROCESS AND CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION - A negative pattern is formed by coating a resist composition comprising a polymer comprising recurring units having a carboxyl and/or hydroxyl group optionally substituted with an acid labile group and an acid generator capable of generating fluorinated tetraphenylborate onto a substrate, prebaking, exposing, baking, and developing in an organic solvent so that the unexposed region of resist film is dissolved away and the exposed region of resist film is not dissolved. The resist composition exhibits a high sensitivity and high dissolution contrast during organic solvent development and forms a fine hole or trench pattern via positive/negative reversal. | 04-21-2016 |
20160122456 | PHOTORESIST COMPOSITIONS AND METHOD OF PREPARING THE SAME - The present invention provides a photoresist composition and a method of preparing the same. The photoresist composition includes a color polymer that is obtained by dye molecules grafted to a polymer molecule through chemical bonds. The photoresist composition utilizes the color polymer to replace conventional pigments to preclude conventional problems of difficult to disperse pigments in the photoresist composition, poor contrast and brightness. Since the color polymer has brilliant color, high penetration, good thermal and light stabilities, so that the photoresist composition of the present invention has better color developing effect, preferred thermal and light stabilities. The present invention provides a method of preparing a photoresist composition which reduces components in the photoresist composition and simplifies preparation process of the photoresist composition, the photoresist composition obtained thereby has better color developing effect, also has preferred thermal and light stabilities. | 05-05-2016 |
20160124302 | OPERATION/MARGIN ENHANCEMENT FEATURE FOR SURFACE-MEMS STRUCTURE; SCULPTING RAISED ADDRESS ELECTRODE - A method of forming a micro-electromechanical systems (MEMS) pixel, such as a DMD type pixel, by forming a substrate having a non-planar upper surface, and depositing a photoresist spacer layer upon the substrate. The spacer layer is exposed to a grey-scale lithographic mask to shape an upper surface of the spacer layer. A control member is formed upon the planarized spacer layer, and an image member is formed over the control member. The image member is configured to be positioned as a function of the control member to form a spatial light modulator (SLM). The spacer layer is planarized by masking a selected portion of the spacer layer with a grey-scale lithographic mask to remove binge in the selected portion. | 05-05-2016 |
20160130210 | COMPOUND, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A compound represented by formula (I), a resin including a structural unit derived from the compound and a resist composition including the resin: | 05-12-2016 |
20160130212 | COMPOUND, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A compound represented by formula (I), a resin including a structural unit derived from the compound and a resist composition including the resin: | 05-12-2016 |
20160131972 | NOVEL ONIUM SALT COMPOUND, RESIST COMPOSITION, AND PATTERN FORMING PROCESS - Sulfonium and iodonium salts of a carboxylate having an aromatic ring to which a nitrogen-containing alkyl or cyclic structure is attached are novel. The onium salt functions as an acid diffusion controlling agent in a resist composition, enabling to form a pattern of good profile with high resolution, improved MEF, LWR and DOF. | 05-12-2016 |
20160139506 | FULLERENES - The present disclosure relates to novel fullerene derivatives, positive and negative photoresist compositions prepared therefrom and methods of using them. The derivatives, their photoresist compositions and the methods are ideal for high speed, fine pattern processing using, for example, ultraviolet radiation, extreme ultraviolet radiation, beyond extreme ultraviolet radiation, X-rays, electron beam and other charged particle rays. | 05-19-2016 |
20160139508 | COMPOUND, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A compound represented by formula (I): | 05-19-2016 |
20160145186 | NON-IONIC COMPOUND, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A compound which is non-ionic compound, the compound has a group represented by formula (Ia): | 05-26-2016 |
20160145205 | SALT, RESIN, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A salt represented by formula (1): | 05-26-2016 |
20160145231 | RESIST COMPOSITION, METHOD FOR FORMING RESIST PATTERN, POLYPHENOLIC COMPOUND FOR USE IN THE COMPOSITION, AND ALCOHOLIC COMPOUND THAT CAN BE DERIVED THEREFROM - A resist composition containing a compound represented by the general formula (1) or (2), a method for forming a resist pattern using the composition, a polyphenolic compound for use in the composition, and an alcoholic compound that can be derived therefrom are described. | 05-26-2016 |
20160145274 | REAGENT FOR ENHANCING GENERATION OF CHEMICAL SPECIES - A reagent that enhances acid generation of a photoacid generator and composition containing such reagent is disclosed. | 05-26-2016 |
20160147146 | RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN - A non-ionic compound includes a group represented by formula (Ia): | 05-26-2016 |
20160147149 | POSITIVE RESIST COMPOSITION AND PATTERNING PROCESS - An androstane or estrane-substituted cholane as base resin is combined with an acid generator to formulate a positive resist composition, especially chemically amplified positive resist composition. | 05-26-2016 |
20160154304 | SALT, ACID GENERATOR, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN | 06-02-2016 |
20160154306 | METHODS OF FORMING PATTERNS USING PHOTORESIST POLYMERS | 06-02-2016 |
20160159953 | REAGENT FOR ENHANCING GENERATION OF CHEMICAL SPECIES - A reagent that enhances acid generation of a photoacid generator and composition containing such reagent is disclosed. | 06-09-2016 |
20160159962 | COMPOUND CONTAINING PHENOLIC HYDROXY GROUP, PHOTOSENSITIVE COMPOSITION, COMPOSITION FOR RESISTS, RESIST COATING FILM, CURABLE COMPOSITION, COMPOSITION FOR RESIST UNDERLAYER FILMS, AND RESIST UNDERLAYER FILM - Provided is a compound containing a phenolic hydroxy group which has excellent heat resistance, a resist composition which has excellent thermal decomposition resistance, optical sensitivity and resolution, and a composition for a resist underlayer coating which has excellent thermal decomposition resistance and dry etching resistance. The compound containing a phenolic hydroxy group has a molecular structure represented by Structural Formula (1) below: | 06-09-2016 |
20160168115 | SALT, ACID GENERATOR, RESIST COMPOSITION AND METHOD FOR PRODUCING RESIST PATTERN | 06-16-2016 |
20160168296 | POLYMER, RESIST COMPOSITION, AND PATTERN FORMING PROCESS | 06-16-2016 |
20160170300 | PHOTORESIST COMPOSITION AND METHOD FOR PRODUCING PHOTORESIST PATTERN | 06-16-2016 |
20160170301 | METAL CATALYTIC COMPOSITION WITH SILVER N-HETEROCYCLC COMPLEX | 06-16-2016 |
20160177020 | MODIFIED HYDROXY NAPHTHALENE NOVOLAK RESIN, PRODUCTION METHOD FOR MODIFIED HYDROXY NAPHTHALENE NOVOLAK RESIN, PHOTOSENSITIVE COMPOSITION, RESIST MATERIAL AND COATING | 06-23-2016 |
20160179003 | RADIATION-SENSITIVE RESIN COMPOSITION, METHOD FOR FORMING RESIST PATTERN, POLYMER AND POLYMERIZABLE COMPOUND | 06-23-2016 |
20160187773 | REAGENT FOR ENHANCING GENERATION OF CHEMICAL SPECIES - A reagent that enhances acid generation of a photoacid generator and composition containing such reagent is disclosed. | 06-30-2016 |
20160187780 | POSITIVE TYPE RESIST COMPOSITION FOR USE IN LIQUID IMMERSION EXPOSURE AND A METHOD OF FORMING THE PATTERN USING THE SAME - A positive type resist composition for use in liquid immersion exposure comprises: (A) a resin having a monocyclic or polycyclic cycloaliphatic hydrocarbon structure, the resin increasing its solubility in an alkali developer by an action of acid; (B) a compound generating acid upon irradiation with one of an actinic ray and a radiation; (C) an alkali soluble compound having an alkyl group of 5 or more carbon atoms; and (D) a solvent. | 06-30-2016 |
20160194240 | METHOD OF MANUFACTURING PHOTOSENSITIVE GLASS SUBSTRATE | 07-07-2016 |
20160195809 | PHOTORESIST COMPOSITION AND METHOD FOR PRODUCING PHOTORESIST PATTERN | 07-07-2016 |
20160200702 | SALT, ACID GENERATOR, PHOTORESIST COMPOSITION, AND METHOD FOR PRODUCING PHOTORESIST PATTERN | 07-14-2016 |
20160200849 | COPOLYMER FOR SEMICONDUCTOR LITHOGRAPHY, RESIST COMPOSITION, AND METHOD FOR MANUFACTURING SUBSTRATE | 07-14-2016 |
20160202608 | RADIATION-SENSITIVE RESIN COMPOSITION AND RESIST PATTERN-FORMING METHOD | 07-14-2016 |
20160252810 | VINYL ETHER GROUP-CONTAINING COPOLYMER, PREPARATION PROCESS AND USE THEREOF | 09-01-2016 |
20160376233 | POSITIVE-TYPE RESIST COMPOSITION, METHOD FOR FORMING RESIST PATTERN, PHOTO-REACTIVE QUENCHER, AND POLYMERIC COMPOUND - A positive-type resist composition which generates an acid upon exposure and whose solubility in an alkali developing solution increases under the action of an acid, the composition including a base material component whose solubility in an alkali developing solution increases under the action of an acid; and a compound represented by the following general formula (m0): | 12-29-2016 |
20160377978 | RESIST COMPOSITION - A resist composition which contains a resin (A1) which has a structural unit represented by formula (I), a structural unit represented by formula (II) and a structural unit having an acid-labile group, and an acid generator: | 12-29-2016 |
20160377979 | RESIST COMPOSITION - A resist composition which contains a resin (A1) which has a structural unit having a sulfonyl group, a structural unit represented by formula (II) and a structural unit having an acid-labile group, and an acid generator: | 12-29-2016 |
20160377980 | RESIST COMPOSITION - A resist composition which contains a resin (A1) which has a structural unit having a cyclic carbonate, a structural unit represented by formula (II) and a structural unit having an acid-labile group, and an acid generator: | 12-29-2016 |
20180022912 | RESIN COMPOSITION, RESIN FILM, AND ELECTRONIC DEVICE | 01-25-2018 |
20180024430 | POLYMER AND POSITIVE RESIST COMPOSITION | 01-25-2018 |
20180024431 | POLYMER AND POSITIVE RESIST COMPOSITION | 01-25-2018 |
20180024432 | POLYMER AND POSITIVE RESIST COMPOSITION | 01-25-2018 |
20180024433 | RESIST COMPOSITION, METHOD FOR FORMING RESIST PATTERN, AND POLYMER COMPOUND | 01-25-2018 |
20180024435 | RESIST COMPOSITION AND PATTERNING PROCESS USING THE SAME | 01-25-2018 |
20190146340 | RADIATION-SENSITIVE RESIN COMPOSITION AND RESIST PATTERN-FORMING METHOD | 05-16-2019 |
20190146342 | PHOTORESIST COMPOSITION AND METHOD OF FORMING PHOTORESIST PATTERN | 05-16-2019 |
20220137506 | PHOTORESIST COMPOSITIONS AND PATTERN FORMATION METHODS - A photoresist composition, comprising an acid-sensitive polymer comprising a repeating unit having an acid-labile group; an iodonium salt comprising an anion and a cation, the iodonium salt having Formula (1): | 05-05-2022 |
20220137509 | PHOTORESIST COMPOSITIONS AND PATTERN FORMATION METHODS - Photoresist compositions comprise: an acid-sensitive polymer comprising a first repeating unit formed from a first free radical polymerizable monomer comprising an acid-decomposable group and a second repeating unit formed from a second free radical polymerizable monomer comprising a carboxylic acid group; a compound comprising two or more enol ether groups, wherein the compound is different from the acid-sensitive polymer; a material comprising a base-labile group; a photoacid generator; and a solvent. The photoresist compositions and pattern formation methods using the photoresist compositions find particular use in the formation of fine lithographic patterns in the semiconductor manufacturing industry. | 05-05-2022 |
20220137510 | Electron Beam Lithography With a Bilayer Resist - A method, apparatus, and system for processing a material stack. A hydrogen silsesquioxane layer is deposited on the material stack. A diffusion barrier layer is deposited on the hydrogen silsesquioxane layer to form a bilayer. The diffusion barrier layer comprises a material having a thickness that increases an amount of time before the hydrogen silsesquioxane layer ages to change a dose in an electron beam needed to expose the hydrogen silsesquioxane layer for a selected feature geometry with a desired width. The electron beam is directed through a surface of the bilayer to form an exposed portion of the bilayer. The electron beam applies the dose that is selected based on a pattern density of features for the material stack to have a desired level of exposure of the hydrogen silsesquioxane layer for the selected feature geometry. The hydrogen silsesquioxane layer is developed. The exposed portion remains on material stack. | 05-05-2022 |
20220137511 | RESIST COMPOSITION AND METHOD OF FORMING PATTERN USING THE SAME - Provided are a resist composition and a method of forming a pattern using the same. According to the inventive concept, the resist composition may include a copolymer represented by Formula 1 below. | 05-05-2022 |