Entries |
Document | Title | Date |
20080220345 | Device manufacturing method, computer program and lithographic apparatus - In a device manufacturing method using a lithographic apparatus, corrections to the dose are applied, within and/or between fields, to compensate for CD variations due to heating of elements of the projection system of the lithographic apparatus. | 09-11-2008 |
20080241714 | METHOD AND APPARATUS FOR LENS CONTAMINATION CONTROL - The present disclosure provides a method for measuring lens contamination in a lithography apparatus. The method includes imaging an asymmetric pattern utilizing a lens system and measuring an alignment offset of the asymmetric pattern associated with the lens system. A contamination of the lens system is determined by comparing the alignment offset to a reference value. | 10-02-2008 |
20080241715 | SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, AND COMPUTER READABLE STORAGE MEDIUM - In the present invention, when trouble occurs and the operation of a substrate processing apparatus is stopped, substrate information containing positions and processing states of the substrates located in the apparatus at that time is stored, and the power supply of the apparatus is then turned off. When the apparatus is restarted, the substrates located in the apparatus are collected into a substrate housing unit, and each of the substrates in the substrate housing unit is then sequentially transferred to a plurality of processing units following the same transfer recipe as that before occurrence of trouble, and substrate processing is not performed in a processing unit in which processing has already been completed, whereas substrate processing is performed in a processing unit in which processing has not been performed yet, based on the substrate information. | 10-02-2008 |
20080248412 | SUPERVISORY ETCH CD CONTROL - Exemplary embodiments provide a controller system and method to control etch critical dimensions (CDs) during semiconductor manufacturing processes when the etch elements cannot be manipulated to control such end. The controller system includes a photo CD controller and an etch CD controller. The photo CD controller includes a first feedback loop that correlates a measured photo CD of a photo-processed semiconductor product back to the photo-process. The etch CD controller calculates a CD bias from the measured photo CD, a measured etch CD of a further etch-processed semiconductor product, and manufacturing targets for the photo CD and the etch CD. The CD bias is then fed back to the photo CD controller as a device-level CD-offset to adjust the target photo CD, which modifies the photo-process and generates the etch CD on the target etch CD. This automated etch CD control can be used for error corrections for product-to-product variations. | 10-09-2008 |
20080292977 | MANUFACTURING METHOD OF SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - In the semiconductor integrated circuit device lithography process it is becoming more and more essential to control both exposure dose and focus value independently with a high accuracy. Using a wafer treated precedingly, a section profile of a photoresist is acquired by the technique of scatterometry, then both exposure dose and focus value are estimated independently with a high accuracy on the basis of the section profile thus acquired and using a conjectural expression obtained by the technique of multivariate analysis, and a focus setting in the exposure of a succeedingly treated wafer is corrected on the basis of the estimated exposure dose and focus value. | 11-27-2008 |
20080299470 | ADJUSTING THE CALIBRATION OF AN IMAGING SYSTEM - The calibration of an imaging system is adjusted for geometric distortion of an image formed by a group of imaging channels on a media supported on a media support. The media support includes a plurality of individually spaced reference features disposed on a surface of the media support and a change in an expected position of at least one of the reference features is determined. Activation timing of the channels is adjusted in accordance with such changes. The imaging channels can be supported on a movable carriage and movement of the carriage can be adjusted in accordance with such changes. | 12-04-2008 |
20090004581 | Exposure apparatus, exposure method and optical proximity correction method - There is disclosed an exposure apparatus which includes an illumination optical system including a light source which emits illumination light, a mask stage which holds a photomask having a mask pattern thereon to be illuminated with the illumination light, and a light intensity distribution filter arranged on a plane, which plane is positioned in the illumination optical system and is optically in relation of Fourier transform to the mask pattern, the light intensity distribution filter configured to vary a light intensity distribution of the illumination light in a cross section of a bundle of the illumination light. | 01-01-2009 |
20090011345 | Lithographic Apparatus and Device Manufacturing Method Utilizing Data Filtering - An apparatus and method are used to form patterns on a substrate. The apparatus comprises a projection system, a patterning device, a low-pass filter, and a data manipulation device. The projection system projects a beam of radiation onto the substrate as an array of sub-beams. The patterning device modulates the sub-beams to substantially produce a requested dose pattern on the substrate. The low-pass filter operates on pattern data derived from the requested dose pattern in order to form a frequency-clipped target dose pattern that comprises only spatial frequency components below a selected threshold frequency. The data manipulation device produces a control signal comprising spot exposure intensities to be produced by the patterning device, based on a direct algebraic least-squares fit of the spot exposure intensities to the frequency-clipped target dose pattern. In various examples, filters can also be used. | 01-08-2009 |
20090011346 | FOCUS BLUR MEASUREMENT AND CONTROL METHOD - A method for optimizing imaging and process parameter settings in a lithographic pattern imaging and processing system. The method includes correlating the dimensions of a first set of at least one control pattern printed in a lithographic resist layer, measured at three or more locations on or within the pattern which correspond to differing dose, defocus and blur sensitivity. The method then includes measuring the dimensions on subsequent sets of control patterns, printed in a lithographic resist layer, at three or more locations on or within each pattern, of which a minimum of three locations match those measured in the first set, and determining the effective dose, defocus and blur values associated with forming the subsequent sets of control patterns by comparing the dimensions at the matching locations with the correlated dependencies. | 01-08-2009 |
20090029272 | Merging Sub-Resolution Assist Features of a Photolithographic Mask - Merging sub-resolution assist features includes receiving a mask pattern that includes the sub-resolution assist features. A first sub-resolution assist feature is selected to merge with a second sub-resolution assist feature. A merge bar width of a merge bar is established. A distance between the first sub-resolution assist feature and the second sub-resolution assist feature is determined. A merging technique is determined in accordance with the distance and the merge bar width. The first sub-resolution assist feature and the second sub-resolution assist feature are merged according to the identified merging technique. | 01-29-2009 |
20090035670 | Hard imaging methods, liquid marking agent monitoring methods, and hard imaging devices - Hard Imaging Methods, Liquid Marking Agent Monitoring Methods, and Hard Imaging Devices are described. According to one embodiment, a hard imaging method includes forming a plurality of latent images using a hard imaging device, using the hard imaging device, developing the latent images using a liquid marking agent, wherein bubbles are present in the liquid marking agent during the developing, calibrating the hard imaging device, and reducing bubbles present in the liquid marking agent during the calibrating compared with the bubbles present in the liquid marking agent during the developing. Additional embodiments are described in the disclosure. | 02-05-2009 |
20090035671 | ADJUSTMENT METHOD, EXPOSURE METHOD, DEVICE MANUFACTURING METHOD, AND EXPOSURE APPARATUS - An adjustment method for adjusting an illumination condition in illuminating an original plate using an illumination optical system and projecting an image of a pattern formed on the original plate onto a substrate through a projection optical system includes measuring a polarization state of light that has passed through the illumination optical system, the original plate, and the projection optical system in a state where the original plate is located on an object plane of the projection optical system, and adjusting the polarization state based on the measured polarization state. | 02-05-2009 |
20090047586 | SUBSTRATE-PROCESSING APPARATUS, SUBSTRATE-PROCESSING METHOD, SUBSTRATE-PROCESSING PROGRAM, AND COMPUTER-READABLE RECORDING MEDIUM RECORDED WITH SUCH PROGRAM - A pattern forming system | 02-19-2009 |
20090053627 | METHODS AND SYSTEMS FOR NORMALIZING ERROR - A method for fabricating parts using a photolithography system, includes: performing a search of normalization data for an estimated dose operating point; and using the estimated dose operating point for fabrication of new parts. Other methods are provided. | 02-26-2009 |
20090053628 | Method of performing model-based scanner tuning - A model-based tuning method for tuning a first lithography system utilizing a reference lithography system, each of which has tunable parameters for controlling imaging performance. The method includes the steps of defining a test pattern and an imaging model; imaging the test pattern utilizing the reference lithography system and measuring the imaging results; imaging the test pattern utilizing the first lithography system and measuring the imaging results; calibrating the imaging model utilizing the imaging results corresponding to the reference lithography system, where the calibrated imaging model has a first set of parameter values; tuning the calibrated imaging model utilizing the imaging results corresponding to the first lithography system, where the tuned calibrated model has a second set of parameter values; and adjusting the parameters of the first lithography system based on a difference between the first set of parameter values and the second set of parameter values. | 02-26-2009 |
20090053629 | MOVABLE BODY DRIVE METHOD AND MOVABLE BODY DRIVE SYSTEM, PATTERN FORMATION METHOD AND APPARATUS, EXPOSURE METHOD AND APPARATUS, AND DEVICE MANUFACTURING METHOD - Positional information of a wafer stage in a Z-axis direction and a tilt direction with respect to the XY plane (for example, a θy direction) is measured, using a surface position measurement system, such as, for example, a Z head and the like, and the wafer stage is driven based on the measurement results. | 02-26-2009 |
20090061331 | Exposure method and apparatus, maintenance method, and device manufacturing method - An exposure method for exposing a substrate with an exposure light via an projection optical system and a liquid includes: a first step of optically observing a liquid contact portion which comes into contact with the liquid and storing first image data obtained by the optical observation; a second step of optically observing the liquid contact portion after the liquid contact portion came into contact with the liquid, for example, after the liquid immersion exposure and obtaining second image data obtained by the optical observation; and a third step of comparing the first image data and the second image data to judge whether abnormality of observation objective portion is present or absent. It is possible to efficiently judge whether or not the abnormality of the liquid-contact portion, of the exposure apparatus which performs the exposure by the immersion method, is present or absent. | 03-05-2009 |
20090081568 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus comprises a light source, a measuring instrument, a processor, and a controller, wherein the processor is configured to obtain a synthetic spectrum by synthesizing a spectrum of a first pulsed light and a spectrum of a second pulsed light, to obtain a central wavelength and light intensity of each of a plurality of spectrum elements included in the synthetic spectrum, and to calculate a central wavelength of the accumulated light based on the obtained central wavelength and light intensity of each of the plurality of spectrum elements, and the controller is configured to determine, based on the calculated central wavelength of the accumulated light, whether the substrate should be exposed to light. | 03-26-2009 |
20090087760 | Image Forming Device, and Method and Computer Readable Medium Therefor - An image forming device includes an image forming unit forming an image on a sheet with an image forming property, a pattern forming unit forming a pattern on an object, a detection value determining unit determining a first detection value representing the image forming property of the image forming unit through detecting the pattern formed on the object by the pattern forming unit, a storage unit storing thereon the first detection value determined by the detection value determining unit, a correction value determining unit determining a correction value for correcting the image forming property with the first detection value stored on the storage unit and a second detection value that has previously stored on the storage unit, and a control unit controlling the image forming unit to form the image with the image forming property corrected based upon the correction value determined by the correction value determining unit. | 04-02-2009 |
20090098472 | Pattern Evaluation Method - In a pattern evaluation method of determining whether a pattern formed on a photomask is acceptable, an aberration parameter of an image quality evaluation apparatus for determining a pattern image intensity in transferring a pattern formed on a photomask onto a wafer is acquired. An acceptance criterion value used in determining whether an abnormal pattern of the photomask including the effect of aberration of the image quality evaluation apparatus is acceptable is set through a lithographic simulation using the acquired aberration parameter. Then, using the image quality evaluation apparatus, an image intensity of the abnormal pattern of the photomask and an image intensity of a normal pattern corresponding to the abnormal pattern are obtained. It is determined whether the difference between the two acquired image intensities is within the set acceptance criterion value. | 04-16-2009 |
20090098473 | Photomask, method of lithography, and method for manufacturing the photomask - A photomask has a monitoring pattern configured to obtain information required for adjusting optical system of a projection lithography tool. The monitoring pattern encompasses a mask substrate and an asymmetrical diffraction grating delineated on the mask substrate, configured to generate a positive first order diffracted light and a negative first order diffracted light in different diffraction efficiencies. The asymmetrical diffraction grating includes a plurality of probing-phase shifters, disposed periodically on the mask substrate in parallel, and a plurality of opaque strips disposed on light-shielding faces of the probing-phase shifters. An asymmetrically recessed ridge implements each of the probing-phase shifters. | 04-16-2009 |
20090104548 | SUBSTRATE-PROCESSING APPARATUS, SUBSTRATE-PROCESSING METHOD, SUBSTRATE-PROCESSING PROGRAM, AND COMPUTER-READABLE RECORDING MEDIUM RECORDED WITH SUCH PROGRAM - A pattern forming system | 04-23-2009 |
20090104549 | Method for error reduction in lithography - The present invention relates to a method and a system for predicting and/or measuring and correcting geometrical errors in lithography using masks, such as large-area photomasks or reticles, and exposure stations, such as wafer steppers or projection aligners, printing the pattern of said masks on a workpiece, such as a display panel or a semiconductor wafer. A method to compensate for process variations when printing a pattern on a workpiece, including determining a two-dimensional CD profile in said pattern printed on said workpiece, generating a two-dimensional compensation file to equalize fluctuations in said two-dimensional CD-profile, and patterning a workpiece with said two-dimensional compensation file. | 04-23-2009 |
20090111037 | PROTECTIVE OVERCOAT TRANSFER COMPENSATION - Observable matte-finish indicia on a printer medium having a matte finish includes the steps creating a matte image-viewing area; creating a glossy finish region within the image-viewing area; creating indicia having a matte finish within the glossy region. The printing medium has a dye-receiving element; and the steps of controlling the specularity of the image-viewing area, the glossy region, and the indicia include the step of imagewise-heating a donor element comprising a support having thereon a laminating patch of overcoat material, the donor being in contact with the dye-receiving element to thereby thermally transfer a layer of overcoat material to the printing medium, the overcoat material layer being transferred using a predetermined energy level within the image-viewing area and the indicia in order to create a matte finish and using a different energy level within the glossy region to create a gloss finish. | 04-30-2009 |
20090162759 | SUBSTRATE-PROCESSING APPARATUS, SUBSTRATE-PROCESSING METHOD, SUBSTRATE-PROCESSING PROGRAM, AND COMPUTER-READABLE RECORDING MEDIUM RECORDED WITH SUCH PROGRAM - A pattern forming system | 06-25-2009 |
20090162760 | SEMICONDUCTOR DEVICE, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND COMPUTER READABLE MEDIUM - In a multi-project-chip semiconductor device, semiconductor elements fabricated on a wafer have a layout that corresponds to an exposure order of a pattern of the semiconductor elements and that is based on information indicating manufacture conditions and the number of shots and are arranged such that the semiconductor elements having the same manufacture condition are adjacent to each other in ascending or descending order of the number of shots. | 06-25-2009 |
20090170016 | IMAGE ENHANCEMENT FOR MULTIPLE EXPOSURE BEAMS - An aspect of the present invention includes a method for patterning a workpiece covered at least partly with a layer sensitive to electromagnetic radiation by using a plurality of exposure beams having a predetermined separation in at least a first direction for exposing a pattern onto said workpiece, where said predetermined separation is fixed to an initial system pitch in said first direction, comprising the actions of: scaling a pattern pitch in said first direction to be an integer multiple of said system pitch, adjusting the initial system pitch in said first direction to be an adjusted system pitch to maintain a scale of said pattern, adjusting said predetermined separation of exposure beams to said adjusted system pitch. | 07-02-2009 |
20090170017 | BEAM RECORDING APPARATUS AND BEAM ADJUSTMENT METHOD - An electron beam recording apparatus includes: a displacement detection unit including at least three displacement sensors disposed at each different angle in a radial direction of the turntable; a shape calculation unit for calculating, based on the detected displacements by the at least three displacement sensors, shape data corresponding to displacements of side surface of the turntable in the radial directions; a rotation runout computing unit for computing, based on the shape data and at least one displacement detected by the at least three displacement sensors, rotation runout of the turntable including a rotation asynchronous component and a rotation synchronous component; and a beam irradiation position adjustment unit for adjusting an irradiation position of the electron beam based on the rotation runout. | 07-02-2009 |
20090176168 | EXPOSURE DATA PREPARATION METHOD AND EXPOSURE METHOD - In the exposure data preparation method for charged particle beam exposure in which an exposure object is exposed while dose is adjusted for each pattern, the method including the steps of: classifying a pattern in terms of a target linewidth; setting a standard characteristic showing the relationship between a standard dose and a resultant linewidth of a resist pattern for a group of patterns having the target linewidth; and preparing exposure data by correcting a shape and dose so that a characteristic showing the relationship between dose of each pattern having the target linewidth and a resultant linewidth of a resist pattern follows the standard characteristic. | 07-09-2009 |
20090181316 | SUBSTRATE PROCESSING METHOD, PROGRAM, COMPUTER-READABLE STORAGE MEDIUM, AND SUBSTRATE PROCESSING SYSTEM - In a pattern measuring unit installed in a coating and developing treatment system, the height of a pattern formed on a substrate is measured using the Scatterometry method. Based on the measured height of the pattern, an appropriate number of rotations of the substrate during application of a coating solution is calculated, so that the rotation of the substrate during the application is controlled by the calculated number of rotations of the substrate. Since the number of rotations of the substrate when the coating solution is applied to the substrate is controlled, it is unnecessary to stop the system which performs photolithography processing on the substrate, resulting in improved productivity of the substrate. | 07-16-2009 |
20090186286 | Method To Control Semiconductor Device Overlay Using Post Etch Image Metrology - A method of determining positioning error between lithographically produced integrated circuit patterns on at least two different lithographic levels of a semiconductor wafer comprising. The method includes exposing, developing and etching one or more lithographic levels to create one or more groups of marks comprising a target at one or more wafer locations. The method then includes exposing and developing a subsequent group of marks within the target on a subsequent lithographic level. The method then comprises measuring the position of the marks on each level with respect to a common reference point, and using the measured positions of the groups of marks to determine the relative positioning error between one or more pairs of the developed and etched lithographic levels on which the marks are located. | 07-23-2009 |
20090197188 | Method for a multiple exposure beams lithography tool - An aspect of the present invention includes a method for patterning a workpiece covered at least partly with a layer sensitive to electromagnetic radiation by simultaneously using a plurality of exposure beams. In an example embodiment it is determined if any of the beams have an actual position relative to a reference beam which differs from its intended position. An adjustment of the exposure dose for a wrongly positioned beam is performed if said beam is printed at en edge of a feature. Other aspects of the present invention are reflected in the detailed description, figures and claims. | 08-06-2009 |
20090197189 | FOCUS MEASUREMENT METHOD AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - In a focus measurement method and a method of manufacturing a semiconductor device relating to the present invention, a focus value is obtained by using a fluctuation where shrinkage of a resist pattern by an electron beam irradiation depends upon the focus value. In the case of obtaining the focus value, the shrinkage of the resist pattern for a focus measurement formed by exposure to be subject for a focus value measurement is measured. The focus value corresponding to the shrinkage is obtained from the pre-obtained focal dependency of the shrinkage. A focal shift length can be defined from a difference between the focus value and a predetermined best focus value. | 08-06-2009 |
20090208855 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus is configured to project a pattern of an original onto a substrate using a projection optical system, thereby exposing the substrate, and comprises a substrate stage configured to hold the substrate, a first detector configured to detect positions of marks on the substrate in a first direction and a second direction orthogonal to each other in a plane perpendicular to an optical-axis direction of the projection optical system, and a controller configured to control the first detector to detect the position of a mark on the substrate while moving the substrate stage substantially along the first direction, and control the first detector to detect the position of a mark on the substrate while moving the substrate stage substantially along the second direction, thereby controlling positioning and exposure of the substrate based on the detection results obtained by the first detector. | 08-20-2009 |
20090214962 | EXPOSURE APPARATUS - An exposure apparatus includes a plurality modules and a controller, each module exposes a pattern of an original onto a substrate by using light from a light source, wherein each module includes a position detector configured to detect a position of the original or the substrate that has an alignment mark used for an alignment between the original and each shot on the substrate, wherein the controller has information relating to an alignment error of a detection result by the position detector which is set to each module, and wherein the exposure apparatus further includes a reducing unit configured to reduce a difference of the alignment error among modules. | 08-27-2009 |
20090214963 | SUBSTRATE PROCESSING METHOD, COMPUTER-READABLE STORAGE MEDIUM, AND SUBSTRATE PROCESSING SYSTEM - The present invention has: a first step of measuring, as an initial condition of a substrate, any of a film thickness of a processing film on the substrate, a refractive index of the processing film, an absorption coefficient of the processing film, and a warpage amount of the substrate; a second step of estimating a dimension of a pattern of the processing film after predetermined processing from a previously obtained first relation between the initial condition and the dimension of the pattern of the processing film based on a measurement result of the initial condition; a third step of obtaining a correction value for a processing condition of the predetermined processing from a previously obtained second relation between the processing condition of the predetermined processing and the dimension of the pattern of the processing film based on an estimation result of the dimension of the pattern; a fourth step of correcting the processing condition of the predetermined processing based on the correction value; and a fifth step of performing predetermined processing on the substrate under the corrected processing condition to form the predetermined pattern in the processing film on the substrate. | 08-27-2009 |
20090214964 | Semiconductor device manufacturing method, semiconductor device manufacturing equipment, and computer readable medium - A semiconductor device manufacturing method, a semiconductor device manufacturing equipment and a computer readable medium storing a computer program provide for easily identifying a cause of a deviation of pattern dimensions from the objective dimension. | 08-27-2009 |
20090220871 | Device Manufacturing Method, Lithographic System, Lithographic Apparatus and Design for Manufacturing System - In an embodiment, a device manufacturing method for transferring a pattern from a patterning device onto a substrate includes receiving a design layout information associated with a device, determining the pattern from the design layout information, providing the pattern to a patterning device, determining feed-forward requirement data from the design layout information, wherein the feed-forward requirement data includes at least first feed-forward requirement data related to a first location in the pattern and second feed-forward requirement data related to a second location in the pattern, determining a transfer condition from at least at least the first and the second feed-forward requirement data; and transferring a portion of the pattern from the patterning device onto the substrate based at least in part on the transfer condition. | 09-03-2009 |
20090220872 | DETECTING APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A detecting apparatus includes a image pickup device configured to supply an output signal, an imaging optical system configured to form an image of an alignment mark formed on a substrate onto the image pickup device, and a signal processing unit including a restoration filter having a parameter that can be set, and configured to process the output signal and detect a position of the alignment mark, wherein the signal processing unit is configured to cause the restoration filter to act upon the output signal and generate a restoration signal, compute based on the restoration signal, for each of a plurality of candidate values of the parameter, a corresponding feature value relating to a form of the alignment mark, and set the parameter based on the computed feature values. | 09-03-2009 |
20090220873 | BELT SKEW CORRECTION CONTROLLING METHOD, BELT TRANSPORTATION DEVICE, AND RECORDING APPARATUS - A belt skew correction controlling method includes detecting a skew speed of a wound endless belt, calculating a difference between the skew speed that is acquired in the detecting of the skew speed and the initial target value, determining whether a value acquired in the calculating of the difference is within a predetermined range, maintaining an angle of a skew correcting roller that is wound around the endless belt and can be inclined in a case where the value is determined to be within the predetermined range in the determining of whether the value is within the predetermined range. | 09-03-2009 |
20090220874 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes an optical path in which a plurality of optical units are arranged and which includes a portion of projecting a pattern of an original onto a substrate to expose the substrate to light, and a controller configured to monitor deteriorations, due to light, of the plurality of optical units, wherein the controller is configured to monitor each of a plurality of sections of the optical path each of which includes at least one optical unit, for deterioration of the at least one optical unit belonging to a corresponding section. | 09-03-2009 |
20090233193 | PATTERN PREDICTION METHOD, PATTERN CORRECTION METHOD, METHOD OF FABRICATING SEMICONDUCTOR DEVICE, AND RECORDING MEDIUM - A pattern prediction method according to an embodiment includes: predicting a second pattern shape from a first pattern shape by using a conversion function and a conversion difference residual error amount function, wherein; the conversion function makes the connection between the first pattern formed by a first step and the second pattern formed by a second step following the first step based on contour shapes of the first pattern and the second pattern, and the conversion difference residual error amount function makes the connection between a residual error amount between a predicted shape of the second pattern obtained from the conversion function and the second pattern shape obtained by actually using the second step, and factors other than the contour shapes of the first pattern and the second pattern. | 09-17-2009 |
20090233194 | PARAMETER DETERMINATION METHOD, EXPOSURE METHOD, DEVICE FABRICATION METHOD, AND STORAGE MEDIUM - The present invention provides a parameter determination method of determining an optical parameter and a process parameter by using an optical simulator which calculates a resist image to be formed on a resist applied on a substrate, based on the optical parameter of an exposure apparatus which transfers a pattern of a mask onto the substrate, and a process simulator which calculates a process image to be formed on the substrate, based on the process parameter representing information concerning the resist and information concerning a process to be performed on the resist. | 09-17-2009 |
20090233195 | LINEWIDTH MEASURING METHOD, IMAGE-FORMING-STATE DETECTING METHOD, ADJUSTMENT METHOD, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A pattern area that includes a plurality of line patterns with a predetermined spacing therebetween formed on a wafer is imaged, and based on the imaging results, a contrast value of an image of the pattern area is computed, and the computed contrast value is converted into the linewidth of the line pattern based on known conversion information. Therefore, even if the pattern area is imaged using a microscope having a low resolving power, e.g. an image-forming type alignment sensor or the like, without using the SEM, the linewidth of the line pattern can be measured with high precision. Accordingly, linewidth measurement with low cost and high throughput becomes possible. | 09-17-2009 |
20090246654 | METHOD FOR EVALUATING LITHOGRAPHY APPARATUS AND METHOD FOR CONTROLLING LITHOGRAPHY APPARATUS - An evaluation method for lithography apparatus including a coating unit, an exposure unit, a heating unit and a development unit, the evaluation method including forming an evaluation resist pattern by using the lithography apparatus, the evaluation resist pattern including first and second evaluation patterns, the first and second evaluation patterns having different peripheral environments, measuring dimensions of the first and second evaluation patterns to obtain a dimensional difference between the first and second resist evaluation patterns, estimating an exposure dose of a resist when the resist is exposed by the exposure unit, the estimating the exposure dose being performed based on the dimensional difference between the first and second resist evaluation patterns, and estimating an effective heating temperature of the resist when the resist is heated by the heating unit, the estimating the effective heating temperature being performed based on the estimated exposure dose and the dimensional difference. | 10-01-2009 |
20090246655 | ELECTRON BEAM WRITING APPARATUS AND METHOD - A Z stage is placed on an XY stage in avoidance of an area to which a mark table is fixed. The mask M is placed on a holding mechanism provided on the Z stage. A middle value of the range adjustable by the focal adjustment mechanism is made coincident with the height of the mark table. The height of the mark table is measured and the heights of plural measurement points of the mask M are measured. The Z stage is moved in such a manner that the height of a middle value between highest and lowest values of the heights of these measurement points coincides with the height of the mark table. | 10-01-2009 |
20090258304 | SUBSTRATE PROCESSING METHOD, PROGRAM, COMPUTER-READABLE STORAGE MEDIUM AND SUBSTRATE PROCESSING SYSTEM - In the present invention, patterning for the first time is performed on a film to be worked above the front surface of a substrate, and the actual dimension of the pattern formed by the patterning for the first time is measured. Based on the dimension measurement result of the patterning or the first time, the condition of patterning for the second time is then set. In this event, the condition of the patterning for the second time is set so that a difference between the dimension of the patterning for the first time and its target dimension is equal to a difference between the dimension of the patterning for the second time and its target dimension. Thereafter, the patterning for the second time is performed under the set patterning condition. | 10-15-2009 |
20090258305 | MEMORY MEDIUM STORING ORIGINAL DATA GENERATION PROGRAM, MEMORY MEDIUM STORING ILLUMINATION CONDITION DETERMINATION PROGRAM, ORIGINAL DATA GENERATION METHOD, ILLUMINATION CONDITION DETERMINATION METHOD, AND DEVICE MANUFACTURING METHOD - A memory medium stores a program for generating data on an original pattern used in an exposure apparatus forming an image of a target pattern on a substrate, the program comprising a determination step of determining a final assist pattern based on a light intensity distribution formed by a projection optical system when, of a main pattern and an assist pattern to accompany the main pattern which form the original pattern, only the assist pattern is inserted in an object plane of the projection optical system, and a combining step of combining the final assist pattern and the main pattern to generate data on the original pattern, wherein in the determination step, the final assist pattern is determined by repeating a process of calculating and evaluating the light intensity distribution, and a process of changing the assist pattern to be inserted in the object plane of the projection optical system. | 10-15-2009 |
20090263735 | EXPOSURE APPARATUS - An exposure apparatus includes a plurality of module each of which is configured to expose a pattern of an original onto the substrate using light from a light source, each module including a projection optical system configured to project the pattern of the original onto the substrate and designed to have an identical structure, and a controller configured to control exposures of the plurality of modules using a correction value that is set for each module and configured to correct a scatter of an imaging characteristic of the pattern of the original to be exposure onto the substrate, the controller obtaining the correction value from an inspection result obtained by sequentially mounting an inspection original onto each module. | 10-22-2009 |
20090263736 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A pattern image generation device generates a pattern image, and at least a part of the pattern image which has been generated or the pattern image which is generated and is formed on an object is photoelectrically detected by a detection system. Then, a correction device corrects design data that should be input to the pattern image generation device based on the detection results. Accordingly, a pattern image is generated on an object by the pattern image generation device corresponding to the input of the design data after the correction, and because the object is exposed using the pattern image, a desired pattern is formed on the object with good precision. | 10-22-2009 |
20090269685 | Position aligning apparatus, position aligning method, and semiconductor device manufacturing method - A position aligning apparatus performs position alignment of a pattern in a current process of a pattern exposure process by using a pattern formed before the current process. The position aligning apparatus includes: a correction calculating section configured to calculate a correction value set of a current lot about each of misalignments in scale and rotation of a pattern in a chip in the current process based on a correction value set in an immediately-preceding lot in the current process, a completeness value set in the immediately-preceding lot in the current process, a summation of completeness value sets in the immediately-preceding lot to a process immediately-preceding to the current process, and a summation of completeness value sets in the current lot to the immediately-preceding process; and a correction control unit configured to control correction of the scale and the rotation of the pattern in the chip by using the correction value sets. | 10-29-2009 |
20090269686 | SUBSTRATE PROCESSING METHOD, COMPUTER-READABLE STORAGE MEDIUM AND SUBSTRATE PROCESSING SYSTEM - A processing temperature of thermal processing is corrected based on measurement of a first dimension of a resist pattern on a substrate from a previously obtained relation between a dimension of a resist pattern and a temperature of thermal processing, a second dimension of the resist pattern after thermal processing is performed at the corrected processing temperature is measured, a distribution within the substrate of the second dimension is classified into a linear component expressed by an approximated curved surface and a nonlinear component, a processing condition of exposure processing is corrected based on the linear component from a previously obtained relation between a dimension of a resist pattern and a processing condition of exposure processing, and thermal processing at the processing temperature corrected in a temperature correcting step and exposure processing under the processing condition corrected in an exposure condition correcting step are performed to form a predetermined pattern. | 10-29-2009 |
20090274963 | MEASUREMENT APPARATUS, MEASUREMENT METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A measurement apparatus which illuminates a pattern positioned on an object plane to form an aerial image | 11-05-2009 |
20090274964 | MEASURING APPARATUS AND EXPOSURE APPARATUS HAVING THE SAME - A measuring apparatus for measuring an optical performance of an optical system under test that has a numerical aperture of more than 0.6 at a light exit side, said measuring apparatus includes a numerical aperture decreasing part for decreasing the numerical aperture of a light exited from the optical system under test to 0.6 or less, and a detector for detecting an interference fringes formed by the light that passes through the numerical aperture decreasing part. | 11-05-2009 |
20090280417 | METHOD FOR FABRICATING MOLD CORE - An exemplary method for fabricating a mold core includes the following steps. First, a substrate is provided. Second, a photo resist layer is formed on the substrate, the photo resist layer has a top surface. Third, the photo resist layer is etched using a direct writing process to form the top surface thereof into a substantially aspherical stepped surface. Lastly, the photo resist layer is softened using a reflow process to transform the substantially aspherical stepped surface into a substantially aspherical smooth molding surface. Thereby, a mold core having the substantially aspherical smooth molding surface is obtained. | 11-12-2009 |
20090280418 | EXPOSURE APPARATUS, CORRECTION METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus comprising a projection optical system configured to project a pattern of an original onto a substrate; and a control unit, wherein the control unit acquires a result of measuring a line width of an image of a first mark and a position of an image of a second mark, wherein the first mark and the second mark are formed on the substrate at each position while gradually changing a position of a substrate stage in an optical-axis direction, and derives a position shift amount of the image of the second mark formed on the substrate held by the substrate stage at a position, in the optical-axis direction, at which an extremum of a change of line width of the image of the first mark is measured. | 11-12-2009 |
20090286172 | SURFACE SHAPE MEASUREMENT APPARATUS AND EXPOSURE APPARATUS - A surface shape measurement apparatus is configured to measure a surface shape of an object to be measured, and includes a beam splitter configured to split white light from a light source into two light beams, a pair of prisms each configured to increase an incident angle of each light beam that has been split by the beam splitter and directed to the object or a reference surface, each prism having an antireflection part that is formed at a period of a wavelength of the white light or smaller and has a moth-eye shape, a superimposition unit configured to superimpose object light from the object with reference light from the reference surface and has passed the second prism, and to generate white interference light, and a Lyot filter configured to discretely separate the white interference light for each of a plurality of wavelengths. | 11-19-2009 |
20090286173 | ELECTRONIC COMPONENT FORMING APPARATUS, ELECTRONIC COMPONENT FORMED WITH IT AND FORMING METHOD THEREOF - An electronic component forming apparatus for forming an electronic component by radiating light to a photosensitive conductive resin provided on a forming work material, comprising radiation device for radiating light to the forming work material, detection device for detecting reflecting light reflected from the forming work material, and control device for controlling the radiating light responsive to an amount of the light detected by the detection device. | 11-19-2009 |
20090286174 | MANUFACTURING METHOD AND MANUFACTURING SYSTEM OF SEMICONDUCTOR DEVICE - In an exposure process forming a predetermined circuit pattern of a semiconductor device on a wafer, a resist dimension of the resist pattern formed on a wafer and a focus position in the exposure process at past time are measured, a resist dimension and a focus position of a wafer to which the exposure process is secondly performed are estimated by using measurement results of these measured resist dimension and focus position, and a focus offset value is calculated by using estimated values of these estimated resist dimension and focus position, and then, an exposure dose is calculated as considering this focus offset value, and a resist pattern is formed on the wafer to which the exposure process is performed by using these calculated exposure dose and focus offset value. | 11-19-2009 |
20090291374 | Exposure aligning method and exposure apparatus - In an exposure aligning method, a first shift amount indicating a shift amount of a lower layer pattern of an exposure target substrate from an origin point position is determined and a second shift amount indicating a shift amount of the lower layer pattern in at lease one past lot which has been processed before said exposure target substrate is processed, from the origin point position is determined. A third shift amount indicating a difference between the first shift amount and the second shift amount is calculated and a first correction value is determined based on the third shift amount. An exposure position of an exposure target pattern is adjusted based on the first correction value. | 11-26-2009 |
20090305150 | Exposure method, exposure apparatus, and device manufacturing method - An exposure method comprises: forming an immersion region on a substrate; exposing the substrate by irradiating the substrate with an exposure light via a liquid of the immersion region; and preventing an integration value of a contact time during which the liquid of the immersion region and a first region on the substrate are in contact, from exceeding a predetermined tolerance value. | 12-10-2009 |
20090305151 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A detection method for detecting a property of an extended pattern formed by at least one line generally extending in a first direction. The extended pattern is formed on a substrate or on a substrate table and preferably extends over a length of at least 50× the width of the line. The extended pattern is focus sensitive. The detection method includes moving the substrate table in a first direction and measuring along that first direction a property of the extended pattern. The property can be a result of a physical property of the extended pattern in a second direction perpendicular to the first direction. In a next step a calibration of the substrate table position can be derived from the measured position of the extended pattern. | 12-10-2009 |
20090305152 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A semiconductor device manufacturing method has forming a first resist pattern on the semiconductor substrate, and then, forming a first pattern on the semiconductor substrate by the use of the first resist pattern, and forming a second resist pattern on the semiconductor substrate by using an imprinter, and then, forming a second pattern on the semiconductor substrate by the use of the second resist pattern. The forming the first pattern, the first pattern smaller than a design pattern corresponding to the design data for forming a plurality of patterns on a semiconductor substrate being formed. | 12-10-2009 |
20090305153 | SUBSTRATE PROCESSING METHOD AND MASK MANUFACTURING METHOD - A substrate processing method uses a processing fluid to selectively process only a region of a portion of a processing surface of a substrate to be processed, by causing a discharge aperture and a suction aperture of a nozzle having the discharge aperture and the suction aperture for the processing fluid and provided movable relative to the substrate to be processed to face the processing surface of the substrate and suctioning the processing fluid supplied onto the processing surface through the suction aperture while supplying the processing fluid from the discharge aperture onto the processing surface. | 12-10-2009 |
20090311615 | METHOD OF PHOTOLITHOGRAPHIC PATTERNING - A method of photolithographic patterning mainly includes: converting a first photolithographic pattern by a digital transformation in a first magnification to a second photolithographic pattern; producing a first optical reticle corresponding to the second photolithographic pattern by an initial lithography in a 1-to-1 image transfer; fabricating a second optical reticle on a transparent substrate by a first photolithography in a first demagnification corresponding to the first optical reticle; and fabricating a microscopic pattern of same dimension as the first photolithographic pattern on a wafer substrate by a second demagnification using the second optical reticle. The multiplication of the first magnification by the first demagnification by the second demagnification equals one. The present invention implements fine patterning on a wafer substrate so as to improve efficiency of photolithographic application. | 12-17-2009 |
20090325087 | Parallel Process Focus Compensation - Disclosed are systems, methods, and computer program products for parallel process focus compensation. Such methods may include three steps. First, a first sensor senses a top surface of a wafer to provide first-sensor data which defines a first topographic map of the first surface of the wafer. The first sensor may be, for example, an air gauge. Second, a second sensor senses the top surface of the wafer in parallel with the first sensor to provide second-sensor data which defines a second topographic map of the first surface of the wafer. The second sensor may be, for example, an optical sensor or a capacitance sensor. Third, a calibration module calibrates focus-positioning parameters of an exposure system based on the first- and second-sensor data. The calibration module may be embodied in hardware, software, firmware, or a combination thereof. | 12-31-2009 |
20090325088 | Method and apparatus for overlay compensation between subsequently patterned layers on workpiece - Methods and apparatuses for patterning workpieces are provided. The methods and apparatuses described herein improve overlay between subsequently patterned layers on a workpiece by introducing an improved alignment method that compensates for workpiece distortions. | 12-31-2009 |
20100009274 | SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING SYSTEM - There is disclosed a substrate processing method by a multi-patterning technique, which comprises a lithography process and an etching process, each of the processes is performed to one substrate at least twice. The substrate processing method is performed by using a substrate processing system comprising a plurality of process units for performing respective steps of the lithography process. When a second lithography process is performed to a substrate, process unit(s) for performing one or more steps of the second lithography process to be used in the second lithography process is automatically selected based on the process history of the first lithography process in such a way that the process unit(s) to be used in the second lithography process is (are) identical to the processed unit(s) used in the first lithography process. | 01-14-2010 |
20100009275 | EXPOSURE METHOD AND MEMORY MEDIUM STORING COMPUTER PROGRAM - A method comprises determining an exposure condition by executing a process including computing an image formed on an image plane under the current exposure condition while changing the exposure condition, and evaluating a line width of the computed image, and exposing the substrate under the determined exposure condition, wherein the determining includes, computing a simplified evaluation value of the computed image, changing the exposure condition and executing the process in the changed exposure condition, after evaluating the computed image if the simplified evaluation value satisfies an allowable value, and changing the exposure condition and executing the process in the changed exposure condition without evaluating the computed image if the simplified evaluation value does not satisfy the allowable value. | 01-14-2010 |
20100015536 | PHOTORESIST SOLUTION DISPENSING VOLUME MONITORING SYSTEM AND METHOD THEREOF - A photoresist solution dispensing volume monitoring system comprises: a photoresist solution dispensing apparatus having a photoresist bottle storing photoresist solution; and a weight scale being installed at the circumferential surface of the photoresist bottle, the weight scale measuring the weight of photoresist solution within the photoresist bottle. Via this arrangement, the monitoring system can monitor the practical photoresist solution dispensing volume and the predefined photoresist solution dispensing volume. If the two volumes are not the same, an alarm message will be produced to inform users. So the users can immediately examine or repair the photoresist solution dispensing apparatus. This present invention further provides a photoresist solution dispensing volume monitoring method. | 01-21-2010 |
20100015537 | BEAM DOSE COMPUTING METHOD AND WRITING METHOD AND RECORD CARRIER BODY AND WRITING APPARATUS - A beam dose computing method includes specifying a matrix of rows and columns of regions as divided from a surface area of a target object to include first, second and third regions of different sizes, the third regions being less in size than the first and second regions, determining first corrected doses of a charged particle beam for correcting fogging effects in the first regions, determining corrected size values for correcting pattern line width deviations occurring due to loading effects in the second regions, using said corrected size values in said second regions to create a map of base doses of the beam in respective ones of said second regions, using said corrected size values to prepare a map of proximity effect correction coefficients in respective ones of said second regions, using the maps to determine second corrected doses of said beam for correction of proximity effects in said third regions, and using the first and second corrected doses to determine an actual beam dose at each position on the surface of said object. | 01-21-2010 |
20100028790 | METHOD AND SYSTEM FOR REDUCING OVERLAY ERRORS IN SEMICONDUCTOR VOLUME PRODUCTION USING A MIXED TOOL SCENARIO - By taking into consideration the combination of the substrate holders in various lithography tools used during the imaging to two subsequent device layers, enhanced alignment accuracy may be accomplished. Furthermore, restrictive tool dedications for critical lithography processes may be significantly relaxed by providing specific overlay correction data for each possible process flow, wherein, in some illustrative embodiments, a restriction of the number of possible process flows may be accomplished by implementing a rule for selecting a predefined substrate holder when starting the processing of an associated group of substrates. | 02-04-2010 |
20100035167 | EXPOSURE METHOD, MASK DATA PRODUCING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - An exposure method has irradiating a mask with light based on an exposure performing condition, a first mask pattern and a second mask pattern being formed in the mask, and projecting images of the first mask pattern and second mask pattern onto a wafer through a projection lens, a lower-layer film material and a photoresist being sequentially laminated on the wafer, wherein the exposure performing condition is a condition on which, when exposure is performed on a predetermined exposure condition, the predetermined exposure condition is adjusted such that a difference between a wafer position at which a best focus is obtained for the image of the first mask pattern and a wafer position at which a best focus is obtained for the image of the second mask pattern falls within a predetermined range, the wafer position of the first mask pattern and the wafer position of the second mask pattern being predicted using film thicknesses and optical characteristics of the photoresist and the lower-layer film material. | 02-11-2010 |
20100035168 | PATTERN PREDICTING METHOD, RECORDING MEDIA AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE - A pattern predicting method according to one embodiment includes obtaining shape data of a target pattern from shape data of a second pattern to be formed by transferring a first pattern at predetermined process conditions by using a first neutral network, the target pattern being to be a target of the second pattern when the first pattern is transferred at the predetermined process conditions, so as to keep the transferred patterns within an acceptable range, the transferred patterns being formed by transferring the first pattern at process conditions changed from the predetermined process conditions and obtaining shape data of a new first pattern for forming the target pattern at the predetermined process conditions by using a second neutral network. | 02-11-2010 |
20100040964 | EXPOSURE APPARATUS, EXPOSURE METHOD AND METHOD OF MANUFACTURING DISPLAY PANEL SUBSTRATE - A range of a coordinate of drawing data supplied to a digital micromirror device (DMD) driving circuit | 02-18-2010 |
20100040965 | Exposure control for phase shifting photolithographic masks - Mask and integrated circuit fabrication approaches are described to facilitate use of so called “full phase” masks. This facilitates use of masks where substantially all of a layout is defined using phase shifting. In one embodiment, the phase shifting mask and the trim mask are exposed using substantially the same exposure conditions. These approaches facilitate better exposure profiles for the resulting ICs and can thus improve chip yield and increase throughput by reducing the need to alter settings and/or switch reticles between exposures. | 02-18-2010 |
20100047701 | ENHANCED IMAGING OF FEATURES - Methods for imaging patterns of features by thermal transfer involve controlling intensities of a plurality of beams. The intensities of beams that image edge portions of a feature may be set to be different from the intensities of beams that image interior portions of the feature. Beams that image home and away edges of a feature may be controlled to have different intensities. Apparatus for imaging patterns of features may comprise a controller configured to maintain intensity information for channels of a multi-channel imaging head. The controller uses the intensity information to set intensities of those channels that correspond to edge portions of features being imaged in a current swath of the imaging head. | 02-25-2010 |
20100047702 | RESIST PATTERN FORMING METHOD - A resist pattern forming method using a coating and developing apparatus and an aligner being connected thereto which are controlled to form a resist film on a surface of a substrate with a base film and a base pattern formed thereon, followed by inspecting at least one of a plurality of measurement items selected from: reflection ratio and film thickness of the base film and the resist film, line width after a development, an accuracy that the base pattern matches with a resist pattern, a defect on the surface after the development, etc. A parameter subject to amendment is selected based on corresponding data of each measurement item, such as the film thickness of the resist and the line width after the development, and amendment of the parameter is performed. This results in a reduced workload of an operator, and the appropriate amendment can be performed. | 02-25-2010 |
20100055585 | Method for Optical Proximity Correction of a Reticle to be Manufactured Using Variable Shaped Beam Lithography - A method for optical proximity correction (OPC) of a desired pattern for a substrate is disclosed in which a plurality of variable shaped beam (VSB) shots are determined which can form on a surface an OPC-corrected version of the desired substrate pattern. Shots within the plurality of VSB shots are allowed to overlap each other. Dosages of the shots may also be allowed to vary with respect to each other. The union of the plurality of shots may deviate from the OPC-corrected version of the desired pattern for the substrate. In some embodiments, optimization may be used to minimize shot count. In other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots, that is, glyphs. A method for creating glyphs is also disclosed, in which patterns that would result on a surface from one or a group of VSB shots are pre-calculated. | 03-04-2010 |
20100055586 | METHOD AND SYSTEM FOR FORMING CIRCULAR PATTERNS ON A SURFACE - A method for forming circular patterns on a surface using a character projection (CP) charged particle beam writer is disclosed, wherein circular patterns of different sizes may be formed using a single CP character, by varying dosage. A method for forming circular patterns on a surface using a variable shaped beam (VSB) charged particle beam writer is also disclosed, wherein the dosages of the shots may vary, and wherein the union of the shots is different than the set of target patterns. A method for forming circular patterns on a surface using a library of glyphs is also disclosed, wherein the glyphs are pre-calculated dosage maps that can be formed by one or more charged particle beam shots. | 03-04-2010 |
20100055587 | METHOD FOR DESIGN AND MANUFACTURE OF A RETICLE USING A TWO-DIMENSIONAL DOSAGE MAP AND CHARGED PARTICLE BEAM LITHOGRAPHY - In the field of semiconductor device production, a method for manufacturing a surface using two-dimensional dosage maps is disclosed. A set of charged particle beam shots for creating an image on the surface is determined by combining dosage maps for a plurality of shots into the dosage map for the surface. A similar method is disclosed for fracturing or mask data preparation of a reticle image. A method for creating glyphs is also disclosed, in which a two-dimensional dosage map of one or more shots is calculated, and the list of shots and the calculated dosage map are stored for later reference. | 03-04-2010 |
20100062351 | SURFACE POSITION DETECTING APPARATUS, EXPOSURE APPARATUS, SURFACE POSITION DETECTING METHOD, AND DEVICE MANUFACTURING METHOD - A surface position detecting apparatus according to an aspect of the present invention has a light-sending optical system which makes first light and second light from first and second patterns incident at different incidence angles to a predetermined surface to project an intermediate image of the first pattern and an intermediate image of the second pattern onto the predetermined surface; a light-receiving optical system which guides the first light and the second light reflected by the predetermined surface, to a first observation surface and to a second observation surface, respectively, to form an observation image of the first pattern and an observation image of the second pattern on the first and second observation surfaces; and a detecting section which detects a piece of position information of the observation image of the first pattern and a piece of position information of the observation image of the second pattern and calculates a surface position of the predetermined surface, based on the pieces of position information. The light-sending optical system has a sending-side reflecting section which reflects the second light having passed via sending-side common optical members, an even number of times to make the second light incident at the incidence angle smaller than that of the first light to the predetermined surface. | 03-11-2010 |
20100068634 | MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A measurement apparatus comprises a mirror configured to reflect test light which passes through an optical system, an interferometer unit which includes an image sensor and is configured to form an interference fringe on an image sensing plane of the image sensor by reference light and the test light reflected by the mirror, and a controller configured to control the interferometer unit, and to compute a numerical aperture of the optical system based on the interference fringe captured by the image sensor, wherein the controller is configured to compute a numerical aperture NA of the optical system by multiplying a quotient ΔNA/ΔR, describing a change ΔNA in numerical aperture NA of the optical system with respect to a change ΔR in pupil radius R of the optical system in the image sensing plane, by the pupil radius R of the optical system in the image sensing plane. | 03-18-2010 |
20100075238 | Variable Resist Protecting Groups - A method and system for patterning a substrate using a dual-tone development process is described. The method and system comprise using a resist material having a polymer backbone with a plurality of protecting groups attached thereto to improve process latitude and critical dimension uniformity for the dual-tone development process. | 03-25-2010 |
20100081072 | LITHOGRAPHIC APPARATUS AND METHOD - A lithographic method, among things is disclosed. The method includes using information at least indicative of a desired shape or size of a constituent part of a device to implement the desired shape or size of the constituent part of the device, the desired shape or size being related to a measured property of a layer of material in which the constituent part of the device is to be created, at least a part of the implementation comprising determining a configuration of a plurality of individually controllable elements that would be necessary to create in a radiation beam a pattern which is sufficient to implement the desired shape or size of the constituent part of the device when creating the constituent part of the device. | 04-01-2010 |
20100086865 | Measuring member, sensor, measuring method, exposure apparatus, exposure method, and device producing method - A measuring member has a first face and a plurality of first marks arranged on the first face. The first marks have respective orientations corresponding to their positions in a first direction. | 04-08-2010 |
20100092880 | METHOD AND APPARATUS FOR USING A SYNCHROTRON AS A SOURCE IN EXTREME ULTRAVIOLET LITHOGRAPHY - One embodiment of the present invention provides a method to facilitate using a synchrotron as a source in an extreme ultraviolet lithography (EUVL) system, wherein the synchrotron's energy decreases over time. The EUVL system can includes a stepper which uses a step-and-repeat process or a step-and-scan process to transfer patterns from a reticle onto a wafer. The wafer is desired to be exposed to a substantially constant dose. During operation, the system can measure a synchrotron current, and adjust the stepper's exposure duration or the stepper's scan speed based on the synchrotron current so that the wafer is exposed to the substantially constant dose. Note that using the synchrotron current to control the stepper can enable the EUVL system to expose the wafer to the substantially constant dose without using additional equipment to monitor the source's energy. | 04-15-2010 |
20100092881 | Process, Apparatus and Device - A lithographic apparatus includes an illumination system configured to condition a radiation beam, a support for a patterning device, a substrate table for a substrate, a projection system, and a control system. The patterning device is capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam. The projection system is configured to project the patterned radiation beam as an image onto a target portion of the substrate along a scan path. The scan path is defined by a trajectory in a scanning direction of an exposure field of the lithographic apparatus. The control system is coupled to the support, the substrate table and the projection system for controlling an action of the support, the substrate table and the projection system, respectively. The control system is configured to correct a local distortion of the image in a region along the scan path by a temporal adjustment of the image in that region, hereby reducing the intra-field overlay errors. | 04-15-2010 |
20100092882 | EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD - The present invention provides an exposure apparatus including a projection optical system configured to project a pattern of a reticle located on an object plane onto a substrate located on an image plane, a phase shift type mark mounted on a stage which holds the substrate, an image sensor which is set at one of a position of the object plane and a position optically conjugate to the object plane, and is configured to capture an image of the mark via the projection optical system, and a controller configured to control the stage based on an interval between edge images, formed by a pair of edge portions, in the image of the mark captured by the image sensor. | 04-15-2010 |
20100099034 | METHOD OF COMPENSATION FOR BLEACHING OF RESIST DURING THREE-DIMENSIONAL EXPOSURE OF RESIST - The field of this disclosure is making three-dimensional topographic structures by means of graduated exposure in a photosensitive material, such as a photoresist, photosensitive polymide, or similar. Such patterns may be written either to be used directly as optical, mechanical, fluidic, etc. components, e.g. diffusors, non-reflecting surfaces, Fresnel lenses and Fresnel prisms, computer-generated holograms, lenslet arrays, etc, or to be used as masters for the fabrication of such components by replication. Replication can be done by molding, pressing, embossing, electroplating, etching, as known in the art. This disclosure includes descriptions of using passive absorbing components in thin resist, using high gamma thick resists with high resolution pattern generators, using multiple focal planes including at least one focal plane in the bottom half of the resist, and iterative simulation of patterning and adjustment of an exposure map. | 04-22-2010 |
20100099035 | METHOD OF ITERATIVE COMPENSATION FOR NON-LINEAR EFFECTS IN THREE-DIMENSIONAL EXPOSURE OF RESIST - The field of this disclosure is making three-dimensional topographic structures by means of graduated exposure in a photosensitive material, such as a photoresist, photosensitive polymide, or similar. Such patterns may be written either to be used directly as optical, mechanical, fluidic, etc. components, e.g. diffusors, non-reflecting surfaces, Fresnel lenses and Fresnel prisms, computer-generated holograms, lenslet arrays, etc, or to be used as masters for the fabrication of such components by replication. Replication can be done by molding, pressing, embossing, electroplating, etching, as known in the art. This disclosure includes descriptions of using passive absorbing components in thin resist, using high gamma thick resists with high resolution pattern generators, using multiple focal planes including at least one focal plane in the bottom half of the resist, and iterative simulation of patterning and adjustment of an exposure map. | 04-22-2010 |
20100099036 | Pattern forming method and method of manufacturing semiconductor device - A pattern forming method includes forming a resist film on a substrate, coating the resist film with a coating solution which forms a cover film on the resist film to form the cover film on the resist film, transferring a pattern onto the resist film by an immersion lithography method using a liquid immersion fluid to form a latent image on the resist film, removing the cover film after the formation of the latent image, conducting a first inspection to inspect whether or not the cover film has a defect between said forming the latent image and said removing the cover film, performing predetermined processing when the defect is found in the first inspection, and developing the resist film to form a resist pattern on the substrate after said removing the cover film. | 04-22-2010 |
20100104959 | LITHOGRAPHIC METHOD, APPARATUS AND CONTROLLER - A method for lithographically applying a pattern to a substrate involves obtaining temperature as a function of time during a post exposure bake for one or more locations on a substrate coated with a layer of chemically amplified resist. A relationship between radiation dosage directed onto the chemically amplified resist and post-exposure concentration of accelerant generated in the chemically amplified resist layer by the radiation dosage is also obtained. Using a model relating the critical dimension to post-exposure concentration of accelerant, and temperature as a function of time across the one or more locations, a radiation dosage to obtain a specified critical dimension for the patterned substrate can be calculated. A substrate can be patterned using the calculated radiation dosage for each one or more location on the substrate such that a specified critical dimension is obtained. An apparatus and controller for putting the method into effect are also disclosed. | 04-29-2010 |
20100104960 | EXPOSURE APPARATUS - An exposure apparatus includes a controller configured to calculate a position of an alignment mark detected by a detector, to approximate a deformation of a substrate by using an approximation equation, to calculate a correction amount of each of the plurality of shots, and to control driving of a stage in exposing each shot based on a correction amount that is calculated. The approximation equation is defined as a sum of a first term representative of a deformation of the entire substrate, and at least one of a second term representative of a distortion of a shot arrangement and a third term representative of a shot shape. | 04-29-2010 |
20100104961 | Particle Beam Writing Method, Particle Beam Writing Apparatus and Maintenance Method for Same - A first exposure dose for a shot area based upon layout data is determined. A correction dose compensating a dose deviation between a first point in time, at which a control unit configured to control a shot time period of a particle beam writing apparatus considers a charged particle beam as having reached a nominal current density, and a second point in time, at which the charged particle beam has actually reached a nominal current density, at a target substrate is determined. | 04-29-2010 |
20100104962 | PATTERNING METHOD, EXPOSURE SYSTEM, COMPUTER READABLE STORAGE MEDIUM, AND METHOD OF MANUFACTURING DEVICE - A method includes measuring a line width of a pattern formed on a first substrate through forming a first edge and a second edge of the pattern on the first substrate, and determining, based on the measured line width, a correction value which corrects information for positioning the first substrate in the forming of the second edge so as to reduce variations in line width. The second edge is formed on a second substrate when positioning thereof in accordance with the information corrected by using the determined correction value. | 04-29-2010 |
20100112467 | PHOTOLITHOGRAPHY SYSTEMS AND ASSOCIATED METHODS OF OVERLAY ERROR CORRECTION - Several embodiments of photolithography systems and associated methods of overlay error correction are disclosed herein. In one embodiment, a method for correcting overlay errors in a photolithography system includes measuring a plurality of first overlay errors that individually correspond to a microelectronic substrate in a first batch of microelectronic substrates. The method also includes determining a relationship between the first overlay errors and a first sequence of the microelectronic substrates in the first batch. The method further includes correcting a second overlay error of individual microelectronic substrates in a second batch based on a second sequence of the microelectronic substrates in the second batch and the determined relationship. | 05-06-2010 |
20100112468 | SELF-CORRECTING SUBSTRATE SUPPORT SYSTEM FOR FOCUS CONTROL IN EXPOSURE SYSTEMS - A substrate support system for process tools, such as lithography tools, comprises a configuration in which a local height level adjustment may be accomplished. Thus, upon detecting a non-allowable height level, the corresponding portion of the substrate support surface may be re-adjusted. Hence, the focus conditions of advanced exposure processes may be significantly enhanced, thereby providing superior process results and also increasing tool utilization. | 05-06-2010 |
20100112469 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An apparatus includes an optical system for illuminating an original, a measurement device which includes an image sensor arranged on a substrate stage, and is configured to measure a characteristic of the optical system based on a light intensity distribution that is formed on an image sensing plane of the image sensor via the optical system and a measurement pattern, a calibration pattern arranged to form a light intensity distribution having a known shape on the image sensing plane, and a controller configured to calibrate the measurement device based on the light intensity distribution by the calibration pattern, and a theoretical light intensity distribution that is expected to be formed on the image sensing plane by the calibration pattern, wherein the calibration pattern is arranged around a region where the measurement pattern is arranged. | 05-06-2010 |
20100119960 | Dual Tone Development Processes - A method and system for patterning a substrate using a dual-tone development process is described. The method and system comprise using a resist material having a polymer backbone with a plurality of protecting groups attached thereto to improve process latitude and critical dimension uniformity for the dual-tone development process. | 05-13-2010 |
20100119961 | METHODS AND SYSTEM FOR LITHOGRAPHY CALIBRATION - A method of efficient optical and resist parameters calibration based on simulating imaging performance of a lithographic process utilized to image a target design having a plurality of features. The method includes the steps of determining a function for generating a simulated image, where the function accounts for process variations associated with the lithographic process; and generating the simulated image utilizing the function, where the simulated image represents the imaging result of the target design for the lithographic process. Systems and methods for calibration of lithographic processes whereby a polynomial fit is calculated for a nominal configuration of the optical system and which can be used to estimate critical dimensions for other configurations. | 05-13-2010 |
20100129739 | Surface Position Detection Apparatus, Exposure Apparatus, and Exposure Method - A surface position detection apparatus capable of highly precisely detecting the surface position of a surface to be detected without substantially being affected by relative positional displacement due to a polarization component occurring in a light flux having passed through a reflective surface. In the apparatus, a projection system has a projection side prism member ( | 05-27-2010 |
20100129740 | Method for Collecting Optical Proximity Correction Parameter - This invention relates to a method for collecting an Optical Proximity Correction parameter, which includes: performing an Optical Proximity Correction for a test line containing a non-right turning-angle to generate a to-be-exposed pattern having an assistant line; obtaining, by way of simulation or actual exposure, a formed line generated from the to-be-exposed pattern being exposed; and comparing the formed line with the test line to determine a difference there between so as to determine whether there is a redundant part and/or a missing part in the assistant line at location of the turning-angle of the test line. Being compared with the prior art, this invention sets the non-right turning-angle in the to-be-exposed test line. By comparing the formed line (which is generated by simulation or actual exposure) of the above test line with the test line itself, the redundant part and/or the missing part of the assistant line, as well as proximity data such as the position of the redundant part and/or the missing part and the like, can be obtained. Then, these proximity data can be used to correct and perfect the OPC model having a line containing a non-right turning-angle. | 05-27-2010 |
20100129741 | LITHOGRAPHIC APPARATUS AND METHODS FOR COMPENSATING SUBSTRATE UNFLATNESS, DETERMINING THE EFFECT OF PATTERNING DEVICE UNFLATNESS, AND DETERMINING THE EFFECT OF THERMAL LOADS ON A PATTERNING DEVICE - A lithographic apparatus includes an illumination system configured to condition a radiation beam; a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; a projection system configured to project the patterned radiation beam onto a target portion of the substrate, and a sensor configured to measure a height level, curvature and/or angle of a surface of a patterning device supported on the support. | 05-27-2010 |
20100129742 | METHOD FOR A LITHOGRAPHIC APPARATUS - A method of increasing a depth of focus of a lithographic apparatus is disclosed. The method includes forming diffracted beams of radiation using a patterning device pattern; and transforming a phase-wavefront of a portion of the diffracted beams into a first phase-wavefront having a first focal plane for the lithographic apparatus, and a second phase-wavefront having a second, different focal plane, wherein the transforming comprises: subjecting a phase of a first portion of a first diffracted beam and a phase of a corresponding first portion of a second diffracted beam to a phase change which results in an at least partial formation of the first phase-wavefront, and subjecting a phase of a second portion of the first diffracted beam and a phase of a corresponding second portion of the second diffracted beam to a phase change which results in an at least partial formation of the second phase-wavefront. | 05-27-2010 |
20100136468 | DIFFRACTION ORDER MEASUREMENT - The present invention relates to an integrated mastering system and a method for manufacturing master discs for optical media. The system according to the invention comprises means for recording information on a master disc including means for applying a material layer to a master disc, a laser beam recorder for illuminating the material layer in a desired pattern based on the information to be recorded, means for developing the material layer, means for determining the quality of the developed layer based on measurement of a light beam diffracted by the pattern recorded on the master disc, and means for controlling the means for recording on the basis of the quality of the master disc. According to the method of the present invention, the quality of the developed layer of a master disc is determined and the mastering process settings of a subsequent master disc are controlled. | 06-03-2010 |
20100143832 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an immersion lithographic apparatus, bubble formation in immersion liquid is reduced or prevented by reducing a gap size or area on a substrate table. The gap size is reduced using an edge member which may be, for example, a ring known as a BES (Bubble Extraction System) ring. Information regarding the shape and/or cross-sectional dimension (e.g., diameter) of the substrate, or information regarding the size of the gap, is transmitted to a controller that controls the edge member in order for the edge member, for example, to be reduced to an appropriate size to reduce the gap as much as possible, desirably without compressing the edge of the substrate. Alternatively or additionally, the gap may be reduced by moving the substrate and/or edge member adjacent the edge of a surface of the substrate table. | 06-10-2010 |
20100151365 | Patterning Methods and Masks - Masks for patterning material layers of semiconductor devices, methods of patterning and methods of manufacturing semiconductor devices, and lithography systems are disclosed. A lithography mask includes a pattern of alternating lines and spaces, wherein the lines and spaces comprise different widths. When the lithography mask is used to pattern a material layer of a semiconductor device, the pattern of the material layer comprises alternating lines and spaces having substantially the same width. | 06-17-2010 |
20100159372 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A substrate processing apparatus includes an indexer block, an anti-reflection film processing block, a resist film processing block, a development processing block, a resist cover film processing block, a resist cover film removal block, and an interface block. An exposure device is arranged adjacent to the interface block. The interface block includes first and second inspection units. The first inspection unit inspects the state of the substrate before exposure processing, and the second inspection unit inspects the state of the substrate after exposure processing. | 06-24-2010 |
20100167189 | Optically Compensated Unidirectional Reticle Bender - Patterning provided by a lithographic apparatus is optically corrected for focus errors that would result from a topology of a substrate being patterned. Focus control is provided in a cross-scan direction by bending a reticle about a scan axis based on a mapped topology of the substrate. The bending can be updated from field to field as the reticle is scanned. The bending may be unidirectional (e.g., down only), but an optical compensation element (e.g., a lens or mirror polished to a cylindrical shape or a transparent plate or mirror bent by a force actuator to a cylindrical shape) can be included in order to introduce either positive or negative curvature (or no curvature) to the beam wavefront, thereby simplifying the mechatronics of the bender. | 07-01-2010 |
20100167190 | PATTERN-CORRECTION SUPPORTING METHOD, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND PATTERN-CORRECTION SUPPORTING PROGRAM - Design data corresponding to a target layout pattern is created, a layout value of the created design data is changed, optical proximity correction is applied to a layout pattern obtained from the changed design data, a pattern on wafer formed on a wafer to correspond to the layout pattern is calculated by using a photomask on which the layout pattern subjected to the optical proximity correction is formed, and the pattern on wafer and the target layout pattern before the change of the layout value are compared. | 07-01-2010 |
20100173235 | METHOD AND APPARATUS FOR WRITING - A writing method includes calculating a proximity effect-corrected dose for correcting a proximity effect in charged particle beam writing, for each first mesh region made by virtually dividing a writing region of a target object into a plurality of first mesh regions of a first mesh size, calculating a fogging effect-corrected dose by using the proximity effect-corrected dose calculated and an area density in the first mesh size with respect to a part of a calculation region for calculating the fogging effect-corrected dose for correcting a fogging effect in the charged particle beam writing, and by using an area density in a second mesh size larger than the first mesh size with respect to a remaining part of the calculation region, synthesizing the fogging effect-corrected dose and the proximity effect-corrected dose for the each first mesh region, and writing a pattern on the target object by using a charged particle beam based on a synthesized correction dose. | 07-08-2010 |
20100173236 | EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE USING SAME - The exposure apparatus of the present invention is an exposure apparatus that illuminates an original with light from a light source so as to project a pattern of the original onto a substrate via a projection optical system for exposure, the exposure apparatus comprising a first shutter that shields light passing through a clearance between the light source and the projection optical system, and a control device that controls the opening and closing of the first shutter. While replacing a first original with a second original after an exposure operation has been completed by using the first original, the control device controls the first shutter such that the temperature of the projection optical system approaches closer to the projection optical system's saturation temperature that has been previously obtained via the second original. | 07-08-2010 |
20100173237 | Plate-making apparatus and printing plate manufacturing method - The plate-making apparatus according to an aspect of the present invention includes an exposure head which engraves a surface of a plate material by emitting a light beam toward the plate material; a scanning device which performs exposure scanning by relatively moving the plate material and the exposure head; a correction profile generation device which generates a correction profile for correcting an emission amount of the light beam emitted by the exposure head according to a characteristic difference of the plate material; and an exposure control device which, when a correction profile for a plate material to be used is generated by the correction profile generation device, uses the correction profile for the plate material to be used to control the emission amount of the light beam. | 07-08-2010 |
20100173238 | GAS CONTAMINATION SENSOR, LITHOGRAPHIC APPARATUS, METHOD OF DETERMINING A LEVEL OF CONTAMINANT GAS AND DEVICE MANUFACTURING METHOD - A gas contamination sensor includes an ion source configured to generate a beam of ions from a sample of gas to be tested, and first and second ion detectors, each positioned to receive ions from the beam of ions that are deflected by different extents. The first ion detector is configured to receive ions generated from a primary gas in the gas being tested, and the second ion detector is configured to receive ions that are generated from the contaminant gas within the sample being tested. | 07-08-2010 |
20100178602 | CHARGED PARTICLE BEAM WRITING APPARATUS AND DEVICE PRODUCTION METHOD - A charged particle beam writing apparatus includes an aperture array configured to be capable of forming a plurality of charged particle beams using a plurality of openings, an element array including a plurality of main elements and a plurality of auxiliary elements different from the main elements, and a control unit configured to acquire information associated with a defect of the plurality of main elements and control the element array in accordance with the information, wherein the control unit controls the element array such that only the main elements are used when there is no defect, while when there is a main element having a defect, an auxiliary element is used without using the main element having the defect. | 07-15-2010 |
20100183961 | INTEGRATED CIRCUIT LAYOUT DESIGN - Provided is a method including layout design of an integrated circuit. A first pattern is provided. The first pattern includes an array of dummy line features and a plurality of spacer elements abutting the dummy line features. A second pattern is provided. The second pattern defines an active region of an integrated circuit device. An edge spacer element of the active region is determined. A dummy line feature of the array of dummy line features is biased (e.g., increased in width), the dummy line feature is adjacent an edge spacer element. | 07-22-2010 |
20100183962 | METHOD FOR EXAMINING A WAFER WITH REGARD TO A CONTAMINATION LIMIT AND EUV PROJECTION EXPOSURE SYSTEM - A method for examining at least one wafer ( | 07-22-2010 |
20100183963 | METHOD FOR DESIGN AND MANUFACTURE OF A RETICLE USING A TWO-DIMENSIONAL DOSAGE MAP AND CHARGED PARTICLE BEAM LITHOGRAPHY - In the field of semiconductor device production, a method for manufacturing a surface using two-dimensional dosage maps is disclosed. A set of charged particle beam shots for creating an image on the surface is determined by combining dosage information such as dosage maps for a plurality of shots into the dosage map for the surface. A similar method is disclosed for fracturing or mask data preparation of a reticle image. | 07-22-2010 |
20100190098 | INFRARED ENDPOINT DETECTION FOR PHOTORESIST STRIP PROCESSES - Methods and apparatus for monitoring and detecting absorbed infrared radiation endpoint(s) are provided herein. In some embodiments, a method for determining an endpoint of a photoresist removal process may include removing a photoresist from a substrate disposed in a process chamber using reactive species provided to the process chamber from a remote plasma source. Infrared radiation is directed into the at least one of the reactive species or process byproducts while removing the photoresist. A quantity of infrared radiation absorbed by at least one of the reactive species or process byproducts during the removal process is monitored. The photoresist removal process may be ended based upon the monitored quantity reaching a predetermined level. | 07-29-2010 |
20100196809 | SEMICONDUCTOR DEVICE FABRICATION METHOD AND SEMICONDUCTOR DEVICE - A resist pattern ( | 08-05-2010 |
20100203433 | Manufacturing method for a semiconductor device - In a manufacturing method for divisionally exposing a wafer, a focus correction processing is performed after a shot is moved to a position where the focus correction processing for all foci is enabled when the shot is at a wafer outer periphery, and a portion overlapped with an adjacent exposure area is shielded from light by a reticle blind to expose only an opening area unshielded by the reticle blind. | 08-12-2010 |
20100203434 | SUBSTRATE TREATMENT METHOD AND SUBSTRATE TREATMENT SYSTEM - After a cassette is mounted on a cassette mounting part, a control unit instructs a substrate treatment apparatus to start treatment on substrates in the cassette. Thereafter, the control unit indicates, to the substrate treatment apparatus, a cassette on the cassette mounting part to which a substrate is transferred at completion of the treatment. If the transfer destination cassette for the substrate at the completion of treatment has not been indicated when a number of remaining treatment steps for the substrate reaches a predetermined set number, an alarm is given from the substrate treatment apparatus. This alarm is sent from the substrate treatment apparatus to the control unit, and the control unit indicates a transfer destination cassette for the substrate. | 08-12-2010 |
20100209830 | Multi-Pitch Scatterometry Targets - The invention can provide a method of processing a substrate using multi-pitch scatterometry targets (M-PSTs) for de-convolving lithographic process parameters during Single-Patterning (S-P), Double-Patterning (D-P) procedures, and Double-Exposure (D-E) procedures. | 08-19-2010 |
20100209831 | Method for correcting a position error of lithography apparatus - A method for correcting a position error of a lithography apparatus comprises inputting position data of exposure pattern, irradiating laser light onto a position reference mask from a position measurement laser system, calculating actual position data of the laser light irradiated onto the position reference mask, and comparing the position data of the exposure pattern with the actual position data of the laser light irradiated onto the position reference mask. With this method, circuit patterns can be accurately formed at predetermined positions on a photomask, and the circuit patterns on the photomask can be accurately formed at predetermined positions on a wafer. | 08-19-2010 |
20100209832 | MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD - The present invention provides a measurement apparatus which measures a surface shape of a measurement target surface, the apparatus including an optical system configured to split light from a light source into measurement light and reference light, guide the measurement light onto the measurement target surface, and guide the reference light onto a reference surface, a detection unit configured to detect an intensity of the measurement light reflected by the measurement target surface, an intensity of the reference light reflected by the reference surface, and an interference pattern formed between the measurement light reflected by the measurement target surface and the reference light reflected by the reference surface, and a processing unit configured to obtain a surface shape of the measurement target surface based on an interference signal of the interference pattern detected by the detection unit. | 08-19-2010 |
20100216064 | SEMICONDUCTOR-DEVICE MANUFACTURING METHOD, COMPUTER PROGRAM PRODUCT, AND EXPOSURE-PARAMETER CREATING METHOD - A semiconductor-device manufacturing method includes: correcting a systematic component of process proximity effect, which occurs in a process other than exposure processing to thereby set a target pattern after exposure; adjusting an exposure parameter such that a difference between a dimension of the target pattern and a pattern dimension after the exposure is within tolerance; and forming, when an exposure margin calculated from the exposure parameter by using the exposure the random component of fluctuation in the process proximity effect is within the tolerance, a pattern on a semiconductor substrate with the adjusted exposure parameter. | 08-26-2010 |
20100227262 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device, includes: supplying a liquid resist containing a water-repellent additive to a surface of a rotating semiconductor wafer fixed to a rotary support to form a resist film to a design thickness on the surface of the semiconductor wafer; spin drying the resist film; bringing a liquid into contact with the resist film and exposing the resist film through the liquid after the spin drying; developing the resist film to form a resist pattern; and performing processing on the semiconductor wafer. | 09-09-2010 |
20100227263 | POSITION DETECTOR AND EXPOSURE APPARATUS - A position detector includes a first planar encoder including a first encoder head unit mounted on a test object that is a movable member, and a first grating unit mounted on a fixed member, the first planar encoder being configured to detect a position of the test object in two directions by measuring a position of the first grating unit using the first encoder head unit, and a second planar encoder including a second encoder head unit mounted on the fixed member, and a second grating unit mounted on the movable member, the second planar encoder being used to generate data for calibrating the position of the first grating unit measured by the first encoder head unit. | 09-09-2010 |
20100233598 | PATTERN CORRECTING APPARATUS, MASK-PATTERN FORMING METHOD, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A mask-pattern correcting apparatus according to an embodiment of the present invention includes: a pattern-shape variable mask, transmittance or reflectance of which can be changed; a light-receiving element unit that detects an optical image of a mask pattern formed by light irradiated on the pattern-shape variable mask; and a control unit that controls the pattern-shape variable mask to form a mask pattern according to a shape of a design layout and determines a correction amount of the mask pattern such that a difference between an optical image obtained by the light-receiving element unit and the design layout is within a predetermined range. | 09-16-2010 |
20100233599 | Lithographic Apparatus and Device Manufacturing Method - A lithographic system includes a monitored lithographic projection apparatus arranged to project a patterned beam onto a substrate. A scatterometer measures a plurality of parameters of the pattern transferred to the substrate including at least one CD-profile parameter and at least one further parameter of the pattern transferred to the substrate which is indicative of a machine setting of the monitored lithographic projection apparatus. A matching system includes a database storing information representative of reference CD values and reference values for the further feature. A comparison arrangement compares the measured values with the corresponding stored values, a lithographic parameter calculation means calculating a corrected set of machine settings for the monitored lithographic apparatus dependent on the differences between the measured and reference values. | 09-16-2010 |
20100233600 | LEVEL SENSOR ARRANGEMENT FOR LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A level sensor arrangement is useable for measuring a height of a surface of a substrate in a lithographic apparatus. The level sensor arrangement is provided with a light source emitting detection radiation towards the substrate, and a detector unit for measuring radiation reflected from the substrate in operation. The light source is arranged to emit detection radiation in a wavelength range in which a resist to be used for processing the substrate in the lithographic apparatus is sensitive. | 09-16-2010 |
20100248099 | SOFTWARE-CONTROLLED MASKLESS OPTICAL LITHOGRAPHY USING FLUORESCENCE FEEDBACK - A software-controlled maskless optical lithography system uses fluorescence feedback to control an aspect of the lithography, such as light source dose, wavelength, or flashing instances or duration, spatial light modulator (SLM) pattern, an optics parameter, a beamsplitter control parameter, or movement or positioning of a stage carrying a target workpiece, such as a semiconductor wafer. | 09-30-2010 |
20100261106 | MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD - The present invention provides a measurement apparatus which includes a scale and a sensor one of which is attached on a target object, and measures a position of the target object by reading the scale by the sensor, the apparatus including a detection unit configured to detect a shift amount of the scale from a reference position, and a calculation unit configured to correct, the position of the target object measured by reading the scale by the sensor, based on the shift amount of the scale from the reference position, which is detected by the detection unit. | 10-14-2010 |
20100261107 | Methods For Photo-Processing Photo-Imageable Material - The invention includes methods for photo-processing photo-imageable material. Locations of the photo-imageable material where flare hot spots are expected to occur are ascertained. A substantially uniform dose of light intensity is provided to at least the majority of the photo-imageable material other than the hot spot locations, and is not provided to the hot spot locations. The provision of the substantially uniform dose of light intensity can occur during formation of a primary pattern in the photo-imageable material with a reticle, utilizing the same reticle as that used for making the primary pattern; or can occur at a separate processing stage than that utilized for forming the primary pattern and with a separate reticle from that utilized to form the primary pattern. The invention also includes reticle constructions which can be utilized for photo-processing of photo-imageable material. | 10-14-2010 |
20100273099 | Flood exposure process for dual tone development in lithographic applications - A method and system for patterning a substrate using a dual tone development process is described. The method and system comprise a flood exposure of the substrate to improve process latitude for the dual tone development process. | 10-28-2010 |
20100279213 | METHODS AND SYSTEMS FOR CONTROLLING VARIATION IN DIMENSIONS OF PATTERNED FEATURES ACROSS A WAFER - Methods and systems for controlling variation in dimensions of patterned features across a wafer are provided. One method includes measuring a characteristic of a latent image formed in a resist at more than one location across a wafer during a lithography process. The method also includes altering a parameter of the lithography process in response to the characteristic to reduce variation in dimensions of patterned features formed across the wafer by the lithography process. Altering the parameter compensates for non-time varying spatial variation in a temperature to which the wafer is exposed during a post exposure bake step of the lithography process and an additional variation in the post exposure bake step. | 11-04-2010 |
20100285399 | WAFER EDGE EXPOSURE UNIT - A wafer edge exposure unit comprises a chuck for supporting a wafer. The chuck is rotatable about a central axis. A plurality of light sources are positioned or movably positionable with a common radial distance from the axis of the rotatable chuck, each light source configured to direct exposure light on a respective edge portion of the wafer simultaneously. | 11-11-2010 |
20100285400 | POSITION DETECTING APPARATUS, POSITION DETECTING METHOD, EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - A position detecting apparatus includes a light source which supplies a detecting light; a light-collecting optical system which collects the detecting light onto a diffracted light generating portion provided on the object; a light guiding optical system which guides, to a predetermined position, a diffracted measuring light generated from the diffracted light generating portion by receiving the detecting light and a reference light generated from a reference surface by receiving the detecting light; and a photodetector which is arranged at the predetermined position and which detects interference fringes generated by the diffracted measuring light and the reference light. Three-dimensional positional information of, for example, a mask pattern surface or an exposure surface of a photosensitive substrate can be highly accurately detected by a relatively simple construction. | 11-11-2010 |
20100316943 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - There is disclosed an illumination optical system which illuminates an illumination target surface on the basis of light from a light source, said illumination optical system comprising, a spatial light modulator having a plurality of optical elements arrayed two-dimensionally and being individually controllable, a distribution forming optical system which forms a predetermined light intensity distribution on an illumination pupil of the illumination optical system on the basis of light having traveled via the spatial light modulator, and a control unit which integrally controls postures of a group of optical elements according to a shape of the predetermined light intensity distribution formed on the illumination pupil, out of the plurality of optical elements. | 12-16-2010 |
20100330471 | METHODS OF ADJUSTING DIMENSIONS OF RESIST PATTERNS - Methods of adjusting dimensions of resist patterns are provided. The methods allow for control of photoresist pattern dimensions and find particular applicability in resist pattern rework in semiconductor device manufacturing. | 12-30-2010 |
20110008717 | EXPOSURE APPARATUS, EXPOSURE METHOD AND DEVICE MANUFACTURING METHOD - Positional information of wafer stages is measured by a plurality of encoder heads, Z heads and the like that a measurement bar placed below surface has, using gratings placed on the lower surface of fine movement stages. Consequently, high-precision measurement of the positional information of the wafer stages can be performed. Further, since a guide surface of the wafer stages is formed by the two guide surface forming members placed side by side via a predetermined clearance, each guide surface forming member is easier to handle and also maintenance of the vicinity of the guide surface forming member is easier to perform, compared with the case where the guide surface forming members are integrated. | 01-13-2011 |
20110008718 | SUBSTRATE CONVEYANCE METHOD AND SUBSTRATE CONVEYANCE DEVICE, EXPOSURE APPARATUS USING SAME, AND DEVICE MANUFACTURING METHOD - The substrate conveyance method of the present invention includes an adjusting step further includes a suction time monitoring sub-step in which the suction pressure of a suction mechanism is upward raised to a predetermined threshold value after a lifting of a hand from a standby position on a mounting section is initiated in a vacuum suction state and its driving time is monitored, an adjustment determining sub-step of determining whether or not an adjustment of a receiving position is necessary based on a monitor time period that has been obtained by the suction time monitoring sub-step, and a position setting sub-step of automatically setting the receiving position to the position at which a tolerance range is satisfied, if it has been determined in the adjustment determining sub-step that the monitor time period and the suction pressure of the suction mechanism are not in a predetermined tolerance range. | 01-13-2011 |
20110008719 | METHOD AND APPARATUS FOR MEASUREMENT AND CONTROL OF PHOTOMASK TO SUBSTRATE ALIGNMENT - A method, structure, system of aligning a substrate to a photomask. The method comprising: directing light through a clear region of the photomask in a photolithography tool, through a lens of the tool and onto a set of at least three diffraction mirror arrays on the substrate, each diffraction mirror array of the set of at least three diffraction minor arrays comprising a single row of mirrors, all mirrors in any particular diffraction mirror array spaced apart a same distance, mirrors in different diffraction mirror arrays spaced apart different distances; measuring an intensity of light diffracted from the set of at least three diffraction mirror arrays onto an array of photo detectors; and adjusting a temperature of the photomask or photomask and lens based on the measured intensity of light. | 01-13-2011 |
20110027704 | Methods and Scatterometers, Lithographic Systems, and Lithographic Processing Cells - In a method of determining the focus of a lithographic apparatus used in a lithographic process on a substrate, the lithographic process is used to form a structure on the substrate, the structure having at least one feature which has an asymmetry in the printed profile which varies as a function of the focus of the lithographic apparatus on the substrate. A first image of the periodic structure is formed and detected while illuminating the structure with a first beam of radiation. The first image is formed using a first part of non-zero order diffracted radiation. A second image of the periodic structure is foamed and detected while illuminating the structure with a second beam of radiation. The second image is formed using a second part of the non-zero order diffracted radiation which is symmetrically opposite to the first part in a diffraction spectrum. The ratio of the intensities of the measured first and second portions of the spectra is determined and used to determine the asymmetry in the profile of the periodic structure and/or to provide an indication of the focus on the substrate. In the same instrument, an intensity variation across the detected portion is determined as a measure of process-induced variation across the structure. A region of the structure with unwanted process variation can be identified and excluded from a measurement of the structure. | 02-03-2011 |
20110033787 | FRAME CELL FOR SHOT LAYOUT FLEXIBILITY - A method includes receiving an integrated circuit chip size and determining a frame structure segment size based on the chip size. The frame structure segment size is less than the chip size. An initial shot layout having a chip count is established in which a number of shots, each including at least one frame structure segment and at least one chip, are arranged in vertically and horizontally aligned columns and rows. At least one additional shot layout is established in which at least one of a row or column of shots is offset from an adjacent row or column of shots. The initial shot layout is compared to the at least one additional shot layout, and a final shot layout is selected based in part on the total number of shots in the shot layout and has a final chip count that is greater than or equal to the initial chip count. | 02-10-2011 |
20110033788 | CHARGED PARTICLE BEAM DRAWING APPARATUS AND METHOD - A charged particle beam drawing apparatus has a drawing chamber including a movable stage which supports a mask, the mask being formed by applying a resist to an upper surface of a mask substrate, an optical column for applying a charged particle beam to draw patterns in the resist, a charged particle beam dose correction portion for correcting a dose of the charged particle beam applied from the optical column to the resist on the basis of proximity effect and fogging effect, and a conversion coefficient changing portion for changing a conversion coefficient on the basis of pattern density in the resist and a position in the resist, wherein the conversion coefficient is a ratio of an accumulation energy of the charged particle beam accumulated in the resist, to an accumulation dose of the charged particle beam accumulated in the resist. | 02-10-2011 |
20110033789 | EXPOSURE METHOD USING CHARGED PARTICLE BEAM - A charged particle beam exposure method that includes preparing of exposure data for a plurality of device patterns; obtaining of an integral of forward scattering components in an exposure intensity distribution with each of the device patterns near the center of the exposure intensity distribution as domain of integration; correcting of the shape of each of the plurality of device patterns by correcting the exposure data, so that the integral is equal to a reference value; and appropriating of mask patterns within an exposure mask to each of the device patterns following the correction, such that the center of gravity of each of the device patterns matches the center of gravity of the mask pattern appropriated thereto. | 02-10-2011 |
20110033790 | DETECTION APPARATUS, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD - The present invention provides a detection apparatus which detects an upper-surface mark and lower-surface mark formed on an upper surface and lower surface, respectively, of a substrate, the apparatus including an optical system configured to form an image of the lower-surface mark on a light-receiving surface of a photoelectric conversion device using a first light, with a wavelength which is transmitted through the substrate, which is emitted by a light source, applied to the lower-surface mark from the upper surface of the substrate, and reflected by the lower-surface mark, and to form an image of the upper-surface mark on the light-receiving surface of the photoelectric conversion device using a second light, with a wavelength which is not transmitted through the substrate, which is emitted by the light source, applied to the upper-surface mark from the upper surface of the substrate, and reflected by the upper-surface mark. | 02-10-2011 |
20110053060 | EXPOSURE DEVICE, EXPOSURE METHOD, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An exposure device includes a determining unit determines specific transfer patterns, which are transfer patterns of predetermined portions of a unit pattern, among transfer patterns projected through a photomask including an internal pattern having a plurality of unit patterns that is arranged at a predetermined interval and has the same shape, for two or more unit patterns, an error calculating unit calculates an error between the transfer pattern and the specified transfer pattern on the basis of the comparison between the relative position between the specific transfer patterns and a specified value of it, a correction parameter calculating unit calculates correction parameters for correcting the transfer patterns on the basis of the calculated error, and a correction control unit corrects exposure conditions using the correction parameters such that the transfer patterns are corrected. | 03-03-2011 |
20110053061 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus is equipped with an encoder system which measures positional information of a wafer stage by irradiating a measurement beam using four heads installed on the wafer stage on a scale plate which covers the movement range of the wafer stage except for the area right under a projection optical system. Placement distances of the heads here are each set to be larger than width of the opening of the scale plates, respectively. This allows the positional information of the wafer stage to be measured, by switching and using the three heads facing the scale plate out of the four heads according to the position of the wafer stage. | 03-03-2011 |
20110053062 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - Within area where of four heads installed on a wafer stage, heads included in the first head group and the second head group to which three heads each belong that include one head different from each other face the corresponding areas on a scale plate, the wafer stage is driven based on positional information which is obtained using the first head group, as well as obtain the displacement (displacement of position, rotation, and scaling) between the first and second reference coordinate systems corresponding to the first and second head groups using the positional information obtained using the first and second head groups. By using the results and correcting measurement results obtained using the second head group, the displacement between the first and second reference coordinate systems is calibrated, which allows the measurement errors that come with the displacement between areas on scale plates where each of the four heads face. | 03-03-2011 |
20110065030 | MASK PATTERN DETERMINING METHOD, MASK MANUFACTURING METHOD, AND DEVICE MANUFACTURING METHOD - According to one embodiment, a mask pattern determining method includes a mask-pattern dimension variation amount of a first photomask is derived. Moreover, a correspondence relationship between a target dimension value of an on-substrate test pattern formed by using a second photomask and a dimension allowable variation amount of a mask pattern formed on the second photomask is derived. Then, it is determined whether pattern formation is possible with a pattern dimension that needs to be formed when performing the pattern formation on a substrate by using the first photomask based on the mask-pattern dimension variation amount and the correspondence relationship. | 03-17-2011 |
20110076601 | MONITORING METHOD OF EXPOSURE APPARATUS - In a monitoring method of an exposure apparatus, a top critical dimension (TCD) and a bottom critical dimension (BCD) of the test pattern formed on a photo-sensitive material layer are measured. A dose deviation (ΔE) and a focus deviation (ΔF) are calculated by following equations: | 03-31-2011 |
20110086298 | SUBSTRATE HOLDING DEVICE, LITHOGRAPHY APPARATUS USING SAME, AND DEVICE MANUFACTURING METHOD - The substrate holding device of the present invention includes a holding unit that adsorbs and holds the substrate, a measuring section that measures a physical quantity relating to a adsorption force of the holding unit with the substrate mounted on the holding unit; and a control section that carries out a first determination based on a first condition and a measurement result obtained by the measuring section and a second determination based on a second condition that is different from the first condition and a measurement result obtained by the measuring section to select one of at least three preset operations based on the result of first and second determinations to thereby execute processing depending on the selected operation. | 04-14-2011 |
20110123913 | EXPOSURE APPARATUS, EXPOSING METHOD, AND DEVICE FABRICATING METHOD - An exposure apparatus includes: a first moving body, which comprises guide members that extend in a first direction, moves in a second direction, which is substantially orthogonal to the first direction; two second moving bodies, which are provided such that they are capable of moving in the first direction along the guide members, move in the second direction together with the guide members by the movement of the first moving body; a holding apparatus holds the object and is supported by the two second moving bodies such that it is capable of moving within a two dimensional plane that includes at least the first directions and the second directions; and a transport apparatus, which comprises a chuck member that can noncontactually hold the object from above, transports the object to and from the holding apparatus. | 05-26-2011 |
20110143271 | PATTERN GENERATING METHOD AND PROCESS DETERMINING METHOD - A pattern generating method includes obtaining an on-substrate pattern by performing a process for forming the on-substrate pattern by simulation or experiment based on a design pattern of the on-substrate pattern formed by an imprint process using a template, employing the design pattern when a comparison result of the design pattern and obtained on-substrate pattern satisfies a predetermined condition, and correcting the design pattern to satisfy the predetermined condition when the comparison result does not satisfy the predetermined condition. | 06-16-2011 |
20110143272 | IMAGE FORMING APPARATUS AND IMAGE STABILIZATION CONTROL METHOD USED IN IMAGE FORMING APPARATIS - According to an embodiment, an image forming apparatus includes an image holding member, a plurality of image forming units, an image density detection unit, an image density comparison unit, a control unit, a developer residual amount acquisition unit, and an image stabilization kind determination unit. The plurality of image forming units include at least a developer of a first color and a developer of a second color and form a predetermined pattern image on the image holding member using the developers of the first and second colors. The developer residual amount acquisition unit acquires a residual amount of the developer of the second color. The image stabilization kind determination unit switches control of an image to image stabilization control of forming the pattern image to be formed on the image holding member using only the developer of the first color if the residual amount of the developer of the second color acquired by the developer residual amount acquisition unit is below a threshold value defined in advance. | 06-16-2011 |
20110151361 | OPTICAL-IMAGE-INTENSITY CALCULATING METHOD, PATTERN GENERATING METHOD, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - According to the embodiment, an optical image intensity distribution to be formed in a resist arranged on a lower layer side of a diffraction pattern is calculated by performing a whole image exposure from an upper surface side of the diffraction pattern formed on a substrate. The optical image intensity distribution is calculated by using a multimode waveguide analysis model or a fractional Fourier transform with respect to the diffraction pattern. | 06-23-2011 |
20110189600 | METHOD FOR AUTOMATED CONTROL OF PROCESSING PARAMETERS - The invention relates to processing imaged precursors such as lithographic printing plates. The invention relates specifically to adjusting a processing device for optimal processing performance using a plate recognition system that includes a senseing and authenication subsystem. The processor is automated to make adjustments according to the information provided. | 08-04-2011 |
20110189601 | METHOD OF FORMING PATTERN, SYSTEM FOR CALCULATING RESIST COATING DISTRIBUTION AND PROGRAM FOR CALCULATING THE SAME - In one embodiment, a method of forming a resist pattern on a substrate is provided. Information of a template pattern formed on a template based on template pattern data is obtained. A resist coating distribution is set based on the information of the template pattern. A resist is formed on a substrate based on the resist coating distribution. The template is brought into contact with the resist formed on the substrate so that the resist is filled into the template pattern formed on the template. The filled resist is cured. The template is separated from the cured resist so that a resist pattern is formed on the substrate. | 08-04-2011 |
20110189602 | HEATING DEVICE, COATING/DEVELOPING SYSTEM, HEATING METHOD, COATING/DEVELOPING METHOD, AND RECORDING MEDIUM HAVING PROGRAM FOR EXECUTING HEATING METHOD OR COATING/DEVELOPING METHOD - The disclosed heating device is to perform a heating process on an exposed substrate formed with a resist film before a developing process, the device including a heating part to perform a heating process on the exposed substrate, the heating part including a plurality of two-dimensionally arranged heating elements; a seating part provided at an upper side of the heating part, on which the substrate is disposed; and a control part to correct a setting temperature of the heating part based on temperature correction values, and to control the heating part based on the corrected setting temperature, during the heating process on one substrate by the heating part, wherein the temperature correction values being previously obtained from measured critical dimensions of the resist pattern in another substrate formed with the resist pattern through the heating process by the heating part and then the developing process. | 08-04-2011 |
20110200922 | Lithographic Apparatus and Method - Embodiments of the invention related to lithographic apparatus and methods. A lithographic method comprises calculating a laser metric based on a spectrum of laser radiation emitted from a laser to a lithographic apparatus together with a representation of an aerial image of a pattern to be projected onto the substrate by the lithographic apparatus, and using the laser metric to modify operation of the laser or adjust the lithographic apparatus, and projecting the pattern onto the substrate. | 08-18-2011 |
20110200923 | SUBSTRATE TREATMENT METHOD - A substrate treatment method including a first treatment process (S | 08-18-2011 |
20110207035 | Exposure apparatus and exposure method - An exposure apparatus includes an exposure unit selectively performing exposure on a resist layer with a first laser beam, focused by a lens system, in a pattern including pits and lands arranged in a scanning direction; a detecting unit detecting a reflection of a second laser beam applied through the lens system to the resist layer selectively exposed to the first laser beam, the second laser beam being produced by changing a focal length of the lens system such that the resist layer is prevented from responding thereto; a calculating unit calculating, from a result of the detection, a displacement between center axes of signal waveforms representing beams reflected from first and second portions of the pattern having a smallest width and a larger width, respectively; a setting unit setting the focal length of the lens system to such a value that the displacement is maximal; and a control unit controlling the exposure unit to expose the resist layer to the first laser beam focused with the focal length set by the setting unit. | 08-25-2011 |
20110212394 | EXPOSURE APPARATUS, MEASUREMENT METHOD, STABILIZATION METHOD, AND DEVICE FABRICATION METHOD - The present invention provides an exposure apparatus including a projection optical system configured to project a reticle pattern onto a wafer, a selector configured to select a dummy wafer to be placed near an image plane of the projection optical system, from a plurality of dummy wafers having the same shape as that of the wafer and different reflectance with each other, a transfer unit configured to place the dummy wafer selected by the selector near the image plane of the projection optical system, and a controller configured to perform control such that dummy exposure is performed by irradiating the dummy wafer, which is placed near the image plane of the projection optical system by the transfer unit, with light via the projection optical system. | 09-01-2011 |
20110236809 | METHOD FOR EXAMINING A WAFER WITH REGARD TO A CONTAMINATION LIMIT AND EUV PROJECTION EXPOSURE SYSTEM - A method for examining at least one wafer ( | 09-29-2011 |
20110244380 | IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD - According to one embodiment, an image forming apparatus includes: an image forming unit configured to form a toner image on an image bearing member according to printing information; a transfer unit configured to transfer an unfixed toner image formed by the image forming unit onto a printing sheet; a fixing unit configured to heat the unfixed toner image carried on the printing sheet and fix the unfixed toner image on the printing sheet; a temperature measuring unit configured to measure the temperature of the fixing unit; and a quick-print processing unit configured to cause, when printing is started, even if the temperature of the fixing unit measured by the temperature measuring unit does not reach ready temperature, the image forming unit to perform an image forming operation on the basis of low process speed for enabling the fixing in the fixing unit, which is lower than normal process speed corresponding to the ready temperature. | 10-06-2011 |
20110269061 | DEVELOPING METHOD - Disclosed is a developing method that performs a developing for forming a second resist pattern after forming and exposing a resist film on a surface of a substrate on which a first resist pattern is formed. The method includes a first process for developing the substrate for a first time period t | 11-03-2011 |
20110275015 | FIXING DEVICE, IMAGE FORMING APPARATUS, AND FIXING METHOD - A disclosed fixing device applying a bubble-like fixing liquid to resin-containing particles adhered to a medium so that the resin-containing particles are fixed to the medium, the bubble-like fixing liquid being formed by transforming a fixing liquid into foam that dissolves or swells at least a part of the resin, includes a control device that, based on a difference between a moisture content included in the medium after fixing and a target value of the moisture content, adjusts an application amount of the fixing liquid for a next medium. | 11-10-2011 |
20120028173 | METHODS, APPARATUS AND SYSTEMS TO CONTROL THE TRIBO-ELECTRIC CHARGE OF A TONER MATERIAL ASSOCIATED WITH A PRINTING DEVELOPMENT SYSTEM - Disclosed are printing methods, apparatus and systems for developing a latent image recorded on a surface, for example, a photoreceptor with developer material. According to an exemplary embodiment, the development method applies a development field voltage between a development station donor member and a development station transport member as a function of a humidity measurement associated with the developer material, the humidity measurement providing a surrogate tribo measurement of the developer material. | 02-02-2012 |
20120028174 | Defense System in Advanced Process Control - A method includes performing a lithography process on a wafer to form a patterned photo resist, and measuring the wafer to determine an overlay error of the patterned photo resist. A high/low specification is determined using the overlay error. An overlay process value setting is generated and compared with the high/low specification to determine whether the overlay process value setting is within a range defined by the high/low specification. | 02-02-2012 |
20120040280 | Simultaneous Optical Proximity Correction and Decomposition for Double Exposure Lithography - A mechanism is provided for simultaneous optical proximity correction (OPC) and decomposition for double exposure lithography. The mechanism begins with two masks that are equal to each other and to the target. The mechanism simultaneously optimizes both masks to obtain a wafer image that both matches the target and is robust to process variations. The mechanism develops a lithographic cost function that optimizes for contour fidelity as well as robustness to variation. The mechanism minimizes the cost function using gradient descent. The gradient descent works on analytically evaluating the derivative of the cost function with respect to mask movement for both masks. It then moves the masks by a fraction of the derivative. | 02-16-2012 |
20120171600 | Time Differential Reticle Inspection - Disclosed are systems and methods for time differential reticle inspection. Contamination is detected by, for example, determining a difference between a first signature of at least a portion of a reticle and a second signature, produced subsequent to the first signature, of the portion of the reticle. | 07-05-2012 |
20120244461 | OVERLAY CONTROL METHOD AND A SEMICONDUCTOR MANUFACTURING METHOD AND APPARATUS EMPLOYING THE SAME - Overlay control methods, semiconductor manufacturing method and a semiconductor manufacturing apparatus are provided for restraining overlay error between lithography processes, of a semiconductor manufacturing process, within a tolerance of a semiconductor device. According to one or more aspects, enhanced overlay control mechanisms are provided to enable previous layers to perform corrections to an extent that does not exceed a correction ability of a next layer. For instance, the next layer can inform the previous layer of a tolerated range that is correctable so that the previous layer can perform corrections without exceeding the tolerate range. Accordingly, a feedback loop is established that extends across two exposure events and is not closed within a single exposure event as with conventional systems. | 09-27-2012 |
20120258391 | MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD - The present invention provides a measurement apparatus which measures a position of a second object relative to a first object, the apparatus including a first measurement unit which includes a diffraction grating provided on the first object, and a first head and a second head provided on the second object, and is configured to measure the position of the second object relative to the first object by the first head or the second head, and a processing unit configured to perform a process of obtaining the position of the second object relative to the first object. | 10-11-2012 |
20120270144 | PATTERN FORMING DEVICE, PATTERN FORMING METHOD, AND DEVICE MANUFACTURING METHOD - Presented are methods for forming a predetermined pattern in a predetermined area of an elongated sheet material. The methods include applying a two-dimensional tension to a portion including the predetermined area of the sheet material, and allowing a flat reference surface to adsorb a rear surface portion corresponding to the predetermined area of the sheet material applied with the two-dimensional tension. The methods then illuminate an energy beam corresponding to the pattern to the predetermined area of the sheet material adsorbed to the reference surface. | 10-25-2012 |
20130017476 | MEASURING APPARATUS, DRAWING APPARATUS, AND ARTICLE MANUFACTURING METHODAANM OGAWA; ShigekiAACI Utsunomiya-shiAACO JPAAGP OGAWA; Shigeki Utsunomiya-shi JP - The beam measuring apparatus of the present invention includes a detection device including a shield member that has an edge, and a detector configured to detect the beam of which at least a part is not shielded by the shield member; a relative movement mechanism configured to cause a relative movement between the shield member and the beam; and a controller configured to control the detection device and the relative movement mechanism so as to cause one of the edge and the beam to traverse the other with respect to each of a plurality of points on the edge, to sum a plurality of signals, respectively obtained by the detection device with respect to the plurality of points and with respect to relative positions of the relative movement corresponding to one another, so as to obtain a signal sequence, and to obtain the characteristic based on the signal sequence. | 01-17-2013 |
20130022901 | RADIATION SOURCE, METHOD OF CONTROLLING A RADIATION SOURCE, LITHOGRAPHIC APPARATUS, AND METHOD FOR MANUFACTURING A DEVICE - A lithographic apparatus includes an illuminator for receiving a beam of EUV radiation from a radiation source apparatus and for conditioning the beam to illuminate a target area of a patterning device, such as a reticle. The reticle forms a patterned radiation beam. A projection system transfers the pattern from said patterning device to a substrate by EUV lithography. Sensors are provided for detecting a residual asymmetry in the conditioned beam as the beam approaches the reticle, particularly in a non-scanning direction. A feedback control signal is generated to adjust a parameter of said radiation source in response to detected asymmetry. The feedback is based on a ratio of intensities measured by two sensors at opposite ends of an illumination slit, and adjusts the timing of laser pulses generating an EUV-emitting plasma. | 01-24-2013 |
20130059240 | Substrate and Patterning Device for Use in Metrology, Metrology Method and Device Manufacturing Method - A pattern from a patterning device is applied to a substrate by a lithographic apparatus. The applied pattern includes product features and metrology targets. The metrology targets include large targets and small targets which are for measuring overlay. Some of the smaller targets are distributed at locations between the larger targets, while other small targets are placed at the same locations as a large target. By comparing values measured using a small target and large target at the same location, parameter values measured using all the small targets can be corrected for better accuracy. The large targets can be located primarily within scribe lanes while the small targets are distributed within product areas. | 03-07-2013 |
20130059241 | DEVELOPMENT PROCESSING METHOD AND DEVELOPMENT PROCESSING APPARATUS - According to one embodiment, a monitor pattern is previously exposed together with a device pattern on a resist film, the monitor pattern is developed in a first development condition and a fault occurrence risk is quantified based on a check image. At this time, the range of a second development condition in which the number of faults becomes less than or equal to a permissible value with respect to the quantified fault occurrence risk is determined based on the relationship between fault occurrence risk information and the number of faults. Then, a third development condition in which the pattern dimension becomes a desired value in the second development condition is determined and the device pattern is developed in the thus determined third development condition. | 03-07-2013 |
20130078557 | LITHOGRAPHIC CD CORRECTION BY SECOND EXPOSURE - Correction of critical dimension variation is accomplished with a second exposure, e.g. using a second reticle. Embodiments include exposing a first wafer with a first dose using a first reticle, having a pattern corresponding to a target pattern for a wafer, identifying CD variations between the exposed wafer and the target pattern for different features in the target pattern, exposing a second wafer with the first reticle using a second dose, less than or equal to the first dose, and correcting the CD variations by applying an additional exposure of the second wafer. Embodiments further include using one or more additional exposures to prevent printing unwanted structures on the reticle or to deliberately vary the sizes of selected structures on the wafer for development purposes. | 03-28-2013 |
20130078558 | LITHOGRAPHIC CD CORRECTION BY SECOND EXPOSURE - Correction of CD variation is accomplished with a second exposure, e.g. using a second reticle. Embodiments include exposing a first wafer with a first dose using a first reflective reticle having a pattern corresponding to a wafer target pattern, or measuring and/or inspecting first reticle pattern portions and calculating and/or simulating corresponding first wafer pattern portions obtained with a predetermined first dosage, identifying CD variations between the exposed wafer or the calculated/simulated first wafer pattern and the target pattern for different target pattern features, exposing a second wafer with the first reticle using a second dose, and correcting the CD variations by applying an additional exposure of the second wafer, before or after exposing the second wafer with the first reticle. Embodiments further include using additional exposures to prevent printing unwanted structures on the reticle or to deliberately vary sizes of selected structures on the wafer for development purposes. | 03-28-2013 |
20130095418 | OPTIMIZED MASK DESIGN FOR FABRICATING PERIODIC AND QUASI-PERIODIC PATTERNS - A method for printing a desired periodic or quasi-periodic pattern of dot features into a photosensitive layer disposed on a substrate including the steps of designing a mask pattern having a periodic or quasi-periodic array of unit cells each having a ring feature, forming a mask with said mask pattern, arranging the mask substantially parallel to the photosensitive layer, arranging the distance of the photosensitive layer from the mask and illuminating the mask according to one of the methods of achromatic Talbot lithography and displacement Talbot lithography, whereby the illumination transmitted by the mask exposes the photosensitive layer to an integrated intensity distribution that prints the desired pattern. | 04-18-2013 |
20130101928 | PHOTOLITHOGRAPHY METHOD INCLUDING TECHNIQUE OF DETERMINING DISTRIBUTION OF ENERGY OF EXPOSURE LIGHT PASSING THROUGH SLIT OF EXPOSURE APPARATUS - The energy distribution of exposure light directed passing through the slit of an exposure apparatus is determined. A photoresist layer on a substrate is exposed over a plurality of shots while changing the intensity of the exposure light for each shot. Then the photoresist layer is developed to form a sample photoresist layer. An image of the developed sample photoresist layer is analyzed for color intensity. Values of the color intensity across a selected one of the shots are correlated with values of the intensity of the exposure light to produce an energy distribution of the exposure light along the length of the slit. The energy distribution is used to change the slit so that a more desirable energy distribution may be realized when the slit is used in a process of manufacturing a semiconductor device. | 04-25-2013 |
20130224639 | PERIPHERAL EXPOSURE METHOD AND APPARATUS THEREFOR - A peripheral exposure method for performing an exposure treatment by illuminating light to a periphery of a resist film formed on a substrate to be processed is discussed. The method includes rotating the substrate to be processed on a horizontal plane, bringing a coolant gas into contact with the periphery of the resist film of the substrate to be processed which is being rotated, and cooling the substrate to be processed. Further, the method also includes measuring a temperature of the substrate to be processed, wherein when the temperature of the substrate to be processed is equal to or less than a predetermined temperature, the exposure treatment is performed. | 08-29-2013 |
20130230797 | Method of Applying a Pattern to a Substrate, Device Manufacturing Method and Lithographic Apparatus for Use in Such Methods - A substrate is loaded onto a substrate support of a lithographic apparatus, after which the apparatus measures locations of substrate alignment marks. These measurements define first correction information allowing the apparatus to apply a pattern at one or more desired locations on the substrate. Additional second correction information is used to enhance accuracy of pattern positioning, in particular to correct higher order distortions of a nominal alignment grid. The second correction information may be based on measurements of locations of alignment marks made when applying a previous pattern to the same substrate. The second correction information may alternatively or in addition be based on measurements made on similar substrates that have been patterned prior to the current substrate. | 09-05-2013 |
20130230798 | DETECTION APPARATUS, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE - A detection apparatus, which detects a mark formed on a lower surface of a target object, includes: a first detector which illuminates the mark from an upper surface side of the target object to detect an image of the illuminated mark; a second detector which detects an upper surface position of the target object; and a processor which obtains information indicating a focus position to focus on the mark in the first detector, based on the upper surface position detected by the second detector. | 09-05-2013 |
20130230799 | FILM EXPOSURE METHOD - On a film where an exposure material coating has been formed in a exposure pattern formation region on a film base material, a colored firing material, colored light-curable material, or colored ink is applied to at least one of two widthwise side edges to form a side part application coating, which is irradiated with laser light by an alignment mark formation unit to form an alignment mark. The alignment mark is then used to detect film meandering and adjust the positions of masks. This makes it easy to form the alignment mark and detect the alignment mark thus formed and makes it possible to accurately correct for meandering of a film and stably expose the film in the process of continuous exposure of a film where an exposure material coating has been formed in a exposure pattern formation region on a film base material. | 09-05-2013 |
20130244146 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - After performing a pretreatment step of coating an organic solvent mixed with a polymeric organic compound over a substrate having a tungsten film formed on the surface of the substrate, a chemically amplified resist is coated to form a resist pattern. Further, a ratio of a C1s peak intensity to a W4d peak intensity measured by XPS is 0.1 or mote at the surface of the tungsten film after the pretreatment step and before coating the chemically amplified resist. | 09-19-2013 |
20130252145 | MULTI CHARGED PARTICLE BEAM WRITING APPARATUS AND MULTI CHARGED PARTICLE BEAM WRITING METHOD - A multi charged particle beam writing apparatus according to one aspect of the present invention includes a plurality of first blankers to respectively perform blanking deflection of a corresponding beam in multiple beams having passed through the plurality of openings of the aperture member, a plurality of second blankers to deflect a defective beam in the multiple beams having passed through the plurality of openings of the aperture member to be in a direction orthogonal to a deflection direction of the plurality of first blankers, a blanking aperture member to block each of beams which were deflected to be in a beam off state by at least one of the plurality of first blankers and the plurality of second blankers, and a detection processing unit to detect a defective beam in the multiple beams having passed through the plurality of openings of the aperture member. | 09-26-2013 |
20130252146 | Projection Exposure Tool for Microlithography and Method for Microlithographic Imaging - A projection exposure tool for microlithography for imaging mask structures of an image-providing substrate onto a substrate to be structured includes a measuring apparatus configured to determine a relative position of measurement structures disposed on a surface of one of the substrates in relation to one another in at least one lateral direction with respect to the substrate surface and to thereby simultaneously measure a number of measurement structures disposed laterally offset in relation to one another. | 09-26-2013 |
20130260294 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND EXPOSURE DEVICE - A method of manufacturing a semiconductor device in which the alignment accuracy of an immersion exposure device is maintained even when exposure steps are carried out intermittently. In the method, a substrate is placed on a stage of an exposure device (substrate placing step). Then, a first liquid is supplied to between the substrate and the optics system of the exposure device to expose the substrate through the first liquid (exposure step). A second liquid is supplied from a different place from the first liquid to a drainage groove provided around the stage at least in a period other than when the first liquid is supplied onto the stage, in order to suppress change in the temperature of the exposure device. | 10-03-2013 |
20130266894 | CHARGED PARTICLE BEAM DEFLECTION METHOD WITH SEPARATE STAGE TRACKING AND STAGE POSITIONAL ERROR SIGNALS - The invention provides a method for patterning a resist coated substrate carried on a stage, where the patterning utilizes a charged particle beam. The method comprises the steps of: moving the stage at a nominally constant velocity in a first direction; while the stage is moving, deflecting the charged particle beam in the first direction to compensate for the movement of the stage, the deflecting including: (a) compensating for an average velocity of the stage; and (b) separately compensating for the difference between an instantaneous position of the stage and a calculated position based on the average velocity. The separately compensating step uses a bandwidth of less than 10 MHz. The invention also provides a deflector control circuit for implementing the separate compensation functions. | 10-10-2013 |
20130273464 | IMAGE FORMING APPARATUS AND IMAGE FORMING METHOD - According to embodiments, an image forming apparatus has a sensor that scans a sheet to detect an identifier on the sheet, which indicates the presence, and orientation, of a form on the sheet, a memory that holds a reference pattern of the identifier, a control section that is configured to compare the identifier detected by the sensor and the reference pattern and determine the orientation of the form, and an image forming unit that form an image on the sheet. The image forming unit is configured to form the image so that the orientation of the image conforms to the orientation of the form. | 10-17-2013 |
20130288167 | Methods Of Correcting For Variation Across Substrates During Photolithography - Some embodiments include methods for correcting for variation across substrates. A difference map is created to indicate differences between a desired pattern that is to be formed across the substrates utilizing photolithographic processing and a signature pattern representing the actual pattern formed with an initial setting of illumination optics. Modifications to the illumination optics are determined for improving problematic regions identified in the difference map, and the illumination optics are then modified. Substrates are photolithographically processed utilizing the modified illumination optics. | 10-31-2013 |
20130330662 | COLOR TIME DOMAIN INTEGRATION CAMERA HAVING A SINGLE CHARGE COUPLED DEVICE AND FRINGE PROJECTION AUTO-FOCUS SYSTEM - A detector ( | 12-12-2013 |
20140017604 | LITHOGRAPHY PROCESS - A process for use in lithography, such as photolithography for patterning a semiconductor wafer, is disclosed. The process includes receiving an incoming semiconductor wafer having various features and layers formed thereon. A unit-induced overlay (uniiOVL) correction is received and a deformation measurement is performed on the incoming semiconductor wafer in an overlay module. A deformation-induced overlay (defiOVL) correction is generated from the deformation measurement results by employing a predetermined algorithm on the deformation measurement results. The defiOVL and uniiOVL corrections are fed-forward to an exposure module and an exposure process is performed on the incoming semiconductor wafer. | 01-16-2014 |
20140065527 | METHOD TO ADJUST THE MELT FLOW INDEX OF A TONER - Method of adjusting the melt flow index of a toner is described, the method including adjusting the pH of the toner after the toner particles have been coalesced and the adjusting alters the melt flow index of the toner. | 03-06-2014 |
20140065528 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - An exposure apparatus according to an embodiment controls the positioning between layers using an alignment correction value calculated on the basis of lower layer position information of a lower-layer-side pattern and upper layer position information of an upper-layer-side pattern. The lower layer position information includes alignment data, a focus map, and a correction value which is set on the basis of the previous substrate. The upper layer position information includes alignment data, a focus map, and a correction value which is a correction value for the positioning and is used when the upper-layer-side pattern is transferred. | 03-06-2014 |
20140106268 | IRRADIATION APPARATUS, DRAWING APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides an irradiation apparatus which irradiates an object with a charged particle beam, the apparatus including a first charged particle optical system including a charged particle source, a second charged particle optical system into which a charged particle beam is incident from the first charged particle optical system, a detector configured to be moved and to detect a charged particle beam from the first charged particle optical system, and a regulator configured to regulate relative positions between the first charged particle optical system and the second charged particle optical system based on an output from the detector disposed between the first charged particle optical system and the second charged particle optical system. | 04-17-2014 |
20140170539 | DETERMINATION OF LITHOGRAPHY TOOL PROCESS CONDITION - A method for forming an integrated circuit (IC) is presented. The method includes providing a wafer having a substrate prepared with a photoresist layer. The photoresist layer is processed by passing a radiation from an exposure source of a lithography tool through a mask having a pattern. The process parameters of the lithography tool are determined by performing a pattern matching process. The photoresist layer is developed to transfer the pattern on the mask to the photoresist layer. | 06-19-2014 |
20140186755 | EXPOSURE APPARATUS AND METHOD OF DEVICE FABRICATION - The present invention provides an exposure apparatus which exposes a substrate, the apparatus including an adjustment unit configured to adjust an oxygen concentration in a space between the projection optical system and the substrate, a measuring unit configured to measure an illuminance of light applied to the substrate, and a control unit configured to control the measuring unit so as to measure illuminances of light applied to the substrate a plurality of times during irradiation of the substrate with light from the projection optical system, configured to calculate, based on each of the illuminances measured the plurality of times, an oxygen concentration value corresponding to the measured illuminance on each time and configured to control the adjustment unit so as to set the oxygen concentration in the space to the calculated oxygen concentration value. | 07-03-2014 |
20140199618 | METHODS OF MEASURING OVERLAY ERRORS IN AREA-IMAGING E-BEAM LITHOGRAPHY - One embodiment relates to a method of measuring overlay errors for a programmable pattern, area-imaging electron beam lithography apparatus. Patterned cells of an overlay measurement target array may be printed in swaths such that they are superposed on patterned cells of a first (base) array. In addition, the overlay array may have controlled-exposure areas distributed within the swaths. The superposed cells of the overlay and base arrays are imaged. The overlay errors are then measured based on distortions between the two arrays in the image data. Alternatively, non-imaging methods, such as using scatterometry, may be used. Another embodiment relates to a method for correcting overlay errors for an electron beam lithography apparatus. Overlay errors for a pattern to be printed are determined based on within-swath exposure conditions. The pattern is then pre-distorted to compensate for the overlay errors. Other embodiments, aspects and features are also disclosed. | 07-17-2014 |
20140287350 | EXPOSURE TOLERANCE ESTIMATION METHOD AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - According to one embodiment, an exposure tolerance estimation method is disclosed. The method can include setting a plurality of regions along a first surface of a substrate. The method can form a plurality of patterns for estimation by performing exposure on each of the regions using at least three levels of exposure condition using an exposure mask. The method can measure dimensions of the patterns for estimation and find relationships between the exposure condition and the dimensions. The method can select a first region from the regions. In the first region, a first dimension of a first pattern for estimation formed by exposure using a first exposure condition of an intermediate level out of the at least three levels falls within a previously set range. In addition, the method can calculate an exposure tolerance from a relationship between the first exposure condition and the first dimension. | 09-25-2014 |
20150099216 | METHOD FOR MANUFACTURING A RESIST COMPOSITION - The present invention provides a method for manufacturing a resist composition which is used in a manufacturing process of a semiconductor apparatus, comprising the steps of:
| 04-09-2015 |
20150140485 | PROCESSING LIQUID SUPPLYING APPARATUS, PROCESSING LIQUID SUPPLYING METHOD AND STORAGE MEDIUM - A processing liquid supplying apparatus supplies a processing liquid to a process object via a discharging part. In one embodiment, the apparatus includes: a processing liquid source that supplies a processing liquid; an intermediate tank connected to the processing liquid source via a transport line; a feed line provided between the intermediate tank and the discharging part; an evacuating unit that evacuates an interior of the intermediate tank to transport the processing liquid from the processing liquid source to the intermediate tank through the transport line; and a pressure adjusting unit that supplies a gas into the intermediate tank to return a pressure in the evacuated intermediate tank from a reduced pressure to a normal pressure, thereby to place the intermediate tank ready for feeding the processing liquid, having been transported into the intermediate tank, into the feed line. | 05-21-2015 |
20160033867 | DIGITAL GREY TONE LITHOGRAPHY FOR 3D PATTERN FORMATION - A method of processing a substrate is described herein. The method includes positioning a substrate on a stage associated with a maskless direct writing pattern generator. The substrate has an undeveloped, unexposed photoresist layer formed thereon. The photoresist layer has a plurality of writing pixel locations. The method includes delivering predetermined doses of electromagnetic energy from the pattern generator to each writing pixel location. A first predetermined dose is a full tone dose, and the first predetermined dose is delivered to at least one writing pixel location. A second predetermined is a fractional tone dose, and the second predetermined dose is delivered to at least one writing pixel location. A third predetermined dose is either a fractional dose or a zero tone dose. The third predetermined dose is delivered to at least one writing pixel location, and the third predetermined dose is different from the second predetermined dose. | 02-04-2016 |
20160085155 | LITHOGRAPHY METROLOGY METHOD FOR DETERMINING BEST FOCUS AND BEST DOSE AND LITHOGRAPHY MONITORING METHOD USING THE SAME - A lithography metrology method is provided. Focus sensitivity data and dose sensitivity data of sample patterns to be formed on a substrate are acquired. At least one focus pattern selected in descending order of focus sensitivity from among the acquired focus sensitivity data of the sample patterns is determined. At least one low-sensitivity focus pattern in ascending order of the focus sensitivity from among the acquired dose sensitivity data of the sample patterns is selected, and at least one dose pattern selected in descending order of dose sensitivity from among the at least one low-sensitivity focus pattern is determined. A split substrate having a plurality of chip regions is prepared. A plurality of focus split patterns having a shape corresponding to the at least one focus pattern and a plurality of dose split patterns having a shape corresponding to the at least one dose pattern in the plurality of chip regions are formed. A best focus and a best dose from the plurality of focus split patterns and the plurality of dose split patterns are determined. | 03-24-2016 |
20160124318 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND ARTICLE MANUFACTURING METHOD - This exposure method comprises a first step of performing the exposure processing by irradiating a projection optical system (the system) by a first pupil plane illumination distribution (the first distribution) of the system; a second step of performing the exposure processing by irradiating the system by a second pupil plane illumination distribution (the second distribution) that is different from the first distribution, after the first step; a change amount obtaining step of obtaining a change amount of an imaging performance of the system in a condition of the second distribution, with respect to the imaging performance in the first step; and a correction amount obtaining step of obtaining a correction amount for correcting the imaging performance in the second step, by using the change amount, wherein, in the second step, the exposure processing is performed by correcting the imaging performance using the correction amount. | 05-05-2016 |
20160161849 | MULTI CHARGED PARTICLE BEAM WRITING APPARATUS AND MULTI CHARGED PARTICLE BEAM WRITING METHOD - A multi charged particle beam writing apparatus according to one aspect of the present invention includes a plurality of first blankers to respectively perform blanking deflection of a corresponding beam in multiple beams having passed through the plurality of openings of the aperture member, a plurality of second blankers to deflect a defective beam in the multiple beams having passed through the plurality of openings of the aperture member to be in a direction orthogonal to a deflection direction of the plurality of first blankers, a blanking aperture member to block each of beams which were deflected to be in a beam off state by at least one of the plurality of first blankers and the plurality of second blankers, and a detection processing unit to detect a defective beam in the multiple beams having passed through the plurality of openings of the aperture member. | 06-09-2016 |
20160202619 | TUNING OF OPTICAL PROJECTION SYSTEM TO OPTIMIZE IMAGE-EDGE PLACEMENT | 07-14-2016 |
20160204040 | MANUFACTURING APPARATUS OF SEMICONDUCTOR DEVICE AND MANAGEMENT METHOD OF MANUFACTURING APPARATUS OF SEMICONDUCTOR DEVICE | 07-14-2016 |
20160255710 | Photon Source, Metrology Apparatus, Lithographic System and Device Manufacturing Method | 09-01-2016 |
20190146349 | METHOD AND APPARATUS FOR LITHOGRAPHY IN SEMICONDUCTOR FABRICATION | 05-16-2019 |
20190146351 | EXPOSURE METHOD AND EXPOSURE APPARATUS | 05-16-2019 |