Entries |
Document | Title | Date |
20080199662 | GRAPHIC MAT AND METHOD OF MANUFACTURE - The present invention relates to multi-layer mats that display a graphic image printed on an intermediate layer of the mat. Mats of the present invention have a base layer, an intermediate graphic layer having graphics thereon, and a top layer. The base layer is preferably made of rubber. The intermediate graphic layer is preferably made of polystyrene or polyvinyl chloride. The top layer is preferably optically clear. The layers of preferred mats preferably have adhesive layers therebetween, and are laminated together. | 08-21-2008 |
20080199663 | PROCESS FOR PRODUCING NANOSTRUCTURED AND/OR MICROSTRUCTURED SURFACES IN AN ADHESIVE LAYER, MORE PARTICULARLY IN A SELF-ADHESIVE LAYER - The invention relates to a process for producing nanostructured and/or microstructured surfaces in an adhesive layer, more particularly in a self-adhesive layer, in which an adhesive polymer mixture, more particularly a self-adhesive polymer mixture, is guided into a nip formed by a relief roll, whose surface has been provided with a relief which represents the negative of the surface structure to be produced on the adhesive layer, and by a nip roll, and where the relief roll and the nip roll rotate in opposite directions, wrapped partly around the relief roll is a web-form carrier material which is guided through the nip and whose speed corresponds more particularly to the peripheral speed of the relief roll, the adhesive polymer mixture, more particularly the self-adhesive polymer mixture, is pressed through the nip, so that the near-roll surface of the polymer mixture is shaped in accordance with the relief, lies in layer form on the carrier material after passage through the nip, and is guided away with the carrier material. | 08-21-2008 |
20080199664 | Coating Agent For a Water Pressure Transfer Film, a Water Pressure Transfer Method and a Water Pressure Transfer Article - A coating agent ( | 08-21-2008 |
20080206524 | Lithographic Printing Plates and Process for Making Same - The present invention refers to lithographic printing and, in particular, to highly solvent-resistant thermally imaging elements and to methods for using the same as well as to corresponding lithographic printing plates comprising said thermally imageable elements. It also refers to processes for making plates and assemblies for use in lithography. The heat imaging positive array of the present invention comprises: A—a hydrophilic substrate; B—a thermally-sensitive imaging element having a composite structure comprising: (1) a first layer on the substrate and a second layer on the first layer, a) the first layer comprising:—a polymeric material which is insoluble or substantially insoluble in aqueous alkaline developing solutions, modified by a first compound which renders the composition comprising the polymeric material more soluble in alkaline developing solutions; b) a second layer on the first layer, said second layer comprising:—a polymeric material modified by a second compound which renders more soluble or dispersible in aqueous alkaline developing solutions, during the developing process, after heating or through absorbance of electromagnetic radiation; the heated or exposed areas of the assembly becoming significantly more soluble or dispersible in aqueous alkaline developing solutions than the non-imaged or un-imaged areas. Or (2) a pre-mix previously prepared from the components of said first and second layers, wherein said pre-mix is subsequently applied on the substrate as a single layer | 08-28-2008 |
20080206525 | Ballistic-Resistant Article - The invention relates to a preformed sheet comprising at least two mono-layers, each mono-layer containing a fibrous network with fibres having a tensile strength of at least about 1.2 GPa and a tensile modulus of at least 40 GPa and a binder, and a separating film on at least one of its outer surfaces, wherein the separating film has an areal density of between 1 and 5 g/m | 08-28-2008 |
20080206526 | PROTECTIVE MATERIAL HAVING GUARD PLATES ON CLEARLY VISIBLE SUBSTRATE - A supple, globally flexible, composite protective material having guard plates on a substrate with a clearly visible pattern. The substrate is flexible and has a surface with a colored pattern including two or more colors. The guard plates are small, non-overlapping, printed resin material members having major and minor dimensions and are arranged in a predetermined pattern over a substantial portion of the surface of the substrate. In one embodiment of the invention the guard plates are transparent or translucent to visible light so that the colored pattern on the surface of the substrate is visible. In another embodiment the colors of the guard plates blend in with the colored pattern of the substrate. | 08-28-2008 |
20080206527 | INK COMPOSITION, INKJET RECORDING METHOD, PRINTED MATERIAL, AND INK SET - An ink composition is provided that includes (A) a pigment, (B) a polymerizable monomer, (C) a polymerization initiator, and (D) a dispersant, the content of the pigment being no greater than 1 wt % of the entire ink composition, and the ratio (D/A) of the weight A of the pigment to the weight D of the dispersant in the ink composition satisfying the relationship 0.508-28-2008 | |
20080206528 | INK COMPOSITION, INKJETRECORDING METHOD, PRINTED MATERIAL, METHOD FOR PRODUCING PLANOGRAPHIC PRINTING PLATE, AND PLANOGRAPHIC PRINTING PLATE - There are provided an ink composition including at least an initiator, a polymerizable monomer and a polymer comprising a fluorine containing group and a polymerizable group; an inkjet recording method using the ink composition; a printed material recorded by the inkjet recording method; a method of producing a planographic printing plate using the ink composition; and a planographic printing plate obtained by the method of producing a planographic printing plate. | 08-28-2008 |
20080213546 | Resin Sheet Having Fine Decorative Patterns and Method of Producing the Same - The present invention provides a resin sheet having fine decorative patterns and a method of readily producing the resin sheet having fine decorative patterns. | 09-04-2008 |
20080213547 | Composite Composition for Micropatterned Layers Having High Relaxation Ability, High Chemical Resistance and Mechanical Stability - A polymerizable composite composition comprising a) a hydrolysate and/or condensate of at least one hydrolysable alkylsilane having at least one alkyl group, at least one hydrolysable arylsilane having at least one aryl group or at least one hydrolysable alkylarylsilane having at least one alkylaryl group, and at least one hydrolysable silane containing an epoxy group, b) at least one organic compound having at least 2 epoxy groups, and c) a cationic initiator, is suitable to provide, upon curing, substrates with a patterned coating or patterned moulded articles. The patterned coatings and moulded articles obtained show high relaxation ability, high chemical resistance and mechanical stability. Micropatterns can be obtained with high stability of shape. | 09-04-2008 |
20080213548 | Ink composition for ink jet recording, recording method, and recorded matter - There is provided an ink composition for ink jet recording, which can yield images having excellent gloss with good color reproduction even on various recording media having a low level of water absorption, especially synthetic paper and printing paper for running-on. The ink composition for ink jet recording comprises a colorant, water, an alkanediol, and a surfactant, wherein the alkanediol comprises a water-soluble 1,2-alkanediol, a poor water-soluble 1,2-alkanediol, and a poor water-soluble both terminal alkanediol, and the alkanediol satisfies a requirement on a weight basis of A:(B+C)=2:1 to 2:3 wherein A represents the content of the water-soluble 1,2-alkanediol in the alkanediol; B represents the content of the poor water-soluble 1,2-alkanediol in the alkanediol; and C represents the content of the poor water-soluble both terminal alkanediol in the alkanediol. | 09-04-2008 |
20080213549 | PHOTORESIST COMPOSITION, COATING METHOD THEREOF, METHOD OF FORMING ORGANIC FILM PATTERN USING THE SAME AND DISPLAY DEVICE FABRICATED THEREBY - Disclosed herein is a photoresist composition suitable for coating onto a large substrate and having improved coating uniformity to prevent occurrence of stains, a coating method thereof, a method of forming an organic film pattern using the same, and a display device fabricated thereby. The present invention thus provides a photoresist composition comprising a polymeric resin with an incorporated polysiloxane resin, a photosensitive compound, and an organic solvent. Accordingly, the photoresist composition can be coated onto a large substrate by a spinless coating method, and thereby coating uniformity can be improved, the occurrence of stains such as cumulous stains and resin streaks can be prevented, and the coating rate and quality of a final product prepared using the photoresist composition can also thereby be enhanced. | 09-04-2008 |
20080213550 | INK COMPOSITION, INKJET RECORDING METHOD, PRINTED MATERIAL, METHOD FOR PRODUCING PLANOGRAPHIC PRINTING PLATE, AND PLANOGRAPHIC PRINTING PLATE - There are provided an ink composition including a polymerization initiator, a polymerizable monomer and a phosphoric acid group-containing polymer having a weight-average molecular weight in a range of 10,000 to 30,000; an inkjet recording method using the ink composition; a printed material recorded by the inkjet recording method; a method of producing a planographic printing plate using the ink composition; and a planographic printing plate obtained by the method of producing a planographic printing plate. | 09-04-2008 |
20080220222 | Photosensitive Polymer Composition, Method of Producing Pattern and Electronic Parts - A photosensitive polymer composition includes (a) a polyamide having a repeating unit represented by the following general formula (I): | 09-11-2008 |
20080220223 | RESIST COVER FILM FORMING MATERIAL, RESIST PATTERN FORMING METHOD, AND ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - To provide a material including: a silicon-containing polymer having at least an alkali-soluble group and is represented by the following general formula (1); and an organic solvent capable of dissolving the silicon-containing polymer. | 09-11-2008 |
20080220224 | Decorative Material - A decorative material including a pattern layer formed from an aqueous composition, which can be easily handled without receiving any scratches on the surface upon loading or unloading, allows no possibility of load collapse when stacked, and has durable surface staining resistance. The decorative material includes a substrate, a sealer layer containing an aqueous adhesive on the substrate, a pattern layer formed from an aqueous ink on top of the sealer layer, and a surface protective layer covering the pattern layer to form an outermost surface, wherein the surface protective layer includes an antifouling agent containing a silicone oil in a matrix resin formed by curing of an oily resin or an ionizing radiation curable monomer, and the outermost surface has a dynamic coefficient of friction of 0.1 to 0.3. | 09-11-2008 |
20080220225 | Filter and display apparatus including the same - A filter includes a base film having a first surface and a second surface, and a plurality of first color patterns on the first surface of the base film, the first color patterns having a stripe pattern with first predetermined intervals therebetween. | 09-11-2008 |
20080226879 | Low stress metallic based coating - A composition for deposition as a coating includes a matrix material having a molten fraction of between about 33% and about 90% by volume and a filler material interspersed within the matrix. | 09-18-2008 |
20080226880 | Solvent Borne Polyurethane Composition - A solvent borne polyurethane composition with a polymodal molecular weight distribution comprising: i) 10 to 90 wt % of at least a polyurethane A with a Mw in the range of from 4,000 up to 25,000 g/mol, ii) 90 to 10 wt % of at least a polyurethane B with a Mw in the range of from 25,000 to 100,000 g/mol and iii) a liquid medium. | 09-18-2008 |
20080226881 | Solvent Borne Polyurethane Process - A process for obtaining a solvent borne polyurethane composition comprising preparing an isocyanate-terminated prepolymer A; reacting the isocyanate groups of isocyanate-terminated prepolymer A with 0.1 to 1.8 stoichiometric equivalents of at least one active-hydrogen containing chain terminating compound and or chain-extending compound; optionally introducing an isocyanate-terminated compound B; and reacting the isocyanate groups of the isocyanate-terminated prepolymer obtained in the previous steps and isocyanate-terminated compound B with 0 to 1.2 stoichiometric equivalents of at least one active-hydrogen containing chain-terminating compound and or chain-extending compound. | 09-18-2008 |
20080233360 | Transparent sheet having a pattern for infrared reflection - An infrared ray reflection pattern-printed transparent sheet is provided which can be applied to a data input system of a type of handwriting directly on a screen of a display device and provides a coordinate detect means and which is lightweight, low in a cost, readily increased in an area, possible in mass production and excellent in a read performance. It is an infrared ray reflection pattern-printed transparent sheet in which infrared ray reflective transparent patterns are printed on a surface of a transparent substrate and which is disposed oppositely to a front face of a display device. A cross section obtained by cutting the infrared ray reflective transparent patterns printed on the above transparent substrate in a face orthogonal to the above transparent substrate is formed so that it assumes a multilayer structure comprising a fixed repeating cycle when observed under a scanning electron microscope. | 09-25-2008 |
20080233361 | PATTERN-FORMED SUBSTRATE, PATTERN-FORMING METHOD, AND DIE - A pattern-formed substrate is provided. The pattern-formed substrate includes a substrate base, an organic thin film and an inorganic resist film stacked on the substrate base in this order, and patterns having predetermined aspect ratios formed on the organic thin film and the inorganic resist film, respectively. The pattern of the organic thin film is formed by selective etching using the pattern of the inorganic resist film as a mask. | 09-25-2008 |
20080233362 | Yellow ink composition, inkset for inkjet, inkjet recording method, and recorded matter - A yellow ink composition for inkjet recording, containing one or more pigments selected from a group consisting of C. I. Pigment Yellow 213, 185, and 155 as a colorant. | 09-25-2008 |
20080233363 | Recording Ink, Ink/Media Set, Ink Cartridge, Inkjet Recording Method and Inkjet Recording Apparatus - To provide a recording ink which contains at least a water-dispersible colorant, a water-dispersible resin, a wetting agent, a surfactant and water, wherein the content of the wetting agent in the recording ink is in the range of from 20% by mass to 35% by mass, the total solid content of the water-dispersible colorant and the water-dispersible resin in the recording ink is in the range of from 12% by mass to 40% by mass, the water-dispersible resin contains fluorine resin particulates, and the ratio A:B, where A is the solid content of the water-dispersible resin in the recording ink and B is the solid content of a pigment contained in the water-dispersible colorant in the recording ink, is in the range of 0.5 to 8. | 09-25-2008 |
20080241485 | INK COMPOSITION AND IMAGE RECORDING METHOD AND IMAGE RECORDED MATTER USING SAME - An ink composition containing at least a polymerizable composition, a pigment, and a polymer represented by General Formula (1): | 10-02-2008 |
20080241486 | Liquid Crystal Display Device with Evaluation Patterns Disposed Thereon, and Method for Manufacturing the Same - Direct exposure equipment having a multiple heads generally conducts overlapping exposure at an exposure area boundary between the heads. In such a case, if the heads are misaligned, a flaw will occur in a pattern shape at an area that is subject to overlapping exposure. To overcome this, TEGs are disposed for evaluating line width and resistance at an overlapping exposure area between the exposure heads and at a returning exposure area formed when direct exposure equipment having a multi-head configuration exposes a substrate. By examining measured values from these TEGs, a misalignment in the multiple exposure heads is detected. | 10-02-2008 |
20080241487 | System and Method for Rendering an Image Onto an Object - A system and method for rendering an image onto an object is described which can provide an improved method for transferring images onto the surface of a three dimensional object. The image is created onto paper-based or vinyl-type material which is then applied to, or forms the surface of an object such as a box, cupboard, storage chest, drinks container, nesting box and casket, etc., thereby enhancing the appearance and structural integrity of the object. In particular, the method is highly suited to objects having curved or angular components as the image-carrying medium may stretch to match the shape of the object. The image rendering method makes possible the use of low cost construction materials for creating the object and in several embodiments includes a new type of folding joint to enable the rendering of unimpaired images upon complex angled and curved shaped surfaces such that rendered objects of diverse and desirable shapes can be formed. | 10-02-2008 |
20080248260 | Recording Ink, Ink Cartridge, Ink Record, Inkjet Recording Apparatus, and Inkjet Recording Method - The object of the invention to provide a recording ink with excellent discharge stability and storage stability, which rapidly penetrates into a recording medium and forms a coating, and which will never generate smearing upon high-speed printing or double-sided printing, and which excels in marker resistance, and which enables high quality image recording with less bleeding, and the ink cartridge, the ink record, the inkjet recording apparatus, and the inkjet recording method using the recording ink. The recording ink containing at least water, a colorant, a resin fine-particle, a water-soluble organic solvent and a penetrant, in which the penetrant is a diol compound whose carbon number is 7 to 11 and the resin fine-particle is a silicone modified acrylic resin not containing a hydrolyzable silyl group. | 10-09-2008 |
20080248261 | PRINTED ARTICLE - An article having a printed image is formed from a substrate, an adhesive disposed over the substrate, a sheet disposed over the adhesive, a digitally printed image directly printed on the sheet, and an elastomer disposed over the printed image. | 10-09-2008 |
20080248262 | Press-on fragrance product applicator - A press-on applicator for applying cosmetic colors to arms or other regions of a customer body leaves indicia identifying a product brand and leaves fragrance material producing a fragrance corresponding to the identified brand, so that after sufficient time has passed for the fragrance to mix and react with customer body chemistry and produce a final scent of fragrance, the customer can identify which brand of fragrance product was applied and thus to determine whether he or she wishes to purchase the brand. This applicator applies pigment material directly to bare customer skin. | 10-09-2008 |
20080248263 | Method of creating super-hydrophobic and-or super-hydrophilic surfaces on substrates, and articles created thereby - The present invention is related to a chemical vapor deposition method of depositing layers of materials to provide super-hydrophilic surface properties, or super-hydrophobic surface properties, or combinations of such properties at various locations on a given surface. The invention also relates to various product applications which make use of super-hydrophobic surface properties, such as electronic devices, biological analytical and diagnostic tools, and optical devices, for example. | 10-09-2008 |
20080248264 | MATERIAL PATTERN, AND MOLD, METAL THIN-FILM PATTERN, METAL PATTERN USING THEREOF, AND METHODS OF FORMING THE SAME - The present invention relates to a material pattern, and mold using thereof, metal thin-film pattern, metal pattern, and method of forming the sames. A method of forming the material pattern according to the present invention comprises the steps of; (a) forming a photo-sensitive material film by coating a photo-sensitive material on a substrate; (b) deciding an exposure section on the photo-sensitive material film; (c) disposing a light refraction film and a light diffusion film at a route of light exposed on the photo-sensitive material film; and (d) forming a pattern on the photo-sensitive material film, by projecting a light on the exposure section of the photo-sensitive material film, wherein the light transmits the light refraction film and the light diffusion film. | 10-09-2008 |
20080254265 | Ink set and ink jet recording method - Disclosed is an ink set that can realize printing of images having excellent image reproduction, color reproduction, and fixation. The ink set comprises a black ink composition and a chromatic color ink composition, wherein the black ink composition comprises at least a surface-treated pigment dispersible and/or dissolvable in water without any dispersant, resin particles having a film forming temperature below 0° C., resin particles having a film forming temperature of 30° C. or above, and water as a main solvent, and the chromatic color ink composition comprises at least a pigment, a polymer, which includes the pigment and renders the pigment dispersible in the ink composition, and water as a main solvent. | 10-16-2008 |
20080268210 | MANUFACTURING METHOD OF ELECTRONIC COMPONENT - A manufacturing method of an electronic component, characterized by having a first step of forming a treated substrate with a reinforcing part having a treated substrate body and a reinforcing part of the treated substrate body standing on a first principal surface of the treated substrate body, a second step of forming a first conductive pattern on the side of the first principal surface of the treated substrate body and forming a second conductive pattern on the side of a second principal surface of the treated substrate body, respectively, and a third step of cutting the treated substrate body and eliminating the reinforcing part and also dividing the treated substrate body into individual pieces. | 10-30-2008 |
20080268211 | LINE END SHORTENING REDUCTION DURING ETCH - A semiconductor device may be formed by the method comprising providing a patterned photoresist mask over the etch layer, the photoresist mask having at least one photoresist line having a pair of sidewalls ending at a line end, placing a coating over the at least one photoresist line comprising at least one cycle, wherein each cycle comprises: a) depositing a polymer layer over the photoresist line, wherein an amount of polymer at the line end is greater than an amount of polymer on the sidewalls, and b) hardening the polymer layer, and etching features into the etch layer through the photoresist mask, wherein a line end shortening (LES) is less than or equal to 1. | 10-30-2008 |
20080274338 | WIRING SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a wiring substrate includes the steps of: (a) forming a sacrificial layer in a first pattern on a substrate; (b) forming a catalyst layer in a second pattern on the substrate; (c) immersing the substrate in an electroless plating liquid, thereby depositing a metal layer on the catalyst layer in the second pattern; and (d) heating to remove the sacrificial layer and to form a metal layer in a third pattern, wherein the third pattern is a region where the first pattern and the second pattern overlap each other. | 11-06-2008 |
20080274339 | INK SET AND MEDIA FOR INK-JET PRINTING - An ink set for ink-jet printing includes a medium cyan ink-jet ink, a magenta ink-jet ink, and a gray ink-jet ink. Each of the medium cyan ink-jet ink, the magenta ink-jet ink, and the gray ink-jet ink are configured to have a lightness at a predetermined/given number of drops per unit area of an image-receiving medium such that the lightness of each of the inks are substantially matched. | 11-06-2008 |
20080280108 | Fabrication Of Decorative Laminates And Panels - The invention relates to a Matrix ( | 11-13-2008 |
20080280109 | Apparatus for re-usable learning card - A learning card system comprised of a rigid backing, an ultra-peelable decal affixed using electrostatic adhesion. Said learning card system being sized to fit in a human hand. | 11-13-2008 |
20080280110 | METHOD OF ACTIVATING A SILICON SURFACE FOR SUBSEQUENT PATTERNING OF MOLECULES ONTO SAID SURFACE - The present invention relates to a method of activating a silicon surface for subsequent patterning of molecules onto said surface, and to patterns produced by this method, and further to uses of said pattern. | 11-13-2008 |
20080286535 | METHOD FOR THE PRODUCTION OF THERMOADHESIVE LABELS WITH LASER TECHNOLOGY AND LABELS THUS OBTAINED - A thermoadhesive label production method is described which contemplates the following steps: printing the transfer ( | 11-20-2008 |
20080292850 | DATA STORAGE DEVICE - The present invention relates to a data storage device comprising: a polymer layer for storing data in the form of topographic features; a substrate comprising a conductor, a first surface of the polymer layer being provided on the substrate; and at least one probe which, when the device is in use, interacts with a second surface of the polymer layer, wherein, when in use, the data storage device is operable to apply a first electrical potential to the at least one probe relative to the substrate, thereby to cause a protrusion to be formed on the second surface of the polymer layer. | 11-27-2008 |
20080299353 | Methods and compositions for forming patterns with isolated or discrete features using block copolymer materials - Methods of directing the self assembly of block copolymers on chemically patterned surfaces to pattern discrete or isolated features needed for applications including patterning integrated circuit layouts are described. According to various embodiments, these features include lines, t-junctions, bends, spots and jogs. In certain embodiments a uniform field surrounds the discrete feature or features. In certain embodiments, a layer contains two or more distinct regions, the regions differing in one or more of type of feature, size, and/or pitch. An example is an isolated spot at one area of the substrate, and a t-junction at another area of the substrate. These features or regions of features may be separated by unpatterned or uniform fields, or may be adjacent to one another. Applications include masks for nanoscale pattern transfer as well as the fabrication of integrated circuit device structures. | 12-04-2008 |
20080299354 | THERMOPLASTIC SHEET WITH METALLIC HOT STAMP FILM, APPARATUS, AND METHOD - A laminate thermoplastic sheet, and an apparatus and a method of making the sheet are disclosed. The laminate sheet includes a cap layer and a substrate layer bonded together. The cap layer is adapted to provide a corrosion, scratch, and smudge resistant decorative metallic finish to the laminate sheet. The apparatus and the method of producing the sheet includes extruding the substrate layer; feeding a sheet film material for the cap layer in substantial alignment with the substrate layer; providing a machine that compresses the two layers together and facilitates the formation of a bond therebetween to form a laminate thereof; inspecting the laminate for a sufficiency of the bond between the two layers; and cutting the laminate into a plurality of individual panels. | 12-04-2008 |
20080299355 | REINFORCED THERMOPLASTIC RESIN COMPOSITION AND MOLDED PRODUCTS THEREOF - The present invention relates to a reinforced thermoplastic resin composition comprising at least an amorphous thermoplastic resin (A), a flame retardant (B), fibrous calcium silicate (C) and an inorganic material (D) comprising talc and/or mica,
| 12-04-2008 |
20080305309 | COATING COMPOSITIONS, PROCESS AND LUMINESCENT COATED ARTICLES - The invention is directed to a composition having a carrier and a luminescent chelate wherein the lanthanide chelate being a lanthanide and a ligand wherein the ligand being represented by the formula | 12-11-2008 |
20080305310 | LUMINESCENT LAMINATE ACRYLIC SHEET AND METHOD - A luminescent laminate acrylic sheet and a method of making the sheet are disclosed. The sheet includes a luminescent layer bonded to at least one other acrylic layer, wherein the luminescent layer provides illumination to the sheet in low or no light environments. The method of producing the sheet includes extruding the various layers and then bonding the layers together under a compressive force and an elevated temperature in a flat lamination press. | 12-11-2008 |
20080305311 | Coated free-standing film - A coated freestanding film, a surface covering and a method of manufacturing such coated freestanding film and surface covering are disclosed. In one embodiment of the present invention, the coated freestanding film and surface covering include a film having a print layer forming a pattern or design disposed on one side of the film. A discontinuous gloss layer is disposed on the other side of the film substantially in-register with at least a portion of the printed pattern or design. Additionally, the gloss layer has at least one portion thereof which has a gloss level different from the side upon which the gloss layer is disposed. The film may be a rigid or semirigid film. In another embodiment, the coated freestanding film and surface covering has a film and a discontinuous gloss layer disposed on one side of the film. The gloss layer forms a pattern or design and at least one portion thereof has a gloss level different from the side upon which the gloss layer is disposed. A surface texture is mechanically embossed into the film substantially in-register with the pattern or design of the gloss layer. | 12-11-2008 |
20080311355 | Thermoplastic Planks and Methods For Making The Same - A thermoplastic laminate plank is described wherein the thermoplastic laminate plank comprises a core, a print layer, and optionally an overlay. The core comprises at least one thermoplastic material and has a top surface and bottom surface wherein a print layer is affixed to the top surface of the core and an overlay layer is affixed to the top surface of the print layer. Optionally, an underlay layer can be located and affixed between the bottom surface of the print layer and the top surface of the core. In addition, a method of making the thermoplastic laminate plank is further described which involves extruding at least one thermoplastic material into the shape of the core and affixing a laminate on the core, wherein the laminate comprises an overlay affixed to the top surface of the print layer and optionally an underlay layer affixed to the bottom surface of the print layer. | 12-18-2008 |
20080311356 | Laminate film for electrophotography, information recording medium using the same, and method for producing the information recording medium - The present invention provides a laminate film for electrophotography including a substrate, a laminate layer formed on one surface of the substrate, and a non-laminate layer formed on the other surface of the substrate, wherein the non-laminate layer contains at least a resin and fine particles and satisfies the inequalities (1) 1.5≦R≦5; (2) 0.1≦t12-18-2008 | |
20080318008 | Method and Apparatus for Application of a Pattern, Element and Device Provided with Such a Pattern - The invention relates to a method and apparatus for application of a decorative pattern to a substrate coated with a coating layer, an element comprising a surface coated with a coating layer with such a pattern, as well as a device provided with such an element. The method employs laser ablation of the pattern in a coating layer, followed by the application of ink in the laser-ablated pattern. The method according to the invention is more flexible with respect to the nature of the coating than known methods. | 12-25-2008 |
20080318009 | Inkjet inks containing crosslinked polyurethanes - Inkjet inks are described that have, as a principal component, a crosslinked polyurethane dispersoid binder additive. These inks can be used for printing on different media, and are particularly suitable for printing on textiles. | 12-25-2008 |
20090004441 | Process For Treating Substrates - A process for treating a substrate comprises utilizing at least one aqueous formulation comprising
| 01-01-2009 |
20090004442 | PROCESSES FOR THE PRODUCTION OF ELECTRO-OPTIC DISPLAYS, AND COLOR FILTERS FOR USE THEREIN - Processes are provided for depositing multiple color filter materials on a substrate to form color filters. In a first process, the surface characteristic of a substrate is modified by radiation so that a flowable form of a first color filter material will be deposited on a first area, and converted to a non-flowable form. A second color filter material can then be deposited on a second area of the substrate. In a second process, first and second color filter materials are deposited on separate donor sheets and transferred by radiation to separate areas of the substrate. A third process uses flexographic printing to transfer the first and second color filter materials to the substrate. | 01-01-2009 |
20090004443 | CHAIR MAT - A chair mat includes a first decorative layer, the first decorative layer being composed of melamine-formaldehyde impregnated decorative sheet. The chair mat also includes a central core layer including a top first surface and a bottom second surface, the central core layer being composed of at least one resin impregnated sheet. The first decorative layer is coupled to the top first surface of the central core layer. The chair mat also includes a second decorative layer coupled to the bottom second surface of the central core layer, the second decorative layer being composed of a melamine-formaldehyde impregnated decorative sheet. | 01-01-2009 |
20090004444 | Novel Photosensitive Resin Compositions - A positive-working photosensitive composition containing one or more polybenzoxazole precursor polymers, a diazonaphthoquinone photoactive compound which is the condensation product of a compound containing from 2 to about 9 aromatic hydroxyl groups with a 5-naphthoquinone diazide sulfonyl compound and a 4-naphthoquinone diazide sulfonyl compound, and at least one solvent, and the use of such compositions to form a relief pattern on a substrate. | 01-01-2009 |
20090011199 | Fluorine-Containing Compound, Fluorine-Containing Polymer, Negative-Type Resist Composition, and Patterning Process Using Same - Disclosed is a fluorine-containing unsaturated carboxylic acid represented by formula (1), | 01-08-2009 |
20090017267 | MULTI-SPECTRAL IMAGING WITH DIFFERENTIAL VISUALIZABILITY IN DISCRETE VISUALIZATION DOMAINS - A multi-spectral imaging process, comprising: selecting colors for presentation in a target visualization regime; determining correspondence of said colors in the target visualization regime to colors in a source visualization regime; and fabricating a product in the source visualization regime having a coloration that produces a predetermined visual presentation of the object in the target visualization regime. Such process can be utilized to fabricate articles having coloration including a blaze orange coloration in a source visualization regime involving a human observer and a camouflage pattern in a target visualization regime involving an animal observer | 01-15-2009 |
20090017268 | METHOD AND APPARATUS FOR SELECTIVELY PATTERNING FREE STANDING QUANTUM DOT (FSQDT) POLYMER COMPOSITES - Free standing quantum do (FSQDT) polymer composites and a method and apparatus for patterning the FSQDT polymer composites is provided. The method for patterning the FSQDT polymer composites includes creating a solution including FSQDTs where each of the FSQDTs has a plurality of reactive ligands chemically attached thereto. The method further includes providing a substrate, forming a coated substrate by coating a surface of the substrate with a layer of the solution, and providing a photo mask having a predetermined pattern thereon transparent to a predetermined radiation over the coated substrate. Finally, the method includes exposing a portion of the coated substrate to the predetermined radiation passing through the mask to pattern a polymer matrix in the predetermined pattern while adhering the FSQDTs to the polymer matrix to form the FSQDT polymer composite. | 01-15-2009 |
20090017269 | Camouflage articles and method of making and using same - The present invention relates to a camouflage pattern comprising naturally occurring objects in combination with non-naturally occurring objects such as a logo, trademark, brand, name, symbol or other designation. In order to make such a camouflage pattern a two-dimensional layout of a collage or combination of images of a naturally occurring object and a logo, brand, or other designation, or a pattern of logos, brands or other designations is obtained. Then the composite image is digitized to yield a two-dimensional digital data set. The latter then is processed in a computer to produce the desired camouflage pattern comprising both elements of naturally occurring articles and the selected non-natural elements comprising a logo, brand, or other designation or combinations thereof. The resulting camouflage pattern then may be transferred to a useful article such as clothing, for example, or to any other of the myriad articles where camouflage patterns are desired and used. | 01-15-2009 |
20090017270 | DISC HAVING RELIEF PATTERN AND TRANSPRINT METHOD THEREOF - A disc having a relief pattern and a transprint method thereof are provided. The transprint method for transprinting the relief pattern on a substrate of the disc includes the following steps. First, a transprint template is provided. A first surface of the transprint template has a complementary pattern corresponding to the relief pattern. Next, a pattern layer is formed on the substrate. Then, the transprint template is placed on and covers the pattern layer until the first surface of the transprint template closely contacts a second surface of the pattern layer, so that the relief pattern is formed on the second surface. Afterward, the pattern layer is cured by irradiation. Later, the transprint template is removed for exposing the second surface with the relief pattern. | 01-15-2009 |
20090017271 | Densely packed sensor arrays - A system and method for recognition of images may include the use of alignment markers. The image recognized may be a pattern from an array, a character, a number, a shape, and/or irregular shapes. The pattern may be formed by elements in an array such as an identification marking and/or a sensor array. More particularly, the system and method relate to discriminating between images by accounting for the orientation of the image. The size and/or location of alignment markers may provide information about the orientation of an image. Information about the orientation of an image may reduce false recognitions. The system and method of image recognition may be used with identification markings, biosensors, micro-fluidic arrays, and/or optical character recognition systems. | 01-15-2009 |
20090017272 | Low k dielectric - A spin-on dielectric of novel composition formed as a sol comprising an a source of silicon such as an orthosilicate ester, alone or in combination with an alkylated orthosilicate ester, a polar solvent, water, an acid catalyst, which may be a strong acid catalyst, and an amphiphilic block copolymer surfactant, optionally including an organic acid, a co-solvent and/or a reactive solvent. Also provided is a method of formulating the sol, a film made from the spin-on dielectric that has desirable electrical and mechanical properties, methods for treating the film described to optimize the film's electrical and mechanical performance, and methods for depositing the film onto silicon, steel or other surfaces. | 01-15-2009 |
20090017273 | PIGMENT DISPERSION LIQUID, CURABLE COMPOSITION, COLOR FILTER PRODUCED USING THE SAME, AND SOLID STATE IMAGING DEVICE - The present invention provides a pigment dispersion liquid including a pigment, a compound having a cyclic urea structure and having an acid group or a basic group, a dispersant, and a solvent. The pigment may be a pigment having a urea structure or an imide structure. The pigment may also be a pigment having a barbituric skeleton. | 01-15-2009 |
20090017274 | OPTICAL ARTICLES AND SOL-GEL PROCESS FOR THEIR MANUFACTURE - An optical article, having an almost complete isotropy and dimensions equal to or lower than 500 .mu.m, is prepared by a sol-gel procedure comprising a preliminary step wherein the mould is filled cold with a sol containing the desired oxide precursors, sol gelation, gel drying, removal of the gel from the mould and the subsequent miniaturization of the dried gel. The optical article can be used for optic telecommunications. | 01-15-2009 |
20090022961 | INTERLAYER FOR LITHOGRAPHIC PLATES - Lithographic substrate comprising (a) a dimensionally stable plate- or foil-shaped support, (b) an aluminum oxide layer provided on at least one side of the support (a), and (c) an interlayer applied onto the aluminum oxide layer comprising a hydrophilic polymer comprising structural units derived from the following compounds: (a1) at least one compound comprising both polyalkylene oxide chains and at least one structural unit which is free-radical polymerizable, and (a2) at least one monomer capable of copolymerizing with the free-radical polymerizable structural unit of (a1) and furthermore comprising at least one acidic functional group with pKs<5, wherein the acidic functional group can be present as a free acid group or in the form of a salt. | 01-22-2009 |
20090029118 | METHOD OF MULTI-STAGE SUBSTRATE ETCHING AND TERAHERTZ OSCILLATOR MANUFACTURED USING THE SAME METHOD - A method of multi-stage substrate etching and a terahertz oscillator manufactured by using the method are provided. The method comprises the steps of forming a first mask pattern on any one surface of a first substrate, forming a hole by etching the first substrate using the first mask pattern as an etching mask, bonding, to the first substrate, a second substrate having the same thickness as a depth to be etched, forming a second mask pattern on the second substrate bonded, forming a hole by etching the second substrate using the second mask pattern as an etching mask, and removing an oxide layer having the etching selectivity between the first substrate and the second substrate, whereby the etched bottom is made uniformly even in a deep step, the edge curvature is minimized, and a T-shape is prevented from being formed on the etched wall face to thereby improve the etching quality. Further, the etching depth is previously controlled by lapping or polishing, the upper and lower substrates are precisely boned to each other using the alignment key, and a multi-layer processing is possibly performed thereto, so that the precision and the uniformity in structure of the oscillator or amplifier is obtained. | 01-29-2009 |
20090029119 | Ink set for ink jet, ink jet coloring process and colored product for outdoor - An object of the present invention is to provide an ink set for ink jet capable of obtaining printed articles superior in warm color representation and weather resistance, an ink jet coloring process and a colored product for outdoor. An ink set for ink jet containing an orange pigment ink (a) and a red pigment ink (b) wherein the orange pigment ink (a) includes iron oxide serving as an orange pigment and a solvent, and the red pigment ink (b) includes iron oxide serving as said red pigment; at least one of a pigment selected from condensed polycyclic compound pigments, said at least one of a pigment serving as said red pigment; and a solvent. | 01-29-2009 |
20090029120 | Novel Porphyrazine Coloring Matter, Ink, Ink Set and Colored Article - The present invention relates to a porphyrazine coloring matter represented by the following formula (1): | 01-29-2009 |
20090029121 | NANOPARTICULATE METAL BORIDE COMPOSITION AND ITS USE FOR IDENTIFICATION-MARKING PLASTIC PARTS - The present invention relates to a process for the identification-marking of plastics parts. | 01-29-2009 |
20090035531 | PINS FOR TRANSFERRING MATERIAL - A pin for allowing material having adhesive properties to adhere thereon, the pin comprising: a shaft having a longitudinal axis, a distal end and a proximal end opposite to the distal end; and a first tapered portion disposed on the longitudinal axis of the shaft, the tapered portion tapering from a first circumference to a second smaller circumference in a direction toward the proximal end of the shaft. | 02-05-2009 |
20090035532 | CONDUCTIVE LAMINATE - A conductive multilayer stack ( | 02-05-2009 |
20090035533 | ALTERNATIVE PHTHALOCYANINE DYES SUITABLE FOR USE IN OFFSET INKS - A phthalocyanine salt suitable for formulation in a solvent-based or oil-based ink vehicle is disclosed. The phthalocyanine comprises one or more sulfonate groups and a counterion of at least one sulfonate group is an ammonium cation comprising at least 15 carbon atoms. Ammonium salts of sulfonated gallium naphthalocyanines exemplify such phthalocyanine salts. | 02-05-2009 |
20090035534 | Method and structure of a reusable substrate - A reusable substrate structure and a method of handling the reusable substrate are disclosed. The reusable substrate structure comprises a substrate, at least one epitaxial layer and at least one inter layer. The method used in this invention is by employing a separating method in order to decompose the inter layer. Since the inter layer is decomposed, the substrate and the epitaxial layer will be separated. This achieves the goal of reusable substrate and then can save the material cost without additional wasting. | 02-05-2009 |
20090035535 | INK-JET INK AND METHOD OF PRODUCING THE SAME, COLOR FILTER AND METHOD OF PRODUCING THE SAME, DISPLAY DEVICE, AND METHOD OF FORMING FUNCTIONAL FILM - An ink-jet ink used to form a functional film by ejecting an ink droplet using an ink-jet system onto a non-penetrative substrate includes a compound that has an amino group and an alkylene glycol portion within a molecule and serves as a wettability enhancer. Also provided are a method of producing the ink-jet ink, a method of producing a color filter using the ink-jet ink, and a method of forming a color filter or a functional film. | 02-05-2009 |
20090035536 | PROCESS FOR PRODUCING THIN-FILM DEVICE, AND DEVICES PRODUCED BY THE PROCESS - In a process for producing a thin-film device, a thermal-buffer layer is formed over a substrate which contains a resin material as a main component, and a light-cutting layer is formed over at least a region of the substrate over which a non-monocrystalline film to be annealed is not to be formed, where the light-cutting layer prevents damage from short-wavelength light to the substrate by reducing a proportion of the short-wavelength light which reaches the substrate. Thereafter, the non-monocrystalline film which is to be annealed is formed in a pattern over the substrate having the thermal-buffer layer, and an inorganic film is formed by irradiating the non-monocrystalline film with the short-wavelength light so as to anneal the non-monocrystalline film. | 02-05-2009 |
20090041990 | METHOD FOR ATTACHMENT OF SOLDER POWDER TO ELECTRONIC CIRCUIT BOARD AND SOLDERED ELECTRONIC CIRCUIT BOARD - A method for the attachment of solder powder includes the steps of treating an exposed metallic surface of an electronic circuit board with a tackifier compound, thereby imparting tackiness to the metallic surface to form a tacky part, and supplying the tacky part with a solder powder slurry suspended in a liquid, thereby inducing attachment of the solder powder. A method for the production of a soldered electronic circuit board, includes the steps of treating an exposed metallic surface of an electronic circuit board with a tackifier compound, thereby imparting tackiness to the metallic surface to form a tacky part; supplying the tacky part with a solder powder slurry suspended in a liquid, thereby inducing attachment of the solder powder, and thermally fusing the attached solder powder, thereby forming a circuit. A method for the attachment of solder powder includes the steps of treating an exposed metallic surface of an electronic circuit board with a tackifier compound, thereby imparting tackiness to the metallic surface to form a tacky part, supplying the tacky part with a solder powder slurry suspended in a liquid, thereby inducing attachment of the solder powder and, on the occurrence of a part devoid of attachment of solder powder in the consequently formed electronic circuit board, supplying the part with a solder powder slurry, thereby inducing attachment of solder powder thereto. | 02-12-2009 |
20090041991 | Method for Manufacturing Inks, and Inks, Printed Matter and Shaped Products Based on Method Thereof - Provided is a method for manufacturing inkjet system ultraviolet curable inks which are improved in formability, adhesiveness and tackiness by selecting a combination of a monofunctional radical polymerization monomer as a major component with other polyfunctional radical polymerization oligomers and/or monofunctional radical polymerization monomers. | 02-12-2009 |
20090047483 | THIN-LAYER REINFORCEMENT MEMBER - The present invention provides a thin-layer reinforcement member which enhances the mechanical characteristics, such as tensile strength and tear propagation strength, of a to-be-reinforced base substance that is thin, lightweight, and flexible, while maintaining the characteristics of the base substance, such as the thickness, weight, and flexibility. A thin-layer reinforcement member ( | 02-19-2009 |
20090047484 | Ink composition - An ink composition at least comprising water and a colorant, and further comprising 0.01% by weight or more of lithium ions based on the total weight of the ink composition, wherein at least one type of glycol ether represented by the following formula 1 is contained: | 02-19-2009 |
20090053478 | FUNCTIONAL FILM CONTAINING STRUCTURE AND METHOD OF MANUFACTURING FUNCTIONAL FILM - A method of manufacturing a functional film by which a functional film formed on a film formation substrate can be easily peeled from the film formation substrate. The method includes the steps of: (a) forming an electromagnetic wave absorbing layer on a substrate by using a material which absorbs an electromagnetic wave to generate heat; (b) forming a separation layer on the electromagnetic wave absorbing layer by using an inorganic material which is decomposed to generate a gas by being heated; (c) forming a layer to be peeled containing a functional film; and (d) applying the electromagnetic wave toward the electromagnetic wave absorbing layer so as to peel the layer to be peeled from the substrate or reduce bonding strength between the layer to be peeled and the substrate. | 02-26-2009 |
20090053479 | Disazo Dyes for Ink-Jet Printing - A compound of Formula (1) or salt thereof: | 02-26-2009 |
20090053480 | Phthalocyanines and Their Use in Ink-Jet Printing - A mixture of compounds of Formula (1) and salts thereof: | 02-26-2009 |
20090053481 | METHOD FOR SUCCESSIVELY FUNCTIONALIZING A SUBSTRATE AND MICROSTRUCTURE IS OBTAINABLE BY SAID METHOD - A method for successively functionalizing a substrate whose surface is provided with at least two areas ( | 02-26-2009 |
20090053482 | METHOD OF REDUCING IMAGE FADE - A method of reducing dye fade of a printed inkjet image comprises the step of depositing a layer of Al | 02-26-2009 |
20090053483 | PHOTOCURABLE CONDUCTIVE PASTE AND PHOTOCURABLE BLACK PASTE USED IN FORMATION OF BUS ELECTRODE HAVING DOUBLE LAYER STRUCTURE, AND PLASMA DISPLAY PANEL - The invention relates to a photocurable conductive paste which is a conductive paste (A) used to form the upper layer of a bus electrode having a double-layer structure including two layers differing in contrast, the conductive paste (A) containing a glass powder (A | 02-26-2009 |
20090053484 | ACTIVE ENERGY RAY-CURABLE INKJET INK COMPOSITION - Provided is an active energy ray-curable inkjet ink comprising a pigment and polymerizable monomers that is superior in nozzle ejection stability, adhesiveness to recording medium and storage stability, wherein the polymerizable monomers comprise N-acryloyloxyethyl hexahydrophthalimide. | 02-26-2009 |
20090061167 | INKJET INK COMPOSITION FOR COLOR FILTER, PRODUCTION METHOD FOR COLOR FILTER, AND COLOR FILTER - An ink-jet composition for a color filter excellent in storage stability, straightness and sustainability at the time of ejection from a head, wherein a cured layer thereof is excellent in heat resistance, adhesive property, and solvent resistance. The ink-jet ink composition for a color filter is a specific epoxy group-containing polymer (A), a specific epoxy group-containing compound (B) having two or more specific epoxy groups and a polycarboxlic acid derivative (C) in which specific carboxylic acid (cl) having alicyclic hydrocarbon is rendered latent by vinyl ether (c2), wherein the equivalence ratio of carboxyl groups rendered latent by the polycarbonoxylic acid derivative (C) to the total epoxy groups contained in the epoxy-group containing polymer (A) and the epoxy-group containing compound (B) is in the range from 0.7 to 1.1. | 03-05-2009 |
20090061168 | METHOD FOR MANUFACTURING FLOOR COVERING AND FLOOR COVERING MANUFACTURED THEREBY - A method for manufacturing a floor covering including forming a printing layer and a transparent film layer sequentially on a base layer made of polyvinyl chloride (PVC) as a main ingredient, forming a balance layer underneath the base layer, and forming a plurality of foam layers arranged in a row to be equally spaced apart from each other underneath the balance layer is disclosed. | 03-05-2009 |
20090061169 | ELECTRICAL CONDUCTORS AND METHODS OF MAKING AND USING THEM - Certain examples disclosed herein are directed to devices that include a substrate and conductor disposed on the substrate. In some examples, each of the conductor and the substrate may include materials that are mutually insoluble in each other. In other examples, the conductor may further comprise a substantially pure metal. In certain examples, the disposed conductor may be configured to pass adhesion tape test ASTM D3359-02. Methods of forming the conductors are also provided. | 03-05-2009 |
20090061170 | ANISOTROPIC FILM AND METHOD OF MANUFACTURING ANISOTROPIC FILM - An anisotropic film is disclosed in which a line-shaped nanostructure is disposed inside a resin film. Also disclosed is a method of producing an anisotropic film that includes: forming a metal nanostructure on a substrate, forming a resin film that embeds the metal nanostructure, and detaching the resin film from the substrate, wherein the step of forming the metal nanostructure on the substrate includes: at least, forming a coating film on the surface of a template provided on the substrate, the coating film including a metal layer formed by electroless plating; and removing a portion or all of the template while retaining a portion or all of the coating film, or removing a portion of the coating film. Also disclosed is an anisotropic film produced using the method of producing an anisotropic film. | 03-05-2009 |
20090061171 | SUBSTRATES COATED WITH BRANCHED POLYURETHANES FOR ELECTROPHOTOGRAPHIC PRINTING PROCESSES - Process for printing on substrates, wherein the substrates are pre-treated with a composition which comprises a polyurethane, polyureaurethane or polyurea or a mixture thereof. | 03-05-2009 |
20090068413 | Negative-working photosensitive resin composition and photosensitive resin plate using the same - A negative-working photosensitive resin composition is dis-closed, comprising (A) a film-forming polymer, (B) an unsaturated compound having a radical polymerizable ethylenic double bond, (C) a photopolymerization initiator, and (D) a thermal polymerization inhibitor, wherein the resin composition further contains (E) at least one member selected from compounds represented by following formula (I): | 03-12-2009 |
20090068414 | Disazodyes for Ink-Jet Printing - A process for printing an image on a substrate comprising applying to the substrate by means of an ink jet printer an ink comprising a compound of Formula (1) or salt thereof: | 03-12-2009 |
20090068415 | INK COMPOSITION, IMAGE-FORMING METHOD AND RECORDED MEDIUM - The invention provides an ink composition comprising a polymerizable compound and a metal-containing polymerizable compound and an image-forming method and a recorded medium using the same. | 03-12-2009 |
20090068416 | Process for Coating a Substrate with a Coating Precursor Forming a Coating as a Product of Ester Condensation and Products Coated with Such Coating Precursor - A process is provided for coating a surface of a substrate using a coating precursor comprising a reactive mixture which is reacted to form a coating as a product of ester condensation. The reactive mixture includes a monomer mixture comprising at least one polyhydric alcohol and a reactant selected from the group consisting of at least one organic polyacid; at least one organic anhydride; and combinations thereof. Alternatively, the reactive mixture comprises a prepolymer formed from the monomer mixture; a combination of the prepolymer and the monomer mixture; or a combination of the prepolymer and reactants such as polyhydric alcohol, organic polyacid, organic anhydride, and combinations thereof. | 03-12-2009 |
20090068417 | Ink composition, inkjet recording method and recorded article - An ink composition at least including: a pigment; a water-soluble organic solvent; a surfactant; and 60 to 10% by weight of water. | 03-12-2009 |
20090068418 | Display panel, method for producing the same and composition of ink used by the method for producing the same - A display panel has a resin substrate and a printed layer formed on at least a part of the resin substrate through inkjet printing. The printed layer is formed from cured products of UV-curable inks each containing UV-curable monomers which are polymerized and cured by UV irradiation, and the printed layer has at least two such cured products having different pencil hardness values. | 03-12-2009 |
20090075033 | Building wrap for use in external wall assemblies having wet-applied facades - A multiple sheet building wrap for wrapping the structural components of a building in order to protect the underlying structural components from moisture is provided. The building wrap is particularly useful under facades such as wet applied stucco or engineered stone, demonstrating good drainage of liquid water and moisture vapor permeability. The building wrap is a composite of a water resistive barrier and a porous intervening layer which acts as a barrier to stucco as it is applied. An exterior wall construction incorporating such building wrap is also provided. | 03-19-2009 |
20090075034 | PATTERNING METHOD AND DISPLAY DEVICE - A wet-etching is conducted with a weak acid or weak base etchant to pattern a display device substrate that has a gas-barrier layer comprising at least one organic region and at least one inorganic region. The wet-etching attains high-precision patterning not having any negative influence on the quality of the processed display device. | 03-19-2009 |
20090075035 | PREPARING NANOPARTICLES AND CARBON NANOTUBES - Apparatus and methods for forming the apparatus include nanoparticles, catalyst nanoparticles, carbon nanotubes generated from catalyst nanoparticles, and methods of fabrication of such nanoparticles and carbon nanotubes. | 03-19-2009 |
20090075036 | Inkjet-recording non-aqueous ink composition, inkjet recording method, and recorded matter - An inkjet-recording non-aqueous ink composition including a metallic pigment, an organic solvent, and a cellulose acetate butyrate resin having a butyl content of 16.5 to 48%. | 03-19-2009 |
20090075037 | Images in solid surfaces - The present invention relates to systems and methods for forming images in solid surfaces, and to solid surfaces containing an image. In particular, the present invention provides systems and methods for forming images in polymeric materials, and polymeric materials containing an image with novel optical density characteristics. | 03-19-2009 |
20090081418 | SPIN-ON ANTIREFLECTIVE COATING FOR INTEGRATION OF PATTERNABLE DIELECTRIC MATERIALS AND INTERCONNECT STRUCTURES - The present invention provides a method of fabricating an interconnect structure in which a patternable low-k material replaces the need for utilizing a separate photoresist and a dielectric material. Specifically, this invention relates to a simplified method of fabricating single-damascene and dual-damascene low-k interconnect structures with at least one patternable low-k dielectric and at least one inorganic antireflective coating. In general terms, a method is provided that includes providing at least one patternable low-k material on a surface of an inorganic antireflective coating that is located atop a substrate. The inorganic ARC is liquid deposited and comprises a polymer that has at least one monomer unit comprising the formula M-R | 03-26-2009 |
20090081419 | Composite Porous Resin Base Material and Method for Manufacturing the Same - In a composite porous resin base material, a porous resin film is provided with a functional section whereupon an electrode and/or a circuit is formed. On the periphery surrounding the functional section, a step having a height different from that of the functional section is formed, and a frame plate is arranged on a plane of the step. Provided is the composite porous resin base material which has a structure wherein the frame plate having rigidity is attached to the porous resin base material whereupon the electrode and/or a circuit is formed without deteriorating characteristics such as elasticity and conductivity of the porous resin base material. | 03-26-2009 |
20090081420 | INK COMPOSITION, INKJET RECORDING METHOD, AND PRINTED MATERIAL - An ink composition is provided that includes (A) at least one polymerizable compound selected from the group consisting of a monofunctional radically polymerizable monomer having an aliphatic cyclic structure, an N-vinyllactam, and an aromatic monofunctional radically polymerizable monomer, (B) a radical polymerization initiator, (C) a pigment, and (D) a graft copolymer having a repeating unit represented by the Formula below in a graft chain. | 03-26-2009 |
20090081421 | PHOTOCURABLE COATING COMPOSITION, AND OVERPRINT AND PROCESS FOR PRODUCING SAME - A photocurable coating composition is provided that includes (A) a compound represented by Formula (i) below, (B) a photopolymerization initiator, and (C) a compound having an ethylenically unsaturated bond. | 03-26-2009 |
20090081422 | PHOTOCURABLE COATING COMPOSITION, AND OVERPRINT AND PROCESS FOR PRODUCING SAME - A photocurable coating composition is provided that includes an ethylenically unsaturated compound having an alicyclic hydrocarbon group and a photopolymerization initiator. There are also provided a process for producing an overprint, the process including a step of obtaining a printed material by printing on a printing substrate, a step of coating the printed material with the photocurable coating composition, and a step of photocuring the photocurable coating composition, an overprint produced by the process, use of the photocurable coating composition for producing an overprint, and use of the photocurable coating composition for producing an overprint for an electrophotographically printed material. | 03-26-2009 |
20090081423 | Ink jet recording method for recording pattern layer and white overlaying layer on longitudinal sheet - The present invention relates to an ink jet recording method for recording a white overlaying layer and a pattern layer on a longitudinal film base material, printed matters obtained by the recording method, and systems for realizing the recording method thereof. | 03-26-2009 |
20090081424 | BONDING SHEET, BONDING METHOD AND BONDED BODY - A bonding sheet having a bonding film that can be firmly bonded to an object with high dimensional accuracy and efficiently bonded to the object at a low temperature, a bonding method which is capable of efficiently bonding such a bonding sheet and the object at a low temperature, and a bonded body formed by firmly bonding the bonding sheet and the object with high dimensional accuracy and therefore being capable of providing high reliability are provided. The bonding sheet is adapted to be bonded to an object. The bonding sheet includes a functional substrate having a specific function and a surface, and a bonding film provided on the side of the surface of the functional substrate, the bonding film containing metal atoms, oxygen atoms bonded to the metal atoms, and leaving groups each bonded to at least one of the metal and oxygen atoms, and having a surface. When energy is applied to at least a predetermined region of the surface of the bonding film, the leaving groups, which exist in the vicinity of the surface within the region, are removed from the bonding film so that the region develops a bonding property with respect to the object. | 03-26-2009 |
20090087625 | METHOD FOR MANUFACTURING STRUCTURE, AND STRUCTURE - A method of producing a structure, including:
| 04-02-2009 |
20090087626 | INK COMPOSITION, INKJET RECORDING METHOD, PRINTED MATERIAL, AND PROCESS FOR PRODUCING MOLDED PRINTED MATERIAL - An ink composition is provided that includes a perfluoroalkyl group-containing polymer, a polymerizable monomer, and a radical polymerization initiator, in which at least 80 wt % of the polymerizable monomer is a monofunctional polymerizable monomer selected from the group consisting of a monofunctional acrylate, a monofunctional methacrylate, a monofunctional vinyloxy compound, a monofunctional N-vinyl compound, a monofunctional acrylamide, and a monofunctional methacrylamide. There are also provided an inkjet recording method that includes a step of discharging the ink composition onto a recording medium and a step of curing the ink composition by irradiating the discharged ink composition with actinic radiation, a printed material obtained by the inkjet recording method, and a process for producing a molded printed material that includes a step of forming an image by discharging the ink composition onto a support by an inkjet method, a step of irradiating the image thus obtained with actinic radiation so as to cure the ink composition and obtain a printed material having the image cured on the support, and a step of molding the printed material. | 04-02-2009 |
20090087627 | WHITE INK COMPOSITION, INKJET RECORDING METHOD, AND PRINTED MATERIAL - A white ink composition for inkjet recording is provided that includes an acylphosphine-based photopolymerization initiator, a monomer having a heterocyclic skeleton, and a white colorant, the acylphosphine-based photopolymerization initiator having a content of 10 to 18 wt % relative to the ink composition. There are also provided an inkjet recording method that includes a step of discharging the white ink composition for inkjet recording onto a recording medium and a step of curing the white ink composition by irradiating the discharged white ink composition with actinic radiation, and a printed material formed by the inkjet recording method. | 04-02-2009 |
20090087628 | LIQUID DROPLET EJECTION APPARATUS, METHOD OF MANUFACTURING ELECTROOPTICAL DEVICE, ELECTROOPTICAL DEVICE, AND ELECTRONIC APPARATUS - A method of manufacturing an electrooptical device includes forming a film-deposited section of function liquid droplets on a substrate by a liquid droplet ejection apparatus having a plurality of color-dependent function liquid droplet ejection heads are arranged such that a plurality of color-dependent partial imaging lines, each formed by a plurality of ejection nozzles, are formed so as to continuously make up a single imaging line in the Y-axis direction. An imaging process is performed by repeating a main scanning operation for driving each function liquid droplet ejection head in synchronization with moving of the substrate in the X-axis direction, and a sub-scanning operation for moving the function liquid droplet ejection heads through a carriage unit in the Y-axis direction by a length of a partial imaging line. | 04-02-2009 |
20090092799 | MIXED LITHOGRAPHY WITH DUAL RESIST AND A SINGLE PATTERN TRANSFER - An inorganic electron beam sensitive oxide layer is formed on a carbon based material layer or an underlying layer. The inorganic electron beam sensitive oxide layer is exposed with an electron beam and developed to form patterned oxide regions. An ultraviolet sensitive photoresist layer is applied over the patterned oxide regions and exposed surfaces of the carbon based material layer, and subsequently exposed with an ultraviolet radiation and developed. The combined pattern of the patterned ultraviolet sensitive photoresist and the patterned oxide regions is transferred into the carbon based material layer, and subsequently into the underlying layer to form trenches. The carbon based material layer serves as a robust mask for performing additional pattern transfer into the underlying layer, and may be easily stripped afterwards. The patterned ultraviolet sensitive photoresist, the patterned oxide regions, and the patterned carbon based material layer are subsequently removed. | 04-09-2009 |
20090092800 | COMPOSITION FOR PREPARING MODIFIED POLYIMIDE/CLAY NANOCOMPOSITES AND PREPARATION METHOD OF MODIFIED POLYMIDE/CLAY NANOCOMPOSITES USING THE SAME - Example embodiments provide a composition for preparing modified polyimide/clay nanocomposites. The composition comprises a modified polyamic acid terminated with groups at both ends of the backbone and a layered clay compound. Example embodiments provide a method for preparing modified polyimide/clay nanocomposites using the composition. Polyimide/clay nanocomposites prepared by the method exhibit excellent thermal properties. Therefore, the polyimide/clay nanocomposites can find many useful applications as materials for next-generation substrates that are small in size and thickness and light in weight. | 04-09-2009 |
20090092801 | NONAQUEOUS INKJET INK, INK COMPOSITION FOR INKJET RECORDING, AND SUBSTRATE FOR COLOR FILTER - A nonaqueous ink composition for an ink jet recording, comprising
| 04-09-2009 |
20090092802 | CURABLE COMPOSITION, NEGATIVE TYPE COLOR FILTER AND METHOD OF PRODUCING THE SAME - A curable composition and a negative type color filter including a compound represented by the following formula (1). A is a residue of a five-membered heterocyclic diazo component A-NH | 04-09-2009 |
20090098347 | PHOTOSENSITIVE SELF-ASSEMBLED MONOLAYER FOR SELECTIVE PLACEMENT OF HYDROPHILIC STRUCTURES - A photosensitive monolayer is self-assembled on an oxide surface. The chemical compound of the photosensitive monolayer has three components. A first end group provides covalent bonds with the oxide surface for self assembly on the oxide surface. A photosensitive group that dissociates upon exposure to ultraviolet radiation is linked to the first end group. A second end group linked to the photosensitive group provides hydrophobicity. Upon exposure to the ultraviolet radiation, the dissociated photosensitive group is cleaved and forms a hydrophilic derivative in the exposed region, rendering the exposed region hydrophilic. Carbon nanotubes or nanocrystals applied in an aqueous dispersion are selectively attracted to the hydrophilic exposed region to from electrostatic bonding with the hydrophilic surface of the cleaved photosensitive group. | 04-16-2009 |
20090098348 | Disease prevention workout towel with user instructions - An improved workout towel comprising user instructions in the form of indicia on each side of the towel; a first side bearing indicia that instructs a user to employ the first side of the towel on the person of the user, and a second side bearing indicia that instructs a user to employ the second side of the towel on surfaces other than the person of the user. The workout towel further comprising antimicrobial properties either applied to the towel, or incorporated into the textile comprising it, including bamboo as a textile material component comprising the towel. | 04-16-2009 |
20090104411 | Method of producing a folded honeycomb structure for a sandwich component and foldable sheet-like material - In a method of producing a folded honeycomb structure for a sandwich component a sheet-like material is provided. The sheet-like material comprises a softening temperature and is heated to at least the softening temperature in a region about predetermined folding lines. Then, the sheet-like material is folded along the heated region of the folding lines into the folded honeycomb structure. | 04-23-2009 |
20090104412 | External Light Blocking Film For Display Device, Filter For Display Device Having The Same, And Method Of Fabricating The Same - A method of fabricating an external light blocking film for a display device is provided. The method includes a step of applying a curable resin in which a photochromic colorant is mixed on a backing of a transparent resin; a step of disposing a photo-mask having a predetermined pattern on the curable resin and then irradiating the photochromic colorant through the photo-mask with light having a first wavelength which makes the photochromic colorant color-changed; a step of removing the photo-mask and then curing the curable resin. The method can improve fabrication efficiency. | 04-23-2009 |
20090104413 | Filter For Display Device And Method For Fabricating The Same - A filter for a display device and a method for fabricating the filter are provided. The filter includes a base including a transparent polymer resin and a color compensation colorant mixed in the transparent polymer resin, an external light blocking pattern formed at the base, and an electromagnetic wave blocking layer formed on the base. | 04-23-2009 |
20090104414 | INKJET INK - An inkjet ink capable of forming a polyimide film having, for example, strong mechanical strength, is provided. The inkjet ink contains: a polyamic acid (A) having a weight-average molecular weight of 50,000-500,000; one or more of an amic acid compound (B1) and an amic acid compound (B2), in which the amic acid compound (B1) is prepared from a compound (a3) having two or more anhydride groups and a monoamine (a5), and the amic acid compound (B2) is prepared from a diamine (a4) and a compound (a6) having one anhydride group; and a solvent (C). | 04-23-2009 |
20090104415 | Element with Optical Marking, Manufacturing Method, and Use - A layer combination with a marking is proposed, for example, for a miniaturized electrical component. The layer combination includes a first layer and a different release layer, which is applied on it, on which a pattern is formed by a released pattern-like area. The release area is formed from an inorganic, semiconducting, insulating material, where the pattern produced thereon is machine-readable. | 04-23-2009 |
20090110887 | Lithographic Printing Plate Precursor - An object of the present invention is to provide a lithographic printing plate precursor which is less apt to suffer from contamination by ablation in forming an image and having an excellent printing performance through image formation. A lithographic printing plate precursor comprises a base material (I), a photosensitive layer (II) containing a light/heat conversion agent on the base material (I), and a layer (III) covering the photosensitive layer (II), wherein the layer (III) covering the photosensitive layer contains both a water-soluble polymer and a hydrophobic polymer, and contains substantially no light/heat conversion agent is provided. The layer (III) covering the photosensitive layer is preferred to contain the water-soluble polymer and the hydrophobic polymer in a proportion of from 10:90 to 90:10 by mass. | 04-30-2009 |
20090117339 | METHOD OF COMPONENT ASSEMBLY ON A SUBSTRATE - A method of component assembly on a substrate, and an assembly of a bound component on a substrate. The method comprises the steps of forming a free-standing component having an optical characteristic; providing a pattern of a first binding species on the substrate or the free standing component; and forming a bound component on the substrate through a binding interaction via the first binding species; wherein the bound component exhibits substantially the same optical characteristic compared to the free-standing component. | 05-07-2009 |
20090117340 | Substrate, system and method for magnetic ink character recognition encoding - A document comprising a substrate with a surface having cellulose hydroxy groups, an adhesion promoter present on at least a first portion of the substrate surface, and a magnetic ink image formed over the first portion of the substrate surface. The adhesion promoter comprises a silane component including at least one amino functional group and at least one of a second type of functional group that is configured to bond with cellulose hydroxyl groups in the substrate. The magnetic ink image has a magnetic signal strength of at least 80%. A corresponding printing system and a method of printing also are disclosed. | 05-07-2009 |
20090117341 | Water-Soluble Azo Compound, Ink Composition, and Colored Article - The present invention relates to a water-soluble azo compound for yellow represented by the following formula (1) | 05-07-2009 |
20090117342 | Method for Preparing Conductive Pattern and Conductive Pattern Prepared by the Method - The present invention provides a method for preparing a conductive pattern, comprising a pattern forming step of forming a conductive pattern on a substrate; and a blackening processing step of blackening the surface of the conductive pattern by immersing the conductive pattern in an aqueous solution containing reducing metal ions to oxidize the surface of the conductive pattern, and a conductive pattern prepared therefrom. | 05-07-2009 |
20090117343 | ACTIVE ENERGY BEAM-CURABLE INKJET INK - The present invention relates to an active energy beam-curable inkjet ink comprising a polymerizable monomer and a photopolymerization initiator, wherein the polymerizable monomer comprises at least 50% by weight of a monofunctional monomer, and the photopolymerization initiator comprises an initiator represented by either [formula 1] or [formula 2] shown below: | 05-07-2009 |
20090117344 | METHOD OF CORRECTING MASK PATTERN, PHOTO MASK, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE - A method of correcting a mask pattern, the method correcting the mask pattern of a mask such that a wiring pattern having desired dimensions is formed based on a micro-fabrication process using the mask, corrects the mask pattern so that, before carrying out the micro-fabrication process, an etching proximity effect is dealt with by use of the correction model in which a pattern size and a inter-patter space size are set as parameters. This makes it possible to correct the mask pattern with high accuracy so that the wiring pattern having the desired dimensions is formed on the substrate, thereby dealing with the etching proximity effect. | 05-07-2009 |
20090117345 | THERMAL INTERFACE MATERIAL WITH THIN TRANSFER FILM OR METALLIZATION - According to various aspects, exemplary embodiments are provided of thermal interface material assemblies. In one exemplary embodiment, a thermal interface material assembly generally includes a thermal interface material having a first side and a second side and a dry material having a thickness of about 0.0005 inches or less. The dry material is disposed along at least a portion of the first side of the thermal interface material. | 05-07-2009 |
20090117346 | PHOTOSENSITIVE MATERIAL FOR FORMING CONDUCTIVE FILM, CONDUCTIVE FILM, LIGHT TRANSMITTING ELECTROMAGNETIC WAVE SHIELDING FILM AND METHOD FOR MANUFACTURING THE SAME - To provide a conductive film forming photosensitive material from which a conductive film having high electromagnetic wave shielding properties and high transparency simultaneously can be manufactured and which is reduced with respect to pressure properties. | 05-07-2009 |
20090117347 | DISPLAY APPARATUS - A display apparatus that includes a mask layer composed of transparent portions and opaque portions, the mask layer being superimposed over a pattern layer comprising light portions and dark portions. The mask layer can be moved relative to the pattern layer between a first position, whereby certain portions on the pattern layer are selectively obscured, and a second position whereby other portions on the pattern layer are selectively obscured. The mask layer can be moved relative to the second level by the movement of an actuating member coupled thereto as a function of ambient physical conditions. The ambient conditions may include, for example, temperature, pressure, humidity, acidity, voltage, fill level and the like. | 05-07-2009 |
20090117348 | COMPOSITION FOR PRODUCING BOARD AND PRINTED CIRCUIT BOARD USING THE SAME - Compositions for producing a board and a printed circuit board produced using the composition are provided. The compositions can be used for the production of a variety of printed circuit boards. | 05-07-2009 |
20090117349 | Dispersion of a water-insoluble colorant, production method thereof, recording liquid, ink set, printed article, image-forming method and image-forming apparatus using the same - A dispersion having particles of a water-insoluble colorant containing at least two kinds of pigments dispersed with a dispersing agent in a medium containing water, wherein the water-insoluble colorant has a crystalline structure, and a light-scattering intensity is 30,000 cps or less, when a peak value of absorbance in the visible light region is set to 1. | 05-07-2009 |
20090117350 | Aqueous ink composition, method for detecting ink using same, ink jet recording apparatus, and recording - A method for detecting an aqueous ink composition contained in an ink container, the aqueous ink composition containing at least one reducing sugar, includes measuring the type or concentration of the reducing sugar contained in the aqueous ink composition, and determining the type or remaining amount of the aqueous ink composition on the basis of the result. | 05-07-2009 |
20090117351 | Medical Devices - Medical devices, particularly stents, suitable for drug delivery and including a porous structure and/or colors are disclosed. | 05-07-2009 |
20090123711 | Disperse azo dyes and mixtures comprising these disperse azo dyes - Dye of the formula (I) | 05-14-2009 |
20090123712 | RESIN COMPOSITION FOR LASER ENGRAVING, RESIN PRINTING PLATE PRECURSOR FOR LASER ENGRAVING, RELIEF PRINTING PLATE AND METHOD FOR PRODUCTION OF RELIEF PRINTING PLATE - A resin composition for laser engraving comprising a binder polymer contains at least one polyester (A) selected from the group consisting of a polyester containing a hydroxycarboxylic acid unit, polycaprolactone, poly(butylene succinic acid), and derivatives thereof. | 05-14-2009 |
20090130394 | Laser marking of a card - The invention relates to a method for the laser marking of a support having a body and a cover sheet. A laser beam is used to etch the body of the support through the thickness of the cover sheet. The support is laminated either during or after the laser marking in order to reduce or prevent deformations in the cover sheet resulting from etching. | 05-21-2009 |
20090130395 | Glazing - A method of providing a vehicle roof glazing with a printed region having pre-determined optical and/or thermal characteristics is disclosed. Preferably, the glazing forms part of the roof the vehicle, for example, by being a windscreen that extends into the roof, or by being a rooflight or roof glazing system. The region is printed with an ink and the glazing fired, such that the printed region appears semi-opaque after firing. Preferably, the printed region has lower optical and/or thermal transmission characteristics than an unprinted region of the glazing. The pigment loading and/or pigment colour may be used to determine the optical and/or thermal characteristics of the printed region. | 05-21-2009 |
20090130396 | Method and system for use in preparing magnetic ink character recognition readable documents - Disclosed herein is a substrate comprising a first surface having fuser oil thereon, a portion of the first surface including a coating comprising a tracer material and a fuser oil-mitigating wax, the quantity of tracer material being indicative of the quantity of coating on the substrate. A printing system comprising a printer, a coater configured to deposit a coating comprising a wax and a tracer material on a portion of a substrate to mitigate fuser oil, a tracer exciter configured to excite the tracer material, and a tracer detector configured to detect the electromagnetic radiation emitted from the tracer material is also disclosed, along with a corresponding method. | 05-21-2009 |
20090130397 | Multicolor mask - The invention relates to a process for forming a stacked transparent structure comprising providing a support, coating one side of said support with a multicolored mask, coating the other side of the support with a layer curable by visible light, and exposing the light-curable layer through the mask with visible light to cure the layer curable by light in exposed portions to form a cured pattern. | 05-21-2009 |
20090130398 | Gradient colored mask - The invention relates to a process for forming a structure comprising: (a) providing a transparent support; (b) forming a color mask having a selected absorption spectral range wherein the color mask has an effectively transparent portion and a partially absorptive portion, wherein the partially absorptive portion includes at least two portions having different optical densities within the absorption spectral range; (c) coating a layer of a photopatternable material sensitive to visible light in the absorption spectral range; (d) exposing and developing the photopatternable material to form a photopattern corresponding to at least one of said two portions of the partially absorptive portion; and (e) depositing and patterning a layer of functional material such that a pattern of functional material results corresponding to the at least one of said two portions of the partially absorptive portion. | 05-21-2009 |
20090130399 | Water-Soluble Azo Compound, Ink Composition and Colored Article - The present invention relates to a water-soluble azo compound represented by the following formula (1) | 05-21-2009 |
20090130400 | Colorant compositions containing an oxonol dye - The present invention relates to a colorant composition comprising (i) an oxonol dye of general formula (I) wherein R | 05-21-2009 |
20090130401 | DECORATED TRIM ELEMENT - A trim element for the passenger compartment of a motor vehicle, comprising a substrate and a film bonded to it, the film consisting of polypropylene (PP) or a polypropylene copolymer. | 05-21-2009 |
20090130402 | METHOD FOR PREPARING AN ORIENTED AND NANOSTRUCTURED SURFACE OF A POLYMER - The invention concerns a method for preparing a substrate one surface of which consists of a polymer, said polymer surface being oriented and nanostructured, said method including the following successive steps: a) brushing the polymer surface in an amorphous state of the substrate; b) contacting with a vapour of a solvent, the oriented polymer surface obtained at the end of step a), said solvent being selected such that: |δ | 05-21-2009 |
20090130403 | ADHESIVE PAD COMPRISING FIBROUS LAYER OF METAL AND POLYMERIC FIBERS - The present invention provides an adhesive pad comprising a fibrous layer comprising a mixture of metal fibers and polymeric fibers, said fibrous layer having a thickness of at least 3 mm and having on at least one of its opposite major surfaces an adhesive layer, said adhesive layer being configured so as to allow electrical contact between said fibrous layer and a metal substrate when such metal substrate is adhered to said adhesive layer. The opposite major surfaces of the fibrous layer of the adhesive pad are generally planar and generally parallel to each other. In a particular embodiment, the adhesive pad is in the form of a sheet, for example rectangular, square, circular or oval or in the form of a web. | 05-21-2009 |
20090130404 | METHOD OF MANUFACTURING COLOR FILTER, COLOR FILTER, IMAGE DISPLAY DEVICE AND ELECTRONIC APPARATUS - A method includes supplying different colored inks to cells on a color filter substrate manufactured based on drawing pattern data; detecting a physical factor of the droplet ejection apparatus or ink affecting an ejected ink amount; determining whether the physical factor is acceptable; and producing correction data when the physical factor is unacceptable. The correction data producing step includes preparing a test substrate having cells corresponding to the cells of the substrate; supplying ink to cells of the test substrate based on the drawing pattern data; and detecting the amount supplied to each cell. The correction data is used to adjust the number of ink droplets supplied to each cell so that the ink amount equals a target amount. The correction data is used to correct the drawing pattern data, and the ink supplying step is then executed using the corrected drawing pattern data. | 05-21-2009 |
20090136719 | SURFACE GRAFT MATERIAL AND ITS MANUFACTURING METHOD, ELECTRICALLY CONDUCTIVE MATERIAL AND ITS MANUFACTURING METHOD, AND ELECTRICALLY CONDUCTIVE PATTERN MATERIAL - The present invention provides a method for manufacturing a surface graft material including forming an insulator layer containing an insulating resin and a polymerization initiator on a substrate, and forming a graft polymer directly bonding to the surface of the insulator layer, a surface graft material manufactured by this method, a method for manufacturing an electrically conductive material including forming an insulator layer containing an insulating resin and a polymerization initiator on a substrate, forming a graft polymer directly bonding to the surface of the insulator layer, and forming an electrically conductive layer on the graft polymer, an electrically conductive material manufactured by this method, and an electrically conductive pattern material obtained by etching the electrically conductive material. | 05-28-2009 |
20090136720 | Azo Compound, Ink Composition, Recording Method and Colored Article - The present invention relates to an azo compound represented by the following formula (1) | 05-28-2009 |
20090136721 | Multi-Layer Body and Method for Producing the Same - Described is a multi-layer body ( | 05-28-2009 |
20090136722 | WET FORMED FIBROUS STRUCTURE PRODUCT - A fibrous structure product having two or more plies, wherein said fibrous structure product also has: (a) a plurality of formed surface features; (b) a Hand Protection Factor of greater than about 2×10 | 05-28-2009 |
20090136723 | COATED PLASTIC SHEET, A METHOD FOR PREPARING SAME, AND A HOUSING USING SAME - A coated plastic sheet comprises a transparent plastic sheet having a top and bottom surface, and a first film on the top surface and covering less than all of the top surface, thereby creating at least one uncovered area. At least a portion of the first film has a first metallic luster on its exposed surface. The coated plastic sheet also comprises a second film on the bottom surface. At least a portion of the second film is visible through the uncovered area of the sheet, wherein the second film presents a second metallic luster through the uncovered area. | 05-28-2009 |
20090142552 | Method of Depositing Materials on a Textile Substrate - A method of providing deposits of a functional composition on a textile substrate ( | 06-04-2009 |
20090142553 | Yellow Dye and a Process for Ink Jet Printing Using the Dye - A process for printing an image on a substrate comprising applying thereto by means of an ink jet printer an ink comprising a compound of Formula (1): | 06-04-2009 |
20090142554 | Yellow Azo Dyes for Ink Jet Printing - A process for printing an image on a substrate by means of an ink-jet printer which comprises applying thereto a composition comprising a liquid medium and a mono-azo compound of Formula (1) and salts thereof: | 06-04-2009 |
20090142555 | Ink set for ink jet recording and method for ink jet recording - There is provided an ink set for ink jet recording, which can realize lightfastness and waterfastness and, at the same time, can realize good images, especially images having a good hue. The ink set for ink jet recording comprises a cyan ink composition, a magenta ink composition, and a yellow ink composition, wherein the cyan ink composition comprises as a colorant at least one pigment selected from the group consisting of C.I. Pigment Blue 15:3 and C.I. Pigment Blue 15:4, the magenta ink composition comprises as a colorant at least one pigment selected from group consisting of C.I. Pigment Red 122, C.I. Pigment Red 202, C.I. Pigment Red 209, and C.I. Pigment Violet 19, and the yellow ink composition comprises as a colorant C.I. Pigment Yellow 213. | 06-04-2009 |
20090142556 | PROCESS FOR FORMING AN ORGANIC ELECTRONIC DEVICE INCLUDING AN ORGANIC DEVICE LAYER - A process of forming an electronic device is disclosed. An organic device layer is formed. The organic device layer includes a charge-selective material and a radiation sensitizer and has a first electrical conductivity. First portions of the organic device layer are selectively exposed to radiation. The electrical conductivity of the first portions of the organic device layer is modified. | 06-04-2009 |
20090148671 | CONDUCTIVE PATTERN FORMING INK, CONDUCTIVE PATTERN, AND WIRING SUBSTRATE - A conductive pattern forming ink for forming a conductive pattern on a substrate by a droplet discharge method includes: metal particles; an aqueous dispersion medium in which the metal particles are dispersed; mannitol; and a polyglycerol compound having a polyglycerol skeleton. In the ink, H shown in the following formula (I) is 0.10 to 0.65; | 06-11-2009 |
20090148672 | INJECTION MOLDING DIE FOR PRODUCING MOLDED PRODUCT HAVING APPEARANCE SURFACE, INJECTION MOLDING METHOD FOR PRODUCING MOLDED PRODUCT HAVING APPEARANCE SURFACE, AND RESIN MOLDED PRODUCT MOLDED BY THE INJECTION MOLDING METHOD - An injection molding die for producing a molded product having an appearance surface, an injection molding method for producing a molded product having an appearance surface, and a resin molded product molded by the injection molding method which is free from occurrence of appearance deficiency such as a flow mark or the like that is a drawback in appearance. A molten thermoplastic resin material is injected into a cavity defined by a molded product's appearance surface forming portion ( | 06-11-2009 |
20090148673 | DEVICES CONTAINING CHIROPTICAL SWITCHING MATERIALS AND METHODS OF MAKING AND USING THE SAME - A polycarbodiimide polymer that is reversibly switchable between two distinct optical orientations is described. The polymer is useful in forming devices such as filters, storage media, actuators, and displays. Methods of making and using such polymers are also described. | 06-11-2009 |
20090155545 | METHOD OF IMAGING IN CRYSTALLINE COLLOIDAL ARRAYS - A method of producing an image in the crystalline colloidal array is disclosed. The method includes providing an ordered array of particles received within a curable matrix composition; curing a first portion of the matrix composition, wherein the first cured portion diffracts radiation at a first wavelength; curing another portion of the matrix composition, wherein the other cured portion diffracts radiation at another wavelength; and exposing the array to radiation to exhibit an image. | 06-18-2009 |
20090155546 | FILM-FORMING COMPOSITION, METHOD FOR PATTERN FORMATION, AND THREE-DIMENSIONAL MOLD - Disclosed are a film-forming composition which can form a pattern having an enhanced contrast by the action of uneven surface morphology produced after image development, and a method for forming a pattern and a three-dimensional mold using the composition. A composition comprising at least one of a hydrolysate and a condensation product of an alkoxy metal compound represented by the chemical formula (A), the composition additionally comprising a compound which can respond to at least one of light and heat to control the solubility of a finished film in a developing solution. | 06-18-2009 |
20090155547 | Non-aqueous pigment ink - A non-aqueous pigment ink comprising a pigment, a pigment dispersant and a non-aqueous solvent, wherein the pigment dispersant is an acrylic polymer comprising, as constituent monomers, (M1) an alkyl(meth)acrylate having an alkyl group of 12 or more carbon atoms, (M2) a (meth)acrylic acid derivative having an amino group, and (M3) a (meth)acrylic acid derivative having a glycidyl group, in which the combination of all the constituent monomers comprises from 7 to 15 mol % of the monomer (M2) and from 0.5 to 3 mol % of the monomer (M3) and the molar ratio (M2)/(M3) between the monomer (M2) and the monomer (M3) is within a range from 4 to 20. | 06-18-2009 |
20090155548 | MICROPOROUS MATERIALS SUITABLE AS SUBSTRATES FOR PRINTED ELECTRONICS - Provided is a microporous material including (a) a polyolefin matrix which is 30 to 80 weight percent high density polyolefin, (b) finely divided particulate filler distributed throughout the matrix including 10 to 30 weight percent or less of calcium carbonate, and (c) at least 35 percent by volume of a network of interconnecting pores communicating throughout the microporous material. The microporous material has a density ranging from 0.5 to 0.8 g/cc, a Sheffield smoothness of less than or equal to 40, a air flow rate of 1000 or more Gurley seconds, and MD stress at 1% strain of greater than or equal to 200 psi. Printed electronic devices prepared from the microporous material also are provided. | 06-18-2009 |
20090155549 | POLYMERIZABLE FLUORINE-CONTAINING COMPOUND AND TREATED SUBSTRATE HAVING A HYDROPHILIC REGION AND A WATER REPELLENT REGION - To provide a polymerizable fluorine-containing compound useful for producing a treated substrate having a hydrophilic region and a water repellent region, of which the contrast is high on its surface, without requiring a special apparatus, high energy light, or irradiation with light for a long time. | 06-18-2009 |
20090162619 | METHOD FOR PRODUCING A MICROMECHANICAL COMPONENT AND MIRCOMECHANICAL COMPONENT - A method is provided for producing a micromechanical component and a micromechanical component is provided, particularly a microphone, a micro-loudspeaker or a pressure sensor (an absolute pressure sensor or a relative pressure sensor) having a substrate and having a diaphragm pattern, for the production of the diaphragm pattern, process steps being provided that are compatible only with a circuit that is monolithically integrated into or on the substrate, a sacrificial pattern applied onto the substrate being removed for the production of the diaphragm pattern. | 06-25-2009 |
20090162620 | Rotomolding labels - In-mold rotomolding labels comprise a printed sheet and a “cover stock” layer that covers the printed sheet and also provides adhesion of the label to the mold prior to the rotomolding procedure. The cover stock is prepared from a high melting polyethylene and a low melting polyolefin and is characterized by having a non-homogeneous morphology in which discrete “islands” of the polyethylene are present in a continuous “sea” of the polyolefin. The cover stock melts during the rotomolding process to provide a protective cover for the label graphics. | 06-25-2009 |
20090169832 | SIMPLIFIED DOUBLE MASK PATTERNING SYSTEM - One embodiment of the present invention relates to a method for which a two mask lithography process can be used to reduce design density. The two mask process uses a first mask to expose a first photoresist layer located above a hard mask layer. The first photoresist is exposed in such a way that the level forms one or more lines, on opposite sides of a cell boundary. The hard mask is then etched. A second photoresist layer is deposited above the hard mask. The second mask is used to expose the second photoresist layer in such a way that a space is formed along the cell boundary equal to the minimum space of the level as required by the design rules. The hard mask is then etched again. The hard mask is subsequently used to pattern the layer below it. Other methods and structures are also disclosed. | 07-02-2009 |
20090169833 | HIGHLY TAILORED STIFFENING FOR ADVANCED COMPOSITES - Described herein are composites that are composed of a plurality of plies with a stiffening grid intimately embedded within the composite. The composite structures have the improved buckling performance characteristics of known isogrid and orthogrid composite structures and yet have a significantly higher damage tolerance that permits such structures to be used in the formation of aircraft and spacecraft designs. Also described herein are methods for making the composites. | 07-02-2009 |
20090169834 | Ink set for ink jet recording and method for ink jet recording - Disclosed is a five-color ink set for ink jet recording, which can realize good images excellent in lightfastness and waterfastness, as well as particularly in hue. The five-color ink set for ink jet recording comprises a cyan ink composition, a magenta ink composition, a yellow ink composition, a green ink composition and an orange ink composition, wherein the cyan ink composition comprises C.I. Pigment Blue 15: 3 or C.I. Pigment Blue 15: 4, the magenta ink composition comprises C.I. Pigment Red 122, C.I. Pigment Red 202, C.I. Pigment Red 209 or C.I. Pigment Violet 19, the yellow ink composition comprises C.I. Pigment Yellow 213, the green ink composition comprises C.I. Pigment Green 7 or C.I. Pigment Green 36, and the orange ink composition comprises C.I. Pigment Orange 64, C.I. Pigment Orange 43 or C.I. Pigment Orange 71. | 07-02-2009 |
20090169835 | LOW COST ANTENNA ARRAY FABRICATION TECHNOLOGY - Methods are provided for producing large volumes of small antenna arrays. In one embodiment, the method comprises the steps of creating an antenna array pattern as a computer file, printing the created pattern onto the surface of a suitable transfer paper, placing the printed image surface in contact with the surface of a material to be etched, and transferring the printed image to the surface of the material to be etched. The transfer can be effected by any combination of chemicals, heat, and/or pressure. After transfer of the printed image, the transfer paper is removed. The step of removing the transfer paper optionally includes wetting the transfer paper in a manner that dissolves the transfer paper leaving the printed antenna pattern on the surface of the material to be etched. The method further includes the step of etching the non-printed portions of the material, preferably by chemical etching, and removing the printed pattern from the surface of the material to yield an antenna array comprising a non-etched portion of the substrate surface. Arrays, and substrates having an etch-resistant mask for making the arrays, are also provided. | 07-02-2009 |
20090176068 | Ink Composition - There is provided an ink composition for ink jet recording that is free from feathering or bleeding and, at the same time, can realize high-gloss images particularly advantageous when the ink composition is recorded on specialty papers. The ink composition comprises at least a colorant, water, and a surfactant, wherein
| 07-09-2009 |
20090176069 | Mask for Controlling Line End Shortening and Corner Rounding Arising from Proximity Effects - A mask for producing an image feature on an image surface during a semiconductor fabrication process is provided, the mask comprising a main feature having opaque areas and transmissive areas arranged in the form of the image feature, wherein each end of the main feature includes at least one of an opaque edge and a transmissive edge, wherein the opaque edge includes a set of transmissive assist features arranged therein such that the set of transmissive assist features align alternately with the transmissive areas of the main feature, and the transmissive edge includes a set of opaque assist features arranged therein such that the set of opaque assist features align alternately with the opaque areas of the main feature. | 07-09-2009 |
20090176070 | Pigment Dispersion, Recording Ink, Ink Cartridge, Ink-Jet Recording Method and Ink-Jet Recording Apparatus - A pigment dispersion is provided that includes a pigment, a pigment dispersant, a polymer dispersion stabilizer and water, wherein the polymer dispersion stabilizer is an alpha-olefin/maleic anhydride copolymer expressed by the formula (1) below. A recording ink is also provided that includes the pigment dispersion, a surfactant, a water-dispersible resin, a humectant and water. | 07-09-2009 |
20090176071 | INK COMPOSITION FOR INK JET RECORDING - An object of the present invention is to provide an ink composition with which images with high quality without white streaks or roughness can be provided even when the images are printed at a low resolution on coated printing paper such as art paper. The ink composition for ink jet recording at least includes a colorant, water, an alcohol solvent, and a surfactant, wherein the alcohol solvent contains a slightly water-soluble alkanediol, a water-soluble 1,2-alkanediol, and a dialkylene glycol. | 07-09-2009 |
20090176072 | PRINTING INK - This invention relates to an ink-jet ink comprising at least one monofunctional (meth)acrylate monomer; at least one monofunctional N-vinyl amide monomer; at least one radical photoinitiator; and at least one colouring agent. The ink has a viscosity of less than 100 mPas at 25° C., and the molar ratio of the at least one monofunctional (meth)acrylate monomer to the at least one monofunctional N-vinyl amide monomer is from 1.0 to 6.0. | 07-09-2009 |
20090176073 | EXPOSURE MASK - An exposure mask provided with a semi-transparent film, capable of forming a resist in which a convex portion is not formed in an end portion and the end portion has gentle shape. In an exposure mask having a first region and a second region having different phase and transmittance with respect to exposure light, the phase difference Δθ with respect to exposure light which transmits though the first region and the second region and the transmittance n of the second region with respect to exposure light are defined so as to satisfy following formula 1. | 07-09-2009 |
20090181217 | INK JET PRINTING ON SPORT COURT AND OTHER POLYMER TILES - A method designed for printing a durable, abrasion-resistant image on a non-porous, non-planar polymer surface. The method includes providing a substantially non-planar, polymer substrate having a non-porous surface onto which an image is to be printed with an inkjet printer, activating the polymer surface so as to promote cohesive and chemical bonding interactions between the polymer surface and at least one inkjet ink, applying a layer of an opaque, white, UV-polymerizable primer ink to the polymer surface with the inkjet printer, overlaying an inkjet image on top of the layer of opaque, white primer ink with the inkjet printer, wherein the image comprises a mosaic of dots of a plurality of UV-polymerizable inkjet inks applied by the inkjet printer, and finally, the inkjet inks are cured or “dried” by polymerizing with a UV light source. Activating increases the surface tension of the polymer surface such that the inks are able to bond to the surface. | 07-16-2009 |
20090181218 | Conductive pattern and method of forming thereof - The present invention relates to a conductive pattern and a method for forming the conductive pattern, and more particularly, to a method for forming a conductive pattern, which comprises the steps of 1) preparing a substrate; 2) forming a first pattern by printing a first composition that includes an adhesion promoter and a solvent on the substrate; 3) forming a second pattern by printing a second composition that includes a conductive particle and a solvent on the first pattern; and 4) sintering the first pattern and the second pattern. The method for forming the conductive pattern according to the present invention may improve an adhesion property between a pattern and a substrate and may form a fine pattern having high resolution without formation of bank on a hydrophobic substrate. | 07-16-2009 |
20090181219 | Yellow ink composition, ink set, ink jet recording method using ink set, and record - A yellow ink composition comprises C. I. Pigment Yellow 185 used as a colorant and has a pigment concentration of 10 to 20 weight percent. | 07-16-2009 |
20090181220 | BAND OF WINDABLE PLASTIC MATERIAL - The invention relates to a band of windable plastic material, applicable for the production of bags, such as mesh bags, comprising a plurality of markings, distributed along its length, transparent to visible light and luminescent upon being radiated with ultraviolet or infrared light. According to an embodiment, the band is made up of two superimposed sheets, of which the inner sheet is intended to be applied on a bag, whereas the outer sheet is intended to be exposed outside of the bag, the mentioned markings being printed on the inner face of the outer sheet. | 07-16-2009 |
20090181221 | METHOD OF MANUFACTURING AN OPTICAL DATA CARRIER - A method of manufacturing an optical data carrier, wherein an essentially uncured resin layer is provided on a side of said optical data carrier. The essentially uncured resin layer is embossed with a relief pattern, wherein the relief pattern defines a graphic. | 07-16-2009 |
20090181222 | HOT MELT INKS - A method for forming a mark on a substrate is disclosed. The method includes: (a) heating an edible hot melt ink including a colorant to a temperature sufficient to liquify the ink; and (b) transferring the ink to a substrate to provide a mark on the substrate. | 07-16-2009 |
20090186198 | Protected Graphics and Related Methods - An improved protected graphics assembly according to the invention comprises the following sequential layers: optionally, at least one adhesive layer; at least one graphics layer; and at least one outwardly exposed polymer layer that is essentially free of high surface energy materials and has a gloss value of greater than 90 when tested according to ASTM D2457-03 at a 60-degree angle. The assembly is beneficially applied to a variety of articles and used in a variety of related methods. In an exemplary embodiment, a race car comprises a protected graphics assembly that comprises: optionally, at least one adhesive layer; at least one outwardly exposed polymer layer that is essentially free of high surface energy materials; and at least one graphics layer substantially protected from exterior exposure by the polymer layer. | 07-23-2009 |
20090186199 | Bis-(OH-triazinyl)-bridged Azo Dyes, Ink, Process and Use - A compound of Formula (1) or a salt thereof: | 07-23-2009 |
20090186200 | 2,5-DI(METHOXYANILINO) TEREPHTHALIC ACID POLYMORPHS AND QUINACRIDONES REALIZED THEREFROM - 2,5-di(p-methoxyanilino)terephthalic acid crystal types I and II are made by controlling the pH during the recovery of the oxidized product of the condensation of dimethylsuccinyl succinate with p-methoxyaniline. The resulting 2,5-di(p-methoxyanilino)-terephthalic acid can be converted into 2,9-dimethoxyquinacridone or a solid solution thereof having controlled characteristics. | 07-23-2009 |
20090186201 | PLATE MAKING METHOD AND PLANOGRAPHIC PRINTING PLATE - A plate making method comprising the steps of: (1) ejecting a plate making ink onto a printing plate material via an ink-jet recording method, (2) irradiating actinic energy rays onto the ejected plate making ink, and (3) forming an image via evaporating of an ink solvent followed by drying, wherein the plate making ink comprises water and an actinic energy ray curable polymer, having a plurality of side chains with a main chain, and cross-linking can be induced among the side chains by irradiation of actinic energy ray radiation, and having a polymerization degree of 200-4000, in a range of 0.8%-5.0 weight % based on the total weight of the ink. | 07-23-2009 |
20090186202 | COLOR FILTER MANUFACTURING METHOD, COLOR FILTER, IMAGE DISPLAY DEVICE, AND ELECTRONIC DEVICE - A color filter manufacturing method includes discharging droplets of an ink from a droplet discharge head into a plurality of cells formed on a substrate while moving the substrate with respect to the droplet discharge head, and curing the ink discharged in the cells to form a colored portion within each of the cells. The discharging of the droplets includes prohibiting landing of the droplets in a landing prohibition region formed at a predetermined width from edges of each of the cells in a movement direction of the substrate with respect to the droplet discharge head. The landing prohibition region being arranged so that a relationship 0.5≦L | 07-23-2009 |
20090186203 | FILM-FORMING COMPOSITION FOR IMPRINTING, METHOD OF MANUFACTURING STRUCTURE, AND STRUCTURE - Provided are a film-forming composition for imprinting that enables a structure to be obtained after coating the composition on a substrate and pressing a mold thereto with low compression pressure, a method of manufacturing a structure using the film-forming composition for imprinting, and a structure obtained thereby. The film-forming composition for imprinting according to the present invention contains a resin and an organic solvent, in which the organic solvent includes a particular solvent having a boiling point of 100 to 200° C. at ambient pressure. When the structure is manufactured, the film-forming composition for imprinting according to the present invention is coated on a substrate to form a resin layer, and after a mold is pressed against the resin layer, the mold is released from the resin layer. | 07-23-2009 |
20090191383 | METHOD FOR COLORING TEXTILE SUBSTRATES, AQUEOUS PRETREATMENT BATHS, AND USE THEREOF FOR THE PRETREATMENT OF TEXTILE SUBSTRATES - A process for coloring a textile substrate comprises a textile substrate
| 07-30-2009 |
20090191384 | POLYETHYLENE BACKBOARD TRAINING DEVICE - A high density polyethylene panel suitable for use in a backboard training device. | 07-30-2009 |
20090191385 | Photosensitive Resin Composition and Photosensitive Film - Disclosed is a photosensitive resin composition comprising an alkali-soluble resin, wherein the dissolution rate of the alkali-soluble resin in an aqueous sodium carbonate solution is not less than 0.04 μm/sec. When a photosensitive layer having a thickness of 30 μm is formed by applying the photosensitive resin composition onto a base and removing the solvent by heating, and thus-obtained photosensitive layer is irradiated with an active ray of 1000 mJ/cm | 07-30-2009 |
20090191386 | POSITIVE PHOTOSENSITIVE POLYMER COMPOSITION - A positive photosensitive polymer composition of the invention contains: a copolymer obtained by the radical polymerization of monomers including a radical polymerizable monomer (a1) having a (meth)acryloyl group and a polyalkylene glycol group a terminal of which is an alkoxy group; and a 1,2-quinone diazide compound. The positive photosensitive polymer composition may further contain a copolymer obtained by the radical polymerization of monomers not including the monomer (a1). | 07-30-2009 |
20090191387 | CIRCUIT MATERIALS WITH IMPROVED BOND, METHOD OF MANUFACTURE THEREOF, AND ARTICLES FORMED THEREFROM - A circuit subassembly, comprising: a conductive layer, a dielectric layer formed from a thermosetting composition, wherein the thermosetting composition comprises, based on the total weight of the thermosetting composition a polybutadiene or polyisoprene resin, about 30 to about 70 percent by weight of a magnesium hydroxide having less than about 1000 ppm of ionic contaminants, and about 5 to about 15 percent by weight of a nitrogen-containing compound, wherein the nitrogen-containing compound comprises at least about 15 weight percent of nitrogen; and an adhesive layer disposed between and in intimate contact with the conductive layer and the dielectric layer, wherein the adhesive comprises a poly(arylene ether), wherein the circuit subassembly has a UL-94 rating of at least V-1. | 07-30-2009 |
20090197052 | METHOD OF IMAGING AND DEVELOPING POSITIVE-WORKING IMAGEABLE ELEMENTS - A method of making imaged elements such as lithographic printing plates is achieved by imagewise exposing a positive-working imageable element using energy of less than 300 mJ/cm | 08-06-2009 |
20090197053 | METHOD AND APPARATUS FOR BONDED SUBSTRATES - A method for forming bonded substrates includes providing a plurality of substrates, each of which having a top surface. A characteristic length for each of the plurality of substrates is determined by: determining a topographical profile of the top surface of the substrate from an interior portion to an edge portion along a radial direction, determining a highest point of the profile, and defining the characteristic length as a distance from the highest point to the edge portion. A first substrate and a second substrate are selected where at least one of the first or the second substrates has a characteristic length shorter than a predetermined length. The first substrate and the second substrate are brought into contact and form bonded substrates, with the top surface of the first substrate facing the top surface of the second substrate. | 08-06-2009 |
20090197054 | Stencils With Removable Backings for Forming Micron-Sized Features on Surfaces and Methods of Making and Using the Same - The present invention is directed to methods for patterning substrates using elastomeric stencils having removable backings and methods of preparing the stencils. | 08-06-2009 |
20090197055 | Ink composition, inkjet recording method, and printed article - The present invention provides an ink composition having excellent ink ejection stability and stretching property, curable with a high sensitivity by irradiation of radiation rays, and capable of forming an image excellent in rubfastness and blocking resistance, an inkjet recording method using the ink composition, and a printed article. The ink composition includes (a) a polymer having a siloxane structure and a polymerizable group on a side chain thereof, (b) a polymerizable compound, and (c) a photopolymerization initiator, and the inkjet recording method includes a step of ejecting the ink composition onto a recording medium to be recorded with an inkjet recording apparatus and a step of curing the ink composition by irradiating active radiation rays on the ejected ink composition. | 08-06-2009 |
20090197056 | Ink composition, inkjet recording method, and printed material - This invention provides an ink composition capable of forming an image which has favorable curing sensitivity, is excellent in rubbing resistance and blocking properties, and has controlled surface stickiness and improved surface curing properties, an inkjet recording method using the ink composition, and a printed material; and the ink composition containing a polymer (a) including a partial structure selected from the group consisting of fluorine-substituted hydrocarbon group, a siloxane skeleton group, and a long-chain alkyl group; a radical polymerizable group, and a tertiary amine structure. | 08-06-2009 |
20090197057 | Controlling Template Surface Composition in Nano-Imprint Lithography - A nano-imprint lithography process includes forming a multiplicity of hydroxyl groups on a surface of a substantially inorganic nano-imprint lithography template, heating the template, and reacting a pre-selected percentage of the hydroxyl groups on the surface of the template with a mono-functional, non-fluorinated compound to form a monolayer coating on the surface of the nano-imprint lithography template. The coated template may be contacted with a polymerizable composition disposed on a nano-imprint lithography substrate, and the polymerizable composition solidified to form a patterned layer. The coated template is separated from the patterned layer. | 08-06-2009 |
20090197058 | MULTIVARIATE COLOR SYSTEM WITH TEXTURE APPLICATION - Implementations of the present invention relate to a translucent and/or transparent polymer-based panel system that incorporates multi-colored insert layers that enable manipulation of color, transparency or light transmission of the finished panel system. Implementations of the present invention also relate to the construction of such panels to avoid the capture and retention of air within the panels through the use of textured surfaces at the lamination interfaces. In addition, implementations of the present invention provide a method of quantifying the optical response achieved in a given panel system and describes types of construction that enable the multiplicity of color and optic manipulation. Furthermore, implementations of the present invention provide methods for applying texture in an efficient, uniform manner. | 08-06-2009 |
20090202786 | Photosensitive Resin Composition and Circuit Substrate Employing the Same - The present invention relates to a photosensitive resin composition excellent in pliability, ultraviolet sensitivity for development, developability with an aqueous alkali solution, and storage stability at room temperature and a circuit substrate employing the same. The photosensitive resin composition includes a siloxane-containing polyamic acid resin having structural units respectively represented by the following formulae (1), (2), and (3) and a photopolymerization initiator incorporated therein. The circuit substrate is coated with the photosensitive resin composition. | 08-13-2009 |
20090202787 | Method for manufacturing a nanostructure in-situ, and in-situ manufactured nanostructure devices - A method is for manufacturing a nanostructure in-situ, at least one predetermined point on a supporting carrier. The method includes choosing a suitable material for a substrate in the carrier, creating the substrate, and preparing a template on the substrate so that the template covers the predetermined point. The template is given a proper shape according to the desired final shape of the nanostructure, and a film of nanosource material with desired dimensions is formed on the template. The film of nanosource material is made to restructure from a part of the template, thus forming the desired nanostructure. Suitably, the template includes a first and a second area which have different properties with respect to the nanosource material. | 08-13-2009 |
20090202788 | Method Of Nanopatterning, A Cured Resist Film Use Therein, And An Article Including The Resist Film - A method of nanopatterning includes the steps of providing the resist film ( | 08-13-2009 |
20090202789 | Process for the Production of a Laminated Composite Product and a Composite Product made by the Lamination Process - The invention is directed to a process for the making of a product out of laminated composite material. The process in general comprises preforming at least one layer of material such that it corresponds to a surface of a part; applying layers of fibers to the preformed layer of material; and bonding the fibers by a resin to form a laminated composite material. | 08-13-2009 |
20090202790 | Article Having a Relatively Soft Support Material and a Relatively Hard Decorative Layer and Also a Method For Its Manufacture - The invention relates to an object comprising a relatively soft carrier material, optionally, an adhesive layer and/or an adhesive layer system, which are applied to the carrier material, and a relatively hard decorative layer. The object is characterised in that an intermediate layer is provided between the carrier material and the decorative layer and/or between the adhesive layer and the adhesive layer system and the decorative layer and comprises at least DLC (diamond like carbon) as the main component. The invention also relates to a method for producing said type of object. | 08-13-2009 |
20090202791 | ULTRAVIOLET-CURABLE POLYMER COMPOSITION, RESIN MOLDED ARTICLE AND METHOD FOR PRODUCING SAME - An ultraviolet-curable polymer composition includes (A) 5 to 100 parts by mass of syndiotactic 1,2-polybutadiene having a 1,2-vinyl bond content of 70% or more and a degree of crystallinity of 5 to 50%, (B) 0 to 95 parts by mass of a thermoplastic polymer ((A)+(B)=100 parts by mass), (C) 10 parts by mass or less of a photoinitiator based on 100 parts by mass of the syndiotactic 1,2-polybutadiene (A) and the thermoplastic polymer (B) in total, and (D) 10 parts by mass or less of a polyfunctional acrylate based on 100 parts by mass of the syndiotactic 1,2-polybutadiene (A) and the thermoplastic polymer (B) in total. | 08-13-2009 |
20090202792 | POLYVINYLIDENE FLUORIDE FILMS AND LAMINATES THEREOF - A fluoropolymer film useful in a laminate construction comprising a fiberglass reinforced substrate and a high temperature resistant film comprising a fluoropolymer layer formed from a composition comprising (a) a polyvinylidene fluoride polymer; (b) a hydroxyl functional acrylic polymer; and (c) a crosslinking agent is provided. | 08-13-2009 |
20090202793 | Photosensitive, Aqueous Alkaline Solution-Soluble Polyimide Resin and Photosensitive Resin Composition Containing the same - The present invention relates to a photosensitive, aqueous alkaline solution-soluble polyimide resin (A) obtained by reacting a polyimide resin (a) which can be obtained by a tetracarboxylic acid dianhydride with a diamine compound with an energy ray-curing type aqueous alkaline solution-soluble resin (b); the resin has excellent photosensitivity obtained by mixing with a photopolymerization initiator and the like; the obtained cured products can be photosensitive resin compositions excellent in flexibility, low warping property, adhesion properties, solvent resistance, acid resistance, heat resistance, gold plating resistance and the like. | 08-13-2009 |
20090202794 | POSITIVE PHOTOSENSITIVE RESIN COMPOSITION - A positive photosensitive resin composition excellent in sensitivity and resolution, characterized by comprising 100 parts by mass of (A) a hydroxypolyamide comprising repeating units represented by the general formula (1), 1 to 50 parts by mass of (B) a photoacid generator, 5 to 20 parts by mass of (C) a carboxylic acid compound having 6 to 18 carbon atoms as represented by the general formula (2), and 0.01 to parts by mass of (D) an alcohol having 4 to 14 carbon atoms as represented by the general formula (3). | 08-13-2009 |
20090202795 | INK COMPOSITION, INKJET RECORDING METHOD, PRINTED MATERIAL, AND MOLDED PRINTED MATERIAL - An ink composition is provided that includes (A) a compound having an ethylenically unsaturated double bond and a silicone chain, (B) a polymerizable monomer, and (C) a radical polymerization initiator, at least 75 wt % of the polymerizable monomer being a monofunctional polymerizable monomer selected from the group consisting of a monofunctional acrylate, a monofunctional methacrylate, a monofunctional vinyloxy compound, a monofunctional N-vinyl compound, a monofunctional ethylenically unsaturated carboxylic acid compound, a monofunctional acrylamide, and a monofunctional methacrylamide. There are also provided an inkjet recording method that includes (i-1) a step of discharging the ink composition onto a recording medium and (i-2) a step of curing the ink composition by irradiating the discharged ink composition with actinic radiation, a printed material formed by employing the inkjet recording method, and a molded printed material formed by subjecting the printed material to molding. | 08-13-2009 |
20090208709 | Film adhesives - An advertising film comprises a media layer and a cover layer. The media layer comprises pigment configured to form a graphic and polymers configured to prevent or reduce discoloration, even when mounted on styrene-butadiene rubber. The cover layer is typically transparent and is configured to help attached the media layer to a surface. The cover layer is optionally larger in area than the media layer. The media layer and the cover layer are optionally attached to the surface using different adhesives. In some embodiments, the medial layer is attached using an adhesive having lower adhesive strength than an adhesive used to attach the cover layer. The media layer and cover layer are optionally attached to a moving surface such as the handrail of a convenience. | 08-20-2009 |
20090208710 | Coating compositions and related products and methods - A coating composition including an uncured binder component and a particle component. The particle component can include a plurality of first particles and/or a plurality of second particles. The first particles are non-spherical and have an average particle size in the range of from about 0.5 to about 20 μm and have a Mohs hardness in the range from about 4 to about 7. The first particles are made of material comprising inorganic, amorphous, glass material. The second particles are at least substantially spherical and have an average particle size in the range of from about 0.5 to about 70 μm. The second particles are made of material comprising organic material. | 08-20-2009 |
20090214833 | Metallic Pigment, Pigment Dispersion Liquid, Metallic Pigment Ink Composition, and Ink Jet Recording Method - This invention provides a metallic pigment, which can prepare a printed matter having metallic luster, can realize stable printing even in a printer with ink jet nozzles having a nozzle diameter of not more than 30 μm, can eliminate the need to carry out heat treatment and the like, and, thus, can realize ink jet recording without undergoing a limitation on printing media (recording media), an ink composition, and an ink jet recording method. The metallic pigment is a metal foil piece having an average thickness of 30 to 100 μm, having a 50% average particle diameter of not less than 0.5 μm and not more than 4.0 μm, and having such a particle size distribution that the maximum particle diameter is not more than 12 μm. An ink composition containing this metallic pigment and an ink jet head having a nozzle diameter of not more than 30 μm are provided, and the ratio between the average particle diameter of the metallic pigment and the nozzle diameter of the ink jet head (average particle diameter/nozzle diameter) is preferably brought to not more than 0.15. | 08-27-2009 |
20090214834 | Microencapsulated Material, Microencapsulated Color Material, Process for Production of the Material, Ink Composition, Ink-Jet Recording Method, and Recorded Material - Problem: To provide a microencapsulated color material for an ink composition which is excellent in dispersion stability, ejection stability and color developability, excellent in fastness and rubbing resistance of images, and hard to cause blurs of images, and can provide recorded matter having high print density; an ink composition using the color material; a production process thereof; an ink jet recording process; recorded matter; and microencapsulated matter. | 08-27-2009 |
20090214835 | Aqueous-based and transparent coatings for marking substrates - The present invention provides a composition, which comprises an aqueous-based solvent and forms a transparent colourless coating, which yields markings of high contrast on exposure to energy. It also provides a process for the preparation of these compositions, substrates coated with these compositions and a process for their preparation, a process for preparing marked substrates using these compositions and marked substrates obtainable by the latter process. | 08-27-2009 |
20090214837 | Insulating Label - An insulating label, including a first layer, being a printable film layer; a second layer, being an insulating layer; and a third layer, being a lamination layer. The third layer may be disposed between the first layer and the second layer to operatively couple the first layer and the second layer. The insulating label may alternatively include a first layer, being a printable layer; and a second layer operatively coupled to the first layer and incorporating an expandable coating. | 08-27-2009 |
20090220748 | Ink Composition, Recording Method Using the Same, and Recorded Matter - An object of the present invention is to provide an ink composition which can provide an image having excellent glossy texture to various recording media, particularly to a glossy recording medium, is decreased in bronzing phenomenon, and is also good in fixing property and scratch resistance such as gloss change. The invention relates to an ink composition containing at least a coloring agent coated with a water-insoluble polymer as a coloring material and a resin emulsion as an additive, wherein the weight average molecular weight of the water-insoluble polymer is from 50,000 to 150,000, and wherein the resin emulsion comprises a resin comprising a monomer component of the same kind as the monomer component constituting the water-insoluble polymer and having a weight average molecular weight of 1.5 to 4 times that of the water-insoluble polymer; and an ink composition containing at least a coloring agent coated with a water-insoluble polymer and resin particles, wherein the water-insoluble polymer is a polymer obtained by a solution polymerization process using at least a polymerizable unsaturated monomer and a polymerization initiator, wherein the coloring agent coated with the water-insoluble polymer is a coloring material obtained by a phase inversion emulsification process in which after the water-insoluble polymer is dissolved in an organic solvent, at least the coloring agent and water are added thereto, followed by mixing, and the organic solvent is removed to perform dispersion in an aqueous system, and wherein the resin particles are a polymer obtained by an emulsion polymerization process using at least a crosslinking agent. | 09-03-2009 |
20090220749 | Coating Compositions Comprising a Latent Activator for Marking Substrates - The present invention provides a composition, which comprises a latent activator. It also provides a process for the preparation of these compositions, substrates coated with these compositions and a process for their preparation, a process for preparing marked substrates using these compositions and marked substrates obtainable by the latter process. | 09-03-2009 |
20090220750 | Partial Printing Of A Substrate Using Metallization - A panel includes a light permeable material that is partially coated with superimposed layers and includes a metallized layer. A print pattern typically has a radiation-reflective surface facing one side of the panel and a radiation-absorbing surface facing the other side of the panel, for example to form a vision control panel, for example a one-way vision, see-through graphic panel. | 09-03-2009 |
20090220751 | Substrates Having Improved Crockfastness - An ink-printed substrate comprising a substrate and water-based ink composition printed on the substrate, wherein the ink-printed substrate has an average crockfastness of at least about 2.5 or greater. | 09-03-2009 |
20090220752 | Resin composition for laser engraving, relief printing plate precursor for laser engraving, relief printing plate, and method of manufacturing relief printing plate - The invention provides a resin composition for laser engraving, having a binder polymer containing at least one of a structure unit represented by the following Formula (I) or a structure unit represented by the following Formula (II). In the Formulae, Q represents a partial structure which provides an acid group having an acid dissociation constant pKa of 0 to 20 when it is in the form of -Q-H; R | 09-03-2009 |
20090220753 | RESIN COMPOSITION FOR LASER ENGRAVING, RESIN PRINTING PLATE PRECURSOR FOR LASER ENGRAVING, RELIEF PRINTING PLATE AND METHOD FOR PRODUCTION OF RELIEF PRINTING PLATE - A resin composition for laser engraving includes a binder polymer including a polyester, and an oxy compound of at least one of metal and metalloid selected from Groups 1 to 16 of periodic table. | 09-03-2009 |
20090220754 | INK COMPOSITION, INK COMPOSITION FOR INK JET RECORDING, INK JET RECORDING METHOD, METHOD FOR PRODUCING INK JET RECORDED MATTER AND INK JET RECORDED MATTER - In order to provide an ink composition which is excellent in color development, stability and fixability and, more particularly, an ink for ink jet recording which is excellent in color development, stability and fixability, and is excellent as a textile ink for ink jet recording, an ink composition includes a dispersion element having an average particle diameter of 50 nm to 300 nm, which allows a pigment to be dispersed in water; and polymer fine particles synthesized using at least alkyl(meth)acrylate and/or cyclic alkyl(meth)acrylate, and a reactive compound having an ethylene unsaturated group and a reactive group as components thereof, having a glass transition temperature of −10° C. or less, and having an acid value of 100 mgKOH/g or less. | 09-03-2009 |
20090233056 | Polypropylene Laminate Wallcovering - The present invention provides a wallcovering comprising a laminate of three layers including: (1) a scrim backing layer, (2) a specially formulated, oriented polypropylene (OPP) film forming a substrate layer that is printable, and (3) a UV Cured Clear Coating or an oriented polypropylene film as a top layer forming a top layer. | 09-17-2009 |
20090233057 | ELECTROHYDRODYNAMIC PRINTING AND MANUFACTURING - An stable electrohydrodynamic filament is obtained by causing a straight electrohydrodynamic filament formed from a liquid to emerge from a Taylor cone, the filament having a diameter of from 10 nm to 100 μm. Such filaments are useful in electrohydrodynamic printing and manufacturing techniques and their application in liquid drop/particle and fiber production, colloidal deployment and assembly, and composite materials processing. | 09-17-2009 |
20090233058 | Carbon nanotube structure and method for producing the same - An arbitrary three-dimensional shaped structure which is integrally formed with only carbon nanotubes having desired physical properties and electrical properties, and anisotropy, and a method for producing the same are disclosed. The carbon nanotube structure is constituted of a carbon nanotube aggregate comprising plural carbon nanotubes oriented in the same direction, wherein the carbon nanotube has weight density of 0.1 g/cm | 09-17-2009 |
20090233059 | Pigment dispersion, method for producing pigment dispersion, water-based ink composition comprising pigment dispersion, ink jet recording method using ink composition and ink jet recorded matter using ink composition - A water-based ink composition that has high ejection stability even when it is used in an ink jet recording method that involves a high driving frequency and that can achieve high-resolution printing, a pigment dispersion for use in the water-based ink composition, and a method for producing the pigment dispersion are provided. Moreover, an inkjet recording method and a recorded matter using the water-based ink composition are provided. | 09-17-2009 |
20090233060 | Camouflage and similar patterns and techniques for creating such patterns - Camouflage and other patterns and techniques for creating and using such patterns are disclosed. Many of the disclosed patterns and pattern-creation techniques avoid creating the “black blob” effect that renders many existing camouflage patterns ineffective at a distance. Certain disclosed patterns have elements that cause the patterns to look non-distinct up close, but to appear more defined when viewed at a distance. Clothing, guns, vehicles, and other articles having such a pattern may better blend with the surrounding environment both close up and when viewed at a distance. An exemplary pattern comprises single-color shapes sized and/or having other characteristics such that, when viewed from a distance, the single-color shapes form groupings that appear to be larger distinct shapes rather than a blobbed together single color or uniform pattern. When viewed from a distance, for example, a camouflage pattern may look like a combination of leaves, trees, rocks, or natural elements. | 09-17-2009 |
20090233061 | IMAGE RECORDING METHOD, INK SET, RECORDED MATERIAL - A method of recording an image by applying an aqueous ink by an inkjet method, comprising: forming a blocking layer on a recording medium, the blocking layer comprising a polymer component and being capable of blocking permeation of a solvent in the aqueous ink into the recording medium; forming a fixation layer on the blocking layer, the fixation layer comprising resin particles and a material that fixes a component in the aqueous ink; and recording an image by applying the aqueous ink onto the fixation layer by an inkjet method, the aqueous ink comprising a pigment, resin particles, water-soluble organic solvent and water, wherein the glass transition temperature of the resin particles in the aqueous ink (Tgi) and the glass transition temperature of the resin particles in the fixation layer (Tgc) satisfy the relationship of Tgi≦Tgc. | 09-17-2009 |
20090233062 | PIGMENT COMPOSITION, INK COMPOSITION, PRINTED ARTICLE, INKJET RECORDING METHOD AND POLYALLYLAMINE DERIVATIVE - The present invention provides: a pigment composition that includes at least (A) a polyallylamine derivative including at least 1% to 50% by mol of a structural unit represented by Formula (1- | 09-17-2009 |
20090233063 | LIQUID COMPOSITION FOR MAKING PIGMENT FIXED, INK SET, METHOD FOR PRODUCING INK JET RECORDED MATTER ON FABRIC AND INK JET RECORDED MATTER ON FABRIC - There are provided a liquid composition for making pigment fixed having a high resistance to rubbing and a good dry-cleanability; an ink set including the liquid composition for making pigment fixed and an ink composition excellent in terms of color intensity, discharge stability, and adhesiveness; and a method for producing ink-jet-recorded matter on a fabric having a high resistance to rubbing and good dry-cleanability using the liquid composition for making pigment fixed and the ink composition. | 09-17-2009 |
20090233064 | INK SET, METHOD FOR PRODUCING INK JET RECORDED MATTER ON FABRIC AND INK JET RECORDED MATTER ON FABRIC - There are provided an ink set including an ink composition having excellent color developability, ejection stability, and fixity and a pigment fixer having excellent abrasion resistance and dry-cleaning resistance; a method for producing an ink jet recorded matter with the ink set, the ink jet recorded matter having excellent color developability, ejection stability, abrasion resistance, and dry-cleaning resistance; and an ink jet recorded matter obtained by the method. | 09-17-2009 |
20090233065 | INK JET TREATMENT LIQUID, INK JET RECORDING METHOD, AND RECORDED MATTER - An ink jet treatment liquid contains a cationic polyurethane and a polyamine and/or a polyamine derivative. | 09-17-2009 |
20090233066 | INK COMPOSITION FOR INK JET RECORDING, RECORDING METHOD AND RECORDED MATTER - There is provided an ink composition that, even on various recording media, especially gloss paper of a printing paper base, can yield an image having excellent gloss, can realize good recovery from clogging and handleability of recorded matter, and, at the same time, can realize excellent ejection stability and color reproduction. The ink composition for ink jet recording comprises at least a colorant, water, an alkanediol, and a surfactant, wherein the alkanediol comprises a water soluble 1,2-alkanediol and a water soluble alkanediol having a hydroxyl group at both ends of its main chain, and the surfactant is a polyorganosiloxane. | 09-17-2009 |
20090239042 | Material Assisted Laser Ablation - This invention provides photoablation—based processing techniques and materials strategies for making, assembling and integrating patterns of materials for the fabrication of electronic, optical and opto-electronic devices. Processing techniques of the present invention enable high resolution and/or large area patterning and integration of porous and/or nano- or micro-structured materials comprising active or passive components of a range of electronic devices, including integrated circuits (IC), microelectronic and macroelectronic systems, microfluidic devices, biomedical devices, sensing devices and device arrays, and nano- and microelectromechanical systems. | 09-24-2009 |
20090239043 | OLEOPHILIC COMPOSITIONS, COATINGS EMPLOYING THE SAME, AND DEVICES FORMED THEREFROM - Oleophilic compositions, coatings employing the same, and devices formed therefrom that exhibit one or more improved coating properties. The compositions may comprise a film-forming binder and, when at least partially coated and cured on a substrate, comprise: (a) a contact angle with water ranging from 50 to less than 78; and (b) a contact angle with squalene of less than 25. The coating compositions may include various binder compositions, including, for example, thermosetting acrylic polymers, thermoplastic acrylic polymers, radiation curable coating compositions, and alkoxide compositions. The resultant coatings exhibit one or more improved physical properties, such as improved gloss, improved stain and sebum resistance, and/or improved cleaning ability relative to existing coating systems when deposited over various substrates. | 09-24-2009 |
20090239044 | INK FOR RECORDING, AND INK CARTRIDGE, INK RECORDED MATTER, INKJET RECORDING APPARATUS AND INKJET RECORDING METHOD USING THE SAME - There is provided an ink for recording, which contains: a coloring agent; a water-dispersible resin; a wetting agent; a surfactant; and water, wherein a total solid content of the coloring agent and the water-dispersible resin is 10% by mass to 30% with respect to a total mass of the ink, a ratio B/C is 0.1 to 1.6 where B is a solid content of the coloring agent in the ink, and C is a solid content of the water-dispersible resin in the ink, a viscosity of the ink is 5 mPa·s to 20 mPa·s at 25° C., and a surface tension of the ink is 35 mN/m or less. | 09-24-2009 |
20090239045 | CHARGE-TRANSPORTING VARNISH FOR SPRAY OR INK JET APPLICATION - Disclosed is a charge-transporting varnish containing a charge-transporting organic material, a good solvent, at least one poor solvent with high leveling properties, and at least one volatile poor solvent. The charge-transporting organic material is composed of a charge-transporting material consisting of a charge-transporting monomer or a charge-transporting oligomer having a number average molecular weight of 200 to 5000, or alternatively composed of such a charge-transporting material and an electron-accepting dopant material or a hole-accepting dopant material. This charge-transporting varnish enables to form a uniform and smooth charge-transporting thin film by a spray method or an ink jet method on an exposed electrode portion such as ITO or IZO of a substrate on which a structure of a simple or complicated pattern is formed beforehand. | 09-24-2009 |
20090246479 | Ink-jet recording water-based ink composition - An ink-jet recording water-based ink composition includes a polymer obtained by emulsion polymerization of a monomer having an ethylene-type unsaturated bond in the molecule and divinylbenzene in the presence of a reactive emulsifier, a polyethylene-based wax, a water-soluble resin, water, and a colorant. When ink coat is formed on a plastic film having a degree of gloss at 60° of 140 to 150 by discharging droplets of the ink composition onto the plastic film, the ink coat has a degree of gloss at 60° within a range of 50 to 120. | 10-01-2009 |
20090246480 | INK FOR INK-JET RECORDING, INK SET, IMAGE-RECORDING METHOD, IMAGE-RECORDING APPARATUS, AND RECORDED MATERIAL - An ink for ink-jet recording is provided. The ink for ink-jet recording includes colorant particles containing a colorant and a dispersing agent, and a polymer compound capable of forming a crosslinking bond by application of an active energy ray, wherein the dispersion average particle diameter of the colorant particles is from 1 nm to 50 nm, and a value represented by a formula (D | 10-01-2009 |
20090246481 | DISPERSION OF WATER-INSOLUBLE COLORANT, METHOD OF PRODUCING SUBSTANCE CONTAINING WATER-INSOLUBLE COLORANT, FINE PARTICLES OF WATER-INSOLUBLE COLORANT, DISPERSING AGENT FOR WATER-INSOLUBLE COLORANT, AND RECORDING LIQUID, INK SET, PRINTED ARTICLE, METHOD OF FORMING IMAGE AND IMAGE FORMING APPARATUS USING THE SAME - A dispersion of a water-insoluble colorant containing: a medium containing water; fine particles of the water-insoluble colorant; and a polymer compound or a surfactant that includes at least one kind of electron-withdrawing group or group of atoms represented by any one of formulae (I) to (IV) as its hydrophilic part: | 10-01-2009 |
20090246482 | MULTILAYER FILM FOR USE IN SIMULTANEOUS INJECTION MOLDING-LAMINATION METHOD - A multilayer film for use in a simultaneous injection molding-lamination method comprising a layer (A) formed of a polycarbonate resin and a layer (B) formed of a composition of 85 to 100 parts by weight of a methacrylic resin and 0 to 15 parts by weight of acrylic rubber particles, which is laminated on at least one surface of the layer (A). The multilayer film is preferably used as a decorative film having high resistance to whitening and high surface hardness. | 10-01-2009 |
20090246483 | MATTE RESIN FILM - A matte resin film comprising a resin film substrate and a matte layer formed on at least one surface of the substrate, wherein a 60 degree specular gloss Gs on the surface of the matte layer is 5% to 100%, a haze H satisfies the equation: H(%)<1400/Gs(%), and a 85 degree specular gloss Gs′ on the surface of the matte layer is smaller than Gs, which exhibits an excellent matte effect even when a pattern is viewed at any viewing angle. | 10-01-2009 |
20090252932 | ACTINIC ENERGY RAY CURABLE RESION COMPOSITION AND USE THEREOF - An active energy ray-curable resin composition is handleable when it is formed into an uncured film. The resin composition cures quickly, is formable, and can be used to make a hard coat layer with a high hardness. Specifically, the active energy ray-curable resin composition of the present invention contains a vinyl polymer having alkoxysilyl groups in its side chain, along with a photoacid generator. In its uncured state, the active energy ray-curable resin composition has a glass transition temperature of 15° C. to 100° C. 90 mass % or more of the Si-containing compound or Si-containing compound unit present in the active energy ray-curable resin composition is represented by the following structural formula 1: | 10-08-2009 |
20090252933 | METHOD FOR DIGITALLY PRINTING ELECTROLUMINESCENT LAMPS - A printer for digital printing in which ink is deposited in metered amounts on a substrate. The printer includes a wheel rotatable by a shaft of a motor, an idler disposed in a paint reservoir, and a segment of wire disposed around the wheel and the idler. A computer controls movement of the wire by controlling the rotation of the wheel. As the motor rotates the wheel, electroluminescent material contained within the paint reservoir coats the wire and is drawn by the wire in front of an air stream, which pulls the electroluminescent material from the wire and carries it toward the substrate to make an electroluminescent sign. | 10-08-2009 |
20090252934 | CASE OF ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a case of an electronic device includes the following steps. First, a vacuum formed film is formed on a first mold, and the vacuum formed film has a first surface and a second surface. Then, an electromagnetic shielding layer is formed on the first surface. Afterwards, a patterned film and the vacuum formed film are provided in the second mold, and an in-mold decoration injection molding process is performed to form a case body between the second surface and the patterned film. | 10-08-2009 |
20090252935 | MULTILAYER FILM FOR USE IN SIMULTANEOUS INJECTION MOLDING-LAMINATION METHOD - A multilayer film for use in a simultaneous injection molding-lamination method comprising a layer (A) formed of a polycarbonate resin and a layer (B) formed of a methacrylic resin and acrylic rubber particles, which is laminated on at least one surface of the layer (A). The multilayer film is preferably used as a decorative film having high heat resistance and high surface hardness. | 10-08-2009 |
20090252936 | MATTE LAMINATE FILM - A matte laminate film comprising a transparent resin film substrate and a matte layer formed on at least one surface of the transparent resin film substrate, in which the transparent resin film substrate is a laminate film comprising a layer (A) formed of a polycarbonate resin and a layer (B) formed of a methacrylic resin which is laminated on at least one surface of the layer (A). The matte laminate film is preferably used as a decorative film having good resistance to whitening and high mechanical properties and surface hardness. | 10-08-2009 |
20090252937 | Articles for Applying Color on Surfaces - A decorative dry color laminate includes a dry color layer, a pressure-sensitive adhesive layer on one side of the dry color layer, and a carrier in releasable contact with the dry color layer on a side opposite from the pressure-sensitive adhesive (PSA). In use, the adhesive layer adheres the dry color laminate to the surface under application of pressure, and the carrier is peeled away to expose the dry color layer. Methods for providing a substantially permanent color effect on an architectural surface comprise delivering such an article to the architectural surface. | 10-08-2009 |
20090258195 | Firearm Camouflage System - The present invention provides a pre-packaged kit and a method for creating unique multi-toned custom patterns or camouflage on a surface, and in particular the surfaces of a firearm. The multi-toned custom patterns or camouflage are created by separating or peeling off pre-cut stencil/templates from sheet or roll stock adhesive backed masking material and applying the stencil/templates to a surface. The surface is subsequently sprayed with coatings, finishers and sealants. Additional layers of stencil/templates are then added and sprayed with various colors or tones of coatings, finishers and sealants to complete the desired effect on the firearm surface. | 10-15-2009 |
20090258196 | INKJET RECORDING INK AND RECORDING MEDIA SET, INKJET RECORDING METHOD, RECORDED MATTER AND RECORDING APPARATUS - There is provided an inkjet recording ink and recording media set, which contains: an inkjet recording ink; and a recording medium, wherein the inkjet recording ink comprises at least: water; a water-soluble organic solvent; and a colorant containing carbon black, and having a volume average particle diameter of 40 nm to 100 nm, wherein the recording medium contains: a substrate; and a coating layer on at least one side of the substrate, and wherein an amount of pure water transferred to the recording medium, as measured with a dynamic scanning absorptometer at 23 C and 50% RH, at a contact time of 100 ms is 2 ml/m | 10-15-2009 |
20090258197 | Composite Composition for Micropatterned Layers - The invention provides a composite composition comprising a cationically polymerizable organic resin, a cationic photoinitiator, a hydrolysate and/or condensate of at least one hydrolysable silane compound and inorganic nanoparticles. The composite composition is suitable for the preparation of patterned moulded articles or substrates having a patterned coating, in particular by photolithography. Micropatterns obtained show improved properties, such as a high shape stability and an excellent elastic modulus. | 10-15-2009 |
20090258198 | INK COMPOSITION FOR INK JET RECORDING, RECORDING METHOD AND RECORDED MATTER - There is provided an ink composition for ink jet recording that, even on printing paper for running-on, can realize excellent gloss and good color reproduction and, at the same time, is excellent in ejection stability and recovery from clogging. The ink composition for ink jet recording comprises at least a colorant, water, an alkanediol, and a surfactant, wherein the alkanediol comprises a water soluble 1,2-alkanediol and a slightly water soluble 1,2-alkanediol, and the surfactant is a polyorganosiloxane. | 10-15-2009 |
20090263630 | Chromium Complex Dyes - The present invention relates to novel 1:2 chromium complex dyes, processes for their preparation and their use for dyeing or printing fibre materials containing hydroxyl groups or containing nitrogen. | 10-22-2009 |
20090263631 | FILM FORMING COMPOSITION FOR NANOIMPRINTING AND METHOD FOR PATTERN FORMATION - This invention provides a film forming composition for nanoimprinting, which has excellent resistance to etching with oxygen gas, can prevent the separation of a transfer pattern, can eliminate a problem of a holing time on a substrate, and is also excellent in transferability, and photosensitive resist, a nanostructure, a method for pattern formation using the same, and a program for realizing the method for pattern formation. The film forming composition for nanoimprinting comprises a polymeric silicon compound having the function of causing a photocuring reaction. Preferably, the polymeric silicon compound has a functional group cleavable as a result of response to electromagnetic waves and causes a curing reaction upon exposure to electromagnetic waves. More preferred are siloxane polymer compounds, silicon carbide polymer compounds, polysilane polymer compounds, and silazane polymer compounds, or any mixture thereof. | 10-22-2009 |
20090263632 | RECORDING INK, RECORDING INK SET, RECORDS, INK CARTRIDGE, RECORDING METHOD, AND INKJET RECORDING APPARATUS - The present invention provides a recording ink set containing a black ink, a cyan ink, a magenta ink, and a yellow ink, each of which contains water, a water-insoluble color material, a water-soluble organic solvent, and a surfactant, wherein the black ink and at least one of the inks other than the black ink respectively contain a different water-soluble organic solvent; and the surface tension of the water-soluble organic solvent(s) used only for the inks other than the black ink is higher than that of the water-soluble organic solvent used only for the black ink; and the present invention also provides a recording method using the recording ink set. | 10-22-2009 |
20090263633 | LAMINATE STRUCTURE WITH ELECTRONIC DEVICES AND METHOD - Various laminate structures and methods of making laminate structures are provided. In one embodiment, a laminate structure includes a base layer, a metallized layer comprising an electronic/embedded device which is adhered to the base layer. A coating layer which is adhered to the conductive layer, and a non-metallic dichroic layer adhered to the coating layer. In another embodiment, the non-metallic dichroic layer directly contacts the electronic/embedded devices of the conductive layer. The laminate structures herein can be used in the hull of the air vehicles to communicate with satellites and ground based receiving stations, for example. The electronic/embedded devices of the laminate structures provide a low weight solution to transmitting and receiving data within an air vehicle. | 10-22-2009 |
20090263634 | Magnetic Wallpaper Systems - A magnetic wallpaper system designed to easily install and remove wallpaper without damaging either the wallpaper or the surface of the wall. Such magnetic wallpaper may be printed for personally customized designs or images. Also such magnetic wallpaper may be printed to reflect a season, holiday, event, etc., and be easily changed according to the desired theme for a room. | 10-22-2009 |
20090263635 | WATER-INSOLUBLE COLORANT DISPERSION AND PRODUCTION METHOD THEREOF, AND RECORDING LIQUID, INK SET, PRINTED ARTICLE, IMAGE-FORMING METHOD AND IMAGE-FORMING APPARATUS USING THE SAME - A method of producing a water-insoluble colorant dispersion, having the steps of:
| 10-22-2009 |
20090269553 | ACID DYES - Compounds of the general formula (I) | 10-29-2009 |
20090269554 | BAKEABLE LITHOGRAPHIC PRINTING PLATES WITH A HIGH RESISTANCE TO CHEMICALS - A singe- or multilayer lithographic printing plate precursor comprises on a substrate a radiation-sensitive coating that comprises a copolymer soluble or dispersible in aqueous alkaline solution and comprising (meth)acryl recurring units, imide recurring units, and amide recurring units derived from corresponding ethylenically unsaturated polymerizable monomers; the copolymer provides increased chemical resistance for the lithographic printing plate precursors which can be negatively or positively working. | 10-29-2009 |
20090269555 | SURFACE NANOPATTERNING - A method for producing, and a product having, a surface nanopattern, wherein the method comprises the steps of: obtaining a substrate with a smooth surface; acquiring a self-assembling monolayer precursor, wherein the precursor includes an inducible, usually photocatalytically, active region and a substrate attachment region; mixing a plurality of the self-assembling monolayer precursors with the substrate to produce a self-assembled monolayer having an exposed surface comprising the inducible active regions and anchored to the substrate smooth surface by the substrate attachment regions; obtaining a path-directable nanoparticle; contacting the path-directable nanoparticle with the exposed surface at an interface area; exposing the exposed surface contacted with the path-directable nanoparticle to an inducing event, usually exposure to light, thereby chemically altering the inducible active regions and producing a detectable state in the interface area on the exposed surface; and applying a force of variable magnitude and direction in the plane of the surface to the contacted path-directable nanoparticle to produce movement of the contacted nanoparticle over the exposed surface thereby extending the detectable state interface area into a detectable trace over the exposed surface to produce the nanopatterened surface. | 10-29-2009 |
20090269556 | HOUSING, ELECTRONIC DEVICE USING THE HOUSING, AND MANUFACTURING METHOD THEREOF - A housing for an electronic device includes a thin film defining an outer surface having a plurality of impressions, and an inner surface positioned opposite to the outer surface, a decorative coating attached to the inner surface of the thin film; and a substrate attached to the decorative coating. A method for manufacturing the housing and an electronic device using the housing are also disclosed. | 10-29-2009 |
20090269557 | METHOD FOR MANUFACTURING OF A FIBRE REINFORCED LAMINATE AND OF A LATERALLY EXTENDED MATERIAL WHICH HAS IN A FIRST LATERAL DIRECTION A GREATER STIFFNESS THAN IN A SECOND LATERAL DIRECTION - A method for manufacturing of a fibre reinforced laminate is provided that includes building up a part of the laminate to a determined thickness using at least one layer of fibre material placing a laterally extended layer on top of the partially completed laminate, the layer having in a first lateral direction a greater stiffness than in a second lateral direction and having in the first lateral direction a greater stiffness than the other layers constituting the laminate, building up a new part of the laminate to a determined thickness, and in case the thickness of the laminate built up pursuant is not as large as a desired thickness of the completed laminate, repeating steps placing a laterally extended layer and the building up a new part until the thickness of the laminate built up is equal to the desired thickness of the completed laminate. | 10-29-2009 |
20090269558 | METHOD AND APPARATUS FOR PRODUCING SMALL STRUCTURES - The present invention relates to a method for producing small structures includes: depositing a mask on a surface of a substrate; and evaporating a source material under such evaporation condition performed at such pressure to form a layer onto both a shadowed surface area and a non-shadowed surface area of the mask and the substrate. | 10-29-2009 |
20090274881 | WRAPPING MATERIAL WITH OPPOSING ADHESIVE MEANS - A wrapping material for use with existing wrapping equipment or balers for wrapping bales of agricultural produce or other items are disclosed. The base wrapping material may be a netting, film, or combination thereof. The wrapping material includes a plurality of pre-defined, discrete, spaced-apart adhesive areas disposed on each side of the opposing sides of the wrapping material. The longitudinal spacing of the adhesive areas allows the wrapping material to be rolled onto a roll core so that the discrete spaced-apart adhesive areas contact only non-adhesive areas of the wrapping material thereby forming a first level of adhesion that easily allows the wrapping material to be released and unrolled. When wrapping an item, corresponding discrete adhesive areas come into face-to-face contact thereby forming a second level of adhesion that is stronger than the first level of adhesion. | 11-05-2009 |
20090274882 | METHOD FOR SELECTIVELY COATING SUBSTRATES - Methods for selectively coating substrates are disclosed. The methods generally comprise applying acid to a portion of the substrate; coating the substrate with a coating comprising a component that reacts with the acid; and removing the coating from the portion of the substrate to which the acid has been applied. | 11-05-2009 |
20090280302 | Photocurable Ink Composition, Ink Jet Recording Method, and Recording Matter - A photocurable ink composition includes a dendritic polymer, a monomer expressed by CH | 11-12-2009 |
20090280303 | Compositions For Carton Sealing - The disclosed invention is an adhesive composition that can be applied to a paperboard carton via water-based flexographic or gravure printing, that can subsequently be activated (i.e., melted) by RF radiation during a carton sealing operation, and that provides water-resistant bonding. | 11-12-2009 |
20090280304 | Method for defining regions of differing porosity of a nitrocellulose film on a substrate - A method of forming a pattern on a nitrocellulose film on a substrate by providing a nitrocellulose based film of uniform porosity on a substrate; defining a desired pattern on said substrate wherein at least one region of lower porosity is defined and wherein at least one region of normal porosity is defined; exposing to a flow of a suitable solvent vapor over said region of lower porosity wherein said nitrocellulose based film of said region of lower porosity is dissolved by said suitable solvent vapor; removing said suitable solvent vapor and said nitrocellulose based film from said region of lower porosity wherein said lower porosity is capable of separating multiple experiments that are performed simultaneously over said region of normal porosity. | 11-12-2009 |
20090286048 | Phthalocyanines and Their Use in Ink-Jet Printing - Compounds of Formula (1) and salts thereof: | 11-19-2009 |
20090286049 | METHODS OF APPLYING UV-CURABLE INKS TO RETROREFLECTIVE SHEETING - The present application relates to methods of forming a signage including a radiation curable ink. One exemplary embodiment involves heating at least a portion of a retroreflective article; applying a radiation curable ink to the heated portion of the retroreflective article; and curing the radiation curable ink. In most instances, a heated retroreflective article has a temperate that is greater than room temperature. | 11-19-2009 |
20090286050 | Customizable Articles and Method of Customization - Customizable articles and a method of customization are disclosed. The method produces customizable articles having a graphic or pigment arrangement sandwiched between a film transfer layer and an exterior surface of the customizable articles. The process involves aligning a customizable article and a graphical transfer assembly on a press assembly, heating the press assembly, and applying pressure to the customizable article using the press. After removing the customizable article from the press assembly, the process comprises removal of the carrier layer and inspection of the resulting customizable article. | 11-19-2009 |
20090286051 | ANTHRAPYRIDONE COMPOUND OR SALT THEREOF, MAGENTA INK COMPOSITION CONTAINING THE SAME, AND COLORED PRODUCT - The present invention relates to an anthrapyridone compound represented by the following formula (1): | 11-19-2009 |
20090286052 | Printing carriers for transferring confidential information - In a printing carrier for transferring confidential information with a transparent film layer onto the front side of which the confidential information can be printed and wherein a concealing means, which considerably complicates the capability to identify the confidential information on the film layer is present behind the film layer, provision is made according to the invention for the film layer to carry on the front side at least one structure imprint, which does not add to the confidential information and which improves the effect of the concealing means due to its irregular area coverage. | 11-19-2009 |
20090286053 | LITHOGRAPHIC METHOD - A lithographic method is disclosed that includes, on a substrate provided with a layer of a resist and a further layer of a material provided on the layer of resist, providing a pattern in the further layer, the pattern defining a space via which an area of the layer of resist may be exposed to radiation, a distance between features of the pattern defining the space, and exposing the layer of resist to radiation having a wavelength greater than the distance between features of the pattern defining the space, such that near-field radiation is generated which propagates into and exposes an area of the resist. | 11-19-2009 |
20090291268 | RESIN SURFACE LAYER AND METHOD OF FABRICATING THE SAME, COMPOSITE HAVING THE RESIN SURFACE LAYER AND METHOD OF FABRICATING THE SAME - A resin surface layer and a method of fabricating the same, and a composite having the resin surface layer and a method of fabricating the same, are provided. The method of fabricating the resin surface layer includes: (a) providing a base, made of a resin and including a plurality of additive particles randomly distributed in the base, wherein the additive particles are selected from a group consisting of pigments, dyes, colorants, coloring matters, and pearl powders; (b) moving the base constantly, and providing a magnetic field generating device to generate an exterior magnetic field that has an uneven distribution of magnetic field intensity, and is applied to the base to change the orientation of the additive particles, so that the additive particles are arranged in a predetermined form; and (c) drying the base to make a surface of the base exhibit a visual effect of 3D texture. Thus, the visual effect of any 3D texture can be achieved by controlling the orientation of the additive particles. | 11-26-2009 |
20090291269 | METHOD FOR CONDITIONING A SUBSTRATE SURFACE FOR FORMING AN ELECTRONIC DEVICE THEREON AND RESULTANT DEVICE - A method for forming an electronic device on a flexible substrate conditions a surface of the flexible substrate to increase its malleability and to provide a conditioned substrate surface. A master surface is impressed against the conditioned substrate surface. The master surface is then released from the conditioned substrate surface, thereby forming a circuit-side surface on the substrate. The electronic device is then formed on the circuit-side surface. The substrate may be supported on a carrier during the method. | 11-26-2009 |
20090291270 | Graphene-based structure, method of suspending graphene membrane, and method of depositing material onto graphene membrane - An embodiment of a method of suspending a graphene membrane across a gap in a support structure includes attaching graphene to a substrate. A pre-fabricated support structure having the gap is attached to the graphene. The graphene and the pre-fabricated support structure are then separated from the substrate which leaves the graphene membrane suspended across the gap in the pre-fabricated support structure. An embodiment of a method of depositing material includes placing a support structure having a graphene membrane suspended across a gap under vacuum. A precursor is adsorbed to a surface of the graphene membrane. A portion of the graphene membrane is exposed to a focused electron beam which deposits a material from the precursor onto the graphene membrane. An embodiment of a graphene-based structure includes a support structure having a gap, a graphene membrane suspended across the gap, and a material deposited in a pattern on the graphene membrane. | 11-26-2009 |
20090291271 | FUNCTIONAL LAMINATE - The invention refers to a functional laminate ( | 11-26-2009 |
20090291272 | BIREFRINGENT PATTERN BUILDER AND LAMINATED STRUCTURE MATERIAL FOR PREVENTING FORGERY - A birefringent pattern builder, having an optically anisotropic layer containing a polymer having unreacted reactive groups, | 11-26-2009 |
20090291273 | Removable Border Ornamentation for Dinnerware and the Like - A removable dinnerware border decoration including a predefined ink decoration or pattern imprinted on a substrate a substrate. The predefined ink decoration may be seasonal, holiday, religious, or custom decorations. The substrate, such as polyethylene resin exhibits static cling properties for clinging to a dinnerware item such as a plate or bowl. In addition, the ink decoration is non toxic. | 11-26-2009 |
20090297790 | Magenta Ink Composition, Ink Cartridge, and Recording System and Recorded Matter Using the Same - This invention provides a magenta ink composition that, when recorded, is excellent in color development, graininess, and gloss, is less likely to cause clogging in an ink jet recording head, and is excellent in color reproduction in a high-chroma and high-lightness red region. The magenta ink composition has an L* value of not less than 60 and a b* value of not more than −17 when the a* value in CIE standard calculated from a visible absorption spectrum in a not more than 10000-fold diluted aqueous solution is 80. | 12-03-2009 |
20090297791 | PATTERNING NANOTUBES WITH VAPOR DEPOSITION - A process for the modification of carbon-containing substrates, including 1-dimensional nanowire and nanofiber structures. In the process, polymeric material is deposited on a surface of the carbon containing-substrates using physical vapor deposition. The deposition process may be carried out under controlled conditions to produce a variety of useful modifications, including modifications at discrete intervals, as well as functional modifications. Also disclosed are carbon fibers, carbon nanowires, carbon nanotubes and nano-hybrid structures made by the modification processes of the present invention. | 12-03-2009 |
20090297792 | SIMULATED PATINA FOR COPPER - A process for imparting a simulated patina appearance to a copper substrate, and a substrate having that appearance. A colorant having the desired initial patina color tone is employed to produce a non-continuous layer of colorant on the surface of a copper substrate. The appearance of patination of the desired tone is created by interspersed spots of colorant with the remaining portion of the substrate being exposed for natural patination. The interspersed spots of colorant may be produced by screen printing, by spattering, as by spraying, or by mechanical removal of colorant after application to the substrate surface, or a combination. The colorant is preferably a paint and may be applied by non-uniform spraying on the substrate surface. Non-uniformity may be accomplished by varying the volume of colorant sprayed during the application process or by varying the relative movement of the spray and substrate. After application, the colorant provides a simulated patina to the copper substrate surface while allowing the remaining (non-covered) portion of the substrate to patinate naturally. | 12-03-2009 |
20090297793 | Article of manufacture for providing a method of a grippable lace or cord - An article of manufacture that provides an integral protective coating that improves lace, cordage, rope, twine, or other tie substrates that will not untie under normal, high performance, or inclement conditions and is stainproof, waterproof, and safer than currently available products. This invention is typically used in fastening shoes, garments, webbing, bags, cinch, or other application where holding fast without slipping is advantages. A coating by example but not limited to, surrounding, permeating, striping, edging, encapsulating the tie substrate consisting of either silicone, rubber, latex, urethane, polyurethane, polyethylene, or other coating type materials that present the desired properties. | 12-03-2009 |
20090297794 | LAMINATE AND ARTICLE FOR DAILY USE - A laminate includes a base layer being made from one of woven fabrics, knitted fabrics, and non-woven fabrics, and having two surfaces, and a thin film layer made of a polyvinyl butyral-based composition and covering one of the two surfaces of the base layer. An article for daily use, at least one part of which is made of the laminate, is also disclosed. | 12-03-2009 |
20090297795 | Ink-Jet Printing Using Disazo Dyes - A compound of Formula (1) and salts thereof: | 12-03-2009 |
20090297796 | Water-Based Screen Printing Ink - A water-based screen printing ink composition which can be used for flexo or gravure printing includes an acrylic or urethane-acrylic copolymer emulsion, a crosslinker, a pigment selected from the group consisting of luminescent pigments, magnetic pigments, optical effect pigments, optically variable pigments, and a catalyst. The crosslinker has at least two different chemical functionalities within the same molecule, a first of which forms a covalent link with the polymer prior to printing and a second of which effects crosslinking of the polymer to cure the printed ink. | 12-03-2009 |
20090297797 | Polarization preserving front projection screen material - Polarization preserving front projection screens and diffusers provide optimum polarization preservation for stereoscopic 3D viewing, as well as improved light control for enhanced brightness, uniformity, and contrast for both 2D and 3D systems. Generally, the disclosed screens direct light from a projector toward viewers within a diffusion locus, while maintaining optimum gain characteristics. More specifically, light incident on a region of the front projection screen from a predetermined projection direction is reflected by an engineered surface to a predetermined diffusion locus after undergoing substantially single reflections. The engineered surface, comprised of generating kernels, is used to optimally diffuse illumination light into a range of viewing angles, within the diffusion locus, with suitable gain profile, while optimally preserving polarization for 3D applications. Such a screen, when combined with matched polarization analyzing eyewear, provides extremely low cross-talk from any observation point. | 12-03-2009 |
20090305003 | False inlay decoration and method for producing the same - A false inlay decoration is characterized in that a linear notch is formed in a surface of a base by laser processing; a first decoration face and a second decoration face are sectioned and formed by the linear notch; and a coating layer is formed on the inner surface of the linear notch. | 12-10-2009 |
20090305004 | PROCESS FOR OBTAINING A POLYMER FILM FOR HIGH-RESOLUTION INKJET PRINTING, THE OBTAINED FILM, PRINTING SYSTEM AND METHOD - Process for improving the resolution of inkjet printing of polymer films comprising a base polymer according to which a copolymer additive comprising a polymer group A and a polymer group B is blended with the base polymer, group A having a lower surface tension than that of the base polymer and group B being compatible with the base polymer. | 12-10-2009 |
20090305005 | PRINTING INK - This invention relates to an ink-jet ink substantially free of water and volatile organic solvents comprising at least one monofunctional (meth)acrylate monomer, including phenoxyethyl acrylate; at least one monofunctional N-vinyl amide monomer, including N-vinyl caprolactam; at least one radical photoinitiator; and at least one colouring agent. The ink has a viscosity of less than 100 mPas at 25° C., and the molar ratio of the at least one monofunctional (meth)acrylate monomer to the at least one monofunctional N-vinyl amide monomer is from 1.0 to 6.0. | 12-10-2009 |
20090305006 | Printed product and method for the production thereof - The invention proposes a new printed product and a new production method and a new system for producing printed products which exploit weblogs and the blog articles contained therein as a source of news for conventional printed media. A decisive difference from known electronic products and corresponding methods in which blog articles or postings are made available online is that, according to the present invention, the blog articles are fed into the prepress stage in a novel manner and are processed there in order to be supplied to printing forme production following layout creation which is preferably automated depending on the printing method. The methods and systems according to the invention allow the blog articles or postings to be checked, categorized and assessed in such a manner that the actual amount of editing can be considerably reduced in the prepress area. This results in massive savings since a relevant editor is advantageously provided with a preferably precategorized preselection of blog articles, ideally in the form of ranking lists, by means of assessment methods and systems, which are described in more detail below, and optionally upstream checking methods and systems. The new method preferably uses postings by bloggers who have agreed to commercial use of their blog articles for publication in the printed product according to the invention and possible subsequent uses as part of an online registration and certification process via a blog portal. The legal certainty for the commercial operator of the blog portal and the producer of the new printed product is thus increased tremendously. | 12-10-2009 |
20090305007 | SHELL FOR PORTABLE ELECTRONIC DEVICE AND METHOD FOR MAKING SAME - The invention discloses a shell for portable electronic devices which includes a main body and an opaque ink layer. The main body is made of translucent material and includes an outer surface and an inner surface opposite to the outer surface. The opaque ink layer is coated on the inner surface of the main body except for a design area on the inner surface of the main body. Through masking, the design area may incorporate any desired decorative pattern such as a logo, a call id pattern, a message prompt pattern, a charging pattern or some other design. The design area is an area surrounded by the opaque ink layer and the inner surface is exposed through the design area. The invention also discloses a method for making the shell. | 12-10-2009 |
20090305008 | SURFACE COLOR PATTERNING WHILE DRAWING POLYMER ARTICLES - Prepare an oriented polymer composition having a decorative appearance by a process including extruding an orientable polymer composition from an extruder, directing the orientable polymer composition through a calibrator and then drawing the orientable polymer composition, optionally through a drawing die, at a drawing temperature to form an oriented polymer composition wherein the process further includes disposing a colorant onto a surface of the oriented polymer composition prior to the calibrator, prior to the drawing die or both prior to a calibrator and prior to the drawing die in a pattern having a width of at least five millimeters and that preferably so that the colorant is at least partially located on a recessed portion of the resulting oriented polymer composition's surface and/or extends to a depth of at least one millimeter below the oriented polymer composition's surface. | 12-10-2009 |
20090305009 | PRINTED SUBSTRATE, METHOD FOR MANUFACTURING FLOOR PANELS AND METHOD FOR MANUFACTURING PRINTED SUBSTRATES - A printed substrate may be used for manufacturing floor panels that have at least a basic panel and provided thereon a top layer with a printed decor. The printed substrate may include a print. The print may include the printed decors of a plurality of the floor panels. The printed decors in the print may be grouped in stripes of similar tint. | 12-10-2009 |
20090311489 | Laser patterning of a carbon nanotube layer - A method of patterning a carbon nanotube layer includes providing a substrate comprising a carbon nanotube layer. A laser beam is generated. The laser beam is directed onto a first surface of the carbon nanotube layer. Relative movement between the laser beam and the first surface is caused, thereby forming at least one cavity feature on the first surface. | 12-17-2009 |
20090311490 | CHEMICAL TRIM OF PHOTORESIST LINES BY MEANS OF A TUNED OVERCOAT MATERIAL - A new lithographic process comprises reducing the linewidth of an image while maintaining the lithographic process window, and using this process to fabricate pitch split structures comprising nm order (e.g., about 22 nm) node semiconductor devices. The process comprises applying a lithographic resist layer on a surface of a substrate and patterning and developing the lithographic resist layer to form a nm order node image having an initial line width. Overcoating the nm order node image with an acidic polymer produces an acidic polymer coated image. Heating the acidic polymer coated image gives a heat treated coating on the image, the heating being conducted at a temperature and for a time sufficient to reduce the initial linewidth to a subsequent narrowed linewidth. Developing the heated treated coating removes it from the image resulting in a free-standing trimmed lithographic feature on the substrate. Optionally repeating the foregoing steps further reduces the linewidth of the narrowed line. The invention also comprises a product produced by this process. | 12-17-2009 |
20090311491 | MULTI-EXPOSURE LITHOGRAPHY EMPLOYING DIFFERENTIALLY SENSITIVE PHOTORESIST LAYERS - A stack of a second photoresist having a second photosensitivity and a first photoresist having a first photosensitivity, which is greater than second photosensitivity, is formed on a substrate. A first pattern is formed in the first photoresist by a first exposure and a first development, while the second photoresist underneath remains intact. A second pattern comprising an array of lines is formed in the second photoresist. An exposed portion of the second photoresist underneath a remaining portion of the first photoresist forms a narrow portion of a line pattern, while an exposed portion of the second photoresist outside the area of the remaining portions of the photoresist forms a wide portion of the line pattern. Each wide portion of the line pattern forms a bulge in the second pattern, which increases overlay tolerance between the second pattern and the pattern of conductive vias. | 12-17-2009 |
20090311492 | PLASTIC SHELL WITH PRINTING PATTERNS AND METHOD FOR FORMING THE SAME - A plastic shell with printing patterns has a plastic body, a printing layer and a plating layer. The plastic body has an outer surface and an area. The printing layer is attached to the outer surface of the plastic body to form the printing patterns and has an area smaller than that of the plastic body. The plating layer is attached to the outer surface of the plastic body at a region beside the printing layer. | 12-17-2009 |
20090311493 | BIAXIALLY ORIENTED POLYESTER FILM FOR MOLDED PART - A biaxially oriented polyester film is provided, in particular, a biaxially oriented polyester film for molded part capable of being used suitably as a metallic molded part processed after metal deposition on a film surface and a surface protection film in forming a decorative sheet. Provided is a biaxially oriented polyester film for molded part, which is a polyester film that at least two layers of A layer composed of polyester A and B layer composed of polyester B are laminated, wherein
| 12-17-2009 |
20090311494 | RELIEF PRINTING PLATE PRECURSOR FOR LASER ENGRAVING, RELIEF PRINTING PLATE, AND PROCESS FOR PRODUCING RELIEF PRINTING PLATE - A relief printing plate precursor for laser engraving, including a relief forming layer containing (A) a polymerizable compound having an ethylenic unsaturated bond, (B) a binder polymer, and (C) a compound having deodorizing ability. | 12-17-2009 |
20090317601 | Apparatus and method for treating imaging materials - An apparatus and method for improving the durability of an image on an imaging material, including increasing the press run length or a printing plate. The apparatus and method can involve the use of, as an example but not restricted to, an imaging device, a pre-bake oven, a processor, and a post-process treatment unit that employs infrared lamps adapted to irradiate the image. | 12-24-2009 |
20090317602 | PRINTING INK - This invention relates to an ink-jet ink comprising at least one monofunctional (meth)acrylate monomer; at least one monofunctional N-vinyl amide monomer; at least one radical photoinitiator; and at least one colouring agent. The ink has a viscosity of less than 100 mPas at 250° C., and the ink contains no more than 15 wt % of multifunctional monomers based on the total weight of the ink. | 12-24-2009 |
20090317603 | Thermoplastic foil arrangement having a foamed cover layer - A thermoplastic foil has an embossed three-dimensionally structured surface ( | 12-24-2009 |
20090317604 | Photo-sensitive composition, photo-sensitive paste composition for barrier ribs comprising the same, and method for preparing barrier ribs for plasma display panel - A photosensitive composition, which has a cross-linking monomer having at least two ethylenic double bonds, a photopolymerization initiator, and an organic solvent, and a method of preparing a barrier rib for a plasma display panel, wherein the photosensitive composition is used. The photosensitive composition provides improved adherence to an inorganic material and an organic material. | 12-24-2009 |
20090317605 | PRINTED FOAMED FILM PACKAGE - A method of constructing a package having printed indicia of acceptable quality includes providing at least one layer of foamed thin film wherein the layer of foamed thin film has a caliper of between 10 and 250 microns and comprises between 5% to 50% density reduction as compared to a non-foamed thin film of substantially the same caliper and composition. A first surface of the at least one layer of foamed thin film is the printed surface of the package. The indicia is printed on the printed surface by applying ink to a printer surface and contacting the printed surface with the inked printer surface to coat the printed surface with ink. The printer surface includes a plurality of dots configured to contact the printed surface to imprint the indicia on the printed surface. The surfaces of the dots have a dot percentage of no more than about 70%. | 12-24-2009 |
20090317606 | INK SET, AND RECORDING METHOD, RECORDING SYSTEM, AND RECORDED MATTER USING THE INK SET - It is an object of the present invention to provide an ink set that has a wide color reproduction range, has high saturation, produces a glossy recorded image with no pronounced granularity caused by dot expression, greatly suppresses granularity caused by dot expression particularly when applied to a medium having a coating layer, and allows a recorded image with outstanding coloration to be obtained when applied to plain paper. The present invention provides an ink set comprising at least yellow ink (Y), magenta ink (M), cyan ink (C), and red ink (R), wherein the L* values in the Lab colorimetric system specified by CIE for aqueous solutions of each ink diluted 1000 times are within the following ranges. (Y): at least 89 and no more than 94, (M): at least 76 and no more than 93, (C): at least 74 and no more than 87, (R): at least 55 and no more than 74. | 12-24-2009 |
20090317607 | INK SET, AND RECORDING METHOD, RECORDING SYSTEM, AND RECORDED MATTER USING THE INK SET - It is an object of the present invention to provide an ink set that has a wide color reproduction range, has high saturation, produces a glossy recorded image with no pronounced granularity caused by dot expression, greatly suppresses granularity caused by dot expression particularly when applied to a medium having a coating layer, and allows a recorded image with outstanding coloration to be obtained when applied to plain paper. The present invention provides an ink set comprising at least yellow ink (Y), magenta ink (M), cyan ink (C), and red ink (R), wherein the L* values in the Lab colorimetric system specified by CIE for aqueous solutions of each ink diluted 1000 times are within the following ranges. (Y): at least 89 and no more than 94, (M): at least 76 and no more than 93, (C): at least 74 and no more than 87, (R): at least 55 and no more than 74. | 12-24-2009 |
20090317608 | TREATED SUBSTRATE HAVING PATTERN OF WATER REPELLENT REGION, ITS PRODUCTION PROCESS, AND PROCESS FOR PRODUCING MEMBER HAVING PATTERN MADE OF FUNCTIONAL MATERIAL FILM FORMED - To provide a treated substrate having a high contrast water repellent region on its surface, and a process for producing it. | 12-24-2009 |
20090324897 | GRAPHENE PATTERN AND PROCESS OF PREPARING THE SAME - Provided are a graphene pattern and a process of preparing the same. Graphene is patterned in a predetermined shape on a substrate to form the graphene pattern. The graphene pattern can be formed by forming a graphitizing catalyst pattern on a substrate, contacting a carbonaceous material with the graphitizing catalyst and heat-treating the resultant. | 12-31-2009 |
20090324898 | ACCOUTERMENT HAVING THERMAL TRANSFER PRINTING PATTERNS - An accouterment having patterns printed by thermal transfer printing process is provided. The present invention sticks transfer printing layers having patterns or traceries pre-printed thereon onto an inside surface and an outside surface of an accouterment to transfer the patterns onto the accouterment. The patterns are then spray coated with a layer of glossy paint. Such a layer of glossy paint makes the patterns achieving stereoscopic effect. And when the accouterment endures a light refraction, the patterns on the inside surface and the outside surface of the accouterment are visually overlapped one to another so as to generate a stereoscopic impression. | 12-31-2009 |
20090324899 | FULL PERIMETER CHEMICAL STRENGTHENING OF SUBSTRATES - Methods and apparatus for protecting the thin films during chemical and/or thermal edge strengthening treatment. In one embodiment, a portion of each individual sheet is laminated. Pairs of sheets are then sealed together such that the thin film sides face inward to form a thin film sandwich. In some embodiments, the sandwich in then immersed in a chemical strengthener. In other embodiments, a localized treatment is applied to the unstrengthened edges. | 12-31-2009 |
20090324900 | INK COMPOSITION AND COLORED PRODUCT - The present invention relates to an ammonium salt or a sodium salt of an anthrapyridone compound represented by the following the formula (1): | 12-31-2009 |
20090324901 | INTERIOR MATERIAL ARTICLE FOR AUTOMOBILE - The interior material article for an automobile of the present invention comprises sequentially a skin layer (A), a crosslinked foam layer (B) and a base layer (C), and is composed of an integrated combination of a laminated sheet in which the skin layer (A) and the crosslinked foam layer (B) are joined and the base layer (C) by vacuum molding method. The skin layer (A) comprises a polyolefin-based resin (a1), and the crosslinked foam layer (B) is a layer in which a crosslinking and foaming resin composition is crosslinked and foamed, the composition comprising a polylactic acid-based resin (b1), a polyolefin-based resin (b2) comprising a monomer unit based on ethylene and a monomer unit based on propylene, a modified polyolefin (b3) having an ester bond at its side chain, and a crosslinking aid (b4). Contents of the resin (b1), (b2) and (b3) are respectively 1% to 30% by weight, 65% to 89% by weight and 1% to 10% by weight based on 100% by weight of the total of the resin (b1), (b2) and (b3) that are contained in the crosslinking and foaming resin composition. | 12-31-2009 |
20090324902 | CONDUCTIVE FILM-FORMING PHOTOSENSITIVE MATERIAL AND CONDUCTIVE MATERIAL - A photosensitive material for forming a conductive film having a support, a silver salt-containing emulsion layer over the support, and one or more optional layers formed over the support or the silver salt-containing emulsion layer side of the support, wherein any one of the silver salt-containing emulsion layer or the optional layer(s) contains conductive fine particles and a binder, and the ratio by mass of the conductive fine particles to the binder (the conductive fine particles/the binder) is from 1/33 to 1.5/1. | 12-31-2009 |
20090324903 | METHOD AND APPARATUS FOR LASER BEAM ALIGNMENT FOR SOLAR PANEL SCRIBING - A method is described for accurately positioning laser scribed lines in a thin top layer of material which overcoats one or more other lower layers in which lines have already been scribed for the purpose of making solar panels. This is accomplished by means of an optics unit that generates one or more laser beams in order to scribe one or more lines in the top layer on the panel. An alignment detector system is attached to the optics unit and the detector is displaced from the optics unit by a distance such that the detector measures the position of one of the scribes in the lower layers in the area of the panel that will be scribed at a subsequent time. A control and motion system accepts data from the alignment detector attached to the optics unit and uses the data to correct the relative position of the optics unit with respect to the panel in the direction perpendicular to the scribe direction in order to cause the laser scribed lines to be accurately placed with respect to lines already scribed in the lower layer. A motion system moves the panel with respect to the optics unit and the associated alignment detector such that the detector follows the path of one or more of the lines scribed in one of the lines scribed in one of the lower layers and measures the position of the line or lines over the full length while laser scribing of the top layer by the optics unit proceeds in a different area of the panel. The invention further comprises a laser ablation tool for carrying out the method as aforesaid. | 12-31-2009 |
20090324904 | Massively Parallel Assembly of Composite Structures Using Depletion Attraction - Producing composite structures includes dispersing a first plurality of objects, a second plurality of objects, and a third plurality of objects in a fluid, the third and second plurality of objects having an average maximum dimension that is smaller than the first plurality of objects The first plurality of objects comprise a first, a second, a third and a forth object, each having mating surface regions The first and second objects' mating surfaces are complimentary and the third and forth objects' mating surfaces are complementary The first and second object aggregate together in response to the dispersing of the second plurality of objects in the fluid due to a depletion attraction between the first and the second object The third and forth object aggregate together in response to dispersing the third plurality of objects in the fluid due to a depletion attraction between the third and the fourth object | 12-31-2009 |
20100003467 | THERMOSENSITIVE MULTIPLE RECORDING SHEET AND METHOD FOR PRODUCING THE SAME - The present invention provides a thermosensitive multiple recording sheet characterized in that a second base material having light transmission properties is attached to the side of a first thermosensitive recording layer of a first thermosensitive recording sheet comprising a first base material and the first thermosensitive recording layer formed on one surface of the first base material, through a temporary adhesive layer, the second base material is composed of an information disclosing portion and an information non-disclosing portion, a shielding layer is formed at the position corresponding to the information non-disclosing portion on the second base material, and an ultraviolet curable resin layer are formed as an outermost layer of the sheet. | 01-07-2010 |
20100003468 | Method of forming microfined resist pattern - The present invention provides a pattern-producing method for fining a developed resist pattern without increasing the production cost or impairing the production efficiency seriously. This method comprises the step of bringing a resist pattern after development into contact with a treating solution preferably containing a nonionic surfactant for 60 seconds or more, so as to reduce the effective size of the resist pattern formed by the development. The present invention also provides a resist pattern fined by that method. | 01-07-2010 |
20100003469 | OXIDE MATERIAL, PATTERNING SUBSTRATE, METHOD OF FORMING A PATTERN, METHOD OF PRODUCING AN IMPRINT TRANSFER MOLD, METHOD OF PRODUCING A RECORDING MEDIUM, IMPRINT TRANSFER MOLD, AND RECORDING MEDIUM - An oxide material ( | 01-07-2010 |
20100003470 | INKJET DYES EXHIBITING REDUCED KOGATION - A salt of a sulfonated dye comprising an ammonium cation as a counterion. The ammonium cation comprises at least 3 hydroxyl groups. The salt is useful in reducing kogation in thermal inkjet printheads. One example of these salts is the compound of formula (II): | 01-07-2010 |
20100003471 | Transfer Tape - The invention is to provide a transfer tape which includes an opacifying layer having a high opacifying effect of a pattern, and according to the invention, there is provided a transfer tape | 01-07-2010 |
20100003472 | LUMINESCENT ARTICLE - A luminescent article is made by applying a base layer on the article, by applying an intermediate layer on the base layer, and by applying a luminescent layer on the intermediate layer. Color fading of the luminescent layer is minimized. The multiple layers create a pronounced three-dimensional decorative impact, with a longer and brighter glow. | 01-07-2010 |
20100003473 | METHOD FOR PRODUCING ORIGINAL MASTER USED TO PRODUCE MOLD STRUCTURE, ORIGINAL MASTER AND METHOD FOR PRODUCING MOLD STRUCTURE - A method for producing an original master used to produce a mold structure, the method including: forming a resist layer on a surface of an original master substrate, and exposing and developing the resist layer so as to form on the surface of the substrate an original master resist pattern substantially in the shape of concentric arcs utilized to form an original master concavo-convex pattern; selectively etching the resist pattern, under one of a condition that the resist pattern on an inner circumferential side is etched to a greater extent than the resist pattern on an outer circumferential side and a condition that the resist pattern on the outer circumferential side is etched to a greater extent than the resist pattern on the inner circumferential side; and etching the substrate with the selectively etched resist pattern serving as a mask so as to form the original master concavo-convex pattern. | 01-07-2010 |
20100009130 | ON-PRESS DEVELOPABLE IMAGEABLE ELEMENTS - A negative-working imageable element has an imageable layer and a topcoat layer that contains a composition that will change color upon exposure to imaging infrared radiation. The imageable element can be imaged and developed on-press to provide images with improved contrast for print-out. | 01-14-2010 |
20100009131 | MULTI-EXPOSURE LITHOGRAPHY EMPLOYING A SINGLE ANTI-REFLECTIVE COATING LAYER - A first photoresist is applied over an optically dense layer and lithographically patterned to form an array of first photoresist portions having a pitch near twice a minimum feature size. The pattern in the first photoresist portions, or a first pattern, is transferred into the ARC layer and partly into the optically dense layer. A second photoresist is applied and patterned into another array having a pitch near twice the minimum feature size and interlaced with the first pattern. The pattern in the second photoresist, or a second pattern, is transferred through the ARC portions and partly into the optically dense layer. The ARC portions are patterned with a composite pattern including the first pattern and the second pattern. The composite pattern is transferred through the optically dense layer and into the underlayer to form a sublithographic pattern in the underlayer. | 01-14-2010 |
20100009132 | SELF-SEGREGATING MULTILAYER IMAGING STACK WITH BUILT-IN ANTIREFLECTIVE PROPERTIES - A coating process comprises forming a patterned material layer on a substrate using a self-segregating polymeric composition comprising a polymeric photoresistive material and an antireflective coating material. The polymeric photoresistive material and the antireflective coating material that make up the self segregating composition are contained in a single solution. When depositing this solution on a substrate and removing the solvent, the two materials self-segregate into two layers. The substrate can comprise one of a ceramic, dielectric, metal, or semiconductor material and in some instances a material such as a BARC material that is not from the self segregating composition. The composition may also contain a radiation-sensitive acid generator and a base quencher. This produces a coated substrate having a uniaxial bilayer coating oriented in a direction orthogonal to the substrate with a top photoresistive coating layer and a bottom antireflective coating layer. The process may also include optionally coating a top coat material on the coated substrate. Pattern-wise exposing the coated substrate to imaging radiation and contacting the coated substrate with a developer, produces the patterned material layer wherein the optional top coat material and a portion of the photoresist layer are simultaneously removed from the coated substrate, thereby forming a patterned photoresist layer on the substrate. Alternatively, the optional top coat material, a portion of the photoresist layer and a portion of the bottom antireflective layers are simultaneously removed from the coated substrate by the developer, thereby forming a patterned photoresist layer on the substrate. | 01-14-2010 |
20100009133 | Boundary configurations for multi-material print-forming - A print-forming method is disclosed which provides a method to engineer and design boundaries between the materials used in devices. The boundary includes primarily a first material on one side and primarily a second material on the other side. At least some of the second material is located on the first material side of the boundary and at least some of the first material is located on the second material side of the boundary in a precise pattern that achieve the objectives of the design. This approach is then extended to 3-dimensional shapes with any number of materials. Material properties that differ from each other thus do not exhibit an abrupt transition within the overall structure, but rather are accommodated within the boundary region. Various different exemplary boundary region configurations are disclosed, as well as techniques for optimizing this print-forming manufacturing within boundary regions. | 01-14-2010 |
20100009134 | BEAM ABLATION LITHOGRAPHY - Provided are beam ablation lithography methods capable of removing and manipulating material at the nanoscale. Also provided are nanoscale devices, nanogap field effect transistors, nano-wires, nano-crystals and artificial atoms made using the disclosed methods. | 01-14-2010 |
20100009135 | DECORATED SHEET - A decorative sheet contains a substrate having thereon at least a pattern layer, a homogeneous and uniform first surface protective layer covering the whole surface, and a second surface protective layer provided partly on the first surface protective layer, the first surface protective layer and the second surface protective layer each contain a curable resin composition having been crosslinked and cured, the first surface protective layer contains a matte agent, the second surface protective layer contains synthetic resin beads having an average particle diameter of from 10 to 30 μm, the synthetic resin beads protrude above a resin layer constituting the second surface protective layer, a region of the second surface protective layer and a region of the first surface protective layer exposed on the outermost surface have a difference in glaze, and the difference in glaze is conformed to a pattern of the pattern layer. | 01-14-2010 |
20100009136 | INK SET, INK JET RECORDING METHOD, RECORDED MATTER, AND INK JET RECORDING APPARATUS - An ink set includes an oil-based ink composition containing a metallic pigment; and at least one oil-based ink composition selected from the group consisting of a chromatic color ink composition containing a chromatic color oil dye, a black ink composition containing a black oil dye, and a colorless and transparent ink composition that does not contain a colorant. | 01-14-2010 |
20100009137 | COMPOSITION FOR IMPRINTS, PATTERN AND PATTERNING METHOD - A lubricant-containing composition for imprints comprising a polymerizable monomer and a photopolymerization initiator in combination or a resin component is excellent in patternability and mold releasability. The composition can form a pattern having a small line edge roughness after etching. | 01-14-2010 |
20100009138 | CURABLE COMPOSITION FOR IMPRINTS, PATTERNING METHOD AND PATTERN - A curable composition for imprints, comprising a polymerizable monomer (Ax) represented by the following formula: | 01-14-2010 |
20100009139 | ADVANCED ORIENTED ASSIST FEATURES FOR INTEGRATED CIRCUIT HOLE PATTERNS - An oriented assist feature is described that permits transferring of a lithographic pattern corresponding to an integrated circuit from a mask onto a semiconductor substrate. The oriented assist feature does not exhibit a forbidden pitch phenomenon, thereby providing a wide photo process window for a hole pattern. | 01-14-2010 |
20100009140 | LITHOGRAPHIC METHOD FOR WIRING A SIDE SURFACE OF A SUBSTRATE - In a lithographic proximity method for wiring an end or internal side surface of a substrate the required exposure of strips ( | 01-14-2010 |
20100015408 | ANTIMONY-FREE PHOTOCURABLE RESIN COMPOSITION AND THREE DIMENSIONAL ARTICLE - The present invention provides a low viscosity photocurable composition including (i) a cationically curable component (ii) a free radically active component (iii) an antimony-free cationic photoinitiator (v) a free radical photoinitiator, and (vi) a toughening agent. The photocurable composition can be cured using rapid prototyping techniques to form three-dimensional articles which can be used in various aerospace and investment casting applications. | 01-21-2010 |
20100015409 | PHOTOIMAGING METHOD AND APPARATUS - There is herein described a method and apparatus for photoimaging. In particular, there is described a method and apparatus for photoimaging a substrate covered with a wet curable photopolymer, wherein the photoimaged substrate is used to form images such as electrical circuits. | 01-21-2010 |
20100015410 | ANTHRAPYRIDONE COMPOUND, SALT THEREOF, MAGENTA INK COMPOSITION AND COLORED PRODUCT - The present invention relates to a novel anthrapyridone compound represented by the following formula (1) or a salt thereof, and can provide a magenta coloring matter having a highly vivid hue suitable for inkjet recording, a high fastness to recorded matters, and an excellent storage stability. | 01-21-2010 |
20100015411 | Trisazo-dyes with a Pyrazolyl End Group and Their Use in Ink-jet Printing - A compound of Formula (1) or a salt thereof: | 01-21-2010 |
20100015412 | WATER BASED PRINTING INKS FOR NONWOVEN SUBSTRATES - Disclosed is a waterborne composition comprising of a water-based polyurethane, water, and colorant which can be used as a printing ink for non-woven articles. | 01-21-2010 |
20100015413 | Inkjet aqueous ink and printed item - An inkjet aqueous ink that prevents paper deformation and exhibits superior print quality and latency. The inkjet aqueous ink contains not less than 15% by mass and not more than 40% by mass of a polyglycerol having an average polymerization degree of 4 or greater, not less than 5% by mass and not more than 20% by mass of at least one of 1,3-propanediol and diethylene glycol monoethyl ether, and not less than 40% by mass and not more than 60% by mass of water. | 01-21-2010 |
20100015414 | Method of, and Apparatus for, Producing Multi-Leaf, Folded Printed Products, in Particular Periodicals and Brochures - In a material web which is printed in a digital printing station and moved in an advancement direction, a first material-web strand, which is formed by at least one printed material-web portion, is combined with a second material-web strand, which is formed by two printed material-web portions, by folding. The two material-web strands are connected to one another by an adhesive. Subproducts are then severed from the thus interconnected material-web strands by cross-cutting. These subproducts comprise a first printed sheet, severed from the first material-web strand, and a second printed sheet, connected to the first printed sheet and severed from the second material-web strand. The subproducts are then positioned one upon the other to form a stack, the subproducts being connected to one another by an adhesive in the region of the subsequent folding line. The stacked subproducts are then folded about a folding line to form an end product. | 01-21-2010 |
20100015415 | Individual user identification system and appliance for a towel - A towel user identification system or appliance includes one or more identification devices each configured to be removably affixed to a towel or the like, wherein each of the identification devices bears an individual-specific indicium on an outer surface thereof, and wherein each of the identification patches bears a first affixment means permanently attached on an inner surface thereof; and a second affixment means configured to be permanently attached to a towel or the like, the second affixment means configured further to removably capture one of the one or more identification devices thereto by cooperation of the first and second affixment means. Optionally, the system includes a stowing substrate configured to stow a plurality of the one or more identification devices in an array. | 01-21-2010 |
20100015416 | RESIN COMPOSITION FOR LASER ENGRAVING, IMAGE FORMING MATERIAL, RELIEF PRINTING PLATE PRECURSOR FOR LASER ENGRAVING, RELIEF PRINTING PLATE, AND METHOD OF PRODUCING RELIEF PRINTING PLATE - A resin composition for laser engraving, including: a binder polymer; and a metal compound containing a metal selected from the group consisting of metals in Group 1 to Group 15 in the periodic table. | 01-21-2010 |
20100015417 | TRANSPARENT CONDUCTIVE FILM AND TOUCH PANEL - The transparent conductive film of the present invention is a transparent conductive film, comprising a transparent film substrate, and a first transparent dielectric layer, a second transparent dielectric layer and a patterned transparent conductive layer that are formed on one or both sides of the transparent film substrate in this order from the transparent film substrate side, wherein the transparent conductive layer has a thickness of 31 nm or more, the first transparent dielectric layer has a thickness of from 7 nm to 16 nm, the second transparent dielectric layer has a thickness of from 30 nm to 60 nm, and the relation n | 01-21-2010 |
20100021698 | METHOD OF CONTROLLING GLOSS WITH CURING ATMOSPHERE USING RADIATION CURABLE INK OR OVERCOAT COMPOSITIONS - A method of controlling gloss of an image includes forming an image over a substrate by applying a colored or colorless composition, included a colored ink and/or a colorless overcoat composition, over one or more portions of the substrate, wherein the colored or colorless composition includes at least one gellant, at least one curable monomer, at least one curable wax and optionally at least one photoinitiator, wherein the colored or colorless composition is curable upon exposure to radiation, and curing the colored or colorless composition following application by applying radiation to the colored or colorless composition and, during the curing, controlling an amount of oxygen present in an atmosphere around the image. | 01-28-2010 |
20100021699 | DECORATIVE, LOW NOISE LAMINATE EQUIPPED WITH A PATTERNED BALANCE LAYER FORMED BY COMPRESSION - A decorative laminate, comprising an upper decorative layer and a carrying core. The upper side of the core is provided with the decorative layer and the lower side of the core is provided with a balance layer. The balance layer has the purpose of preventing warping of said decorative laminate and at the same time has the purpose of acoustic dampening. The balance layer comprises a polymer and cellulose said balance layer having a density in the range 30-300 kg/m | 01-28-2010 |
20100021700 | Method for formation of miniaturized pattern and resist substrate treatment solution for use in the method - The present invention provides a method for miniaturizing a pattern without seriously increasing the production cost or impairing the production efficiency. This invention also provides a fine resist pattern and a resist substrate-treating solution used for forming the fine pattern. The pattern formation method comprises a treatment step. In the treatment step, a resist pattern after development is treated with a resist substrate-treating solution containing an amino group-containing, preferably, a tertiary polyamine-containing water-soluble polymer, so as to reduce the effective size of the resist pattern formed by the development. The present invention also relates to a resist pattern formed by that method, and further relates to a treating solution used in the method. | 01-28-2010 |
20100021701 | Pigments Modified By A Polymerisable Coating, And Production And Use Of Same - The invention relates to a pigment preparation containing, as main ingredients: (a) between 5 and 70 wt. % of at least one pigment P which is coated with at least one polymerisable, ethylenically unsaturated compound B; (b) between 0.1 and 15 wt. % of at least one non-ionic surface-active additive C based on polyethers and/or polyglycerines; (c) between 0.1 and 15 wt. % of at least one anionic surface-active additive D based on sulfonates, sulfates, carboxlates, phosphonates, or phosphates; between 10 and 90 wt. % of water and (e) between 0 and 20 wt. % of standard additives, the total weight percentage not exceeding 100 wt. %. | 01-28-2010 |
20100021702 | SCRATCH COLOR-DEVELOPABLE INK AND INVISIBLE INFORMATION PRINTED SHEET - Disclosed are a scratch color-developable ink comprising an electron-donating colorless or light-color dye precursor, an electron-accepting color developer and a varnish, wherein a solid particle component contained therein has an average particle diameter of 0.3 to 25 μm, a scratch color-developable ink comprising an electron-donating colorless or light-color dye precursor, an electron-accepting color developer and a varnish, wherein an azaphthalide compound is contained as the electron-donating colorless or light-color dye precursor, and an invisible information printed sheet obtained by printing invisible information on a support with the above scratch color-developable ink. | 01-28-2010 |
20100021703 | DEVELOPING METHOD FOR IMMERSION LITHOGRAPHY, SOLVENT USED FOR THE DEVELOPING METHOD AND ELECTRONIC DEVICE USING THE DEVELOPING METHOD - A developing method for immersion lithography is provided, realizing a process that is simple and low-cost and enables high repellency sufficient to allow high-speed scanning. The developing method for immersion lithography improved by inexpensive material without introducing any new facility, a solution to be used in the developing method, and an electronic device formed by using the developing method are provided. The developing method for immersion lithography is a method of developing for immersion lithography of an electronic device with a resist containing a surface segregation agent and chemically-amplified resist, including the step of development with alkali immersion, characterized by the dissolving and removing step, conducted using a dissolving and removing solution that selectively dissolves and removes the surface segregation agent of the resist. | 01-28-2010 |
20100028621 | EMBOSSED FIBROUS STRUCTURES AND METHODS FOR MAKING SAME - Embossed fibrous structures, processes for making such fibrous structures and sanitary tissue products comprising such fibrous structures | 02-04-2010 |
20100028622 | NONTHERMAL TRANSFER SHEET AND METHOD FOR MANUFACTURING THE SAME - A nonthermal transfer sheet ( | 02-04-2010 |
20100028623 | Laminated product and production method therof, and circuit substrate using the same - The present invention provides a method for producing a laminated product, the method comprising the steps of: applying a solution containing a liquid-crystalline polymer and a solvent to a first metal layer, removing the solvent from the solution to form a liquid- crystalline polymer layer on the first metal layer, placing a second metal layer such that the liquid-crystalline polymer layer is placed between the first and second metal layers, and subjecting the liquid-crystalline polymer layer to compression from the direction of the first and second metal layers, wherein the thickness of the second metal layer is larger than that of the first metal layer. In the production method, the first metal layer preferably comprises a different metal from the second metal layer. | 02-04-2010 |
20100028624 | SNAG FREE REVERSIBLE CAMOUFLAGE NETTING - A camouflage construction comprises a first base layer having a top side defining a first camouflage motif and an opposite bottom side defining a second camouflage motif. A second garnish layer, formed from a plurality of elongated strips each having a central longitudinal axis extending between opposite ends of each strip, and a plurality of slits formed transverse to each strip longitudinal axis so that a plurality of fingers are formed on each side of the longitudinal axis so that each finger has a first end that is attached to a continuous central portion of the strip and a second end that is free to move with respect to the first end and adjacent fingers, are bonded to the first base layer top side along the central longitudinal axes to form a three-dimensional motif on the first base layer top side. | 02-04-2010 |
20100028625 | INK COMPOSITION, RECORDED MATERIAL, RECORDING METHOD, AND RECORDING APPARATUS - An ink composition includes a polyurethane resin and at least one selected from a metal compound and hollow resin particles as a colorant, and in the ink composition described above, the polyurethane resin is a polycarbonate-based or a polyether-based anionic polyurethane resin. | 02-04-2010 |
20100028626 | Insulating Material for Electrical Machines - An insulating material for an electrical machine that insulates coiled windings is provided. The insulating material includes a carrier material and adhesive coatings on the front and back of the carrier material. The adhesive coatings are mounted and aligned on the front and back of the carrier material in such a way that in the carrier material in the insulation state a direct frictional connection is developed between the adhesive coatings on the front and back. | 02-04-2010 |
20100028627 | MANUFACTURING DATA-STORAGE MEDIA USING LIGHT-CURABLE MATERIAL - Instances of data-storage media having pits and lands, such as individual DVDs or CDs, are manufactured by selectively illuminating a light-curable material to form cured regions corresponding to the lands. The selective illumination of the light-curable material can be implemented using mask-based illumination or selective laser illumination or both. The mask used in mask-based illumination can have one or more extra opaque portions and/or one or more extra transparent portions corresponding to false pits and/or false lands, respectively, where selective laser illumination is used to convert one or more false pits/lands produced during mask-based illumination into true pits/lands for the competed medium. | 02-04-2010 |
20100035026 | Matte Substrate - Matte substrates comprising a water based coating composition and a base substrate. The water based coating composition comprises either no or only small amounts of mineral filler, refractory filler and/or organic filler and no other matting agents. The water based coating composition is typically applied to the base substrate at a coating weight of less than or equal to about 3 dry pounds of the water based coating composition per about 3,000 square feet of the base substrate. The matte substrate generally has a 60° gloss less than about 20. | 02-11-2010 |
20100035027 | Tear and Sew Garment label and Method of Producing - A composite tag comprises a woven fabric substrate having a surface, a smooth, pliable urethane coating disposed on the surface of the substrate, a pressure or heat sensitive adhesive disposed on the urethane coating, and a face stock disposed on the adhesive. | 02-11-2010 |
20100035028 | MASK BLANK SUBSTRATE, MASK BLANK, PHOTOMASK, AND METHODS OF MANUFACTURING THE SAME - A mask blank substrate for a photomask is chucked on a mask stage of an exposure apparatus. A main surface, on the side where a thin film for a transfer pattern is to be formed, of the mask blank substrate has a flatness of 0.3 μm or less in a 142 mm square area including its central portion and has a convex shape being relatively high at its central portion and relatively low at its peripheral portion. The difference upon fitting, to the main surface of the mask blank substrate, a virtual reference main surface, having a spherical shape in a 132 mm square area, of a virtual reference substrate is 40 nm or less, | 02-11-2010 |
20100040838 | Hardmask Process for Forming a Reverse Tone Image - The present invention relates to a process for forming an reverse tone image on a device comprising; a) forming an absorbing underlayer on a substrate; b) forming a coating of a positive photoresist over the underlayer; c) forming a photoresist pattern; d) treating the first photoresist pattern with a hardening compound, thereby forming a hardened photoresist pattern; e) forming a silicon coating over the hardened photoresist pattern from a silicon coating composition; f) dry etching the silicon coating to remove the silicon coating till the silicon coating has about the same thickness as the photoresist pattern; and, g) dry etching to remove the photoresist and the underlayer, thereby forming a trench beneath the original position of the photoresist pattern. The invention further relates to a product of the above process and to a microelectronic device made from using the above process. | 02-18-2010 |
20100040839 | METHODS OF MAKING EMBOSSED LINER PANELS - Methods and apparatus for making an embossed thermoplastic liner panel for use as a textured interior liner of a cargo carrying vehicle. | 02-18-2010 |
20100040840 | FILM FOR INSERT MOLDING AND RESIN-MOLDED ARTICLE USING THE SAME - A film for insert molding comprising a base material film and a hard coat layer provided on at least one surface of the base material film, wherein the hard coat layer is formed from an ionizing radiation curable resin containing a reactive monomer having a cyclo ring structure containing at least one kind of element selected from carbon, nitrogen, oxygen, and silicon. The hard coat layer of this film for insert molding has sufficient surface hardness, and can prevent cracks which are likely to be generated at the time of molding (it has superior anti-cracking property). | 02-18-2010 |
20100047531 | METHOD FOR PRINTING OR COLOURING SUBSTRATES - The present invention relates to a process for the printing or coloring of substrates, wherein substrates to be printed or colored are brought into contact with
| 02-25-2010 |
20100047532 | METHOD AND DEVICE FOR LOCAL FUNCTIONALIZATION OF POLYMER MATERIALS - A method for the partial or total modification of functional groups on the surface of polymeric materials or polymer-containing materials, by means of combined plasma functionalization and localized thermal defunctionalization, is disclosed. At first, a surface of a polymer material is exposed to a cold plasma, whereby a desired polymer functionalization is achieved. After the plasma treatment, the surface is locally heated in an optional manner, primarily by means of an electron beam, resulting in local defunctionalization of the heated surface area. The directing of the electron beam across the surface of the material results in any desired distribution of functional groups on the surface. Also disclosed is a device for performing such a treatment. | 02-25-2010 |
20100047533 | Biocatalytic Hydrophilization of Polyolefines - A new process for enhancing the hydrophilicity of the surface of a polyolefin or polyolefin copolymer article is characterized in that the surface is treated with an enzyme selected from oxidoreductases, especially of the cytochrome P450 family or enzymes classified as EC 1.13 or EC 1.14. The process is especially useful for the treatment of polypropylene films, fibres, or fabrics, inter alia for use in sanitary articles, threads, yarns, fabrics, textiles, garments, technical or household articles, printed or dyed cover films or packaging films. | 02-25-2010 |
20100047534 | LAMINATE COMPRISING A SUBSTRATE AND A BARRIER LAYER, AND A PROCESS FOR PREPARATION THEREOF - The invention relates to a laminate comprising two plastic films and in between a crystalline triazine layer, the laminate having a lamination strength of about 2 N/inch or more as measured in a 90 degree tensile testing at 30 mm/min. The laminate can be used for packaging, such as carton based fruit juice and diary products packaging; retortable packaging, displays and the like. | 02-25-2010 |
20100047535 | CORE LAYER STRUCTURE HAVING VOLTAGE SWITCHABLE DIELECTRIC MATERIAL - A core layer structure is provided for substrate and packed devices. The core layer structure includes a first layer, a second layer combined with the first layer. A layer of voltage switchable dielectric (VSD) material provided in between the first layer and second layer | 02-25-2010 |
20100047536 | LASER ETCHING OF POLYVINYLCHLORIDE - A laser-markable polyvinylchloride composition is provided which is adapted to undergo reduced or no color change in response to irradiation with a laser beam. The composition contains polyvinylchloride and a discoloration control additive present in an effective amount to reduce or eliminate discoloration caused by laser marking of the polyvinylchloride composition. | 02-25-2010 |
20100047537 | METHOD OF PRODUCING LITHOGRAPHIC PRINTING PLATE - The present invention provides a method for producing a safe lithographic printing plate that exhibits an excellent developability and an excellent processing performance. The present invention also provides a method for producing a lithographic printing plate which enables single liquid processing and in which the obtained plate does not exhibit an impaired printing durability, does not exhibit a decline in printing durability even when the printing plate is stored after development before printing, and can inhibit the appearance of fingerprint scumming. A method of producing a lithographic printing plate is provided comprising: imagewise photoexposing a negative-working lithographic printing plate precursor that has an image-recording layer containing the (i), (ii), (iii), and (iv) described below on a hydrophilic support; and treating thereafter the imagewise-photoexposed negative-working lithographic printing plate precursor with an aqueous solution that has a pH of 8.5 to 10.8 and that contains a low molecular weight hydroxycarboxylic acid ion, a pH buffer, and a surfactant:
| 02-25-2010 |
20100055413 | ARTICLE, AND A METHOD FOR CREATING THE ARTICLE, WITH A CHEMICALLY PATTERNED SURFACE - The invention relates to the provision of an article and a method of forming an article with a surface which can have at least one sub-layer and a top layer of material. At least one part of the top layer is selectively removed to expose at least one sub-layer and/or the surface of the substrate and allow the functionality of the sub-layer and/or surface to be utilised in the area(s) where it is exposed. The top layer, where it remains, acts as a barrier to the sub-layer and/or surface being exposed to the surrounding environment. Typically parts of the top layer are removed in a patterned manner to provide a series of predefined areas at which the sub-layer or sub-layers are selectively exposed. | 03-04-2010 |
20100055414 | NEGATIVE-WORKING IMAGEABLE ELEMENT AND METHOD OF USE - Negative-working imageable elements can be imaged and processed to provide lithographic printing plates, especially with sulfuric acid-anodized aluminum substrates. These elements have an imageable outermost layer that contains two different polymeric binders, a primary polymeric binder is optionally present a discrete particles, and a secondary polymeric binder comprising a poly(vinyl acetate) that has a degree of hydrolysis of less than 60 mol %. These imageable elements can be designed for either off-press or on-press development. | 03-04-2010 |
20100055415 | Ultra-Violet Curable Gellant Inks For Tactile And Regular Print Applications For Signature And Document Authentication - A system and method create an authentication mark on a recording medium by depositing marking material on a medium in an image area to create a marking material image and to create a marking material authentication image. The marking material comprises an ultraviolet curable phase change ink composition comprising an optional colorant and a phase change ink vehicle comprising a radiation curable monomer or prepolymer; a photoinitiator; a reactive wax; and a gellant. A predetermined amount of additional marking material is further deposited upon the medium in the authentication image area to increase an amount of marking material associated with the marking material authentication image in the authentication image area. The fixed marking material associated with the authentication image area is a tactilely perceptible authentication mark having a height, with respect to a surface of the medium, that is tactilely perceptible, wherein the fixed marking material associated with the marking material image area is tactilely non-perceptible. | 03-04-2010 |
20100055416 | COMPOSITE AND MANUFACTURING METHOD THEREOF - Disclosed herein is a polymer composite having an electrically conducting material dispersed therein. In the composite, a silane coupling agent may be covalently bonded with a metal oxide impregnated on the surface of the electrically conducting material to surround the electrically conducting material, thereby retaining high dielectric properties and realizing a low dielectric loss. | 03-04-2010 |
20100055417 | DECORATIVE FILM AND DEVICE HOUSING USING THE SAME - A decorative film comprises a first film layer, a second film layer and a soft layer. The soft layer is laminated between the first film layer and the second film layer, providing a tactile sensation during use. | 03-04-2010 |
20100062228 | NEGATIVE TONE DOUBLE PATTERNING METHOD - A method of forming a pattern on a wafer is provided. The method includes applying a photoresist on the wafer and exposing the wafer to define a first pattern on the photoresist. The method also includes exposing the wafer to define a second pattern on the photoresist, wherein each of the first and second patterns comprises unexposed portions of the photoresist and developing the wafer to form the first and second patterns on the photoresist, wherein the first and second patterns are formed by removing the unexposed portions of the photoresist. | 03-11-2010 |
20100062229 | Aligned single-walled carbon nanotube aggregate, bulk aligned single-walled carbon nanotube aggregate, powdered aligned single-walled carbon nanotube aggregate, and production method thereof - This invention intends to provide an aligned single-walled CNT aggregate and the like which can be produced easily and has a high specific surface area, in which individual CNTs are aligned and which has excellent shape processability due to low bulk density. The aligned single-walled CNT aggregate of this invention comprises a base material, catalyst particles with a density of 1×10 | 03-11-2010 |
20100062230 | METHOD AND APPARATUS FOR THERMAL DEVELOPMENT WITH SUPPORTING SURFACE FOR A DEVELOPMENT MEDIUM - This invention relates to a method and apparatus for thermally developing a photosensitive element. The thermal development method includes heating the photosensitive element to a temperature sufficient to cause a portion of a composition layer in the element to liquefy, soften, or melt; supporting a development medium with a non-rotating surface to provide contact of the development medium with the heated photosensitive element; and providing relative movement between the development medium and the non-rotating surface. | 03-11-2010 |
20100068472 | Yellow Azo Dyes for Ink Jet Printing - Monomers and dimers of mono-azo compounds of formula | 03-18-2010 |
20100068473 | Decorative article for wall corner - A decorative article for mounting on a wall corner formed by a first wall surface and a second wall surface integrally extended from the first wall surface to form a predetermined angle of inclination with the first wall surface, wherein the angle of inclination is less than one hundred and eighty degrees. The decorative article includes a first decorative sheet and a second decorative sheet. The first decorative sheet is detachably attached onto the first wall surface and has a predetermined first poster pattern formed thereon. The second decorative sheet is also detachably attached onto the second wall surface in an edge-to-edge manner with the first decorative sheet, and has a predetermined second poster pattern formed thereon, in such a manner that the first and the second poster patterns are optimally harmonized to create a three dimensional decorative effect for the wall corner. | 03-18-2010 |
20100068474 | COATED MOLECULAR SIEVE - The invention relates to a hydrophobically coated molecular sieve which comprises particles having a particle size of 1000 nm or less, the surface of the particles being coated with a silane of the general formula SiR | 03-18-2010 |
20100068475 | WATER-SOLUBLE AZO COMPOUND OR SALT THEREOF, INK COMPOSITION AND COLORED PRODUCT - The present invention relates to a water-soluble azo compound or a salt thereof represented by the following formula (1): | 03-18-2010 |
20100068476 | Inkjet Printing - A single phase aqueous curable composition suitable for thermal inkjet printing comprises a mixture of curable materials, water in amounts in the range 10 to 30% of the weight of the compositions, and one or more co-solvents for the curable materials. Because the water content is relatively low, a drying step is not required before curing, increasing printing speeds. The composition has good performance and has good adhesion to a wide range of substrates including non-porous and semi-porous materials as well as porous materials. | 03-18-2010 |
20100068477 | LAMINATE - The present invention relates to laminate comprising a first thermoplastic polymer layer with a first melt flow index, adjacent a thermoplastic polymer second layer with a second melt flow index, the first and second layer comprising the same thermoplastic polymer, characterised in that the first melt flow index is lower than the second melt flow index. The invention also relates to a microfluidic device comprising the laminate and a method for incorporating an ink pattern in the laminate. | 03-18-2010 |
20100068478 | Liquid Developer Composition And Method Of Its Preparation - The invention relates to a liquid developer composition comprising a dispersant, and toner particles dispersed in said dispersant. The liquid developer composition can be used for printing onto a substrate. The binder resin in the toner particles is curable, e.g. by UV-light. | 03-18-2010 |
20100068479 | FINE-PATTERN STRUCTURAL BODY MANUFACTURING METHOD AND FINE-PATTERN STRUCTURAL BODY - To provide a fine-pattern structural body manufacturing method having advantages such as excellent handling property. The fine-pattern structural body manufacturing method of the present invention includes following steps, i.e., a step of laminating a film substrate having flexibility and a mold having a fine pattern formed thereon in such a manner that one surface of the film substrate and a surface of the mold where the fine pattern is formed face with each other, a step of laminating other surface of the film substrate and a rigid substrate having rigidity, a step of separating the mold from the film substrate, a step of forming a recording film on the surface of the film substrate from which the mold is separated, a step of forming a protective film on the recording film, and a step of separating the rigid substrate from the film substrate. | 03-18-2010 |
20100068480 | Negative-working photosensitive resin composition and photosensitive resin plate using the same - A negative-working photosensitive resin composition is disclosed, comprising (A) a film-forming polymer, (B) an unsaturated compound having a radical polymerizable ethylenic double bond, (C) a photopolymerization initiator, and (D) a thermal polymerization inhibitor, wherein the resin composition further contains (E) at least one member selected from compounds represented by following formula (I): | 03-18-2010 |
20100068481 | METHOD FOR PRODUCING A COMPONENT WITH A PRINTED REAL-WOOD SURFACE AND A COMPONENT PRODUCED ACCORDING TO THE METHOD - A method for producing a component with a real-wood surface, which is printed by means of an inkjet printing method such that its appearance corresponds to that of an original with a grain and pore structure corresponding to a predetermined desired type of wood and a predetermined coloration, contains the following steps: Providing the original, entering original data showing the appearance of the surface of the original into an electronic data processing system, providing a component with a timber surface, the pore structure of which is similar to that of the predetermined desired type of wood, and printing the timber surface in an inkjet printing method according to the original data such that the three-dimensional surface structure of the timber surface determined by the pore structure is at least partly retained. | 03-18-2010 |
20100075112 | ANTHRAPYRIDONE COMPOUND OR SALT THEREOF, MAGENTA INK COMPOSITION AND COLORED PRODUCT - The present invention relates to a novel anthrapyridone compound represented by the following formula (1) or a salt thereof and provides a magenta coloring matter having a very vivid hue suitable for inkjet recording, being strong in fastnesses of recorded matters, and having an excellent storage stability: | 03-25-2010 |
20100075113 | PROCESS FOR PREPARING TRANSLUCENT PAPER OR FILM FOR USE WITH DIGITAL PRINTERS AND PRODUCT - A method and system for conditioning transparent or translucent paper or film for use with digital printers includes the application of a primer coat to the paper or film that controls the hygroscopic characteristics of the paper or film followed by the application of a digital primer that enables the reception of ink or toner during printing thereon by a digital printer. Opaque markers, such as opaque strips, may also be formed on the sheet of paper or film to facilitate detection of the transparent or translucent sheet by sensors, such as “electronic eye” of the digital printer. | 03-25-2010 |
20100075114 | MOLD FOR OPTICAL ELEMENT, HAVING NANOSTRUCTURE, MOLD FOR NANOSTRUCTURE, METHOD FOR MANUFACTURING THE MOLD, AND OPTICAL ELEMENT - This invention provides a method for manufacturing a mold for an optical element having a nanostructure of nano-order fine depressions and elevations on a surface of a substrate. The method includes: forming at least one etching transfer layer on the substrate, and forming a thin film for hemispherical fine particle formation on the etching transfer layer; forming multiple hemispherical island-shaped fine particles, with any of thermal-, photo- and gas reactions or combination thereof to cause any of aggregation, decomposition and nucleation functions of a material of the thin film; and forming a conical pattern on the fine surface of the substrate, by successively etching the etching transfer layer and the substrate with a reactant gas, using the multiple island-shaped fine particles as a protective mask, thereby manufacturing a mold for an optical element having fine depressions and elevations or a nanostructure mold face on the surface of the substrate. | 03-25-2010 |
20100075115 | DISPERSIONS OF POLYURETHANES, THEIR PREPARATION AND USE - The present invention provides aqueous dispersions comprising a pigment (B) at least partially enveloped by polyurethane (A) and further comprising at least one polymerization inhibitor (C), said polyurethane (A) being obtainable by reaction of
| 03-25-2010 |
20100075116 | SELF-ASSEMBLY OF BLOCK COPOLYMERS ON TOPOGRAPHICALLY PATTERNED POLYMERIC SUBSTRATES - Highly-ordered block copolymer films are prepared by a method that includes forming a polymeric replica of a topographically patterned crystalline surface, forming a block copolymer film on the topographically patterned surface of the polymeric replica, and annealing the block copolymer film. The resulting structures can be used in a variety of different applications, including the fabrication of high density data storage media. The ability to use flexible polymers to form the polymeric replica facilitates industrial-scale processes utilizing the highly-ordered block copolymer films. | 03-25-2010 |
20100075117 | RELIEF PRINTING PLATE PRECURSOR FOR LASER ENGRAVING, METHOD OF PRODUCING THE SAME, RELIEF PRINTING PLATE OBTAINABLE THEREFROM, AND METHOD OF PRODUCING RELIEF PRINTING PLATE - A relief printing plate precursor for laser engraving, including a relief forming layer that includes a peroxide and a binder polymer, and includes a crosslinked structure. | 03-25-2010 |
20100075118 | RESIN COMPOSITION FOR LASER ENGRAVING, RELIEF PRINTING PLATE PRECURSOR FOR LASER ENGRAVING, RELIEF PRINTING PLATE AND METHOD OF PRODUCING THE SAME - The present invention provides a resin composition for laser engraving, including at least a complex between a layered inorganic compound and a cationic organic compound, and a binder polymer that is insoluble in water and soluble in an alcohol having 1 to 4 carbon atoms; a relief printing plate precursor for laser engraving using the same, a relief printing plate; and a method of producing the relief printing plate. | 03-25-2010 |
20100075119 | INK COMPOSITION, INKJET RECORDING METHOD, AND PRINTED MATERIAL - An ink composition is provided that includes (A), an N-vinyllactam, (B) another polymerizable compound, (C) a polymerization initiator, (D) a basic compound, and (E) an oil-soluble dye having an oxidation potential of equal to or higher than 1.0 V (vs. SCEs); wherein of the total weight of the N-vinyllactam (A) and the other polymerizable compound (B) not less than 65 wt % is a monofunctional polymerizable compound. There is also provided an inkjet recording method that includes a step of discharging the ink composition onto a recording medium and a step of curing the ink composition by irradiating the discharged ink composition with actinic radiation. There is also provided a printed material recorded by the inkjet recording method. | 03-25-2010 |
20100080962 | INK COMPOSITION FOR INK JET RECORDING - An object of the present invention is to provide an ink composition that enables high-quality images having no white stripes or roughness to be obtained even when the images are printed on printing paper, such as art paper, at low resolution, and the ink composition is an ink composition for ink jet recording containing at least a coloring material, water, an alcohol solvent, and a surfactant, wherein the alcohol solvent contains an alkanediol sparingly soluble in water, a water-soluble 1,2-alkanediol, and a water-soluble 1,3-alkanediol. | 04-01-2010 |
20100080963 | PHOTOSENSITIVE RESIN COMPOSITION, POLYMER COMPOUND, METHOD OF FORMING A PATTERN, AND ELECTRONIC DEVICE - A polymer compound is provided which is excellent in heat resistance and insulating property, and a photosensitive resin composition is provided which includes the polymer compound, and may form a cured pattern or a cured film excellent in pattern forming property, resolution, heat resistance and insulating property. Also, a method for forming a cured pattern excellent in pattern forming property, resolution, heat resistance and insulating property using the photosensitive resin composition, and an electronic device having high reliance for a semiconductor device or for a display device are provided. The photosensitive resin composition includes a polymer compound obtained by reacting a monomer represented by Formula (1) and a monomer represented by Formula (2), and a photosensitizing agent. | 04-01-2010 |
20100080964 | COMPOSITION FOR FORMING LAYER TO BE PLATED, METHOD OF PRODUCING METAL PATTERN MATERIAL, METAL PATTERN MATERIAL - A composition including a polymer, the polymer having a non-dissociative functional group that interacts with a plating catalyst or a precursor thereof, a radical polymerizable group, and an ionic polar group; a method of producing a metal pattern material using the same: and a metal pattern material produced by the method. | 04-01-2010 |
20100080965 | DISPLAY BLANK BEARING INDICIA - The invention relates to a display blank bearing indicia such as a pattern for grout, tile, hard wood grain, and granite. The display blank comprises in one embodiment a base sheet, and a substrate bearing the indicia, wherein the substrate is releasably attached to the base sheet. The substrate bearing indicia permits a user to select and apply any number of patterns to a wall, a floor, or a countertop to thereby provide a comprehensive view of the selected pattern on the surface. In another embodiment, the display blank includes a base sheet and substrate that bear indicia, and is appropriate for use as a calendar, a picture frame and image, or a map. In another embodiment, the display blank includes a base sheet and a substrate that bears indicia and an encapsulated fragrance. | 04-01-2010 |
20100086750 | CONDUCTIVE POLYMER METAMATERIALS - An apparatus | 04-08-2010 |
20100086751 | IMAGING ELEMENT FOR USE AS A RECORDING ELEMENT AND PROCESS OF USING THE IMAGING ELEMENT - The invention relates to an imaging element and a method of using the imaging element to form a recording element. The imaging element includes a composition sensitive to actinic radiation at a first wavelength and a photoluminescent tag that is responsive to radiation at a second wavelength different from the first wavelength. The photoluminescent tag can be used to authenticate the identity of the element, provide information about the element, and/or to establish one or more conditions in a device used to prepare the recording element from the imaging element. | 04-08-2010 |
20100092736 | ULTRAVIOLET-CURABLE INKJET INK SET AND COLORED BOARD FOR OUTDOOR USE PRINTED BY USING THE INK SET - Disclosed is an ultraviolet-curable inkjet ink set composed of a yellow ink, a magenta ink and a cyan ink, respectively containing a pigment, a reactive monomer and/or a reactive oligomer and a photopolymerization initiator. This ultraviolet-curable inkjet ink set is characterized in that the yellow ink contains at least a bismuth vanadate compound or iron oxide, the magenta ink contains at least iron oxide or a condensed polycyclic compound and the cyan ink contains at least a phthalocyanine compound, as their respective pigments. Also disclosed is a colored board for outdoor use, which is printed by using the ink set. The inkjet ink set realizes high-concentration printing, and enables to obtain a brilliant printed matter excellent in color presentation and weather resistance. | 04-15-2010 |
20100092737 | Process, Composition and Ink - A process for purifying a composition comprising:
| 04-15-2010 |
20100092738 | SYSTEM AND METHOD FOR FORMING IMAGES ON A PLASTIC IMPLEMENT - A system and method for taking orders for, and on-demand manufacturing of, personalized plastic event/party plates that may either be saved and reused or disposed of and recycled. In any given order, any number of plates may have a single unique high resolution photograph, or every plate may have a unique and different photograph. The images are preprinted on plastic film and are molded into the plate to become a permanent feature of the plate. | 04-15-2010 |
20100098916 | BLACK INK COMPOSITION, INKJET-RECORDING METHOD, RECORDED MATERIAL, AND BLACK DISPERSION - A black ink composition that contains metal particles having a silver tin alloy region as its colorant. | 04-22-2010 |
20100098917 | AUTOMOTIVE GLAZINGS - A method of printing an automotive glazing component and an automotive glazing component are described. The method comprises the steps of: printing a first portion, having a width, of the glazing component using an ink spray to provide a first ink density, the ink density being constant across the width of the first portion; printing a second portion, also having a width, of the glazing component using an ink spray; and leaving a third portion, also having a width, of the glazing component, adjacent the second portion, unprinted, such that there is a zero ink density on the surface of the third portion of the glazing component. The step of printing the second portion comprises varying the output of the ink spray to produce a non-constant ink density on the surface of the second portion. By providing a non-constant ink-density on the surface of the glazing, it is possible to provide low-cost high-resolution non-constant optical and thermal transmission regions on automotive glazings. | 04-22-2010 |
20100098918 | INK COMPOSITION, AND RECORDED MATTER, RECORDING METHOD AND RECORDING APPARATUS USING THE SAME - An ink composition contains hollow resin particles and an anti-clearing agent preventing the clearing phenomenon of the hollow resin particles. | 04-22-2010 |
20100104820 | Optical and metamaterial devices based on reactive composite materials - Devices and components that can interact with or modify propagation of electromagnetic waves are provided. The design, fabrication and structures of the devices exploit the properties of reactive composite materials (RCM) and reaction products thereof. | 04-29-2010 |
20100104821 | Drop cloth - A method for fabricating a drop cloth from a sheet of material such as canvas. The sheet of material is formed from a generally liquid impermeable material and has a front surface and a rear surface. The method includes the steps of: depressing an apertured screen onto the front surface of the sheet of material; applying a liquid onto the front surface of the sheet of material through the apertured screen, resulting in the liquid being dispersed in a plurality of spaced apart formations on the front surface of the sheet of material; separating the apertured screen from the sheet of material; and solidifying the liquid to form a plurality of spaced apart solid formations onto the sheet of material. | 04-29-2010 |
20100104822 | Optical and metamaterial devices based on reactive composite materials - Devices and components that can interact with or modify propagation of electromagnetic waves are provided. The design, fabrication and structures of the devices exploit the properties of reactive composite materials (RCM) and reaction products thereof. | 04-29-2010 |
20100104823 | Reactive composite material structures with multiple reaction-propagation circuits - Devices and components that can interact with or modify propagation of electromagnetic waves are provided. The design, fabrication and structures of the devices exploit the properties of reactive composite materials (RCM) and reaction products thereof. | 04-29-2010 |
20100104824 | DYNAMIC MULTI-PURPOSE COMPOSITION FOR THE REMOVAL OF PHOTORESISTS - Improved dry stripper solutions for removing one, two or more photoresist layers from substrates are provided. The stripper solutions comprise dimethyl sulfoxide, a quaternary ammonium hydroxide, and an alkanolamine, an optional secondary solvent and less than about 3 wt. % water and/or a dryness coefficient of at least about 1. Methods for the preparation and use of the improved dry stripping solutions are additionally provided. Advantageous solution methods are provided for the use of the novel stripper solutions to prepare an electronic interconnect structure by removing a plurality of resist layers to expose an underlying dielectric and related substrate without imparting damage to any of the underlying structure. | 04-29-2010 |
20100104825 | ELECTROMAGNETIC RADIATION OR THERMALLY SENSITIVE COMPOSITION - The present invention provides coating compositions comprising (i) a) a compound containing a free carbonyl group and b) a nucleophile or (ii) a compound containing a free carbonyl group, which compound is substituted with one or more nucleophilic groups. The present invention also provides a process for the preparation of these compositions, substrates coated with these compositions and a process for their preparation, a process for preparing marked substrates using these compositions, and marked substrates obtainable by the latter process. | 04-29-2010 |
20100104826 | INKJETTABLE POLYMERIZABLE LIQUID CRYSTALLINE MIXTURE - A polymerizable mixture for ink jetting, having liquid crystalline phases comprising the following components: | 04-29-2010 |
20100104827 | PHOTOSENSITIVE RESIN COMPOSITION - A photosensitive resin composition is used that comprises a photosensitive silicone having a styryl group as a photosensitive group, and a photopolymerization initiator having a specific structure. As a result, a photosensitive resin composition capable of being cured in air by photopolymerization that is preferable for use as a buffer coat material or rewiring layer of an LSI chip, a method for forming a cured relief pattern using this photosensitive resin composition, and a semiconductor device comprising the cured relief pattern are provided. | 04-29-2010 |
20100104828 | Moulded Skin and Method for Production Thereof - A method is for production of a moulded skin. The method includes a) inserting a film into a clamping frame; b) moving a positive mould relative to the clamping frame for pre-shaping of the film; c) impressing an insert on a side of the film which is orientated away from the positive mould; d) moving the positive mould into a negative mould which corresponds at least in regions; and e) applying a low pressure from the negative mould in order to emboss at least one of a shape and a surface structure of the negative mould onto the film fitted with the insert. | 04-29-2010 |
20100104829 | PROCESS FOR THICK FILM CIRCUIT PATTERNING - A process for patterning thick film electrically functional patterns using a photosensitive polymer layer. A tacky photosensitive layer is applied onto a substrate surface. The photosensitive layer is imaged with a pattern using actinic radiation, the exposed areas of the photosensitive layer become hardened and non-tacky. A subsequent application of a thick film composition sheet will cause the thick film to adhere to the remaining tacky areas. Upon peeling the sheet, a thick film print pattern will be produced. This step is followed by a processing profile prescribed by the thick film composition used which results in a pattern having electrically functional properties. The invention also extends to a process wherein a thick film composition is recovered from a used sheet. | 04-29-2010 |
20100112304 | DISPERSE AZO DYE MIXTURES - Mixture comprising at least one compound of formula (I) and at least one compound of formula (II) where the substituents are each as defined in the claims, and use of these mixtures for colouring hydrophobic synthetic materials. | 05-06-2010 |
20100112305 | CYCLIC OLEFIN COMPOSITIONS FOR TEMPORARY WAFER BONDING - New compositions and methods of using those compositions as bonding compositions are provided. The compositions comprise a cycloolefin copolymer dispersed or dissolved in a solvent system, and can be used to bond an active wafer to a carrier wafer or substrate to assist in protecting the active wafer and its active sites during subsequent processing and handling. The compositions form bonding layers that are chemically and thermally resistant, but that can also be softened or dissolved to allow the wafers to slide or be pulled apart at the appropriate stage in the fabrication process. | 05-06-2010 |
20100112306 | Process, Compound, Ink and Use - A process for printing an image on a substrate comprising applying to the substrate an ink comprising a medium and a mono azo compound of Formula (1) or a salt thereof: | 05-06-2010 |
20100112307 | Metallized insulative labeling material - A packaging structure having at least one layer of a foam component and at least one metallized layer wherein the layer enhances the insulating effect of the foam and provides a bright, attractive finish to a label or package. The metallized layer is preferably provided on its outer surface with a a reverse printed film. | 05-06-2010 |
20100112308 | METHOD OF PRODUCING NANOPATTERNED ARTICLES, AND ARTICLES PRODUCED THEREBY - A nanopatterned surface is prepared by forming a block copolymer film on a miscut crystalline substrate, annealing the block copolymer film, then reconstructing the surface of the annealed block copolymer film. The method creates a well-ordered array of voids in the block copolymer film that is maintained over a large area. The nanopatterned block copolymer films can be used in a variety of different applications, including the fabrication of high density data storage media. | 05-06-2010 |
20100112309 | Appearance Part of Electronic Device and Method for Manufacturing the Same - This invention provides an appearance part of an electronic device and a method for manufacturing the same. The appearance part of the electronic device is manufactured by processing a first plastic and a second plastic via a color-mixing molding process. The first plastic and the second plastic have a melt flow index and are polystyrene of different colors or different transparency. In this invention, the problem that the appearance part of the electronic device has a uniform color and long manufacturing time in the prior art is solved by controlling each of manufacturing conditions in the color-mixing molding process. | 05-06-2010 |
20100112310 | Substrate Patterning - Systems and methods for providing identification patterns on substrates are described. | 05-06-2010 |
20100112311 | STRUCTURE FOR PATTERN FORMATION, METHOD FOR PATTERN FORMATION, AND APPLICATION THEREOF - A structure for pattern formation adapted for optically forming a pattern, characterized by comprising: a photocatalyst-containing layer provided on a substrate, the photocatalyst-containing layer containing a material of which the wettability is variable through photocatalytic action upon pattern-wise exposure. | 05-06-2010 |
20100119785 | FILM FORMATION AND EVALUATION - Methods and apparatus for forming films from liquid samples for evaluation are disclosed. The liquid samples are dispensed into a channel formed by a die and a substrate on which the films are generated whilst moving the die and the substrate relatively to one another. Once formed, the liquid films may then be further processed, for example by curing or polymerization, to generate solid films for subsequent evaluation. | 05-13-2010 |
20100119786 | IMAGE RECORDING METHOD, RECORDING MATERIAL, AND IMAGE RECORDING APPARATUS - An image recording method includes forming a color image on a recording medium using a color ink composition containing a color colorant; and forming a white layer on the color image using a white ink composition containing a white colorant. In the image recording method described above, the white layer is formed on the color image so that an equivalent ratio of the white colorant to the color colorant is set to 1 to 1,000:1 to form a pastel color image. | 05-13-2010 |
20100124638 | Chemical Pinning to Direct Addressable Array Using Self-Assembling Materials - A method includes: providing a substrate having a plurality of chemically contrasted alignment features, and depositing a self-assembled material on at least a portion of the substrate, wherein the position and/or orientation of substantially spherical or cylindrical domains of the self-assembled material is directed by the alignment features, to form a nanostructure pattern, and wherein the period of the alignment features is between about 2 times and about 10 times the period of the spherical or cylindrical domains. An apparatus fabricated according to the method is also provided. | 05-20-2010 |
20100124639 | Process, Compound, Ink and Use - A process for printing an image on a substrate comprising applying to the substrate an ink comprising a medium and compound of Formula (1) or a salt thereof: | 05-20-2010 |
20100124640 | ENERGY-SAVING WRITING INSTRUMENT - The present invention relates to an energy-saving writing instrument comprises: a thin film layer; and an interface modification member that is applied on a surface of the thin film layer or is uniformly provided on the thin film layer; after being combined, the surface of the thin film layer is provided with functions of allowing a pencil, ball pen, water-based pen or oil-based pen writing thereon and wiping off. | 05-20-2010 |
20100129616 | NEGATIVE-WORKING ON-PRESS DEVELOPABLE IMAGEABLE ELEMENTS - Negative-working imageable elements can be imaged and processed on-press to provide lithographic printing plates, especially with sulfuric acid-anodized aluminum substrates. These elements have an imageable layer that contains two different polymeric binders, a first polymeric binder that is present a discrete particles, and a second polymeric binder that comprises pendant ethylenically unsaturated groups. | 05-27-2010 |
20100129617 | LASER ABLATION TOOLING VIA SPARSE PATTERNED MASKS - A sparse patterned mask for use in a laser ablation process to image a substrate. The mask has a plurality of apertures for transmission of light and non-transmissive areas around the apertures. The apertures individually form a portion of a complete pattern, and a plurality of apertures from one or more masks together form the complete pattern when the masks are imaged. Making a mask sparse provides for a path to remove debris from the substrate during the laser ablation process. Multiple interlaced sparse repeating patterns can create a more complex pattern with repeat distances larger than the individual patterns. | 05-27-2010 |
20100129618 | PHOTOSENSITIVE SILOXANE COMPOSITION, CURED FILM FORMED THEREFROM AND DEVICE HAVING THE CURED FILM - It is intended to provide a photosensitive siloxane composition comprising (a) polysiloxane, (b) a quinone diazide compound, (c) a solvent and (d) one or more kinds of imidosilane compounds represented by the general formulas (1) to (3): | 05-27-2010 |
20100136300 | CURABLE COMPOSITION, INK COMPOSITION, INKJET RECORDING METHOD, PRINTED MATERIAL, METHOD OF PRODUCING PLANOGRAPHIC PRINTING PLATE, PLANOGRAPHIC PRINTING PLATE AND OXETANE COMPOUND - The present invention provides a curable composition comprising a compound having a single partial structure containing a 4- or more-membered cyclic ether represented by the following formula (I) and a partial structure represented by the following formula (II): | 06-03-2010 |
20100136301 | INK COMPOSITION ROLL FOR PRINTING - The present invention provides an ink composition for roll printing, which comprises one or more first fluorine surfactants that comprise a hydrophilic component, a lipophilic component, and a fluorine component; and one or more second fluorine surfactants that comprise a fluorine component, and any one of a hydrophilic component and a lipophilic component. | 06-03-2010 |
20100136302 | ARTICLES USING PERSISTENT PHOSPHORS - An article of manufacture that comprises a structure that is a security system device (or portion thereof) or a fire system device (or portion), where a persistent phosphor and/or a persistent phosphor blend is either integrated in a coating on the structure; applied on the structure; or integrated in the structure, wherein the persistent phosphor comprises certain phosphors or phosphor blends. The present invention has been described in terms of specific embodiment(s), and it is recognized that equivalents, alternatives, and modifications, aside from those expressly stated, are possible and within the scope of the appending claims. | 06-03-2010 |
20100143664 | RUST - RESISTANT TAPE - The present invention generally relates to rust-resistant tape formulations and/or structures. In one embodiment, the present invention relates to a rust-resistant tape that comprises a polymer base material layer, an adhesive layer and a rust-resistant component. In one embodiment, the adhesive layer adhered to one side of the layer of polymer base material. In another embodiment, the rust-resistant component comprises at least one volatile, or vapor-phase, corrosion inhibitor (VCI) that is placed into the matrix of the polymer base material or the adhesive layer. In still another embodiment, the at least one volatile, or vapor-phase, corrosion inhibitor (VCI) component of the present invention is contained within a discrete layer. In one instance, a rust-resistant tape in accordance with the present invention can be directly applied to a metal surface to be protected. | 06-10-2010 |
20100143665 | PATTERNED FINE PARTICLE FILM STRUCTURES - A patterned fine particle film structure includes a fine particle layer including fine particles arranged and bound to a surface of a substrate coated with a patterned film including a first film compound having a first functional group. The fine particles are coated with films including a first coupling agent having a first coupling reactive group that undergoes a coupling reaction with the first functional group to form a bond. The fine particle layer is bound by a bond formed through a coupling reaction. In an embodiment, fine particles coated with films of a film compound that reacts with the first coupling reactive group and the fine particles are alternately bound to the substrate. | 06-10-2010 |
20100143666 | REDOX ACTIVATED PATTERNING - A method of forming a target pattern using a redox activated surface is disclosed. The method includes patterning a redox agent on a template layer formed on a substrate, the template layer having a first oxidation state, wherein upon contact with the redox agent, the contacted portion of the template layer changes to a second oxidation state different than the first oxidation state, and a template pattern is formed from the portion of the template layer having either the first oxidation state or the second oxidation state, and exposing the substrate having the template pattern to a target material, wherein the target material selectively binds to the template pattern to form a target pattern. | 06-10-2010 |
20100143667 | Print Methodology for Applying Polymer Materials To Roofing Materials to Form Nail Tabs or Reinforcing Strips - A method and apparatus for applying nail tabs to roofing and building cover materials involving the steps of depositing tab material onto the surface of the roofing or building cover material, during or after its manufacture, resulting in a plurality of nail tabs from a lamination roll, and bonding the tabs to the surface of the material by pressure between the lamination roll and said surface. The method also encompasses depositing the tab material or pre-formed tabs by a pressure roll in contact with said surface. The tabs preferably are made substantially of a polymer material and may be hardened or cured by ultra-violet or visible light. The tabs may also be pre-formed and have adhesive backing. | 06-10-2010 |
20100143668 | METHOD AND APPARATUS FOR MANUFACTURING A COMPONENT FROM A COMPOSITE MATERIAL - A method of manufacturing a component from a composite material, the composite material comprising a matrix and a plurality of reinforcement elements (CNTs), the method comprising: forming a series of layers of the composite material, each layer being formed on top of a previous layer; and applying an electromagnetic field to the composite material before the next layer is formed on top of it, the electromagnetic field causing at least some of the reinforcement elements to rotate. An apparatus comprising a build platform, a system for forming a series of layers of composite materials on the build platform and an electrode for applying an electromagnetic field is also disclosed. A composite powder comprising CNTs and a matrix and the method of fabrication are disclosed as a second aspect of the application. | 06-10-2010 |
20100151212 | Azo compounds - A compound of Formula (1) or a salt thereof: | 06-17-2010 |
20100151213 | OPTICALLY ACTIVE MATERIALS AND ARTICLES AND SYSTEMS IN WHICH THEY MAY BE USED - The inventors of the present application developed novel optically active materials, methods, and articles. One embodiment of the present application is an optically active article, comprising: an infrared-reflecting material positioned adjacent to an optically active substrate such that the infrared-reflecting material forms a pattern that can be read by an infrared sensor when the optically active substrate is illuminated by an infrared light source. Another embodiment of the present application relates to a method of manufacturing an optically active article, comprising: obtaining an optically active sheeting; and positioning an infrared-reflecting material on the optically active sheeting to form a pattern. The optically active article may be, for example, a license plate. | 06-17-2010 |
20100151214 | COMPOSITE MATERIAL - A composite material ( | 06-17-2010 |
20100159210 | Trisazo Dyestuffs With 6-Pyrazolyl-1-Naphtholsulphonic Acid as Middle Component - The invention provides a compound of Formula (1): | 06-24-2010 |
20100159211 | MULTILAYER FILMS FOR INSERT MOLD DECORATION, METHODS FOR MAKING THE SAME, AND ARTICLES MADE THEREFROM - A multilayer thermoplastic film having polycarbonate layers is disclosed, in which a first layer comprises a first polycarbonate that comprises repeat units derived from one or more of a monoaryl monomer (II) and/or (III), and a diaryl monomer (IV) as defined herein. A second layer, adjacent to the first layer and coextruded therewith, comprises another polycarbonate that is not the same as a polycarbonate in the first layer. The first layer provides improved properties, for example hardness, impact, and/or scratch resistance. | 06-24-2010 |
20100159212 | Inkjet ink - An inkjet ink that prevents paper deformation such as curling, and also exhibits favorable pigment dispersibility. The inkjet ink includes at least a pigment, water, a water-soluble organic solvent having an α value of not more than 65, and a dispersant, wherein the dispersant is a copolymer having a unit A represented by general formula (a) shown below and a unit B represented by general formula (b) shown below, and the mass ratio between the water-soluble organic solvent and the water satisfies (water-soluble organic solvent)/water=5/5 to 8/2. | 06-24-2010 |
20100159213 | Wood-Plastic Composites Utilizing Ionomer Capstocks and Methods of Manufacture - An extruded composite adapted for use as a building material includes a core having a base polymer and a natural fiber in a substantially homogeneous mixture and an ionomer capstock. To improve adherence of the ionomer to a base polymer, the ionomer can be mixed with a similar or substantially similar base polymer prior to coextrusion with the core. Additionally, various additives may be mixed with the capstock material to improve visual aesthetics of the product and performance of the building material, especially over time. | 06-24-2010 |
20100159214 | HIGH-MOLECULAR THIN FILM, PATTERN MEDIUM AND MANUFACTURING METHOD THEREOF - The present invention provides a method of manufacturing a high-molecular thin film having a fine structure from a block-copolymer compound containing a block copolymer A as a main constituent composed of at least a block chain A | 06-24-2010 |
20100159215 | DIGITAL PRINTING OF POLYMER-COATED PAPER OR BOARD - The invention relates to a method for digitally printing a polymer-coated paper or board ( | 06-24-2010 |
20100167020 | Casting Process - The freeze casting process for preparing a green shaped article such as a biocompatible bioceramic prosthesis or implant, comprises: a) providing a substrate at an initial predetermined spacing from one or more liquid dispensing outlets; b) writing a predetermined amount of a liquid formulation from at least one of the outlets onto the substrate, the formulation comprising: 8 to 99.99% by weight of a liquid sol comprising a liquid carrier and from 5 to 50% by weight, based on the weight of the carrier, of colloidally dispersed nanoparticles having a mean particle size in the range 0.25 to 100 nm; 92 to 0% by weight of a mineral powder having a mean particle size greater than 0.1 micron, and 0.01 to 10% by weight of at least one surfactant, freezing point depressant and/or rheology modifier; c) cooling the liquid formulation on the substrate so as to at least partially freeze the carrier on the cooled substrate; d) increasing the spacing between the one or more dispensing outlets and substrate to a further predetermined spacing; e) writing a further predetermined amount of the liquid formulation from at least one of the outlets either on to the substrate or on to deposit formed in steps b) and c) f) cooling the liquid formulation so as to at least partially freeze the liquid carrier on the substrate and/or on the deposit; and g) optionally repeating steps (d), (e) and (f) one or more times. | 07-01-2010 |
20100167021 | SEMICONDUCTOR STRUCTURE AND METHOD OF FABRICATING THE SAME - A method of forming a semiconductor structure is provided. First, a target layer and a mask layer are sequentially formed on a substrate. Thereafter, a first pattern transfer layer having a plurality of openings is formed on the mask layer. Afterwards, a second pattern transfer layer is formed in the openings of the first pattern transfer layer. The mask layer is then patterned, using the first pattern transfer layer and the second pattern transfer layer as a mask, so as to form a patterned mask layer. Further, the target layer is patterned using the patterned mask layer. | 07-01-2010 |
20100167022 | COMPOSITION, COATED FILM FORMED OF THE COMPOSITION, LAYERED PRODUCT CONTAINING THE COATED FILM, AND ELECTRONIC DEVICE INCORPORATING THE LAYERED PRODUCT - [Object] To provide a composition that has good viscosity stability and flowability at the time of processing, good shape retention after the processing, and good drying property in a temperature range of not degrading the conductor layer at the time of drying and that enables a coated film excellent in strength of adhesion with metal·polyimide, flame resistance, heat resistance, flexibility, mechanical properties, and chemical resistance to obtained after being dried. | 07-01-2010 |
20100167023 | INK JET RECORDING METHOD, RECORDED MATTER, INK SET, INK CARTRIDGE, AND INK JET RECORDING APPARATUS - An ink jet recording method records an image by ejecting droplets of a plurality of types of ink compositions and making the droplets adhere to a recording medium using an ink jet recording apparatus. The ink jet recording apparatus is provided with at least a first ink composition and a second ink composition. The first ink composition contains a metallic pigment, and the second ink composition contains the metallic pigment and spherical particles having an average diameter of from 1 to 3 μm. | 07-01-2010 |
20100167024 | NEGATIVE-TONE RADIATION-SENSITIVE COMPOSITION, CURED PATTERN FORMING METHOD, AND CURED PATTERN - A negative-tone radiation-sensitive composition includes a polymer, a photoacid generator, and a solvent. The polymer has a polystyrene-reduced weight average molecular weight of 4000 to 200,000, and is obtained by hydrolysis and condensation of at least one hydrolyzable silane compound among compounds shown by R | 07-01-2010 |
20100167025 | Phthalocyanines and Their Use In Ink-Jet Printers - A mixture of phthalocyanine dyes of Formula (1) and salts thereof: | 07-01-2010 |
20100173135 | Method of Controlling Surface Roughness of a Flexographic Printing Plate - A method of controlling surface roughness of a flexographic printing element during thermal processing. The printing blank comprises at least one photocurable layer on a support layer, the at least one photocurable layer comprising: (1) a binder comprising styrene-butadiene-styrene; (2) at least one fast curing monomer; (3) at least one slow curing monomer; and (4) a photoinitiator. The printing blank is selectively imagewise exposing the printing plate blank to actinic radiation from the top of the printing element blank to selectively crosslink and cure portions of the at least one photocurable layer and then thermally processed to remove uncured portions of the at least one photocurable layer, thereby revealing the relief image in the at least one photocurable layer. Surface roughness of the relief image printing element after thermal processing is controlled to less than about 1,000 nm. | 07-08-2010 |
20100173136 | Digitally Printed Heat Transfer Label and Method of Making a Decorated Article - A heat transfer label assembly comprises a carrier, a release layer overlying a first side of the carrier, and a primer overlying the release layer such that the release layer is disposed between the carrier and the primer. The primer may comprise at least one polyacrylic acid polymer. | 07-08-2010 |
20100178472 | Method of Preparing A Patterned Film With A Developing Solvent - A method of preparing a patterned film on a substrate includes applying a silicone composition onto a substrate to form a film of the silicone composition. A portion of the film is exposed to radiation to produce a partially exposed film having an exposed region and a non-exposed region. The partially exposed film is heated for a sufficient amount of time and at a sufficient temperature to substantially insolubilize the exposed region in a developing solvent that includes a siloxane component. The non-exposed region of the partially exposed film is removed with the developing solvent to reveal a film-free region on the substrate and to form the patterned film including the exposed region that remains on the substrate. The film-free regions is substantially free of residual silicone due to the presence of the siloxane component in the developing solvent. | 07-15-2010 |
20100178473 | FLUORESCENT PASTES AND FILMS - A fluorescent paste that can form a fluorescent film and can be manufactured without a binder resin and has a high recording density and peel resistance Fluorescent fine particles each coated with a film formed of a film compound having a reactive group and a curing agent having a plurality of crosslinking reaction groups each reacting with the reactive group to form bonds are blended with a solvent to manufacture fluorescent paste. The fluorescent paste is applied to a substrate coated with a film formed of a second film compound having a second reactive group and is cured by crosslinking reactions between the reactive group and the second reactive group, and the crosslinking reaction groups to form a fluorescent film. | 07-15-2010 |
20100178474 | INK JET RECORDING METHOD, RECORDED PRODUCT, INK COMPOSITION FOR INK JET RECORDING, INK SET, INK CARTRIDGE, AND INK JET RECORDING APPARATUS - An ink-jet recording method includes ejecting a droplet of an ink composition containing a metal pigment and optically transparent spherical particles with an ink-jet recording apparatus, the ink composition having an optically transparent spherical particle content of 0.5% to 5% by mass, and attaching the droplet to a recording surface of a recording medium having an average surface roughness Ra of 3 μm or more, thereby recording an image. | 07-15-2010 |
20100183851 | Photoresist Image-forming Process Using Double Patterning - A process for forming a double photoresist pattern is disclosed. | 07-22-2010 |
20100183852 | Magenta Dyes and Inks for Use in Ink-Jet Printing - 1. A compound of Formula (1) and salts thereof: | 07-22-2010 |
20100183853 | STRIPPING AGENT FOR RESIST FILM ON/ABOVE CONDUCTIVE POLYMER, METHOD FOR STRIPPING RESIST FILM, AND SUBSTRATE HAVING PATTERNED CONDUCTIVE POLYMER - The object of the present invention is to provide a stripping agent that not only has excellent stripping properties but also does not adversely affect a conductive polymer when a resist film is stripped from the conductive polymer, and a method for stripping a resist film on/above a conductive polymer. Furthermore, the object is to provide a substrate having a patterned conductive polymer that has good conductivity. | 07-22-2010 |
20100183854 | Vanadium Oxide Thin Film Pattern and Method of Fabricating the Same - The present invention relates to a vanadium oxide thin film pattern which is fabricated by using APTS (3-aminopropyltriethoxysilane, H | 07-22-2010 |
20100189963 | Multi-Layered Fiber - A multi-layered fiber containing a core and a skin layer. The core has an exterior surface portion containing polypropylene. The skin layer is disposed on at least a portion of the core and contains a first polymer and a second polymer. The first polymer contains a polymer having at least 70% α-olefin units and is characterized by a melting temperature lower than the melting temperature of the exterior surface portion of the core. The second polymer contains a co-polymer having at least 50% α-olefin units and is characterized by a number-average molecular weight of about 7,000 g/mol to 50,000 g/mol, a viscosity of between about 2,500 and 150,000 cP measured at 170° C., and a melting temperature lower than the melting temperature of the exterior surface portion of the core. The viscosity of the second polymer is not greater than about 10 percent of the viscosity of the first polymer measured at 170° C. Methods of forming the multi-layered fiber are also disclosed. | 07-29-2010 |
20100189964 | IMAGE FORMATION APPARATUS AND PRINTED ITEM - The invention provides an image formation apparatus having at least a first toner image-forming unit that forms a first toner image which directly contacts a surface of a recording medium, a second toner image-forming unit that forms a second toner image which is disposed on the first toner image without directly contacting the recording medium, and a fixing unit. The invention further provides a printed item having at least a recording medium, a first toner image directly contacting a surface of the recording medium, and a second toner image residing on the first toner image without directly contacting the recording medium. A contact angle θ | 07-29-2010 |
20100189965 | PRINTING INK - This invention relates to a radiation-curable ink-jet ink having a viscosity of 30 mPas or less at 25° C., the ink comprising: at least two monofunctional (meth)acrylate monomers which comprise a cyclic monofunctional (meth)acrylate monomer and 2-(2-ethoxyethoxy)ethyl acrylate; a monofunctional monomer selected from an N-vinyl amide, an N-acryloyl amine or a mixture thereof; a multifunctional (meth)acrylate monomer; a polymerisable (meth)acrylate oligomer; a radical photoinitiator; and a colouring agent. The ink is preferably used in reel-to-reel printing and in printing where the substrates are stacked. | 07-29-2010 |
20100189966 | Colorant Composition, Ink, Printing Process - A composition comprising a compound of Formula (1) or a salt thereof and compound of Formula (2) or a salt thereof: | 07-29-2010 |
20100189967 | WATER-INSOLUBLE COLORANT DISPERSION AND PRODUCTION METHOD THEREOF, AND RECORDING LIQUID, PRINTED ARTICLE, IMAGE-FORMING METHOD AND IMAGE-FORMING APPARATUS USING THE SAME - A dispersion of a water-insoluble colorant, having: fine particles of at least one kind of water-insoluble colorant dispersed in a water-containing medium; and a polymer compound or surfactant having a structural unit represented by formula (I): | 07-29-2010 |
20100196673 | INK-JET RECORDING METHOD, INK-JET RECORDING APPARATUS AND RECORDED MATTER - An ink-jet recording method including recording onto a recording medium a black image of composite black using a black ink and color inks, wherein the black ink includes water, a water-soluble organic solvent, a surfactant, and a carbon black whose volume average particle diameter is 40 nm to 100 nm, and the color inks include a cyan ink, a magenta ink and a yellow ink, wherein the mass ratio (A/B) of a solid content A to a water-soluble organic solvent content B in the black ink is in the range of 1/8 to 1/15, and wherein the recording medium includes a support with a coating layer, and the amounts of purified water transferred to the recording medium at contact periods of 100 ms and 400 ms at a temperature of 23 C and a relative humidity of 50% are 2 ml/m2 to 35 ml/m2 and 3 ml/m2 to 40 ml/m2 respectively. | 08-05-2010 |
20100196674 | ACRYLATED NATURAL OIL COMPOSITIONS - The present invention relates to a radiation curable composition comprising (a) from 1 to 99% by weight of a (meth)acrylated natural oil which is the reaction product of an unsaturated natural oil with an unsaturated anhydride and a hydroxyl functional (meth)acrylate, and (b) from 1 to 99% by weight of a (meth)acrylated oligomer and/or monomer, and optionally an inert resin and/or filler, as well as their use for making inks and coatings. | 08-05-2010 |
20100196675 | Compound, Ink, Process and Use - A process for printing an image on a substrate comprising applying to the substrate an ink comprising a medium and compound of Formula (1) or a salt thereof wherein the compound of Formula (1) or a salt thereof is a triazine coupled azo containing colorant. The process and colorant are especially useful for ink jet printing. | 08-05-2010 |
20100196676 | AZO DYES - The present invention relates to disperse azo dyes based on a phthalimido-substituted aniline coupling component and an aromatic-carbocyclic or an aromatic-heterocyclic diazo component, to a process for the preparation of such dyes and to their use in the dyeing or printing of semi-synthetic and, especially, synthetic hydrophobic fibre materials, more especially textile materials. | 08-05-2010 |
20100196677 | HYBRID WOOD FLOORING - In some embodiments, a hybrid wood material comprises a high density softwood core and a cork insulator joined together by an adhesive. The softwood core may comprise of Forest Stewardship Council softwood. Preferably, no formaldehyde is used in the fabrication of the material. The material may be used for flooring applications. Other embodiments are disclosed in this application. | 08-05-2010 |
20100196678 | METHOD OF MANUFACTURING A LAMINATE PANEL, AN APPARATUS AND A LAMINATE PANEL - A method of manufacturing a laminate panel comprises the steps of providing a support panel having at least one side to be decorated and applying an overlay on said side of the support panel. A finishing decoration pattern is printed on the support panel and/or the overlay by a printing device which is substantially free of contact with the support panel and/or the overlay, respectively. | 08-05-2010 |
20100203299 | Hardmask Process for Forming a Reverse Tone Image Using Polysilazane - The present invention relates to a process for forming an reverse tone image on a device comprising; a) forming an optional absorbing organic underlayer on a substrate; b) forming a coating of a photoresist over the underlayer; c) forming a photoresist pattern; d) forming a polysilazane coating over the photoresist pattern from a polysilazane coating composition, where the polysilazane coating is thicker than the photoresist pattern, and further where the polysilazane coating composition comprises a silicon/nitrogen polymer and an organic coating solvent; e) etching the polysilazane coating to remove the polysilazane coating at least up to a level of the top of the photoresist such that the photoresist pattern is revealed; and, f) dry etching to remove the photoresist and the underlayer which is beneath the photoresist, thereby forming an opening beneath where the photoresist pattern was present. | 08-12-2010 |
20100203300 | Use of Spherical Metal Particles as Laser Marking Additives for Sealing, Closure or Coating Materials or Paints Comprising Polymer, and also Laser-Markable Sealing, Closure or Coating Material or Laser-Markable Paint Comprising Polymer - The invention relates to the use of spherical metal particles which are free from antimony and/or from compounds containing antimony as laser marking agents in a sealing or closure material, coating material or paint comprising polymer, the particle-size distribution of the spherical metal particles as determined by means of laser granulometry, in the form of the volume-averaged cumulative-undersize particle-size distribution, having a D | 08-12-2010 |
20100203301 | SOLVENT BORNE POLYURETHANE PROCESS - A process for obtaining a solvent borne polyurethane composition comprising preparing an isocyanate-terminated prepolymer A;
| 08-12-2010 |
20100209675 | ETCHING METHOD - The invention is directed to a method for patterning a material layer. The method comprises steps of providing a material layer having a first hard mask layer and a second hard mask layer successively formed thereon and then patterning the second hard mask layer. Thereafter, an etching process is performed to pattern the first hard mask layer by using the patterned second hard mask layer as a mask, and the etching process is performed with a power of about 1000 W. Next, the material layer is patterned by using the patterned first hard mask layer as a mask. | 08-19-2010 |
20100209676 | PROCESS FOR APPLYING A POWDER COATING - A process for coating a substrate comprising the sequential steps of (a) providing a transfer sheet provided with a printed powder coating, (b) applying the transfer sheet onto the substrate with the powder coating in contact with the substrate, (c) removing the transfer sheet from the powder coating and (d) curing the powder coating on the substrate. | 08-19-2010 |
20100209677 | IMAGE RECORDING METHOD, RECORD AND IMAGE RECORDING SYSTEM - [Problems] There is provided an image recording method that provides resulting images with an excellent gloss and an improved closeness of contact with the recording medium used. | 08-19-2010 |
20100209678 | ANTHRAPYRIDONE COMPOUND OR SALT THEREOF, MAGENTA INK COMPOSITION AND COLORED PRODUCT - The present invention relates to an anthrapyridone compound represented by the following formula (1): | 08-19-2010 |
20100215917 | Process for Printing an Image, Composition and Compound - A process is provided for printing an image on a substrate, the process comprising applying to the substrate an ink composition which comprises a liquid medium and a compound of Formula (1): | 08-26-2010 |
20100215918 | METHOD FOR FORMING AN ARTICLE HAVING A DECORATIVE SURFACE - The invention refers to a method for forming an article having a decorative surface comprising: a) screen printing an ink composition comprising a curable composition onto at least one major surface of a thermoformable polymeric sheet; b) curing said curable composition to obtain a printed sheet having on at least one major surface an ink comprising a cured composition; and c) thermoforming the printed sheet to obtain a decorated article, wherein said curable composition comprises a polyisocyanate and a component comprising isocyanate reactive groups and wherein said ink composition contains a solvent or solvent blend in which said curable composition is soluble or miscible. | 08-26-2010 |
20100215919 | ON-PRESS DEVELOPABLE IMAGEABLE ELEMENTS - Negative-working, on-press developable imageable element have improved shelf life and press run length because they include a free radically polymerizable composition comprising two or more ethylenically unsaturated compounds, each of which has two or more terminal acrylate groups, provided at least one of the ethylenically unsaturated compounds further comprises alkylene glycol units. In addition, the molar ratio of terminal acrylate groups to the alkylene glycol units in the non-polymeric free radically polymerizable composition is from about 1.25:1 to about 3:1. | 08-26-2010 |
20100215920 | COMPOSITE POLYAMIDE SHAPED ARTICLES - High fluidity polyamides are used for the impregnation of reinforcing cloth materials, namely, industrial fabrics, which impregnated cloths are converted into composite shaped articles. | 08-26-2010 |
20100215921 | PHOTOCURABLE INK COMPOSITION, INK JET RECORDING METHOD, RECORDED MATTER, INK SET, INK CARTRIDGE, AND RECORDING APPARATUS - A photocurable ink composition contains a polymerizable compound, a photopolymerization initiator, titanium oxide functioning as a pigment, and a dispersion resin having an amine value in the range of 8 to 15 in an amount in the range of 5% to 20% by mass relative to the pigment. | 08-26-2010 |
20100215922 | COATINGS AND PRINTING INK COMPOSITIONS CONTAINING SILYLATED POLYETHER SURFACTANTS AND ARTICLES MADE THEREFROM - The present invention relates to coating and printing ink compositions containing silylated polyether compounds comprising a hydrolysis-resistant bis-silyl hydrocarbylene group. More particularly, the silylated polyether compound comprising a bis-silyl hydrocarbylene group provides to the coating and ink compositions formulation flexibility over the entire pH range, leveling-and-flow and surface wetting, and are non-reactive with the other components of the coating and ink compositions, thereby providing long-term shelf-stability of formulated coatings and inks. These coating and ink compositions containing silylated polyether compounds do not release silicone materials and thereby provide articles treated with these coatings and ink compositions with uniform appearance and gloss. | 08-26-2010 |
20100221502 | Anthrapyridone Compound and Salt Thereof, Magenta Ink Composition Containing the Anthrapyridone Compound, and Colored Article - The present invention relates to a novel anthrapyridone compound represented by the following formula (1) | 09-02-2010 |
20100221503 | STRIPPER SOLUTIONS EFFECTIVE FOR BACK-END-OF-LINE OPERATIONS - Back end of line (BEOL) stripping solutions which can be used in a stripping process that replaces etching resist ashing process are provided. The stripping solutions are useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits with good efficiency and with low and acceptable metal etch rates. Methods for their use are similarly provided. The preferred stripping agents contain a polar aprotic solvent, water, an amine and a quaternary hydroxide that is not tetramethylammonium hydroxide. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods. | 09-02-2010 |
20100221504 | METHOD FOR PRODUCING A COMPONENT WITH A RELIEF SURFACE AND A COMPONENT OF THIS TYPE - In a method for producing a component with a relief surface, the relief surface is produced by printing an unfinished component ( | 09-02-2010 |
20100221505 | Large area, homogeneous array fabrication including homogeneous substrates - An article comprising: at least one solid substrate comprising at least one surface providing a surface area of at least one square millimeter, wherein the surface comprises a homogeneous array of material deposits which covers at least 90% of the surface area. Edge-to-edge patterning and large area substrates can be achieved. Applications include growth of cells. | 09-02-2010 |
20100221506 | RADIATION-CURABLE INK COMPOSITION FOR INKJET RECORDING,INKJET RECORDING METHOD, AND PRINTED MATTER - The invention provides a radiation-curable ink composition for inkjet recording, the ink composition containing at least: a specific azo compound, a tautomer of the azo compound, a salt of the azo compound, a salt of the tautomer, a hydrate of the azo compound, a hydrate of the tautomer, or any combination thereof; a polymer dispersant; a radical polymerizable compound; and a radical polymerization initiator. The invention further provides an inkjet recording method including ejecting and curing the ink composition. The invention further provides a printed matter formed by the inkjet recording method. | 09-02-2010 |
20100221507 | RADIATION-CURABLE INK COMPOSITION FOR INKJET RECORDING, INKJET RECORDING METHOD, AND PRINTED MATTER - The invention provides a radiation-curable ink composition for inkjet recording, the ink composition containing at least: a specific azo compound, a tautomer of the azo compound, a salt of the azo compound, a salt of the tautomer, a hydrate of the azo compound, a hydrate of the tautomer, or any combination thereof; a polymer dispersant; a cationic polymerizable compound; and a cationic polymerization initiator. The invention further provides an inkjet recording method including ejecting and curing the ink composition. The invention further provides a printed matter formed by the inkjet recording method. | 09-02-2010 |
20100221508 | METHODS OF FLASH REDUCTION AND PATTERNING OF GRAPHITE OXIDE AND ITS POLYMER COMPOSITES - A method of reducing a film of graphite oxide. In one embodiment, the method includes the steps of providing a film of graphite oxide with a thickness d | 09-02-2010 |
20100227126 | POSITIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION, METHOD FOR PRODUCING PATTERNS, AND ELECTRONIC PARTS - A positive-type photosensitive resin composition for electronic materials having good film adhesiveness and sensitivity without causing a corrosion reaction to copper and copper alloys in metal wirings, a method for producing patterns and electronic parts are provided. The positive-type photosensitive resin composition includes (A) a polybenzoxazole precursor having a structure represented by the following general formula (I): | 09-09-2010 |
20100227127 | PRINTING INK - The present invention relates to a radiation-curable ink-jet ink comprising at least two monofunctional (meth)acrylate monomers; a monofunctional monomer selected from an N-vinyl amide, an N-acryloyl amine, or a mixture thereof; a polymerisable (meth)acrylate oligomer; a radical photoinitiator; and a colouring agent; wherein the ink has a viscosity of less than 100 mPas at 25° C. The ink is substantially free of multifunctional monomers; and the at least two monofunctional (meth)acrylate monomers include a combination of a cyclic monofunctional (meth)acrylate monomer and an acyclic-hydrocarbon monofunctional (meth)acrylate monomer. The ink displays an excellent balance of cure speed and viscosity for the ink together with hardness and flexibility for the cured film. | 09-09-2010 |
20100227128 | EDGE TRIM FOR PIECES OF FURNITURE - The invention relates to an edge trim for pieces of furniture, comprising a molten film. In order to improve a generic edge trim such that the same is more versatile and can especially be mounted on a wider selection of surfaces, the molecular structure of the molten film contains both polar and non-polar parts. | 09-09-2010 |
20100227129 | IMAGE-FORMING METHOD AND RECORD - An image-forming method for forming an image on a sheet of fabric with an ink composition includes forming the image on the fabric sheet with the ink composition and pressing the fabric sheet subsequently to the formation of the image. The ink composition contains a colorant containing hollow resin particles or metal compound particles and also contains a resin dispersion containing resin particles having an average size greater than the average particle size of the colorant. | 09-09-2010 |
20100233441 | THREE-DIMENSIONALLY PATTERNED NATURAL LEATHER - A natural leather having a three-dimensional pattern formed on the surface thereof is provided. The three-dimensionally patterned natural leather permits a minute three-dimensional expression such as small dots and thin lines, is high in the degree of freedom of the three-dimensional pattern, can retain the three-dimensional pattern even with the lapse of time and retain the characteristics peculiar to the natural leather. The three-dimensional pattern is formed by a resin portion which covers by coating the surface of an undercoating layer of the natural leather partially in a pattern shape. The resin portion has a maximum thickness of 20 to 400 μm. | 09-16-2010 |
20100233442 | Polyolefine Tarpaulin Composition and Preparing Method Thereof - The present invention relates to a polyolefine tarpaulin composition and preparing method thereof (thermo-plastic polyolefin based tarpaulin and preparing process thereof), wherein the tarpaulin for photographic printing of the present invention provides a reinforced structure with united strength in the outside of tarpaulin, which represents a superior processing property that a photographic print sheet maybe easily coupled to the outside of the tarpaulin. | 09-16-2010 |
20100233443 | ACID DYES - Compounds of the general formula (I) | 09-16-2010 |
20100233444 | Composition,article, its manufacture and use - A composition comprising a polymer which contains hydroxyl groups, the composition being suitable as a coating for an IR-imagable lithographic precursor, the composition comprising one or more agent(s) which: a) absorbs IR radiation of wavelength greater than 800 nm and consequently generates heat; b) functions as an insolubiliser which inhibits dissolution of non-imaged regions of the coating in a developer but permits dissolution of imaged regions during development; and c) improves the inhibition to dissolution of the non-imaged regions and/or the dissolution of the imaged regions so as to improve the dissolution contrast ratio (DCR) of the non-imaged/imaged regions; wherein the agent which performs function c) comprises a moiety which has hydrophobic and ionic character. Such a composition can show excellent selectivity as regards dissolution rates in developer, as between the imaged and non-imaged areas, whilst the energy needed to achieve this differentiation (or “operating speed”) is not comprised. | 09-16-2010 |
20100233445 | NEGATIVE-WORKING IMAGEABLE ELEMENTS WITH OVERCOAT - Negative-working imageable element can be used to provide lithographic printing plates. The imageable element has a suitable radiation-sensitive imageable layer and a water-soluble overcoat disposed on the imageable layer. This overcoat comprises at least one poly(vinyl alcohol) having a saponification degree of at least 90%, an alkoxylation product of an alkanol, and either a 2-sulfonato succinic acid dialkylester or an alkoxylation product of a 1,4-butanediol. | 09-16-2010 |
20100233446 | ACTINIC ENERGY RADIATION CURABLE INK-JET INK, INK-JET RECORDING METHOD, AND PRINTED MATTER - The present invention provides an actinic energy radiation curable ink-jet ink exhibiting excellent ink storage stability, nozzle ink repellency, and ejection stability, and also exhibiting excellent curability, anti-abrasion properties, solvent resistance, flexibility, weather resistance, and substrate adhesion properties even under various ambience factors and irradiation conditions, as well as an ink-jet recording method and printed matter using the same. In an actinic energy radiation curable ink-jet ink containing a cationically polymerizable compound and a photo-cationic polymerization initiator, an actinic energy radiation curable ink-jet ink wherein a cationically polymerizable compound having a vinyl ether group as a reactive group is contained at 50.0% by mass or more and the halogen ion content is 1.0 μg/g of the ink-100 μg/g of the ink. | 09-16-2010 |
20100233447 | LASER-SENSITIVE COATING FORMULATIONS - The present invention provides polymeric particles comprising a polymeric matrix comprising one or more water-insoluble polymers and a laser-sensitive system encapsulated in the polymeric matrix. It also provides a process for the preparation of the polymeric particles, a composition comprising the polymeric particles, a process for the preparation of this composition, a process for forming a laser-sensitive coating layer on a substrate using this composition, a coated substrate obtainable by the coating process, a process for preparing a marked substrate and a marked substrate obtainable by the marking process. | 09-16-2010 |
20100233448 | INK COMPOSITION AND HARDENED MATERIAL USING THEREOF - An active energy ray-curable ink composition having excellent adhesiveness to various base materials and satisfactory ejection stability is provided. An active energy ray-curable inkjet ink composition comprising polymerizable monomers, the polymerizable monomers comprising 60 to 98% by weight of monofunctional monomers, the monofunctional monomers comprising 25 to 65% by weight of isobornyl acrylate and 12.5 to 69% by weight of N-vinyl caprolactam based on the total amount of the polymerizable monomers. | 09-16-2010 |
20100233449 | METHOD OF FORMING RESIST PATTERN - The present invention relates to a method of forming a resist pattern for obtaining an electronic device in which a development defect is eliminated, and aims at providing a process that is simple and low-cost, and can impart a high hydrophobicity capable of high-speed scan. It relates to a method of forming a resist pattern including the steps of: providing immersion exposure to a resist film; solubilizing the resist film subjected to the immersion exposure in an alkaline developer; developing the resist film solubilized in the alkaline developer by alkali immersion; and performing a deionized water rinse treatment on the developed resist film in this order, wherein the step of solubilization in the alkaline developer is performed by exposing the resist film subjected to the immersion exposure to ozone gas without irradiating ultraviolet rays (hereinafter, sometimes referred to as ozone treatment). | 09-16-2010 |
20100233450 | WATER-SOLUBLE AZO COMPOUND OR SALT THEREOF, INK COMPOSITION AND COLORED PRODUCT - The present invention relates to a water-soluble azo compound represented by the following formula (1) or a salt thereof: | 09-16-2010 |
20100239828 | RESISTIVELY HEATED SMALL PLANAR FILAMENT - A planar filament comprising two bonding pads and a non-linear filament connected between the two bonding pads. The filament may be wider in the center to increase filament life. The planar filament may be mounted on a substrate for easier handling and placement. Voltage can be used to create an electrical current through the filament, and can result in the emission of electrons from the filament. The planar filament can be utilized in an x-ray tube. | 09-23-2010 |
20100239829 | Method for Manufacturing Bamboo Decorative Sheet and Bamboo Decorative Sheet thereof - In a method for manufacturing a bamboo decorative sheet and a bamboo decorative sheet thereof, the method is applicable to a large volume bamboo board formed by gluing and stacking bamboo chips made of bamboo tube materials. The method includes the step as follows. The large volume bamboo board is provided. The bamboo board is beveled to form an upper and a lower part, and the two parts are reversed. Then the two parts are overlapped and glued to form a composite bamboo board. The composite bamboo board is sliced into film-like bamboo decorative sheets, so that the bamboo decorative sheet with a surface having decorative grain is formed. The method has developed the invariable straight strip-like decorative grain in the prior art into the lattice or diagonal grains, and the size of the lattice or diagonal grains can be adjusted, such that the bamboo decorative sheet with a variety of grain can be produced to meet the customers' requirements. | 09-23-2010 |
20100239830 | INK COMPOSITION, INKJET RECORDING METHOD, PRINTED MATERIAL, AND PROCESS FOR PRODUCING MOLDED PRINTED MATERIAL - An ink composition is provided that includes (A) a polymerizable silicone compound having an ethylenically unsaturated group and a silicone chain, (B) tetrahydrofurfuryl (meth)acrylate, and (C) a radical polymerization initiator. There are also provided an inkjet recording method that includes (a | 09-23-2010 |
20100247873 | INK COMPOSITION, INK COMPOSITION FOR INKJET RECORDING, INKJET RECORDING METHOD, AND PRINTED ARTICLE OBTAINED BY INKJET RECORDING METHOD - The present invention provides an ink composition containing: a polymer having a polyoxyalkylene group and a partial structure selected from the group consisting of a fluoroalkyl group, a siloxane skeleton and a long-chain alkyl group; a polymerizable compound; and a polymerization initiator. | 09-30-2010 |
20100247874 | INK COMPOSITION, RECORDING METHOD USING THE SAME, AND RECORDED MATTER - An ink composition includes at least a pigment, a humectant, and water in from 10 to 60% by weight to the total amount of ink. The humectant is a mixture of (A) at least one compound selected from glycerin, 1,2,6-hexanetriol, diethylene glycol, triethylene glycol, tetraethylene glycol, and dipropylene glycol; (B) either trimethylol propane or trimethylol ethane, or a mixture thereof; and (C) at least one compound selected from betaines, saccharides, and ureas and having a molecular weight in the range of from 100 to 200, at a content weight ratio of (A):(B):(C) of 1.0:0.1 to 1.0:1.0 to 3.5. | 09-30-2010 |
20100247875 | METHODS AND SYSTEMS FOR RELEASABLY ATTACHING SUPPORT MEMBERS TO MICROFEATURE WORKPIECES - Methods and apparatuses for releasably attaching support members to microfeature workpieces to support members are disclosed herein. In one embodiment, for example, a method for processing a microfeature workpiece including a plurality of microelectronic dies comprises forming discrete blocks of material at a first side of a support member. The blocks are arranged on the support member in a predetermined pattern. The method also includes depositing an adhesive material into gaps between the individual blocks of material and placing a first side of the workpiece in contact with the adhesive material and/or the blocks. The method further includes cutting through a second side of the workpiece to singulate the dies and to expose at least a portion of the adhesive material in the gaps. The method then includes removing at least approximately all the adhesive material from the support member and/or the workpiece with a solvent. | 09-30-2010 |
20100255268 | COMPOSITION FOR MOLD SHEET AND METHOD FOR PREPARING MOLD SHEET USING SAME - The present invention relates to a mold sheet composition for forming patterns, which comprises (A) an active energy ray-curable compound having one or more unsaturated double bond, and (B) 0.1 to 20 parts by weight of a photo-initiator based on 100 parts by weight of the component (A); and a mold sheet for forming patterns, which comprises the active energy curable compound of the composition, and has an intaglio of the desired pattern thereon. | 10-07-2010 |
20100255269 | COPPER FILLING-UP METHOD - [Problem to be Solved] To provide a method of well filling copper in a non-through hole on a conductivity-rendered substrate by using a copper plating bath containing fewer additives. | 10-07-2010 |
20100260978 | Composite Composition for Micropatterned Layers - The invention provides a composite composition comprising a cationically polymerizable organic resin, a cationic photoinitiator, a hydrolysate and/or condensate of at least one hydrolysable silane compound and inorganic nanoparticles. The composite composition is suitable for the preparation of patterned moulded articles or substrates having a patterned coating, in particular by photolithography. Micropatterns obtained show improved properties, such as a high shape stability and an excellent elastic modulus. | 10-14-2010 |
20100260979 | Adhesive sheet and transfer tool - The adhesive sheet according to the present invention includes a sheet body, and a geometry adhesive portion forming geometry of a letter or a figure by aggregating and arranging adhesive dots different in dot diameter, which are intermittently applied onto the sheet body. Moreover, the adhesive sheet includes a background adhesive portion made of the adhesive dots intermittently arranged around the geometry adhesive portion. | 10-14-2010 |
20100266821 | FUNCTIONALIZED PHOTOREACTIVE COMPOUNDS - The present invention relates to a photoreactive compound for the preparation of a photoalignment material comprising thioether units, wherein the photoreactive compound is comprising at least one ene group and at least one photoalignment group, and further to a composition comprising at least one photoreactive compound and at least one polythiol, the use of this composition for the preparation of photoalignment materials, and their use for the alignment of liquid crystals or liquid crystal polymers, in electro-optical and optical elements, systems and devices. | 10-21-2010 |
20100266822 | PROCESS FOR PREPARING BLACK TONER FOR ELECTROPHOTOGRAPHY - A process for preparing a black toner for electrophotography, including the steps of (I) melt-kneading a raw material mixture containing a resin binder and a black colorant with an open-roller type kneader; (II) cooling the melt-kneaded mixture obtained in the step (I) and pulverizing the cooled mixture; and (III) classifying the pulverized product obtained in the step (II), wherein the resulting toner has a volume-median particle size (D | 10-21-2010 |
20100272966 | PRINTING INK - This invention relates to a printing ink and in particular to an ink for ink-jet printing which is cured by irradiation. The ink comprises at least one radiation-curable monomer; at least one passive thermoplastic resin; at least one radical photoinitiator; and at least one colouring agent; wherein the ink has a viscosity of less than 100 mPas at 25° C., and wherein the at least one passive resin is present at 2 to 15 wt % based on the total weight of the ink and has a molecular weight of 1,500 to 70,000. | 10-28-2010 |
20100272967 | METHOD OF FORMING A PATTERN OF AN ARRAY OF SHAPES INCLUDING A BLOCKED REGION - A second photoresist having a second photosensitivity is formed on a substrate. A first photoresist having a first photosensitivity, which is greater than second photosensitivity, is formed on the second photoresist. Preferably, the first photoresist is a gray resist that becomes transparent upon exposure. At least one portion of the first photoresist is lithographically exposed employing a first reticle having a first pattern to form at least one transparent lithographically exposed resist portion, while the second photoresist remains intact. The second photoresist is lithographically exposed employing a second reticle including a second pattern to form a plurality of lithographically exposed shapes in the second photoresist. The plurality of lithographically exposed shapes have a composite pattern which is the derived from the second pattern by limiting the second pattern only within the area of the at least one transparent lithographically exposed resist pattern. | 10-28-2010 |
20100272968 | Polyurethan Resins for Nitrocellulose Inks - A polyurethane resin which is particularly suitable for use in nitrocellulose-based printing inks for laminating packaging applications is described. The polyurethane resin provides the inks with excellent extrusion and adhesive properties, which inks are suitable for use in laminated products for packaging applications. | 10-28-2010 |
20100279078 | STRUCTURE AND METHOD FOR CREATING SURFACE TEXTURE OF COMPLIANT COATINGS ON PIEZO INK JET IMAGING DRUMS - This is structure and method for providing a textured surfaced that can be used in a plurality of systems including ink jet printing. In ink jet printing, the textured surface of this invention controls ink drawback and significantly improves image quality. The textured surface has an average roughness, R | 11-04-2010 |
20100279079 | LASER-SENSITIVE RECORDING MATERIALS HAVING AN UNDERCOATING LAYER - The present invention provides a laser-sensitive recording material, which comprises a substrate being coated with a recording layer and an undercoating layer, wherein the recording layer undergoes a colour change upon heat treatment produced by laser irradiation and wherein the undercoating layer comprises a pigment with the proviso that the system of the recording layer that undergoes a colour change upon heat treatment produced by laser irradiation does not comprise an organic leuco dye and an organic colour developer, a process for its preparation, a process for marking the laser-sensitive recording material and the marked laser-sensitive recording material. | 11-04-2010 |
20100279080 | PRINTING INK SET - This invention relates to a printing ink set. The ink-jet ink set comprises a plurality of ink-jet inks, each ink being substantially free of water and volatile organic solvents, having a viscosity of 100 mPas or less at 25° C., and comprising at least one radiation-curable monomer, a photoinitiator, and a colorant, wherein the set includes a cyan ink, a magenta ink, a yellow ink, a black ink, and one or more of an orange ink, a green ink and a violet ink. The ink set may also include light CYMK inks. An eight ink set comprising cyan, magenta, yellow, black, orange, green, violet and light magenta inks is also disclosed. | 11-04-2010 |
20100279081 | PRINT HEAD ARRANGEMENT AND METHOD OF DEPOSITING A SUBSTANCE - A system and method for depositing a substance onto a substrate comprises continuously transporting the substrate in a transport direction while traversing a deposition arrangement across the substrate to deposit the substance in a number of swathes. During such movement the positions of the deposition arrangement and the substrate are controlled with respect to one another such that the swathes complement one another to provide substantially uniform coverage of the substrate. As a result of the defined arrangement, improved substrate speeds can be achieved since there is no need for the substrate to stop at each traverse. | 11-04-2010 |
20100279082 | Porphyrazine Coloring Matter And Ink Composition Containing The Same - The present invention relates to a porphyrazine coloring matter represented by the following formula (1) or a salt thereof | 11-04-2010 |
20100279083 | PIGMENT PREPARATION AND INK JET PRINTING INK - The present disclosure is directed to a pigment preparation comprising aluminum effect pigments, a solvent and at least one additive, wherein the aluminium effect pigments have a d | 11-04-2010 |
20100285281 | MICROSTRUCTURED IMAGE OVERCOAT LAYER FOR IMPROVED IMAGE UNIFORMITY APPLIED WITH BLANKET OVERCOATER AND FUNCTIONAL EMBOSSING ROLLER - In accordance with various embodiments, there are printing apparatuses and methods of enhancing an image quality on a media. The method of enhancing an image quality on a media can include providing an ink-based halftone image on a media, wherein the halftone image can include an amount of noise. The method can also include depositing a transparent overcoat layer at least over the halftone image, wherein the transparent overcoat layer can include a major amount of cross-linkable resin and a minor amount of a photoinitiator. The method can further include embossing the transparent overcoat layer to form an embossed micro-structured overcoat layer and curing the embossed micro-structured overcoat layer to form the micro-structured overcoat layer, such that the micro-structured overcoat layer reduces the amount of noise. | 11-11-2010 |
20100285282 | Ink Jet Ink and a Method of Ink Jet Printing - The invention describes a radiation-curable ink jet ink, which comprises at least 50% by weight of cyclic trimethyllolpropane formal acrylate (CTFA), and further comprises a free-radical photoinitiator, and which is substantially free of volatile compounds. | 11-11-2010 |
20100285283 | FASHION ACCESSORIES MADE FROM TRAFFIC CONE RUBBER - The present invention relates generally to fashion accessories designed and made from traffic cone rubber, more particularly related to Bracelets, Key Chains, Necklace Pendants, Earrings, Rings, Wallet, Belts, Zipper Pulls, Belly Button Piercing, Bookmarks, Bra, Door Hanger, Cell phone/Beeper/Pager Holder, Ipod Case, Cell Phone Charm, Wall Mask, Winter Hat/Beanie Hat, Baseball Cap, Tie, Hoodie, Satchel Bag, Sneaker, Decal Snaps, Money Clip, Magnet, Backpack, Watch Band, Relief Carving, Eyeglass Case, Headband, Coaster, Car Decoration, Ornament, Pet Products, Hair Clip, Change Purse, Purse, Pins/Buttons, Anklet, Lanyard, Nose Piercing, Charms, Button, and Cufflinks. | 11-11-2010 |
20100285284 | INORGANIC PHOSPHORESCENT ARTICLE AND METHOD FOR MAKING SAME - An inorganic phosphorescent article having a formed phosphorescent layer where the phosphorescent layer is not mixed with a frit and the majority of the layer comprises photoluminescent phosphors comprising rare earth doped alkaline earth aluminates, rare earth doped alkaline earth silicates, zinc sulfide doped with copper or mixtures thereof. The phosphorescent articles of the present invention may be formed as tile bodies and fired at high temperatures between 1000C and 1600C providing durable ceramic and porcelain tiles suitable for use in emergency lighting flooring systems, aqueous environments such as pools and spas, and outdoor pathway lighting. | 11-11-2010 |
20100291354 | Laminate for Laser Marking - The object of the present invention is to provide a laminate for laser marking which is useful for forming displays or indications, for example, on the surface of sheet-like molded products made of thermoplastic resins, by suitably employing thermoplastic polymer resins for laser marking, and whose slipping property on the production process can be improved and whose blocking problem at the storage in the stacked condition can be solved. In an aspect of the present invention, there is provided a laminate for laser marking comprising a layer (A) and a layer (B) laminated on at least one side of layer (A), which layer (A) comprises a white or black coloring laser-marking thermoplastic resin, which layer (B) comprises a transparent thermoplastic resin and has a light transmittance of not less than 70% in the single layer, and the transparent thermoplastic resin in the layer (B) being subjected to anti-blocking treatment. | 11-18-2010 |
20100291355 | UV-SENSITIVE LITHOGRAPHIC PRINTING PLATE PRECURSOR WITH BENZOXAZOLE DERIVATIVE AND ANALOGUES THEREOF AS SENSITIZER - Lithographic printing plate precursor comprising (a) a lithographic substrate with a hydrophilic surface and (b) a radiation-sensitive coating on the hydrophilic surface comprising (i) one or more types of monomers and/or oligomers and/or polymers, each comprising at least one ethylenically unsaturated group accessible to a free-radical polymerization, (ii) at least one sensitizer, and (iii) at least one coinitiator capable of forming free radicals together with the sensitizer, said coinitiator being other than metallocenes, characterized in that the at least one sensitizer comprises a compound of formula (I) wherein at least one of the groups R | 11-18-2010 |
20100291356 | ZIRCONIA-BASED COLOR SHELL STRUCTURE OF ELECTRONIC PRODUCT - A zirconia-based color shell structure includes a primer shell made of zirconia subjected to primary firing at a high temperature and a color layer formed by applying glaze(s) to the surface of the fired primer shell and subjecting the glaze(s) to secondary firing to provide a color glazed coating on the surface of the zirconia shell. | 11-18-2010 |
20100291357 | HYDROGELS AND METHODS FOR PRODUCING AND USING THE SAME - The present invention relates to hydrogels and methods for producing and using the same. In particular, some embodiments of the invention relate to hydrogels and methods for patterning the same. | 11-18-2010 |
20100291358 | STRUCTURAL COLOR BODY - To provide a structural color body that exhibits color using physical phenomena such as reflection, interference, diffraction, and scattering of light, and in which the decorative effect can be further enhanced, the present invention configures a structural color body by combining a plurality of structural color portions that structurally exhibit different colors from each other. | 11-18-2010 |
20100291359 | Coloring composition, method for production thereof, and coloring method - The present invention provides an image-recording/pixel-forming coloring composition containing a phthalocyanine type colorant having at least one group selected from alkyl and alkyloxy groups (alkylphthalocyanine type colorant). According to the present invention, there can also be provided a coloring composition containing a phthalocyanine type colorant capable of exhibiting excellent properties when used as an image-recording coloring composition or pixel-forming coloring composition, production processes of the phthalocyanine type colorants, a coloring method, and the like. | 11-18-2010 |
20100291360 | Anthrapyridone Compound Or A Salt Thereof, Magenta Ink Composition Containing The Anthrapyridone Compound and Colored Product - The present invention relates to a novel anthrapyridone compound represented by the following formula (1) or a salt thereof: | 11-18-2010 |
20100297405 | KETOPYRROLES AS ORGANIC SEMICONDUCTORS - Monomeric or polymeric compounds comprising at least one moiety of the formula (Ia) wherein X is CR, where R is H or a substituent as defined in claim | 11-25-2010 |
20100297406 | OPTICAL ADHESIVE WITH DIFFUSIVE PROPERTIES - Optical adhesives that also diffuse visible light include a blend of an adhesive matrix which is an optical adhesive and a block copolymer. The adhesive may be a pressure sensitive adhesive and contains either acid or basic functionality. The block copolymer, which may be a diblock copolymer, contains a high Tg block and a functional block, the functionality of the functional block is complimentary to the functionality of the adhesive matrix to form an acid-base interaction. The adhesive may also contain a crosslinking agent. | 11-25-2010 |
20100304097 | Internally supported modular and non-modular linked structures - A method of fabricating reinforced modular and non-modular composite members having a predetermined cross sectional shape, comprising the steps of: providing a source of composite material; selecting a plurality of cross-sectional shapes for the components of the composite member, whereby the components are arrangable to form the predetermined cross sectional shape of the composite member; applying the composite material on each of a plurality of mandrels; curing the composite material to form a plurality of components; attaching a pre-stressing device to at least one of the plurality of components and pre-stressing said component to produce at least one pre-stressed component; arranging the components to form an assembly of the components in the predetermined cross sectional shape of the composite member, wherein the assembly includes the at least one pre-stressed component; applying the composite material to the assembly of components; curing the composite material; and releasing the pre-stressing device. | 12-02-2010 |
20100304098 | INK CURABLE WITH ACTINIC ENERGY RAY AND PRINTED MATTER - An ink curable with actinic energy rays which is characterized by containing a photocleavage type photopolymerization initiator (A) comprising α-aminoalkylphenone compound (A1) having a molar absorption coefficient as measured at a wavelength of 365 nm of 100 (l/mol·cm) or more and less than 100,000 (l/mol·cm) and/or an acylphosphine oxide compound (A2) having a molar absorption coefficient as measured at a wavelength of 365 nm of 100 (l/mol·cm) or more and less than 100,000 (l/mol·cm); and a hydrogen abstraction type photopolymerization initiator (B) comprising 4,4′-dialkylaminobenzophenone having a molar absorption coefficient at a wavelength of 365 nm of 10,000 (l/mol·cm) or more and less than 1,000,000 (l/mol·cm) and by optionally containing a tertiary amine compound (C) having a molar extinction coefficient as measured at a wavelength of 365 nm of 1 (l/mol·cm) or lower. The ink curable with actinic energy rays further includes a resin, a pigment, and a compound having an acrylate group. When used with a light emitting ultraviolet rays especially having a luminescence-peak wavelength in the range of 350 to 420 nm as an ultraviolet irradiator, the ink has excellent curability and printability in both monocolor printing and overprinting, the ink further has excellent storage stability. | 12-02-2010 |
20100310835 | Coating Agent for Plastic Label, and Plastic Label - Disclosed is a coating composition for a plastic label, which contains an oxetane compound, an epoxy compound, and a cyclic acetal and/or a poly(vinyl butyral). The coating composition excels in suitability for printing such as gravure printing or flexographic printing, cures rapidly to give excellent productivity, and after curing, gives a coating layer that excels in adhesion to a plastic base film and in toughness. A plastic label formed using the coating composition excels in surface scratch resistance and crumpling resistance, and therefore is particularly useful as a label for use in plastic containers, and metal containers such as bottle cans. | 12-09-2010 |
20100310836 | LITHOGRAPHIC METHOD AND ARRANGEMENT - A lithographic method includes exposing a first layer of material to a radiation beam to form a first pattern feature in the first layer, the first pattern feature having sidewalls, and a focal property of the radiation beam being controlled to control a sidewall angle of the sidewalls; providing a second layer of material over the first pattern feature to provide a coating on sidewalls of the first pattern; removing a portion of the second layer, leaving a coating of the second layer of material on sidewalls of the first pattern; removing the first pattern formed from the first layer, leaving on the substrate at least a part of the second layer that formed a coating on sidewalls of that first pattern, the part of the second layer left forming second pattern features in locations adjacent to the locations of sidewalls of the removed first pattern feature. | 12-09-2010 |
20100316849 | Method to Produce Nanometer-Sized Features with Directed Assembly of Block Copolymers - Methods for fabricating stamps and systems for patterning a substrate, and devices resulting from those methods are provided. | 12-16-2010 |
20100316850 | NEGATIVE-WORKING IMAGEABLE ELEMENTS - Negative-working imageable elements have an imageable layer comprising a free radically polymerizable component, an initiator composition capable of generating radicals sufficient to initiate polymerization of the free radically polymerizable component upon exposure to imaging radiation, a radiation absorbing compound, one or more polymeric binders, and at least 5 weight % of core-shell particles comprising a hydrophobic polymeric core and a hydrophilic polymeric shell that is covalently bound to the polymeric core. The hydrophilic polymeric shell has one or more zwitterionic functional groups. These elements can be imaged such as by IR lasers to provide lithographic printing plates. | 12-16-2010 |
20100316851 | PROCESS FOR PRODUCING PATTERNED FILM-FORMED MEMBER, PATTERNED FILM-FORMED MEMBER, ELECTROOPTICAL DEVICE, AND ELECTRONIC APPARATUS - A process for producing a patterned film-formed member, includes: a surface treating step of subjecting a surface of a glassy material layer within a base material having a conductive film formed on a portion of the glassy material layer to a water repellent treatment and also subjecting a surface of the conductive film to a lower degree of water repellent treatment than that for the glassy material layer; an applying step of applying a functional liquid containing an aqueous dispersion medium and metal particles which are a constituent material of a metal film and are dispersed in the aqueous dispersion medium onto the conductive film; and a solidifying step of solidifying the applied functional liquid thereby forming the metal film on the conductive film. | 12-16-2010 |
20100323170 | ELECTRONIC DEVICE HAVING A PLASTIC SUBSTRATE - A method of manufacturing a thin- film electronic device comprises applying a plastic coating to a rigid carrier substrate ( | 12-23-2010 |
20100323171 | Apparatus and Method for Providing Resist Alignment Marks in a Double Patterning Lithographic Process - A method is described for alignment of a substrate during a double patterning process. A first resist layer containing at least one alignment mark is formed on the substrate. After the first resist layer is developed, a second resist layer is deposited over the first resist layer, leaving a planar top surface (i.e., without topography). By baking the second resist layer appropriately, a symmetric alignment mark is formed in the second resist layer with little or no offset error from the alignment mark in the first resist layer. The symmetry of the alignment mark formed in the second resist can be enhanced by appropriate adjustments of the respective thicknesses of the first and second resist layers, the coating process parameters, and the baking process parameters. | 12-23-2010 |
20100330345 | METHODS UTILIZING SCANNING PROBE MICROSCOPE TIPS AND PRODUCTS THEREOF OR PRODUCED THEREBY - The invention provides a lithographic method referred to as “dip pen” nanolithography (DPN). DPN utilizes a scanning probe microscope (SPM) tip (e.g., an atomic force microscope (AFM) tip) as a “pen,” a solid-state substrate (e.g., gold) as “paper,” and molecules with a chemical affinity for the solid-state substrate as “ink.” Capillary transport of molecules from the SPM tip to the solid substrate is used in DPN to directly write patterns consisting of a relatively small collection of molecules in submicrometer dimensions, making DPN useful in the fabrication of a variety of microscale and nanoscale devices. The invention also provides substrates patterned by DPN, including submicrometer combinatorial arrays, and kits, devices and software for performing DPN. The invention further provides a method of performing AFM imaging in air. The method comprises coating an AFM tip with a hydrophobic compound, the hydrophobic compound being selected so that AFM imaging performed using the coated AFM tip is improved compared to AFM imaging performed using an uncoated AFM tip. Finally, the invention provides AFM tips coated with the hydrophobic compounds. | 12-30-2010 |
20100330346 | Article with an Image Displayed Thereon and Method of Transferring Images onto Articles - A method and article involve calibrating a digital image for transfer to a hard surface for display thereon. A calibration table is created for calibrating a printer. A digital image, using the calibration settings is printed in mirror form onto a transfer sheet. The image is then transferred to the surface and polished to result in the article. | 12-30-2010 |
20100330347 | METHOD AND APPARATUS FOR THE FORMATION OF HYDROPHOBIC SURFACES - The invention relates to the application of a coating to a substrate in which the coating includes a polymer material and the coating is selectively fluorinated and/or cured to improve the liquid repellance of the same. The invention also provides for the selective fluorination and/or curing of selected areas of the coating thus, when completed, providing a coating which has regions of improved liquid repellance with respect to the remaining regions and which remaining regions may be utilized as liquid collection areas. | 12-30-2010 |
20100330348 | CAMOUFLAGE PATTERN SCHEME FOR CAMOUFLAGE PATTERNS ON OBJECTS - A camouflage scheme including a polygonal scheme area for a camouflage pattern, the area is dimensioned to substantially cover an object area of an object to be camouflaged, the scheme area has a first side length including a substantial first extension of the object and a second side length including a substantial second extension of the object, at least two adjacent property bands in the scheme area are both aligned in the same first running direction having specified widths and the property bands in a first running direction are intersected by at least one property band extending in a second running direction, adjacent property bands differ alternatingly at least in the mode of one perceptible characteristic, the dimensions of the scheme area and the widths of the property bands are sized such that a maximum of only three bands extend in the scheme area in their entire width. | 12-30-2010 |
20110003123 | SENSITIZER/INITIATOR COMBINATION FOR NEGATIVE-WORKING THERMAL-SENSITIVE COMPOSITIONS USABLE FOR LITHOGRAPHIC PLATES - The radiation-sensitive composition and the negative working imageable element include a cationic IR absorber with tetraarylborate counteranion and an onium initiator with tetraarylborate counteranion. The use of these components provides high imaging sensitivity, good shelflife and high print run length. | 01-06-2011 |
20110008588 | TOUCH PANEL - A touch panel including a first substrate, a second substrate and a plurality of spacers, where the first substrate has a first conductive film, and the second substrate has a second conductive film. The second substrate is disposed close to the first substrate. The second conductive film is opposite to the first conductive film. The spacers are disposed between the first conductive film and the second conductive film. | 01-13-2011 |
20110008589 | Positive Photosensitive Composition - The present invention provides a positive photosensitive composition containing a specific tetrafunctional silsesquioxane compound (A), a siloxane polymer (B) formed of multiple kinds of alkoxysilane compounds having different numbers of alkoxyl groups, a 1,2-quinone diazide compound (C), and a solvent (D) in order to provide a positive photosensitive composition useful for forming a film on which a pattern is formed, the film being excellent in high thermal resistance, high transparency, crack resistance, adhesiveness with a ground, and the like, and being obtained by performing development with an alkali aqueous solution. | 01-13-2011 |
20110008590 | Solvent for a Printing Composition - A composition suitable for fabricating an electronic device such as an OLED using an ink-jet printing technique comprises a conducting or semi-conducting organic material and a solvent, the solvent having a boiling point of greater than 250° C. and a viscosity of less than 5 cPs. The composition preferably has a viscosity in the range between 0.1 and 20 cPs, and the solvent preferably has the formula: | 01-13-2011 |
20110014435 | Process for Printing an Image, Composition and Compound - A process for printing an image on a substrate, the process comprising applying to the substrate an ink composition which comprises a liquid medium and a compound selected from the group consisting of compounds of Formula (1 | 01-20-2011 |
20110014436 | METHODS FOR FORMING HYDROGELS ON SURFACES AND ARTICLES FORMED THEREBY - Methods for forming hydrogels on substrates, including patterned hydrogels. One method comprises providing at least one nanoscopic tip, coating the tip with at least one ink composition, and depositing the ink composition onto at least one substrate, wherein the ink composition comprises at least one hydrogel precursor, the hydrogel precursor adapted to form a hydrogel. The precursor can be converted to the hydrogel after patterning. The ink composition can comprise at least two polymers and can be functionalized. The amount of the polymers and the amount of functionalization can be tuned. Also provided are articles formed from the methods, methods for using the articles, ink compositions and related kits. | 01-20-2011 |
20110014437 | TRANSPARENT ELECTRICALLY CONDUCTIVE LAYER, A PROCESS FOR PRODUCING THE LAYER AND ITS USE - Transparent, electrically conductive layer, a process for producing the layer and its use, wherein the layer is based on at least one compound of the formula 1 | 01-20-2011 |
20110014438 | ACTIVE ENERGY RAY-CURABLE INK COMPOSITION AND PRINTED MATTER - Disclosed is an active energy ray-curable ink composition comprising a coloring agent, a binder resin, and a radically polymerizable varnish and/or a radically polymerizable monomer as main components. The coloring agent is a modified pigment wherein the surface of a pigment (A) is provided with a polymer (P) which is obtained by polymerizing a polymer (B), which is soluble in nonaqueous solvents, with at least one polymerizable unsaturated monomer (C), which is originally soluble in nonaqueous solvents but becomes insoluble or poorly soluble after polymerization. Also disclosed is a printed matter which has a coating film obtained by curing and drying the active energy ray-curable ink composition. | 01-20-2011 |
20110014439 | Ink, Process and Use - A process for printing an image on a substrate comprising applying to the substrate by means of an ink jet printer an ink comprising the components:
| 01-20-2011 |
20110020614 | GARAGE DOOR DISPLAY AND DECORATIVE ARTICLE - A garage door display and decorative article include a first closed cell foam layer having a thickness of at least 0.1 inches having a front face and a rear face. A rare earth metal magnet is fused to previously melted and presently solidified portions of the first closed cell foam layer on the rear face. A decorative piece is fused to previously melted and presently solidified portions of the first closed cell foam layer on the front face. | 01-27-2011 |
20110020615 | Laminated Articles and Their Production - A laminated article comprises a substrate having opposite major surfaces, and a multi-layer lamination composition comprising (i) a base layer and (ii) an adhesion layer bonded to at least one surface of the base layer. The adhesion layer (ii) comprises a propylene copolymer which comprises units derived from propylene and from about 3 to about 25 wt % of units derived from ethylene and/or a C | 01-27-2011 |
20110020616 | Method of Determining Overlay Error and a Device Manufacturing Method - A method of determining an overlay error in a set of superimposed patterns. The patterns are divided into two and a first part of the pattern has a bias of d+s/2 between the first layer and second layer. A second part of the pattern has a bias of d−s/2 between the first and second layer. The two parts of the pattern are of equal size. To eliminate a particular harmonic s is chosen to be T/(2n) where T is the period of the pattern and n is a positive integer. | 01-27-2011 |
20110020617 | PHOTOCURABLE COMPOSITION AND PROCESS FOR PRODUCING MOLDED PRODUCT HAVING FINE PATTERN ON ITS SURFACE - To provide a photocurable composition whereby it is possible to obtain a cured product provided with both release property and high refractive index, and a process whereby it is possible to produce a molded product having a high refractive index and having on its surface a fine pattern having a reverse pattern of a mold precisely transferred. | 01-27-2011 |
20110027541 | COMPOUND MATERIAL ARTICLE AND METHOD OF MANUFACTURING THE SAME - A compound material article and a method of manufacturing the same are disclosed. The compound material article includes a substrate molded by compound materials, and a film attached to a surface of the substrate. The film is capable of being painted different patterns or characters on a surface opposite to the substrate. | 02-03-2011 |
20110027542 | EXPOSURE APPARATUS AND EXPOSURE METHOD - An exposure method includes the steps of: detecting an alignment mark of a work W and an alignment mark of a mask M by an alignment camera | 02-03-2011 |
20110027543 | POLYURETHANE RESINS FOR LAMINATING INKS - A polyurethane resin which is particularly suitable for use in printing inks for laminating packaging applications is described. The polyurethane resin maintains its lamination bond strength before and after the laminate printed with the ink containing the polyurethane resin is subjected to sterilization conditions. Laminates which maintain a printed image and their structural integrity after sterilization are also described. | 02-03-2011 |
20110027544 | Resin composition for insulating film or surface-protective film of electronic components, method for producing pattern-cured film and electronic components - A resin composition includes: (A) a polymer having a structural unit shown by the formula (I), and an acidic functional group or a group derived therefrom at both of the terminals; | 02-03-2011 |
20110027545 | HYGIENE OR WIPING PRODUCT COMPRISING AT LEAST ONE PATTERNED PLY AND METHOD FOR PATTERNING THE PLY - A hygiene or wiping product includes at least one ply of a fibrous web containing cellulose fibers and having a graphical pattern of at least first and second areas. The ply contains a coloring compound providing a coloration of the ply in the first area. The coloring compound is at least partly chemically destroyed in the second area so that the ply is less colored in the second area than in the first area. A method for producing such a ply includes the steps of providing a fibrous web containing a coloring compound, which provides a uniform coloration in at least a portion of the web, and applying a bleaching agent to a part of the colored portion of the web to at least partly decolor the web in the part generating a pattern of at least first colored and second less colored areas. | 02-03-2011 |
20110033672 | PATTERNING STRUCTURES USING DEFORMABLE SUBSTRATES - Aspects of the present invention describe soft imprint lithography methods capable of preparing structural features on surfaces. Disclosed methods include surmounting a deformable substrate, having an original form, with a composition, wherein the deformable substrate is capable of achieving at least one predetermined deformed state; predictably deforming said deformable substrate from its original form to the at least one predetermined deformed state; and transferring at least a portion of the composition surmounting the deformed substrate to a receiving substrate. | 02-10-2011 |
20110039077 | Stain-Resistant Overcoat - Stain-resistant, overcoat formulations for porous print media and having a viscosity of less than 20 cP comprise at least one (a) low-surface-energy fluoropolymer, and (b) film-forming binder resin. | 02-17-2011 |
20110039078 | INK COMPRISING NANOSTRUCTURES - An ink comprising a solution or suspension or mixture of silver nanoplates in a liquid wherein said nanoplates have a distribution of geometric shapes within which one shape geometries selected from the following is predominant: circular plate shaped; elliptical plate shaped; triangular plate shaped; hexagonal plate shaped; other flat polygonal plate shaped. | 02-17-2011 |
20110039079 | STRUCTURED SUBSTRATE GLASS FOR LED'S AND METHOD FOR PRODUCTION THEREOF - A composite material designed as a substrate glass for LED's is provided. The composite material includes a structured coating made of a hybrid polymer matrix that contains nanoparticles made of an oxide. | 02-17-2011 |
20110045252 | WEB MATERIALS COMPRISING BROWN INK - Web materials having brown ink in their print images and methods for making same are provided. | 02-24-2011 |
20110045253 | CONTROL OF PROPERTIES OF PRINTED ELECTRODES IN AT LEAST TWO DIMENSIONS - A method including printing a layer of an electrode on a substrate is described. Printing the layer may include ejecting a first coating composition and a second coating composition from a nozzle. The first coating composition may comprise at least a first coating material and the second coating composition may comprise at least a second coating material. The first coating composition and the second coating composition are introduced over the substrate. An electrode comprising a layer printed on a substrate wherein the layer comprises a first coating material and a second coating material is also described. | 02-24-2011 |
20110045254 | METHOD FOR PRODUCING A DECORATED PROFILE BODY - The invention relates to a method for producing a decorated profile body, comprising the steps: preparing a substrate; applying a decoration base; and applying a decoration finish covering the decoration base at least in segments, wherein the decoration base and the decoration finish together form a decoration of the profile body. In order to prevent a metameric effect from occurring during appropriate use of the profile body, according to the invention, the decoration base comprises a color structure from an expanded color space relative to the decoration finish, wherein the color structure of the decoration base is selected such that substantially no metameric effect occurs between the decoration of the profile body and a reference decoration similar thereto, preferably identical thereto. | 02-24-2011 |
20110045255 | Tamper Evident Adhesive and Identification Document Including Same - A composition is provided for adhering a first article to a second article, the composition comprising a thermally active low Tg polymeric resin; and a multifunctional crystalline polymer. The thermally active low Tg polymeric resin can, for example, comprise 50-99% of the composition. The multifunctional crystalline polymer can, for example, comprise 1-50% of the composition. In one embodiment, the multifunctional crystalline polymer comprises 5-20% by weight of the composition. In one embodiment, the multifunctional crystalline polymer has a low molecular weight. | 02-24-2011 |
20110052882 | TONER HAVING TITANIA AND PROCESSES THEREOF - The present disclosure provides white toner compositions and processes for making same. In embodiments, a desirable white toner may be produced without having to resort to excessive pigment loading, having desirable gloss characteristics. | 03-03-2011 |
20110052883 | METHODS OF FORMING REVERSED PATTERNS IN A SUBSTRATE AND SEMICONDUCTOR STRUCTURES FORMED DURING SAME - A method of forming a reversed pattern in a substrate. A resist on a substrate is exposed and developed to form a pattern therein, the patterned resist having a first polarity. The polarity of the patterned resist is reversed to a second polarity, and a reversal film is formed over the patterned resist having the second polarity. The patterned resist having the second polarity is removed, forming a pattern in the reversal film. The pattern in the reversal film is then transferred to the substrate. Additional methods of forming a reversed pattern in a substrate are disclosed, as is a semiconductor structure formed during the methods. | 03-03-2011 |
20110052884 | NON-AQUEOUS PIGMENT INK - A non-aqueous pigment ink which suppresses show-through and improves the print density in printed items, and also exhibits excellent storage stability. The non-aqueous pigment ink comprises a pigment, a non-aqueous solvent, and non-aqueous resin dispersion particles having a pigment dispersion capability, wherein the non-aqueous resin dispersion particles comprise a urethane-modified alkyd resin formed from an oil-modified alkyd resin and/or fatty acid-modified alkyd resin having an oil length of not less than 50% by mass into which urethane groups have been introduced, and the urethane-modified alkyd resin is prepared by bonding an amino alcohol to double bonds within the oil-modified alkyd resin and/or fatty acid-modified alkyd resin, and then reacting the amino alcohol with a polyvalent isocyanate compound to introduce the urethane groups. | 03-03-2011 |
20110052885 | WATER SOLUBLE AZO COMPOUND OR SALT THEREOF, INK COMPOSITION AND COLORED BODY - Provided is a water soluble azo compound represented by the following formula (1) or a salt thereof, which serves as a yellow coloring matter having a hue with high brilliance which is suitable for ink jet recording, and which enables a recorded matter to have various superior fastness properties. When an ink composition is prepared using the azo compound or a salt thereof, the ink composition can have superior storage stability. Also provided is an ink composition containing the water soluble azo compound or a salt thereof. | 03-03-2011 |
20110052886 | COMPOSITION SCREEN-PRINTABLE ON POLYVINYL BUTYRAL - The invention relates to
| 03-03-2011 |
20110052887 | STRUCTURED BOARDS WITH MATCHED SURFACE - Surface structured decorative boards ( | 03-03-2011 |
20110059298 | SURFACE-MODIFIED INORGANIC PIGMENT, COLORED SURFACE-MODIFIED INORGANIC PIGMENT, RECORDING MEDIUM AND PRODUCTION PROCESSES THEREOF, AND IMAGE FORMING METHOD AND RECORDED IMAGE - The invention relates to a surface-treated inorganic pigment which has an inorganic pigment having acid function and an amorphous body located on the surface of the inorganic pigment having acid function and formed by at least a divalent or higher-valent metal and an anion component in a state where the inorganic pigment and the amorphous body do not chemically bond to each other, and which does not exhibit acid function. | 03-10-2011 |
20110059299 | Method of Forming Self-Assembled Patterns Using Block Copolymers, and Articles Thereof - A method of forming a block copolymer pattern comprises providing a substrate comprising a topographic pre-pattern comprising a ridge surface separated by a height, h, greater than 0 nanometers from a trench surface; disposing a block copolymer comprising two or more block components on the topographic pre-pattern to form a layer having a thickness of more than 0 nanometers over the ridge surface and the trench surface; and annealing the layer to form a block copolymer pattern having a periodicity of the topographic pre-pattern, the block copolymer pattern comprising microdomains of self-assembled block copolymer disposed on the ridge surface and the trench surface, wherein the microdomains disposed on the ridge surface have a different orientation compared to the microdomains disposed on the trench surface. | 03-10-2011 |
20110059300 | Molded Product Assembly - The present invention provides a molded product assembly. The molded product assembly includes a first molded product having a transparency ranging from about 75 to about 99.9% and a second molded product having a transparency ranging from about 0.1 to about 50% and can have a metal texture. | 03-10-2011 |
20110059301 | WIPING RUBBER FOR WIPER BLADES IN WINDSHIELD WIPERS - The invention relates to a wiping rubber for wiper blades in windshield wipers, comprising a profile body formed of an elastomer or rubber material, at least partially having a surface coating. The surface coating ( | 03-10-2011 |
20110059302 | CURABLE COMPOSITION FOR IMPRINT, PATTERNING METHOD AND PATTERN - A curable composition for imprints, which is excellent in patternability and in line edge roughness, is provided. The curable composition for imprints comprises at least one kind of polymerizable monomer (A) and at least one kind of photopolymerization initiator (B). The polymerizable monomer (A) comprises at least two fluorine-containing groups selected from a fluoroalkyl group and a fluoroalkylether group and each of two of the fluorine-containing groups is connected with each other through a linking group having at least two carbon atoms. | 03-10-2011 |
20110064919 | SOLVENT-BASED INK COMPOSITION FOR THERMAL INKJETS COMPRISING PYRROLIDINONE SOLVENT - A solvent-based ink composition for a thermal inkjet printhead. The ink composition comprises:
| 03-17-2011 |
20110064920 | SOLVENT-BASED INK COMPOSITION FOR THERMAL INKJETS COMPRISING KETONE SOLVENT - A solvent-based ink composition for a thermal inkjet printhead. The ink composition comprises:
| 03-17-2011 |
20110064921 | SOLVENT-BASED INK COMPOSITION FOR THERMAL INKJETS COMPRISING ACETATE SOLVENT - A solvent-based ink composition for a thermal inkjet printhead. The ink composition comprises:
| 03-17-2011 |
20110064922 | SOLVENT-BASED INK COMPOSITION FOR THERMAL INKJETS COMPRISING ETHER SOLVENT - A solvent-based ink composition for a thermal inkjet printhead. The ink composition comprises:
| 03-17-2011 |
20110064923 | Solvent-Based Inkjet Inks Comprising Surface-Modified Pigments - A solvent-based ink composition for a thermal inkjet printhead comprises a surface-modified pigment. The ink composition comprises:
| 03-17-2011 |
20110064924 | PRODUCTION METHOD, WORKPIECE AND PRODUCTION DEVICE OF THREE-DIMENSIONAL PATTERN - A production method of three-dimensional pattern is disclosed. First, an adhesive layer is applied on a three-dimensional workpiece. Next, a film is vacuum adsorbed on the adhesive layer so that the film is impressed onto the adhesive layer to form the three-dimensional pattern on the adhesive layer. Finally, the adhesive layer is cured by implementing a plurality of heat treatments thereon. A workpiece of three-dimensional pattern and a production device of three-dimensional pattern are also disclosed. | 03-17-2011 |
20110064925 | AQUEOUS CURABLE IMPRINTABLE MEDIUM AND PATTERNED LAYER FORMING METHOD - An aqueous curable imprintable medium having at least one curable compound according to Formula 1: | 03-17-2011 |
20110070410 | Fire-resistant board - An environmentally-friendly, fire-resistant board includes a primary layer mainly made of a composition comprised of a magnesite compound and carborundum, wherein the primary layer enables the fire-resistant board to resist fire, to retard flame, to endure water and moisture, to prevent deformation, and to withstand bend as well as impact. | 03-24-2011 |
20110070411 | PLASTIC WITH IMPROVED GLOSS PROPERTIES AND SURFACE TREATMENT METHOD - The present invention provides a plastic with improved gloss properties and a surface treatment method for plastic, which forms a nanopattern and a hardened layer on the surface of a polymer material by irradiating an argon ion beam onto the surface to change the refractive index, thus changing the gloss of the polymer variously using only the polymer/plastic material. | 03-24-2011 |
20110076463 | MATTE RESIN FILM - The present invention provides a matte resin film having a matte surface which allows a printed pattern to be clearly seen through a matte surface when the pattern is on a surface of the matte resin film opposite to the matte surface. The matte resin film has a resin film substrate and a matte layer formed on at least one surface of the resin film substrate, wherein the matte layer has a top surface that has a 60-degree specular gloss Gs being 5% to 60%, and wherein the matte resin film has a haze H that satisfies the inequality: H<70(%)−Gs. Preferably, the matte layer contains a transparent resin and transparent fine particles dispersed therein. | 03-31-2011 |
20110076464 | STRUCTURING OF CONDUCTIVE POLYMER LAYERS BY MEANS OF THE LIFT-OFF PROCESS - Processes comprising: (a) providing a substrate; and (b) forming a conductive structured polymer layer on a surface of the substrate, wherein forming the conductive structured polymer layer comprises applying at least one conductive polymer comprising a polycation and at least one polyanion having a mean molecular weight M | 03-31-2011 |
20110076465 | EPOXY-CONTAINING POLYMER, PHOTO-CURABLE RESIN COMPOSITION, PATTERNING PROCESS, AND ELECTRIC/ELECTRONIC PART PROTECTIVE FILM - A photo-curable resin composition comprising an epoxy-containing silphenylene or silicone polymer with a Mw of 3,000-500,000 forms a coating which is useful as a protective film for electric/electronic parts. | 03-31-2011 |
20110076466 | TONER COMPOSITION FOR ELECTROSTATIC PHOTOGRAPHY, DEVELOPER FOR ELECTROSTATIC PHOTOGRAPHY, METHOD OF FORMING ELECTROSTATIC PHOTOGRAPHIC IMAGE, AND ELECTROSTATIC PHOTOGRAPHIC IMAGE - The present invention provides a toner composition for electrostatic photography, including a reactive compound A having a reactive group XA, and a reactive compound B having a reactive group XB that is capable of reacting with the reactive group XA and forming a bond, wherein the reactive compound B is capable of forming a three-dimensionally bonded structure by reacting with the reactive compound A, and wherein the toner composition includes the reactive compound A and the reactive compound B in a mutually isolated state. | 03-31-2011 |
20110076467 | High-Throughput Imaging of Graphene Based Sheets by Fluorescence Quenching Microscopy and Applications of Same - A method for imaging a graphene-based film. In one embodiment, the method includes the steps of providing a graphene-based film on a surface of a medium; forming a fluorescent coating over the graphene-based film to form a sample; illuminating the sample with light of a specific wavelength or wavelengths, which is absorbed by the fluorescent coating to cause the fluorescent coating to emit light of wavelengths longer than that of the absorbed light, which is quenched by the graphene-based film such that a visibility contrast is formed between the graphene-based film and the fluorescent coating; and imaging the graphene-based film from the visibility contrast. | 03-31-2011 |
20110076468 | Kit For Decorating An Article - A decorating kit is provided to a user. The decorating kit comprises an article having a color that conceals color applied to the article, one or more designs applied on the article, and one or more coloring markers for coloring the designs on the article. The color of the article is a light absorbing color, which conceals color applied to the article. The article is, for example, clothing, an accessory, a pet product, etc. The designs are screened or attached onto the article. When the user colors the designs on the article using the coloring markers, the colors that inadvertently extend beyond the designs onto the article are not visible on the article. The user can therefore color the designs on the article without the colors that over-mark the designs, visible on the article. The decorating kit further comprises decorative elements for decorating the designs and the article. | 03-31-2011 |
20110076469 | Manufacturing method of optical film, optical film manufactured by the manufacturing method, and polarizing plate and image display having the optical film - A method for manufacturing an optical film is provided and includes a process of casting two or more dopes containing at least a polymer and a solvent on a base material at the same time, and a process of eliminating the solvent. One dope is a dope (Db) previously containing a dispersed phase at the time of casting or forming a phase-separated dispersed phase in the process of eliminating the solvent. The main component of the solvents constituting the dispersed phase of the dope (Db) is a solvent in which the polymer is substantially insoluble. The dope (Db) is cast to form a contiguous lower layer to a layer on the farthest side from the base material or a layer nearer to the base material than the contiguous lower layer. One different dope (Db) is a dope (Do) not substantially containing a dispersed phase that is phase-separated at the time of casting or in the process of eliminating the solvent, and the dope (Do) not substantially containing a dispersed phase is cast to form a layer on the farthest side from the base material. | 03-31-2011 |
20110081526 | PATTERNING OF SOLID STATE FEATURES BY DIRECT WRITE NANOLITHOGRAPHIC PRINTING - The present invention includes a method of fabricating organic/inorganic composite nanostructures on a substrate comprising depositing a solution having a block copolymer and an inorganic precursor on the substrate using dip pen nanolithography. The nanostructures comprises arrays of lines and/or dots having widths/diameters less than 1 micron. The present invention also includes a device comprising an organic/inorganic composite nanoscale region chemically bonded to a substrate, wherein the nanoscale region, wherein the nanoscale region has a nanometer scale dimension other than height. | 04-07-2011 |
20110081527 | LAYERED PRODUCT HAVING POROUS LAYER AND FUNCTIONAL LAYERED PRODUCT MADE WITH THE SAME - The present invention provides a layered product having a porous layer made mainly of a polymer on a base, and a process for producing the same; and a functional layered product wherein a pattern of a functional material, such as an conductive material, is formed onto a light-transmitting base using the layered product having the porous layer, and a process for producing the functional layered product. A layered product comprising a base and a porous layer on at least one surface of the base, wherein the porous layer is constituted of a composition containing a polymer as a main component, the porous layer has micropores having an average pore diameter of 0.01 to 10 μm, and has a porosity of 30 to 85%, the composition constituting the porous layer has a glass transition temperature of 20° C. or higher, and the porous layer is a layer which is convertible to a transparent layer by a heat treatment through disappearance of the micropores. A conductive pattern is formed on the porous layer surface of the layered product, and then the resultant layered product is subjected to a heat treatment to cause the micropores in the porous layer to disappear, thereby converting the porous layer to a transparent layer. | 04-07-2011 |
20110086206 | POLYMER COMPOSITIONS CONTAINING CARBONACEOUS FILLERS - Compositions comprising carbonaceous filler, polymeric binder, and at least one organic compound having at least one charged functional group. | 04-14-2011 |
20110086207 | DIAMOND APPARATUS AND METHOD OF MANUFACTURE - A method of manufacturing a diamond apparatus includes forming an insulating layer on a surface of a substrate, forming a masking layer on a surface of the insulating layer, and forming a photoresist layer on a surface of the masking layer. A portion of the photoresist layer is cross-linked through exposure to light, leaving a portion of the photoresist layer non-cross-linked. The non-cross-linked portion of the photoresist layer is removed from the masking layer, thus exposing a portion of the masking layer. The method further includes removing the exposed masking layer portion. Any remaining portion of the cross-linked photoresist layer is also removed, resulting in the formation of a patterned masking layer extending from the insulation layer. Diamond material is seeded onto the patterned masking layer and an exposed portion of the insulation layer. The masking layer is removed, resulting in a patterned diamond apparatus extending from the insulating layer | 04-14-2011 |
20110091694 | METHOD FOR FORMING FINE ELECTRODE PATTERNS - The present invention is an electrode of an electric device, having a portion at which a pattern is formed using a photosensitive paste, and a portion at which a pattern is formed using a transfer method. Described is a method in which migration at an electrode portion is curtailed by using a photosensitive paste to form a pattern at areas where electrode width is comparatively large, and by forming a pattern using a transfer method at areas where electrode width becomes narrower. | 04-21-2011 |
20110091695 | Multilayer Film for Packaging for Thermal Treatment - A multilayer film for the production of flexible packaging for thermal treatment has a plastic layer as outer layer and a sealable layer as inner layer, and middle layers. The outer layer, the inner layer and the middle layers are coextruded to form a biaxially oriented film, and the multilayer film has a maximum degree of dimensional change of 2% at most when exposed to temperature. | 04-21-2011 |
20110091696 | INK COMPOSITION, INK COMPOSITION FOR INKJET RECORDING, INK SET, INK CARTRIDGE, INKJET RECORDING METHOD, AND RECORDED PRODUCT - An ink composition is provided which does not undergo decomposition or fading of colorants even when stored under high-temperature environment. An ink composition which contains a compound represented by the following general formula (1) and a humectant, wherein the content of the compound represented by the general formula (1) is from 0.1% by mass to less than 7.0% by mass, the content of the humectant having 3 or more hydroxyl groups is 8.0% by mass or less, and the molar ratio of the humectant having 3 or more hydroxyl groups/the compound represented by the general formula (1) being less than 15.0; | 04-21-2011 |
20110097555 | Silicone wrap for foodstuffs and method of making the same - The present invention provides a process for manufacturing a silicone wrap for foodstuffs. The steps involved are (1) making a silicone gum mixture, (2) silk-screening the silicone gum mixture on a non-stick sheet such as TEFLON, and (3) curing the silicone gum mixture on the sheet at 200 degrees C. for 5 to 30 minutes. Once cured, the cured silicone gum mixture forms a thin silicone layer for foodstuffs of 0.3 to 1 mm. | 04-28-2011 |
20110097556 | BIOLOGICAL CONTROL OF NANOPARTICLE NUCLEATION, SHAPE AND CRYSTAL PHASE - The present invention includes compositions and methods for selective binding of amino acid oligomers to semiconductor materials. One form of the present invention is a method for controlling the particle size of the semiconductor materials by interacting an amino acid oligomer that specifically binds the material with solutions that can result in the formation of the material. The same method can be used to control the aspect ratio of the nanocrystal particles of the semiconductor material. Another form of the present invention is a method to create nanowires from the semiconductor material. | 04-28-2011 |
20110097557 | Alignment layer for planar alignment of a polymerizable liquid crystalline or mesogenic material - The invention relates to an alignment layer for planar alignment of a polymerizable liquid crystalline or mesogenic material, to a method of preparing such an alignment layer, to anisotropic polymer films with improved alignment made on such an alignment layer and to products comprising such an alignment layer, in particular decorative and security products. | 04-28-2011 |
20110097558 | IMAGE RECORDING METHOD, RECORDING MATERIAL, AND IMAGE RECORDING APPARATUS - An image recording method is provided which includes forming an image on a recording medium by a color ink composition containing a color colorant and a white ink composition containing a white colorant, and in the above image recording method, the color ink composition and the white ink composition are adhered in this order to the recording medium so that an equivalent ratio of the white colorant to the color colorant is set to 1 to 1,000:1 to form a pastel color image. | 04-28-2011 |
20110097559 | SUPRAMOLECULAR BLOCK COPOLYMER COMPOSITIONS FOR SUB-MICRON LITHOGRAPHY - A polymeric composition and method of preparation for application in sub-micron lithography, comprising a blend of A-B and B′-C block, random, branched, or graft copolymers, where: (i) the B and B′ blocks or grafts have attractive supramolecular interactions characterized by a negative Flory-Huggins parameter; (ii) the composition exhibits a microphase-separated, three-domain morphology with A, C, and B/B′ domains comprised largely of A blocks or grafts, C blocks or grafts, and a mixture of B and B′ blocks or grafts, respectively. Long-range ordering of nanometer-scale domain features has been achieved in thin films of such supramolecular polymer blends, while avoiding macrophase separation. The strategy offers a diversity of morphologies for sub-micron lithographic applications in tandem with ease of chemical synthesis. | 04-28-2011 |
20110104450 | NEGATIVE-WORKING LITHOGRAPHIC PRINTING PLATE PRECURSORS - A negative-working lithographic printing plate precursor have an outermost imageable layer that includes an oxygen scavenger and shelf-life stabilizer that is represented by either Structure (I) or Structure (II) below: | 05-05-2011 |
20110104451 | PATTERNED ARTIFICIAL MARBLE SLAB - According to some embodiments, there is provided a method of producing a patterned artificial marble slab ( | 05-05-2011 |
20110104452 | BLOCK COPOLYMER MORPHOLOGY TRAPPING IN THIN FILMS USING LOW TEMPERATURE TREATMENT AND ANNEALING FOR INHIBITION OF MARINE ORGANISM ATTACHMENT TO SURFACES - The present invention provides block copolymer films for application to surfaces exposed to marine environments in order to reduce biofouling of surfaces immersed in the marine environment. The present invention provides a method of fabricating block copolymer films using morphology trapping by lower temperature treatment in conjunction with solvent and or temperature annealing. The present invention inhibits the attachment of marine organisms, but it does not kill the organisms nor is it highly toxic. Cross-linked AB diblock or higher block copolymers, where A and B and any additional blocks if present have different hydrophobicity i.e. A is hydrophobic and B is hydrophilic, mixed with a photo-initiator films that preserve their nanosize domains when immersed in water. The block copolymer films inhibit settlement of marine organisms and can be used as marine antifouling coatings. | 05-05-2011 |
20110104453 | LIQUID COMPOSITION, INK JET RECORDING METHOD, INK JET RECORDING APPARATUS AND RECORDED IMAGE - The invention provides an aqueous liquid composition containing a water-soluble monomer, a photopolymerization initiator and an aqueous medium and further containing a polymer emulsion, wherein the water-soluble monomer is a monomer that has two or more ethylenically unsaturated bonds and is curable with an active energy ray. | 05-05-2011 |
20110104454 | COMPOSITION FOR FORMING LAYER TO BE PLATED, METHOD OF PRODUCING METAL PATTERN MATERIAL, AND METAL PATTERN MATERIAL - The present invention provide a composition for forming a layer to be plated, including a solution in which from 1% by mass to 20% by mass of a polymer having a functional group that forms an interaction with a plating catalyst or a precursor thereof and a radical polymerizable group, and a water-insoluble photopolymerization initiator are dissolved in a mixed solvent comprising from 20% by mass to 99% by mass of a water-soluble flammable liquid and water; a method of producing a metal pattern material using the composition for forming a layer to be plated; and a metal pattern material produced by the method. | 05-05-2011 |
20110104455 | SUBSTRATE HAVING DYE WITH DENDRIMER AXIAL LIGANDS DISPOSED THEREON - A substrate having an IR-absorbing metal-cyanine dye disposed thereon. The dye is a metal-cyanine complex of formula (I): | 05-05-2011 |
20110104456 | DECORATIVE ELEMENTS AND MANUFACTURING METHOD THEREOF - The invention relates to a decorative element including: A substrate ( | 05-05-2011 |
20110104457 | Method For Preparing Nonwoven Fusible Interlining Using Pattern Printing - Disclosed is a nonwoven fusible interlining fabricated through a pattern printing and a method for fabricating the same, and more particularly to a method for fabricating a nonwoven fusible interlining including the steps of processing a staple fiber and fabricating elastic nonwoven, pattern printing on the nonwoven, and applying an adhesive, and a nonwoven fusible interlining fabricated by the same. Therefore, it is possible to provide the variously shape-reinforced nonwoven fusible interlining through a simple and speedy fabricating process. | 05-05-2011 |
20110104458 | INK COMPOSITION, INKJET RECORDING INK COMPOSITION, INK SET, INK CARTRIDGE, INKJET RECORDING METHOD AND RECORDED MATTER - An ink composition having a suitably-adjusted hue and causing no decomposition of dyes during storage is provided. The ink composition contains a compound (a) represented by the following formula (1), an ingredient (c) and moisture-retentive agents, characterized by being from 0.1 mass % to lower than 7.0 mass % in content of the compound represented by the formula (1), 10.0 mass % or lower in content of a moisture-retentive agent having 3 or more hydroxyl groups (b) and lower than 30.0 in molar ratio of the moisture-retentive agent having 3 or more hydroxyl groups to the compound represented by the formula (1): | 05-05-2011 |
20110111185 | SOLID INK T-SHIRT TRANSFERS - An apparatus for transferring indicia onto a medium is disclosed. The apparatus includes a substrate, and a mirror image of an image pattern printed onto the substrate with a phase-change ink having a phase-change temperature on a first side of the substrate, the printed mirror image configured to change phase and transfer to a medium in response to the first side of the substrate being placed in contact with a first side of the medium and sufficient heat applied to a second side of the substrate to raise the temperature of the phase-change ink of the mirror image to the phase-change temperature. | 05-12-2011 |
20110111186 | INORGANIC LAYER, DISPLAY DEVICE INCLUDING THE INORGANIC LAYER AND METHOD FOR MANUFACTURING THE DISPLAY DEVICE - Disclosed are an inorganic layer which is formed on one side or both sides of a substrate and has at least a portion irradiated with a laser, a display device including the inorganic layer, and a manufacturing method thereof. | 05-12-2011 |
20110117329 | PRODUCTION OF CONDUCTIVE COATINGS BY MEANS OF INKJET PRINTING - The invention relates to a process for producing conductive coatings containing a dispersion or solution comprising at least one optionally substituted polythiophene, at least one polyanion, water, at least one solvent and at least one basic additive by means of inkjet printing, wherein the pH of the aqueous dispersion or solution is adjusted to a value between 2 and 10 by means of at least one basic additive. The invention also relates to a conductive coating and the use thereof. | 05-19-2011 |
20110117330 | WRITING PAPER AND METHOD FOR MANUFACTURING WRITING PAPER - Writing paper that exhibits an excellent writing capability and erasability when using a whiteboard marker, and is not easily damaged, and a method of producing the same are disclosed. The writing paper includes a first coating layer formed by applying a first coating material to one side or each side of paper, and a second coating layer formed by applying a second coating material to the first coating layer, the first coating material and the second coating material being UV-curable, and the second coating layer having a thickness larger than that of the first coating layer. | 05-19-2011 |
20110117331 | METHOD FOR MANUFACTURING AN OPTICAL FILM - A method for manufacturing an optical film ( | 05-19-2011 |
20110117332 | ACRYLATE RESIN, PHOTORESIST COMPOSITION COMPRISING THE SAME, AND PHOTORESIST PATTERN - Disclosed are an acrylate resin included in a chemically amplified photoresist composition for forming a thick film, a chemically amplified photoresist composition including the same, and a photoresist pattern fabricated therefrom. The photoresist composition including the acrylate resin can achieve an improvement of sensitivity without damaging major characteristics such as compatibility (dispersion stability), spreading characteristics, developing characteristics, and resolution. In addition, a thick resist pattern can be formed with such a composition, and the pattern can have excellent sensitivity, developing characteristics, pattern characteristics, crack resistance, and plating resistance. | 05-19-2011 |
20110117333 | NEGATIVE PHOTOSENSITIVE COMPOSITION, PARTITION WALLS FOR OPTICAL DEVICE USING IT AND OPTICAL DEVICE HAVING THE PARTITION WALLS - To provide a negative photosensitive composition applicable to preparation of partition walls which can maintain excellent ink repellency even after ink affinity-imparting treatment, and partition walls for an optical device using such a composition. | 05-19-2011 |
20110123782 | RECYCLABLE COATED BANNER SUBSTRATE - Disclosed is a recyclable coated banner substrate comprising (1) a composition comprising (a) copolymers of ethylene and maleic anhydride or its functional equivalents and (b) ethylene copolymers with polar comonomers such as ethylene/vinyl acetate copolymers, ethylene/alkyl (meth)acrylate copolymers and ethylene/(meth)acrylate/carbon monoxide terpolymers, and (2) a planar polyolefin banner substrate wherein the composition is affixed to at least one side of the planar polyolefin banner substrate. | 05-26-2011 |
20110123783 | MULTILAYER BUILD PROCESSSES AND DEVICES THEREOF - A process to form devices may include forming a seed layer on and/or over a substrate, modifying a seed layer selectively, forming an image-wise mold layer on and/or over a substrate and/or electrodepositing a first material on and/or over an exposed conductive area. A process may include selectively applying a temporary patterned passivation layer on a conductive substrate, selectively forming an image-wise mold layer on and/or over a substrate, forming a first material on and/or over at least one of the exposed conductive areas and/or removing a temporary patterned passivation layer. A process may include forming a sacrificial image-wise mold layer on a substrate layer, selectively placing one or more first materials in one or more exposed portions of a substrate layer, forming one or more second materials on and/or over a substrate layer and/or removing a portion of a sacrificial image-wise mold layer. | 05-26-2011 |
20110123784 | WATER-SOLUBLE NEAR-INFRARED ABSORBING COLORING MATTERS AND AQUEOUS INKS CONTAINING SAME - Coloring matters represented by general formula (1) or salts thereof are simply provided at a low cost as water-soluble coloring matters which exhibit absorption in the near-infrared region. Further, aqueous ink compositions which contain the coloring matters and have excellent storage stability are also provided. In general formula (1), Nc is optionally substituted naphthalocyanine; M is a metal oxide or the like; R | 05-26-2011 |
20110129652 | Chemical Trim of Photoresist Lines by Means of A Tuned Overcoat - A new lithographic process comprises reducing the linewidth of an image while maintaining the lithographic process window, and using this process to fabricate pitch split structures comprising nm order (e.g., about 22 nm) node semiconductor devices. The process comprises applying a lithographic resist layer on a surface of a substrate and patterning and developing the lithographic resist layer to form a nm order node image having an initial line width. Overcoating the nm order node image with an acidic polymer produces an acidic polymer coated image. Heating the acidic polymer coated image gives a heat treated coating on the image, the heating being conducted at a temperature and for a time sufficient to reduce the initial linewidth to a subsequent narrowed linewidth. Developing the heated treated coating removes it from the image resulting in a free-standing trimmed lithographic feature on the substrate. Optionally repeating the foregoing steps further reduces the linewidth of the narrowed line. The invention also comprises a product produced by this process. | 06-02-2011 |
20110135888 | CRYSTALLINE COLLOIDAL ARRAY OF PARTICLES BEARING REACTIVE SURFACTANT - A crystalline colloidal array of particles is disclosed, which includes reactive surfactant covalently bound to the particle surfaces. During formation of the array, the bound surfactant remains in position on the particles resulting in reduced quantity of defects compared to arrays of particles produced with non-reactive surfactants. | 06-09-2011 |
20110135889 | MARKING BASED ON CHIRAL LIQUID CRYSTAL POLYMERS - A liquid crystal polymer marking is obtainable by a process that comprises applying a chiral liquid crystal precursor composition onto a substrate, heating the composition to a bring same to a chiral liquid crystal state, locally applying at least one modifying agent to modify the chiral liquid crystal state, and curing and/or polymerization the resultant product. This abstract is neither intended to define the invention disclosed in this specification nor intended to limit the scope of the invention in any way. | 06-09-2011 |
20110135890 | CHIRAL LIQUID CRYSTAL POLYMER MARKING - A liquid crystal polymer marking is obtainable by a process that comprises applying a first chiral liquid crystal precursor composition onto a substrate, heating the composition to bring same to a first chiral liquid crystal state, applying to at least one area of the first composition a second chiral liquid crystal precursor composition, heating the at least one area to bring same to a second chiral liquid crystal state, and subsequently curing and/or polymerizing the resultant product. This abstract is neither intended to define the invention disclosed in this specification nor intended to limit the scope of the invention in any way. | 06-09-2011 |
20110135891 | FILM TYPE PHOTODEGRADABLE TRANSFER MATERIAL - Disclosed herein is a film-type photodegradable transfer material, comprising: a support film; a resin protection layer; a photodegradable photoresist layer; and a cover film, wherein the resin protection layer has an adhesion force of 0.05 kg | 06-09-2011 |
20110135892 | TRANSPARENT CONDUCTIVE FILM, METHOD FOR PRODUCTION THEREOF AND TOUCH PANEL THEREWITH - A transparent conductive film includes: a transparent film substrate; a transparent conductor layer provided on one or both sides of the transparent film substrate; and at least one undercoat layer interposed between the transparent film substrate and the transparent conductor layer; wherein: the transparent conductor layer is patterned; and a non-patterned portion not having the transparent conductor layer has the at least one undercoat layer. | 06-09-2011 |
20110143101 | GRAPHENE STRUCTURE, METHOD FOR PRODUCING THE SAME, ELECTRONIC DEVICE ELEMENT AND ELECTRONIC DEVICE - Provided are a graphene structure and a method for producing the same in which graphene can be patterned with high precision, and thereby microfabrication of electronic device elements and electronic devices using graphene is possible and the manufacturing cost can be notably reduced. A resist film is precisely patterned on a substrate, hydrophilized films are formed in openings of the resist film, and then GO is selectively fixed on the portions of the hydrophilized films by a chemical bond utilizing the hydrophilicity of the GO, and the GO is reduced to obtain a graphene structure in which graphene is selectively fixed to only the portions of the hydrophilized films. Thus, the graphene structure is constituted by disposing graphene on a substrate and forming a bond, by hydrophilization treatment, between the hydrophilized portion of the substrate and the graphene and/or between the unhydrophobized portion of the substrate and the graphene. | 06-16-2011 |
20110143102 | Freedom flag - The present invention, hereinafter referred as the Freedom Flag is a specially designed window tint for motor vehicles which is adorned with a striking image of, in one embodiment, the American flag. Notably, imprinted over the top of the flag and facing forward with wings outstretched is the bald eagle, providing a bold and striking contrast to the flag design. The flag itself is rendered as the traditional stars and stripes and as such would boast a blue union adorned with fifty stars positioned in the upper left quadrant of the flag and features thirteen horizontal strips of alternate red and white. The Freedom Flag is rendered to create the illusion that the flag is freely flying, thus the bottom of the union and stripes are rendered as undulating, waving lines. Boldly imprinted on the left side of the unit are the words “STRENGTH,” “HONOR,” “FREEDOM” rendered in a cursive text and boasting a stepped placement. As mentioned, the Freedom Flag is produced as a standard vehicle sun blocker, thus this image could be imprinted on window tint to block the ultraviolet rays of the sun from the rear window. | 06-16-2011 |
20110143103 | PHOTO-CURABLE RESIN COMPOSITION, PATTERN FORMING METHOD AND SUBSTRATE PROTECTING FILM, AND FILM-SHAPED ADHESIVE AND ADHESIVE SHEET USING SAID COMPOSITION - The invention relates to a photo-curable resin composition, which contains a polyimide silicone having a primary alcoholic hydroxyl group, as a component (A); at least one compound selected from the group consisting of an amino condensation product modified with formalin or a formalin-alcohol and a phenol compound having two or more in average of methylol group or alkoxymethylol group in one molecule thereof, as a component (B); and a photo-acid generator as a component (C). When used as an adhesive, the photo-curable resin composition further contains a multifunctional epoxy compound as a component (D). | 06-16-2011 |
20110143104 | ELECTROSTATIC ADSORBABLE SHEET - An electrostatic adsorbable sheet (iii), which has a long-lasting adsorbability not easily affected by humidity, secures good ink adhesiveness, and does not generate troubles in a printing process, is provided. The electrostatic adsorbable sheet (iii) comprises a laminate of a label layer (i) containing a resin film layer (A) and a recording layer (D) on one surface of the resin film layer (A), and a peelable sheet layer (ii) containing a peelable layer (B) and a support layer (C), in which the label layer (i) and the peelable sheet layer (ii) are laminated so that the resin film layer (A) and the peelable layer (B) contact with each other. The peeling strength between the label layer (i) and the peelable sheet layer (ii) is from 1 to 50 g/cm, and the label layer (i) peeled from the peelable sheet layer (ii) is electrostatically adsorbable to an object to be attached. | 06-16-2011 |
20110143105 | TRANSPARENT CONDUCTIVE FILM, METHOD FOR PRODUCTION THEREOF AND TOUCH PANEL THEREWITH - A transparent conductive film includes: a transparent film substrate; a transparent conductor layer provided on one or both sides of the transparent film substrate; and at least one undercoat layer interposed between the transparent film substrate and the transparent conductor layer; wherein: the transparent conductor layer is patterned; and a non-patterned portion not having the transparent conductor layer has the at least one undercoat layer. | 06-16-2011 |
20110143106 | Azaphthalocyanines and Their Use in Ink-Jet Printing - A mixture of azaphthalocyanine compounds of Formula (1) and salts thereof: | 06-16-2011 |
20110151202 | Controllable Placement of Liquid Adhesive on Substrate - Controllable placement of a liquid adhesive on a substrate to confine the adhesive to a desired area of the substrate is disclosed. A controllable placement method can include dispensing a liquid adhesive into a designated area on a surface of a substrate, controllably confining the dispensed liquid adhesive to the designated area, and curing the confined liquid adhesive. The dispensed liquid adhesive can be controllably confined using various techniques, such as electrical repulsion, electrical attraction, capacitance, electrowetting, light curing, adhesive attracting-repulsing coatings, and substrate topography. A substrate having a controllably placed liquid adhesive thereon can be incorporated into electronic devices, such as a mobile telephone, a digital media player, or a personal computer. | 06-23-2011 |
20110151203 | DESSERT DECORATION SYSTEM AND METHOD - A food decoration is provided that includes an image imprinted on a substrate, a support member for supporting the substrate on the food, and an attachment that affixes the substrate to the support. A system is also provided that includes an electronic apparatus adapted to store, and in some cases manipulate, images and to transfer the images to a substrate, such as via a printer, for attachment to the support member. | 06-23-2011 |
20110151204 | IDENTIFICATION TAPE - An identification tape is disclosed, which comprises: an implicit identification layer, doped with a plurality of implicit symbols; a color layer, formed on the implicit identification layer; and an explicit identification layer, formed on the color layer while enabling its information sub-layer to be formed on a surface thereof facing toward the color layer. By the formation of the implicit identification layer in the identification tape of the invention, any user in a dim-light environment will be able to identify whether the identification tape is genuine, or whether or not the identification tape had been tampered using the implicit symbols contained in the implicit identification layer. Thereby, the identification tape of the invention is equipped with good anti-counterfeiting and anti-tampering abilities. | 06-23-2011 |
20110151205 | SYSTEM AND METHODOLOGY FOR NUTRITIONAL PACKAGING - Systems and methodologies for facilitating the proper case and feeding of children or others by parents or caregivers. Through visual cues on products, juxtaposed with corresponding textual descriptors, and color-coding, the technique of the present invention provides a ready tool to identify appropriate foods. Additionally, a ring protocol establishes a way to assure that not only appropriate foods are consumed but in an appropriate percentage and amount, thereby assuring parents and other caregivers that their charges are properly fed. | 06-23-2011 |
20110159249 | PHOTOCROSSLINKABLE ELECTRICALLY CONDUCTIVE POLYMERS - Photocrosslinkable electrically conductive polymers and compositions including the polymers are provided. Also, electrically conductive layers or films formed from the compositions, preparation methods of these, and articles including the electrically conductive layers or films are provided. The electrically conductive polymers according to the present disclosure have photoreactive groups that can be crosslinked using like. The electrically conductive polymers can also be dissolved in aqueous solution. These photocrosslinkable and soluble properties allow the electrically conductive polymers to be used in wet processing to make films and/or to be made into a patterned conductive layer or film using a photomask. | 06-30-2011 |
20110159250 | PATTERNING METHOD - The invention provides a method of patterning flowable material on a surface. The method comprises providing the surface with at least one channel and at least one deposition region connected to the at least one channel, the width of the channel being less than the width of the deposition region, and depositing flowable material in the deposition region such that when the material makes contact with the channel the material is directed into said channel by capillary forces, the receding contact angle of the flowable material in the deposition region being less than 30°. | 06-30-2011 |
20110159251 | RADIATION-CURABLE INK COMPOSITION, INK JET RECORDING METHOD, AND RECORDED MATTER - Provided are a radiation-curable ink composition including phenoxyethyl acrylate (A) in an amount of from 20 to 55 mass % of the total reaction components, a multifunctional acrylate (B) in an amount of from 20 to 50 mass % of the total reaction components, and a black pigment (F1); and a radiation-curable ink composition including phenoxyethyl acrylate (A) in an amount of from 20 to 55 mass % of the total reaction components, a multifunctional acrylate (B) in an amount of from 10 to 50 mass % of the total reaction components, and a yellow pigment (F2). | 06-30-2011 |
20110159252 | Orthogonal Procesing of Organic Materials Used in Electronic and Electrical Devices - An orthogonal process for photolithographic patterning organic structures is disclosed. The disclosed process utilizes fluorinated solvents or supercritical CO | 06-30-2011 |
20110159253 | METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS - Provided are methods of forming photolithographic patterns using a negative tone development process. Also provided are coated substrates and electronic devices formed by the methods. The methods find particular applicability in the manufacture of electronic devices. | 06-30-2011 |
20110159254 | SHEET COMPOSITION AND SHEET PRODUCED FROM SAME - The present invention relates to a sheet composition containing at least one of either a polystyrene-based copolymer or an ethylene vinyl acetate-based copolymer, to a sheet produced from the same, to a laminated sheet containing the sheet, and to a composite sheet containing the laminated sheet. The sheet according to the present invention is provided with a high functional stability including wear resistance, oil resistance, and aging resistance, and superior embossing retention characteristics. | 06-30-2011 |
20110165386 | Magnetic graphic wall system - The practical application of incorporating magnetic receptive printing media coupled with the use of an underlying specifically referenced magnet allows the multi layering of magnetic media while maintaining the reference of position on said surface. This is achieved by aligning the polarity lines to the benefit of the intended design while fabricating the first layer to receive the second or subsequent layers to interact with the intended design. | 07-07-2011 |
20110165387 | ACTINIC ENERGY RADIATION CURABLE INK-JET INK, IMAGE FORMING METHOD USING THE SAME, AND PRINTED MATTER OBTAINED THEREBY - Provided is an actinic radiation curable inkjet ink capable of high sensitivity and high glossy image; and an image forming method utilizing the same. An actinic radiation curable inkjet ink comprising a photo polymerization initiator and a polymerizable monomer, wherein a surface free energy γ | 07-07-2011 |
20110165388 | LABELS - There is described a multi-layer film. The film comprises a first biodegradable layer and a second biodegradable layer, wherein the first and second biodegradable layers each comprises a biopolymer selected from the group consisting of carbohydrates, polysaccharides, gums, proteins, colloids, polyorganic acids and mixtures thereof. | 07-07-2011 |
20110165389 | METHOD FOR FORMING CONDUCTIVE POLYMER PATTERN - The present invention is a method for forming a patterned electroconductive layer containing an electroconductive polymer on a surface of a base body and is characterized in that a positive type photoresist composition containing a naphthoquinone diazide and a novolak resin is used, and that a developer containing a potassium ion at a concentration of 0.08 mol/l to 0.20 mol/l, and a coexistent sodium ion at a concentration of less than 0.1 mol/l is used for development of a resist film obtained by the positive type photoresist composition. | 07-07-2011 |
20110171435 | SINGLE SIDE STITCHING FOR INTERIOR SKINS - A skin assembly is disclosed. The skin assembly includes a skin formed from a material that is capable of being stitched, a thread passing through the skin to form a stitch pattern on a first surface of the skin and a loop extending from a second surface of the skin opposite the first surface, and a substrate coupled to the loop of the thread to secure the thread in the skin. | 07-14-2011 |
20110171436 | NEGATIVE-TYPE PHOTOSENSITIVE RESIN COMPOSITION, PATTERN FORMING METHOD AND ELECTRONIC PARTS - A negative-type photosensitive resin composition which is good in sensitivity and resolution, a pattern forming method by the use thereof wherein a pattern which can be developed in an alkali aqueous solution, is excellent in sensitivity, resolution and heat resistance and has a good shape is obtained, and highly reliable electronic parts are provided. The negative-type photosensitive rein composition includes (a) a polymer that has a phenolic hydroxyl group at a terminal and is soluble in the alkali aqueous solution, (b) a compound that generates an acid by irradiating active light, and (c) a compound that can be crosslinked or polymerized by an action of the acid. | 07-14-2011 |
20110171437 | Phthalocyanines and Their Use in Ink-Jet Printing - A mixture of compounds of Formula (1) and salts thereof: | 07-14-2011 |
20110171438 | Laser Imageable Paper - A method of manufacturing a paper substrate comprising a colour former which is capable undergoing a light activated colour change reaction, wherein the colour former is applied to the paper substrate during the manufacture of said paper substrate, and the colour former is a metal oxyanion or a molecular organic. A paper substrate obtainable by this method is also provided. | 07-14-2011 |
20110177302 | POSITIVE PHOTOSENSITIVE RESIN COMPOSITION AND METHOD OF FORMING CURED FILM FROM THE SAME - According to one embodiment, a positive photosensitive resin composition includes a resin containing a specified acrylic acid besed-structural unit which generates a carboxyl group when its dissociative group is dissociated, which resin is insoluble in alkali or sparingly soluble in alkali but when its acid-dissociative group is dissociated, becomes soluble in alkali, a resin containing a structural unit derived from a radical-polymerizable monomer containing an epoxy group, a compound containing two or more epoxy groups in its molecule, provided that the resin containing the structural unit derived from a radical-polymerizable monomer containing an epoxy group is not included in this compound, and a compound that when exposed to actinic rays of 300 nm or longer wavelength, generates an acid. | 07-21-2011 |
20110177303 | DECORATIVE SURFACE STRUCTURE OF SYNTHETIC RESIN MOLDED ARTICLE, METHOD FOR PRODUCING THE SAME AND AUTOMOBILE INTERIOR PART - Provided are a decorative surface structure of a synthetic resin molded article which has excellent wear resistance, design and texture, a method for producing the same, and a synthetic resin molded decorative article. Specifically provided are a decorative surface structure of an automobile interior part, which is capable of various design representations having an excellent three-dimensional appearance, while ensuring antidazzling property, and does not spoil glossy finish, a method for producing the same, and an automobile interior part. The decorative surface structure is comprised of a base coating region formed on a surface of a synthetic resin molded article by a coating layer and one or more convex portion formed on the base coating member. | 07-21-2011 |
20110177304 | INK FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT, METHOD FOR MANUFACTURING ORGANIC ELECTROLUMINESCENT ELEMENT, AND DISPLAY DEVICE - An ink used for forming an organic layer of an organic electroluminescent element comprising a pair of electrodes and the organic layer interposed between the electrodes by a printing method. The ink comprises a first solvent having a boiling point of equal to or more than 220° C. and a constituent material of the organic layer and has a surface tension of less than 34 mN/m. | 07-21-2011 |
20110183123 | Modified Surfaces - The invention provides a method for producing a modified surface ( | 07-28-2011 |
20110183124 | AQUEOUS INK COMPOSITION, INK JET RECORDING METHOD AND RECORDED MATERIAL - An ink jet recording method includes ejecting droplets of an aqueous ink composition on an ink-non-absorbent or ink-low-absorbent recording medium from an ink jet recording apparatus, and drying the aqueous ink composition on the recording medium by heating the recording medium to 40° C. or more. The aqueous ink composition used in the method contains a pigment, a first solvent and a second solvent, but does not contain glycerol. The first solvent content W1 is in the range of 3% to 6% by mass, and the ratio W | 07-28-2011 |
20110183125 | AQUEOUS INK COMPOSITION, INK JET RECORDING METHOD, AND RECORDED MATTER - An ink jet recording method includes ejecting droplets of an aqueous ink composition from an ink jet recording apparatus onto a non-ink-absorbing or low-ink-absorbing recording medium, and heating the recording medium to 40° C. or more to dry the aqueous ink composition deposited on the recording medium, wherein the first solvent content (W1) is 3% by mass or more and 6% by mass or less of the mass of the aqueous ink composition, the ratio (W2/W1) of the second solvent content (W2) to the first solvent content (W1) is one or more, and the ratio [(W2+W3)/(W1)] of the total (W2+W3) of the second solvent content (W2) and the third solvent content (W3) to the first solvent content (W1) is three or more. | 07-28-2011 |
20110183126 | Substrate Marking - The invention relates to a method of marking a substrate comprising treating the substrate with a boron compound and a charrable agent, and, irradiating the areas of the substrate to be marked such that those areas change colour. Marked substrates obtainable by this method are also provided. | 07-28-2011 |
20110183127 | COMPOSITION FOR IMPRINTS, PATTERN AND PATTERNING METHOD - A composition for imprints comprising a polymerizable monomer, a photopolymerization initiator, and a polymer having a functional group with at least one of a fluorine atom or a silicon atom and having a polymerizable functional group, wherein the polymer has a weight-average molecular weight of at least 2000 and the amount of the polymer is from 0.01 to 20% by mass relative to the polymerizable monomer, is excellent in patternability and mold releasability, capable of forming good patterns and free from a problem of mold contamination. | 07-28-2011 |
20110189445 | DECORATIVE MOLDED ARTICLE AND METHOD FOR PRODUCING THE SAME - A decorative molded article which is obtained by transferring hydraulically a hydraulic transfer film comprising a transfer layer including at least two layers of a curable resin layer with an active energy ray and a printed design layer such that the curable resin layer with an ionization radiation becomes a surface layer, wherein the printed design layer comprises a layer printed with a design to be raised which is obtained by using an ink containing an inorganic pigment having a degree of swelling of 200% or more, and the surface of the transfer layer has the raised design corresponding to the design of the layer printed with a design to be raised; and a method for producing a decorative molded article having a raised portion, after a hydraulic transfer film is activated and transferred onto a product to be transferred, the hydraulic transfer film includes a support film, and a printed design layer having a curable resin layer and a layer printed with a design to be raised, which is obtained by using an ink containing an inorganic pigment having a degree of swelling of 200% or more, and the printed design layer does not include a solid print layer obtained by using an ink containing an inorganic pigment having a degree of swelling of 200% or more; the curable resin layer is semi-cured; the support film is removed from the transfer layer, the transfer layer is dried, and the curable resin layer is completely cured. | 08-04-2011 |
20110189446 | Process and System for Fabrication of Patterns on a Surface - The invention provides a system and process of patterning structures on a carbon based surface comprising exposing part of the surface to an ion flux, such that material properties of the exposed surface are modified to provide a hard mask effect on the surface. A further step of etching unexposed parts of the surface forms the structures on the surface. The inventors have discovered that by controlling the ion exposure, alteration of the surface structure at the top surface provides a mask pattern, without substantially removing any material from the exposed surface. The mask allows for subsequent ion etching of unexposed areas of the surface leaving the exposed areas raised relative to the unexposed areas thus manufacturing patterns onto the surface. For example, a Ga+ focussed ion beam exposes a pattern onto a diamond surface which produces such a pattern after its exposure to a plasma etch. The invention is particularly suitable for patterning of clear well-defined structures down to nano-scale dimensions. | 08-04-2011 |
20110189447 | BOARDS COMPRISING AN ARRAY OF MARKS TO FACILITATE ATTACHMENT - A board is provided that includes a pattern to facilitate attachment of the board to a frame structure. The pattern comprises a first array of marks disposed along a first imaginary line; a second array of marks disposed along a second imaginary line, said first and second imaginary lines being spaced a first predetermined distance apart; and a third array of marks disposed along a third imaginary line, said first and third imaginary lines being spaced a second predetermined distance apart. The board may be used in a variety of construction applications, where the pattern facilitates the quick attachment of the board to an underlying frame. | 08-04-2011 |
20110195233 | Bio-Based Toner - A series of resins were synthesized using a range of bio-based materials to control the molecular architecture, and therefore the properties, of the inventive resins. The resins were formulated into toner formulations such as those useful in printers and copiers. | 08-11-2011 |
20110195234 | METHOD OF PRODUCING SOLID DECORATED GRAPHIC ARTS OBJECTS - Decorated three-dimensional articles ( | 08-11-2011 |
20110195235 | NEAR-INFRARED ABSORPTIVE COMPOSITION, NEAR-INFRARED ABSORPTIVE COATED MATERIAL, NEAR-INFRARED ABSORPTIVE LIQUID DISPERSION, NEAR-INFRARED ABSORPTIVE INK, PRINTED MATERIAL, AND NEAR-INFRARED ABSORPTIVE IMAGE-FORMING COMPOSITION - A near-infrared absorptive liquid dispersion, which contains a near-infrared absorptive compound represented by formula (1) that is dispersed in a form of fine particles in a dispersing medium: | 08-11-2011 |
20110195236 | Disazo Dyes And Their Use in Ink-Jet Printing - A compound of Formula ( | 08-11-2011 |
20110195237 | SYSTEM AND RESIN FOR RAPID PROTOTYPING - The present invention relates to a system and a resin relating to rapid prototyping. | 08-11-2011 |
20110195238 | ANTHRAPYRIDONE COLORING MATTER, SALT THEREOF, INK COMPOSITION AND COLORED BODY - Disclosed is an ink composition containing a magenta dye which has a hue and definition suitable for inkjet recording and provides recorded matter having excellent fastness such as light resistance, ozone gas resistance and the like. Also disclosed is the magenta dye. The ink composition contains, as a dye, at least one anthrapyridone dye represented by formula (1) or a salt thereof. In formula (1), X | 08-11-2011 |
20110200794 | RADIATION-CURABLE INK COMPOSITION, INK JET RECORDING PROCESS, AND RECORDED MATTER - A radiation-curable ink composition includes an acrylate monomer of which homopolymer has a glass transition temperature of not higher than 0° C. in an amount of 20% by mass or more and 65% by mass or less based on the total amount of reaction components; a monofunctional acrylate having an alicyclic structure; and a multifunctional acrylate having an alicyclic structure. | 08-18-2011 |
20110200795 | IMPRINT LITHOGRAPHY - An imprint lithography template is disclosed. The imprint lithography template includes a plurality of pattern features extending from a plane of a body of the imprint lithography template, and away from that body, the pattern features to be used to apply a pattern into an imprintable medium. The imprint lithography template further includes a plurality of assist features in the form of recesses extending from the plane of that body of the imprint lithography template, and into that body. A method for forming the assist features in the imprint lithography template, using self-assembled block copolymers as an etch resist, is also disclosed. | 08-18-2011 |
20110200796 | ENVIRONMENTALLY-FRIENDLY MULTI-LAYER FLEXIBLE FILM HAVING BARRIER PROPERTIES - A multi-layer film with barrier properties having one or more layers made from a bio-based film is disclosed. In one aspect, a multi-layer packaging film including (a) an outer layer including a bio-based film, wherein the bio-based film is polylactide, (b) an adhesive layer adjacent to the outer layer and (c) a product side layer including a metalized polyolefin having barrier properties, wherein the multi-layer packaging film is a flexible film. | 08-18-2011 |
20110200797 | TREATMENT LIQUID FOR PLASTIC FILM, PRIMER LIQUID FOR PRINTING, INK COMPOSITION, AND METHOD FOR INK JET RECORDING USING THEM - This invention provides a treatment liquid for an ink jet recording medium having a recording face of a plastic film, wherein the treatment liquid including at least a specific cyclic ester compound or a specific cyclic amide compound, a primer liquid for printing, and an ink composition. According to the present invention, a plastic recorded matter possessing excellent adhesion and waterfastness can be formed on a recording medium having a recording face of a plastic film. | 08-18-2011 |
20110200798 | TREATMENT LIQUID FOR PLASTIC FILM, PRIMER LIQUID FOR PRINTING, INK COMPOSITION, AND METHOD FOR INK JET RECORDING USING THEM - This invention provides a treatment liquid for an ink jet recording medium having a recording face of a plastic film, wherein the treatment liquid including at least a specific cyclic ester compound or a specific cyclic amide compound, a primer liquid for printing, and an ink composition. According to the present invention, a plastic recorded matter possessing excellent adhesion and waterfastness can be formed on a recording medium having a recording face of a plastic film. | 08-18-2011 |
20110200799 | TREATMENT LIQUID FOR PLASTIC FILM, PRIMER LIQUID FOR PRINTING, INK COMPOSITION, AND METHOD FOR INK JET RECORDING USING THEM - This invention provides a treatment liquid for an ink jet recording medium having a recording face of a plastic film, wherein the treatment liquid including at least a specific cyclic ester compound or a specific cyclic amide compound, a primer liquid for printing, and an ink composition. According to the present invention, a plastic recorded matter possessing excellent adhesion and waterfastness can be formed on a recording medium having a recording face of a plastic film. | 08-18-2011 |
20110200800 | Disazo Compounds and Their Use in Ink-Jet Printing - A compound of Formula (1) or a salt thereof: | 08-18-2011 |
20110200801 | ID CARDS WITH BLOCKED LASER ENGRAVING WRITABILITY - The invention relates to layer structures for ID cards on which information can be written by laser engraving and which have an additional layer that is applied to the card body after the laser engraving and restricts or completely prevents subsequent writing on the card by means of laser engraving, and consequently prevents falsification of the identifying information contained, and relates to a method for blocking the laser engraving writability of layer structures on which information can be written by laser engraving. | 08-18-2011 |
20110206907 | PLASTISOL COMPOSITIONS THAT ARE ESSENTIALLY FREE OF POLYVINYL HALIDES AND PHTHALATES - Plastisol compositions are disclosed containing methacrylate core/shell copolymer, non-phthalate ester plasticizers, pigment, filler, and thixotropic agent. Other additives are optional. The plasticizer is a blend of dibenzoate and DINCH. The plastisol can be used an ink of various colors for use in application to textiles. The plastisol compositions avoid polyvinyl chloride polymer resins and phthalate plasticizers conventionally employed in plastisol inks. | 08-25-2011 |
20110206908 | USE OF A PLASTIC FILM IN COLOUR LASER PRINTING - The present invention relates to the use of a plastic film as a printable medium in colour laser printing, to special plastic films for use in colour laser printing, and to their application in the production of security documents or valuable documents and plastic mouldings. | 08-25-2011 |
20110206909 | COATINGS FOR SUPPRESSING METALLIC WHISKERS - A coating is formed by depositing the coating on a metallic feature at a deposition temperature. Subsequently, the deposited coating and the metallic feature are cooled below the deposition temperature. The coating is chosen such that this cooling step causes the coating to induce a tensile stress in the metallic feature sufficient to substantially suppress the growth of metallic whiskers on that metallic feature. The coating thereby acts to suppress the growth of metallic whiskers. | 08-25-2011 |
20110212308 | FIBROUS NETWORKS AND A METHOD AND APPARATUS FOR CONTINUOUS OR BATCH FIBROUS NETWORK PRODUCTION - A method for the production of a fibrous network-substrate component includes the steps of providing a network of fibrous material ( | 09-01-2011 |
20110217522 | INK-JET PIGMENT INK AND INK-JET RECORDING METHOD USING THE SAME - An ink-jet pigment ink containing at least one tri-block copolymer of polyethylene oxide-polypropylene oxide-polyethylene oxide having a number-average molecular weight of 8,000 or more and 30,000 or less and a content of polyethylene oxide of 60% by mass or more and 90% by mass or less with respect to the total mass of the copolymer, wherein a viscosity of the ink-jet pigment ink increases by heating. | 09-08-2011 |
20110217523 | WALLPAPER AND METHOD FOR MANUFACTURING THE SAME - The present invention relates to wallpaper and a method for manufacturing the same. The wallpaper may be manufactured at low energy and low costs regardless of an increase in oil price and does not produce greenhouse gases and hazardous substances in the course of manufacture, use or disposal, or during fire. In addition, the wallpaper can decompose readily in the natural environment, even when buried. | 09-08-2011 |
20110223391 | Ink Composition for Ink Jet Recording of the Active Energy Beam Curing Type, and Printed Article - The invention provides an ink composition for ink jet recording of the active energy beam curing type that comprises 30 to 80% by mass of a monofunctional acrylate having a monoalicyclic structure, 1 to 10% by mass of an urethane acrylate oligomer and 5 to 30% by mass of N-vinylcaprolactam together with a polymerization initiator and a coloring agent, and has a viscosity at 40° C. of 5 to 20 mPa·s and a surface tension of 20 to 50 mN/m. The ink composition exhibits good ejection capability and curability, is less smelling and of great safety, and has good adhesion to a variety of substrate materials. | 09-15-2011 |
20110223392 | Removable Patch for Covering a Portion of a Body from View - The present application is directed to patches for covering a portion of a user's body. The patch may comprise a support layer having first and second exterior surfaces. The first exterior surface may be applied to the skin of the user, and a decorative medium may be attached to the second exterior surface. The support layer may be constructed of a material having a bend stiffness sufficient to allow the support layer to bend in a simple curvature shape and generally provide resistance to bending in a complex curvature shape. | 09-15-2011 |
20110223393 | EXPOSURE APPARATUS, METHOD OF FORMING PATTERNED LAYER, METHOD OF FORMING PATTERNED PHOTORESIST LAYER, ACTIVE DEVICE ARRAY SUBSTRATE AND PATTERNED LAYER - An exposure apparatus is provided and adapted for exposing a photoresist layer on a layer to form a plurality of strip exposed patterns. The exposure apparatus includes a light source, a lens group and a mask. The lens group is disposed between the photoresist layer and the light source and includes a plurality of strip lens parallel to each other, wherein an overlapping region between any two neighboring strip lens is defined as a lens connecting region, and the other regions excluding the lens connecting regions are defined as lens regions. The mask is disposed between the photoresist layer and the lens group and includes a plurality of shielding patterns, wherein an outline of the shielding patterns corresponds to the strip exposed patterns, each shielding pattern has a strip opening, and an extension direction of the strip openings is substantially parallel to an extension direction of the shielding patterns. | 09-15-2011 |
20110223394 | DOUBLE-FACED PRESSURE-SENSITIVE ADHESIVE TAPE FOR SOLAR CELL MODULES - Provided is a double-faced pressure-sensitive adhesive tape for solar cell modules superior in processability and workability during operations. | 09-15-2011 |
20110223395 | PIGMENT DISPERSION, INK COMPOSITION USING THE SAME, AND PRINTED MATERIAL - A pigment dispersion including: 2 to 35% by mass of a pigment (a); 10 to 30 parts by mass of a polymer (b) with respect to 100 parts by mass of the pigment (a), the polymer (b) containing 5 to 30% by mass of a repeating unit represented by the following Formula (1) and having a weight average molecular weight of 10000 to 200000; and 15 to 50 parts by mass of a graft copolymer (c) having a polyalkylene imine chain or a polyallylamine chain in a main chain thereof and a polyester chain in a side chain thereof with respect to 100 parts by mass of the pigment (a), in which a total of the contents of the polymer (b) and the graft copolymer (c) is in the range of 25 to 70 parts by mass with respect to 100 parts by mass of the pigment (a). | 09-15-2011 |
20110223396 | Component Surface with Three-Dimensional Surface Texture and Method for the Creation of a Component Surface with Three-Dimensional Surface Texture - This invention relates to a component surface (side section 1 | 09-15-2011 |
20110223397 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - The invention provides a semiconductor device comprising a semiconductor element and an adherend, thermocompression bonded via a patterned photosensitive film adhesive, wherein the water content of the patterned photosensitive film adhesive just before thermocompression bonding is no greater than 1.0 wt %, with the aim of providing a semiconductor device exhibiting excellent heat resistance. | 09-15-2011 |
20110229698 | BIODEGRADABLE RESIN COMPOSITES - The present invention provides biodegradable compositions, resins comprising the same, and composites thereof. | 09-22-2011 |
20110229699 | FULLY CROSSLINKED CHEMICALLY STRUCTURED MONOLAYERS - The present invention relates to a structured monolayer that is composed of low-molecular aromatics and fully cross-linked in the lateral direction, the monolayer having a pattern of functional groups on one of the two surfaces, and to a method for preparing such a structured monolayer, as well as to the use thereof. | 09-22-2011 |
20110229700 | PRINTING INK AND COATING COMPOSITIONS CONTAINING DERIVATIVES OF STARCH AND MODIFIED STARCH - A printing ink or coating composition contains optionally colorant and one or more derivatives of starches or modified starches. | 09-22-2011 |
20110236644 | SYSTEMS AND METHODS FOR FORMING IMAGES ON CEMENT FIBER BOARD MATERIALS AND OTHER SURFACES - The present invention relates to systems and methods for forming images in surfaces, and to surfaces. In particular, the present invention provides systems and methods for forming images in decorative surface materials, and decorative surface materials containing an image with novel optical density characteristics. In some embodiments a liquid acrylic resin is applied to a surface prior to image transfer. In some embodiments, a primer is applied to a surface material prior to forming images in the surface, with or without combined application of a liquid acrylic resin. | 09-29-2011 |
20110236645 | METHOD OF MANUFACTURING A PANEL WITH OCCLUDED MICROHOLES AND PRODUCTS MADE THEREBY - Methods of manufacturing a panel and resulting panels include a plurality of microholes arranged in a pattern and filled with light transmissive polymeric material. The light transmissive polymeric material occludes the microholes and is set, or cured, by exposure to an energy source using at least two discrete exposure periods separated by an idle or rest period. | 09-29-2011 |
20110236646 | Ink composition, metal thin film prepared using the same and method of preparing the same - There are provided an ink composition, a metal thin film prepared using the same, and a method of preparing the same. The ink composition according to the present invention includes: gold-containing complex compound represented by the following Formula 1 (L and L′ are at least one selected from a group consisting of diolefin and derivatives thereof, and X is at least one selected from a group consisting of chlorine (Cl), bromine (Br), and iodine (I), where m=an integer of 1˜10, n=an integer of 1˜10, o=an integer of 0˜10, and p=an integer of 0˜10); and a solvent dispersing the gold-containing complex compound. | 09-29-2011 |
20110236647 | PHOTOCURABLE INKJET PRINTING INK COMPOSITION AND PRINT - An object of the present invention is to provide a photocurable ink composition for ink-jet printing, which exhibits excellent curing properties in response to light from light emitting diodes (LEDs), is adhered well to a polyvinyl chloride sheet such as tarpaulin or a vinyl chloride resin sheet, can sufficiently reduce cockling, has a low viscosity but a high flash point, and can achieve both of good jetability at a normal temperature and good safety properties owing to these properties. | 09-29-2011 |
20110236648 | OVERLAMINATE FILMS AND GRAPHIC ARTICLES CONTAINING THEM - Multilayer graphic articles include a graphic substrate, and an overlaminate film laminated to the graphic substrate. The overlaminate film includes a transparent film layer with a fluorochemical-containing acid-resistant layer coated on at least a portion of one surface, and an adhesive layer coated on at least a portion of the opposite surface of the transparent film. The transparent film layer may be a vinyl film layer. The acid resistant layer includes a blend of at least one fluoropolymer and at least one acrylate resin. | 09-29-2011 |
20110236649 | INK COMPOSITION, INK JET RECORDING METHOD, AND RECORDED MATTER - An ink composition includes at least a pigment, a polyhydric alcohol monoalkyl ether having a vapor pressure of 0.1 mmHg or less at 20° C. and/or a nitrogen-containing cyclic compound, a polyhydric alcohol, an unsaturated fatty acid, an alkyl alcohol having 1 to 4 carbon atoms, a surfactant, and 10% to 60% by mass of water. An in jet recording method uses the ink composition, and a recorded matter is produced by the ink jet recording method. | 09-29-2011 |
20110236650 | ACTIVE RADIATION CURABLE INK COMPOSITION FOR INKJET RECORDING, PRINTED MATTER, METHOD OF MANUFACTURING PRINTED MATTER, MOLDED ARTICLE OF PRINTED MATTER, AND METHOD OF MANUFACTURING MOLDED ARTICLE OF PRINTED MATTER - The present invention provides an active radiation curable ink composition for inkjet recording, the active radiation curable ink composition including: (A) a polymer containing a repeating unit having a radically polymerizable group and having a bicyclo ring structure or a tricyclo ring structure at a site forming a part of a main chain thereof, (B) a photopolymerization initiator, and (C) a radically polymerizable compound having a structure different from that of the (A) radical polymer. | 09-29-2011 |
20110236651 | METHODS OF FORMING SHEETING WITH A COMPOSITE IMAGE THAT FLOATS AND SHEETING WITH A COMPOSITE IMAGE THAT FLOATS - Microlens sheetings with composite images are disclosed, in which the composite image floats above or below the sheeting, or both. The composite image may be two-dimensional or three-dimensional. Methods for providing such an imaged sheeting are also disclosed. | 09-29-2011 |
20110244196 | INK COMPOSITION FOR ROLL PRINTING - An ink composition for roll printing is provided. The ink composition uses a solvent having an evaporation rate (V | 10-06-2011 |
20110244197 | Method of modifying chemically amplified resist pattern, modifier for chemically amplified resist pattern, and resist pattern structure - Disclose herein is a method of modifying a positive-type chemically amplified resist pattern, including the steps of, applying to a surface of a resist pattern, an aqueous solution of a modifier for the positive-type chemically amplified resist pattern, the aqueous solution containing a water-soluble cross-linking agent and a penetration accelerator, the cross-linking agent and the penetration accelerator being dissolved in water or a mixed solvent containing water as a main ingredient, so as to permit the cross-linking agent to penetrate the resist pattern, removing a surplus of the cross-linking agent, and irradiating the resist pattern. | 10-06-2011 |
20110250407 | METHOD OF MAKING A SUBSTRATE HAVING MULTI -LAYERED STRUCTURES - A method of making a substrate having multi-layered structures thereon, the method comprising the steps of (a) applying a mold having an imprint forming surface to the substrate to form an array of imprint structures that projects from the substrate; and (b) applying a lateral force that is substantially normal to said projecting imprint structures to cause said imprint structures to move angularly towards said substrate and thereby form a pattern of multi-layered structures thereon. | 10-13-2011 |
20110250408 | ADHESIVE PRODUCT, AND TRANSFER TOOL - A necessary and sufficient adhesive force of an adhesive agent layer is certainly kept while the dot diameter of the adhesive agent is made smaller and the thickness of the adhesive agent layer is made smaller. For the purpose, in an adhesive product, comprising an adhesive agent layer in which dots of a dot-form adhesive agent | 10-13-2011 |
20110250409 | MULTIFUNCTIONAL, RESPONSIVE FUNCTIONAL LAYERS ON SOLID SURFACES AND METHOD FOR THE PRODUCTION THEREOF - A multifunctional, responsive functional layer on a substrate, such as textiles, paper and plastic materials, includes at least one first and a second functional component, of which at least one of the second functional components meets the chemical-functional and constitutional specification for responsive behavior and thereby can be reversibly switched by an outer stimulus. The first and second functional components differ with respect to the intrinsically specified properties thereof and are matched to each other, wherein at least one of the functional components on the substrate is present as a physical-chemical compound. Methods are disclosed for producing the multifunctional, responsive functional layer, which enable the combination of functions, such as moisture management, soil release, antistatic, hydrophobicity, hydrophilicity, oleophobicity, controlled release, and conductivity. | 10-13-2011 |
20110250410 | METHOD AND COMPOSITION FOR PRINTING TACTILE MARKS AND SECURITY DOCUMENT FORMED THEREFROM - Ultraviolet light-curable deposits are printed onto a substrate in a predetermined pattern to make tactile marks (e.g. Braille-like resin dots) for use by people with impaired vision to, for example, recognize the denominations of banknotes. The deposit demonstrates high adhesion due to: (1) presence of 20-80% (wt.) of a low viscosity acrylate component to provide a substrate-penetrating property; and, (2) 2-30% (wt.) of an adhesion-promoting acid acrylate. The deposit material further includes 1-30% (wt.) of urethane acrylate, 1-30% by weight of reactive diluent, photoinitiator and 1-10% (wt.) of rheological adsorbing additive. Optimally, the adhesion promoter is added after the other components have been mixed with the rheological additive. The printing step may be followed by heating before the curing step to increase penetration of the ink into the substrate. The deposit may comprise a taggant for use as a security feature. | 10-13-2011 |
20110250411 | METHOD FOR MAKING AN INTERACTIVE INFORMATION DEVICE AND PRODUCT PRODUCED THEREBY - A method and product produced by the method for forming an interactive information device with a conductively coated panel includes forming a reduced contrast, increased light transmitting, conductively coated panel by providing a transparent substrate and applying a transparent, conductive layer on at least one surface of the substrate in a predetermined pattern with at least one area having a conductive layer thereon and a second area without a conductive layer. The method further includes applying a transparent layer of a metal oxide such that the metal oxide layer, such as silicon dioxide, overlies both areas whereby visible contrast between the areas is reduced and light transmission through the coated panel is increased. The coated panel is then attached to an electro-optic display for displaying information when electricity is applied thereto. | 10-13-2011 |
20110250412 | FOILED ARTICLES AND METHODS OF MAKING SAME - A foiled article and methods of making the foiled article. The foiled article includes a substrate with one or more foiled areas on one or both surfaces of the substrate. The foiled areas are formed by applying a predetermined pattern of toner or ink to the substrate, and bonding a foil material to the patterned areas by the application of heat. The foiled areas can then be printed to create a multi-colored foil, images, or text thereon. The foiled areas can be simultaneously printed with the non-foiled areas. The digital patterning of the toner or ink, as well as the optional digital printing of the foiled areas allow for variable images without the expenditure for stamping dies and printing plates, such that a short-run product can be produced at lower cost with faster turn around times than traditional foiling processes. | 10-13-2011 |
20110256360 | REUSABLE BODY DECORATION - A reusable body decoration includes a decorative member, a multilayer adhesive adhered to one side of the decorative member, the multilayer adhesive having a first layer having a relatively low peel strength for removably contacting a user's skin and a second layer having a relatively high peel strength for contacting one side of the decorative member, the first and second layer being laminated. | 10-20-2011 |
20110256361 | ELECTRONIC DEVICE HOUSING AND METHOD FOR MAKING THE SAME - An electronic device housing is provided. The electronic device housing includes a substrate, a first metallic coating formed on the substrate, and a second metallic coating formed on a portion of the first metallic coating. The first and second metallic coatings are formed by vacuum sputtering or vacuum vapor deposition. The first and second metallic coatings are all non-conductive. A method for making the electronic device housing is also described there. | 10-20-2011 |
20110256362 | MODIFIED FIBROUS PRODUCT AND METHOD OF PRODUCING THE SAME - The present invention relates to a modified fibrous product and a method of producing the same. According to the method, transparent areas are generated in the fibrous product, such as in a paper or a cardboard sheet or in a paper or a cardboard web. According to the present invention, a carbohydrate derivative that includes a plasticizer is incorporated into the fibrous product, and the carbohydrate derivative, which is plasticized with the plasticizer, is brought, in a liquid state and under pressure, to migrate in between the fibres of the product in such a way that at least part of the plasticizer penetrates into the lumens of the fibres, in which case the fibrous product becomes transparent in the treated area. By using the solution, it is possible to simplify the method of further processing paper or cardboard for consumer packages. The present invention can be applied to, among other products, envelopes having a window through which the address is visible. Other applications are all kinds of foodstuff and consumer packages in which windows for product display are currently used. | 10-20-2011 |
20110262714 | PRESS FELT AND METHOD FOR PRODUCING THE SAME - A method to produce a press felt for a fiber web producing and/or processing machine, including the steps of a) providing a load bearing base structure, which is, for example, produced of yarns, b) providing a first and a second non-woven fiber layer, c) imprinting a polymer material pattern onto the first or second non-woven fiber layer so that the polymer material pattern partially covers the layer, d) arranging the first non-woven fiber layer on one side of the base structure and e) arranging the second non-woven fiber layer on the first non-woven fiber layer in such a manner that the polymer material pattern is arranged between the two non-woven fiber layers. The present invention further relates to a press felt manufactured according to the method of the present invention. | 10-27-2011 |
20110262715 | METHOD OF MANUFACTURING A PRODUCT THAT CONTAINS A SCENTED COMPOUND - A method of manufacturing a product that contains a scented compound, a product manufactured by this method and the use of such a product, the method includes at least printing one or more scented compounds, which are added to printing ink ( | 10-27-2011 |
20110262716 | Magenta Dyes and Inks for Use in Ink-Jet Printing - A compound of Formula (1) and salts thereof: | 10-27-2011 |
20110262717 | MULTI-LAYER FILM DEPICTING A COLOUR TWO-DIMENSIONAL IMAGE WHICH IS ONLY VISIBLE THROUGH A POLARIZING FILTER AND PROCESS FOR MAKING THE FILM | 10-27-2011 |
20110262718 | TPU Laminated Fabric Product - A method for manufacturing a TPU laminated fabric product includes the following steps. First step: preparing a roll of TPU film and a roll of releasing film. Second step: attaching the TPU film to the releasing film. Third step: printing a desirable pattern or image on a first surface of the TPU film opposite to the releasing film. Fourth step: parting the TPU film from the releasing film. Fifth step: preparing a roll of base fabric. Sixth step: applying glue to a second surface of the TPU film opposite to the first surface of the TPU film. Seventh step: attaching the second surface of the TPU film to the base fabric and getting a finished TPU laminated fabric product. | 10-27-2011 |
20110262719 | TISSUE PRODUCT, METHOD OF MANUFACTURE OF A TISSUE PRODUCT AND APPARATUS FOR EMBOSSING A TISSUE PLY - A one-ply tissue product includes a first external surface and a second external surface, the first surface having a wet-formed pattern and the second surface having an embossed pattern imitating the wet-formed pattern. Additionally, also multi-ply tissue product is suggested comprising at least two plies including two outer plies, each outer ply having a first surface and a second surface, one outer ply having a wet-formed pattern on at least the first surface and the other outer ply having an embossed pattern on at least the first surface imitating the wet-formed pattern on the first surface of the one outer ply, both outer plies being brought together so that their respective first surfaces represent external surfaces of the multi-ply tissue product. Moreover, a corresponding method and an embossing unit are also disclosed. | 10-27-2011 |
20110262720 | CELLULOSIC BIOLAMINATE COMPOSITE ASSEMBLY AND RELATED METHODS - Cellulosic biolaminate assemblies are provided. In one embodiment, a biolaminate structure is provided comprising a first cellulosic layer, a second cellulosic layer, and a first bio-based polymer. The first bio-based polymer impregnates the first cellulosic layer and the second cellulosic layer. The first cellulosic layer and the second cellulosic layer are fused together. | 10-27-2011 |
20110268931 | SPECIAL EFFECT GLAZING - Disclosed is a transparent plastic automotive panel comprised of a plastic substrate, a non-black ink overlying a portion of the plastic substrate forming a printed substrate and a protective coating system overlying the ink. Also disclosed is a transparent plastic automotive panel comprised of a plastic substrate, a non-black ink overlying a portion of the plastic substrate forming a printed substrate and a protective coating system overlying the ink further comprising a plastic film, the ink being printed on a portion of the film | 11-03-2011 |
20110268932 | Nonwoven Having Durable Hydrophilic Coating - A nonwoven material coated with an amine-polyether silicone. The coating composition may include a wetting agent, an acid, and/or a defoamer. The nonwoven may be incorporated into a disposable absorbent article. The disposable absorbent article may include at least trace amounts of a mineral oil. The coating of the nonwoven may be durable even in the presence of mineral oil. | 11-03-2011 |
20110268933 | NON-VINYL RESILIENT FLOORING PRODUCT AND METHODS OF MAKING SAME - Described herein is a resilient floor covering made from non-vinyl materials. Also disclosed are related methods for manufacturing the resilient floor coverings described herein. | 11-03-2011 |
20110268934 | LABEL FILM FOR DEEP-DRAWING PROCESSES - The invention relates to the use of a multilayer, biaxially oriented polyolefin film comprising at least five layers as the label in deep drawing, which film is constructed from the following layers
| 11-03-2011 |
20110274891 | COATING AND PRODUCTION THEREOF BY INKJET PRINTING METHODS - The present invention relates to coatings and to a method for surface finishing, in particular for producing durable and stable surface coatings using ink jet printing methods. The coating according to the invention comprises a first layer, which can be produced from one or more ink jet-capable inks, and a second layer, which can be produced from one or more top coats, wherein at least the first layer is applied using an ink jet print head. | 11-10-2011 |
20110274892 | BIAXIALLY ORIENTED BIO-BASED POLYOLEFIN FILMS AND LAMINATES - A laminate film including at least one bio-based polyolefin layer including at least 53 pMC of radiocarbon ( | 11-10-2011 |
20110274893 | COATING COMPOSITION FOR MARKING SUBSTRATES - Coating composition comprising a char forming compound as a first compound capable of forming a coloured substance upon exposition to energy; at least one latent activator selected from the group consisting of ammonium phosphate, ammonium polyphosphate, ammonium sulfite, ammonium thiosulfate, ammonium sulfamate and ammonium sulfate; and a second compound capable of forming a coloured substance upon exposition to energy selected from the group consisting of colour formers, oxygen containing transition metal compounds, and metal-chelate-type colour forming systems; a coating composition comprising a char forming compound as a first compound capable of forming a coloured substance upon exposition to energy, and a second compound capable of forming a coloured substance upon exposition to energy selected from the group consisting of colour formers and metal-chelate-type colour forming systems; a process for the preparation of these compositions, substrates coated with these compositions and a process for their preparation, a process for preparing marked substrates using these compositions and marked substrates obtainable by the latter process. | 11-10-2011 |
20110274894 | Durable In-Mold Decoration Laminates Using Semi-Crystalline Polymer as Top Film - This specification discloses durable In-Mold Decoration (IMD) laminates made by using semi-crystalline polymers as top films. The crystalline structure or content of the semi-crystalline polymer can be optimized with a post process treatment process, which leads to improved chemical resistance and scratch resistance. The post process treatment can be conducted after the making of the film, during the in-mold processing of the laminate or after the in-mold processing of the laminate. The treatment can be applied over the entire film surface or in discrete areas with the treatment depth ranging from the top surface up to the bottom surface of the film. The post process treatment can be accompanied by changes in the appearance of the top film which makes IMD products tunable in decoration luster appearance. Durable IMD laminates with 60 degree gloss value of greater than 90 and process of making such laminates are also disclosed. | 11-10-2011 |
20110274895 | METHOD FOR MANUFACTURING OPTICAL DISC MASTER AND METHOD FOR MANUFACTURING OPTICAL DISC - An optical disc master having a resist layer composed of a resist material including an incomplete oxide of a transition metal on a substrate, the oxygen content of the incomplete oxide being smaller than the oxygen content of the stoichiometric composition corresponding to a valence of the transition metal, wherein, (a) the resist layer selectively exposed, according to a recording signal pattern, to a light beam with an irradiation power that is less than an irradiation threshold power at which exposure of the resist starts, and (b) the resist layer is formed into a predetermined irregular pattern. | 11-10-2011 |
20110281084 | VARIABLE BOND STRENGTH ADHESIVE TAPE - A medical adhesive tape in which a number of bare spots are provided through the tape to vary the strength of the bond of the tape to a surface. The number of bare spots as well as their size and location can be varied to modify the adhesive strength with which the tape is held onto the patient. | 11-17-2011 |
20110281085 | POLYMER THIN FILM, PATTERNED MEDIA, PRODUCTION METHODS THEREOF, AND SURFACE MODIFYING AGENTS - The objects of the present invention are to provide a polymer thin film having finer structure than the conventional product, excellent regularity over a wide range and only limited defects, patterned media, methods for producing the thin film and patterned media, and surface modifying agent used in these production methods. | 11-17-2011 |
20110281086 | INFRARED ABSORBING COMPOSITION, INFRARED ABSORBING INK, RECORDED ARTICLE, IMAGE RECORDING METHOD, AND IMAGE DETECTING METHOD - An infrared absorbing composition including a compound that is represented by formula (1) and has solubility of 30 mg/mL or less in toluene at 25° C., an organic solvent having a solubility parameter of from 7.3 to 12.1, and a resin is disclosed. In formula (1), each of R | 11-17-2011 |
20110281087 | REINFORCED NATURAL OR CONGLOMERATED STONE PLATE-LIKE ELEMENT AND MULTILAYERED PROTECTIVE COATING THEREOF - Reinforced natural or conglomerate stone plate-like element comprising:
| 11-17-2011 |
20110287232 | Ultrasonically Bonded Multilayer Form And Methods Of Making Same - The invention provides a water blocking tape for use in a variety of cable designs, such as power cable, data communications cable and telecommunications cable. A water blocking tape according to the invention includes layers of lightweight nonwoven fabric with one or more swellable water blocking compounds, such as water absorbent polymers, disposed between the layers. The nonwoven fabric layers are bonded in a pattern using an ultrasonic bonding technique. The bonding pattern helps to contain and to restrain movement of the water blocking compounds between the layers. The bonding pattern compartmentalizes the water blocking compounds to prevent pooling of the compounds and to facilitate a substantially consistent distribution of the compounds between the layers such that when a tape contacts water, the tape achieves a substantially consistent swell height. A substantially consistent swell height permits a tape to serve as a reliable water barrier to prevent damage and degradation of a cable and its components. Ultrasonic bonding of the multilayer tape eliminates the need and use of adhesives and bonding agents to form the tape that can have inhibiting effects on a swelling action of the tape. The invention further provides a multilayer form or substrate produced using an ultrasonic bonding technique and having disposed between adjacent layers one or more non-fluid compounds that have one or more physical properties or characteristics, such as, for instance, odor-absorbing properties, heat absorbing properties, humidity or moisture absorption properties, fragrant properties, thermal properties, as well as any of other required or desired physical properties or characteristics. | 11-24-2011 |
20110287233 | LAMINATED PLASTIC SUBSTRATE, AND A PRODUCTION METHOD FOR THE SAME - The present invention provides a multilayered plastic substrate that simultaneously satisfies improvement in high temperature thermal deformation according to low linear expansion coefficient and excellent dimensional stability and excellent gas barrier property, and is capable of being used instead of a glass substrate that has brittleness and heavy disadvantages without a problem caused by a difference in linear expansion coefficient between layers, and a method for manufacturing the same. | 11-24-2011 |
20110287234 | NEGATIVE RESIST PATTERN FORMING METHOD, DEVELOPER AND NEGATIVE CHEMICAL-AMPLIFICATION RESIST COMPOSITION USED THEREFOR, AND RESIST PATTERN - A resist pattern forming method including in the following order, (1) a step of forming a film by using a negative chemical-amplification resist composition capable of undergoing negative conversion by a crosslinking reaction, (2) a step of exposing the film, and (4) a step of developing the exposed film by using a developer containing an organic solvent; a developer and a negative chemical-amplification resist composition used therefor; and a resist pattern formed by the pattern forming method. | 11-24-2011 |
20110287235 | PIGMENT DISPERSION LIQUID, CURABLE COMPOSITION, COLOR FILTER PRODUCED USING THE SAME, AND SOLID STATE IMAGING DEVICE - A color filter or solid state imaging device comprising a colored pattern formed from a curable composition which includes (A) a pigment, (B) a compound having a defined cyclic urea structure and having an acid group or a basic group, (C) a dispersant, (D) a solvent, (E) a radical polymerizable compound, and (F) a photopolymerization initiator. The pigment may be a pigment having a urea structure or an imide structure. The pigment may also be a pigment having a barbituric skeleton. | 11-24-2011 |
20110287236 | Ink Composition, Two-Pack Curing Ink Composition Set, and Recording Method and Recorded Matter Using These - The present invention provides: an ink composition which contains at least a polymerizable compound, a photopolymerization initiator and a coloring material, and is free from an aqueous solvent, wherein the polymerizable compound comprises at least a urethane oligomer; a two-pack curing ink composition set comprising an ink composition containing a coloring material and a reaction liquid containing a photopolymerization initiator and capable of forming an image with an ink composition obtained by mixing the ink composition and the reaction liquid, wherein the ink composition after mixing contains at least a polymerizable compound, a photopolymerization initiator and a coloring material, and is free from an aqueous solvent, wherein the polymerizable compound comprises a urethane oligomer. | 11-24-2011 |
20110287237 | Wear Resistant Biolaminate Composite Assembly and Related Methods - Biolaminate assemblies for use as high pressure laminates are provided. In one embodiment, the biolaminate assembly includes a surface wear layer including polylactic acid and at least one of a plastic and mineral. The surface wear layer is adapted to be laminated or thermoformed to a nonplastic rigid substrate. The surface wear layer has a wear resistant range greater than about 400 cycles using tabor abrasion and temperature resistance range from about 212° F. to about 356° F. such that the biolaminate assembly is suitable for use as a high pressure laminate. | 11-24-2011 |
20110293896 | RESIN COMPOSITION FOR LASER ENGRAVING, RELIEF PRINTING PLATE PRECURSOR FOR LASER ENGRAVING AND PROCESS FOR PRODUCING SAME, AND RELIEF PRINTING PLATE AND PROCESS FOR MAKING SAME - A resin composition for laser engraving is provided that includes (Component A) a compound having a hydrolyzable silyl group and/or a silanol group, (Component B) a depolymerization catalyst and/or a depolymerization catalyst precursor, and (Component C) a depolymerizable binder polymer. There are also provided a relief printing plate precursor for laser engraving that includes a relief-forming layer containing the resin composition for laser engraving, a relief printing plate precursor for laser engraving having a crosslinked relief-forming layer formed by crosslinking by means of light and/or heat a relief-forming layer that includes the resin composition for laser engraving, a process for producing a relief printing plate precursor for laser engraving that includes a layer formation step of forming a relief-forming layer from the resin composition for laser engraving and a crosslinking step of crosslinking the relief-forming layer by light and/or heat to thus obtain a relief printing plate precursor having a crosslinked relief-forming layer, a process for making a relief printing plate that includes a layer formation step of forming a relief-forming layer from the resin composition for laser engraving, a crosslinking step of crosslinking the relief-forming layer by light and/or heat to thus obtain a relief printing plate precursor having a crosslinked relief-forming layer, and an engraving step of laser-engraving the relief printing plate precursor having a crosslinked relief-forming layer to thus form a relief layer, and a relief printing plate having a relief layer made by the process for making a relief printing plate. | 12-01-2011 |
20110293897 | RELIEF PRINTING PLATE PRECURSOR FOR LASER ENGRAVING, PROCESS FOR MAKING RELIEF PRINTING PLATE, AND RELIEF PRINTING PLATE - A relief printing plate precursor for laser engraving is provided that includes above a support, as a crosslinked relief-forming layer, a thermally crosslinked layer of a resin composition for laser engraving that includes (Component A) a compound containing a hydrolyzable silyl group and/or a silanol group and that does not include (Component B) a binder polymer or includes it at less than 2 wt % relative to the total weight on a non-volatile component basis. There are also provided a process for making a relief printing plate that includes a step of preparing the relief printing plate precursor for laser engraving and a step of forming a relief layer by laser-engraving the crosslinked relief-forming layer, and a relief printing plate that includes a relief layer formed by the process for making a relief printing plate. | 12-01-2011 |
20110293898 | INK SET, TEXTILE PRINTING METHOD AND PRINTED TEXTILE - Provided is a pretreatment liquid used when a fabric is pretreated before ink is printed on the fabric, the liquid including water, polyvalent metal ions and first fine polymer particles, wherein the first fine polymer particles are crosslinkable polyurethane and/or crosslinkable polyurethane-polyurea and have a glass transition temperature of −10° C. or lower and a particle diameter as determined by a light scattering method of 30 nm to 5 μm. | 12-01-2011 |
20110293899 | POLYMER-BONDED PERYLENE DYES AND COMPOSITIONS CONTAINING SAME - A method of increasing the solubility and/or dispersibility of a perylene dye in a liquid medium. The method comprises binding the perylene dye to a polymer which is soluble in the liquid medium. This abstract is neither intended to define the invention disclosed in this specification nor intended to limit the scope of the invention in any way. | 12-01-2011 |
20110293900 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, AND RESIST FILM AND PATTERN FORMING METHOD USING THE SAME - An actinic ray-sensitive or radiation-sensitive resin composition comprising (A) a fluorine-containing compound capable of generating an acid upon irradiation with an actinic ray or radiation, wherein the acid has a polarity converting group, and the fluorine content of the fluorine-containing compound (A) is 20% or more based on the molecular weight of the fluorine-containing compound (A). | 12-01-2011 |
20110293901 | COMBINATION OF BASE LAYER AND INK FOR INKJET FOR MANUFACTURING ELECTRONIC COMPONENT - A base layer is formed on the surface of metal plate, metal pipe, unbaked ceramic sheet, laminated ceramic green sheet, etc., the base layer causing a gelling reaction with inkjet-ink. The base layer improves ink acceptability for low viscosity inks such as inkjet-ink, and prevents oozing, draining, uneven thickness of an ink pattern and a resist pattern. Thus, the present invention enables to use an ink jet process for providing resist patterns for etching, etc., which has to fulfill stringent high precision requirements. | 12-01-2011 |
20110293902 | MULTI-POLYMER GRIP MEMBER - A grip member and a method of making such a grip member including at least a polymerized region with two or more polymers. The first and second polymers cooperate to each form a portion of the top surface of the region. The region may be combined with a substrate to form a sheet. The sheet may be formed into a grip interface having any of a number of shapes including a panel shape. In the case of a panel shaped grip interface, the panel can then be attached to an underlisting sleeve to form the grip. Some versions of such a grip reduce impact shock and provide a feeling of tackiness in the manner of a spirally wrapped polyurethane-felt grip while allowing the use of multiple colors being polymerized together. The grip may be easily installed onto a golf club shaft and may further accommodate the use of polymers including various different characteristics including level of tackiness or durometer. | 12-01-2011 |
20110300344 | INK SET, RECORDED MATERIAL AND PRINTED MATERIAL - An ink set is provided, the ink set including a yellow ink composition; and at least one of a magenta ink composition and a cyan ink composition, wherein a colorant of the yellow ink composition contains an azo pigment represented by formula (1), its tautomer, or a salt or hydrate thereof, the magenta ink composition contains at least one pigment selected from quinacridone pigments, and the cyan ink composition contains at least one pigment selected from phthalocyanine pigments: | 12-08-2011 |
20110300345 | Surface Having Superhydrophobic Region And Superhydrophilic Region - According to an example embodiment, a patterned surface includes a micro-structural surface with a micro or nano pattern on a substrate, wherein the micro-structural surface has superhydrophobic regions and superhydrophilic regions. | 12-08-2011 |
20110300346 | SECURITY FILMS AND MANUFACTURE THEREOF - Security films of the type used to make tamper-evident adhesive tape, also methods and apparatus for making the films. A polymer substrate film ( | 12-08-2011 |
20110300347 | Fabrication of Patterned Nanofibers - Various methods and systems are provided for the fabrication of patterned nanofibers. In one embodiment, a method includes generating a layer of electrospun nanofibers from a polymer solution and patterning the layer of electrospun nanofibers using ultraviolet (UV) lithography. The patterned electrospun nanofibers may then be thermally treated to form patterned carbon nanofibers. In another embodiment, a device includes a layer of patterned carbon nanofibers formed by generating electrospun nanofibers from a polymer solution, patterning the electrospun nanofibers using UV lithography, and converting the patterned electrospun nanofibers into patterned carbon nanofibers using a thermal treatment. In another embodiment, a method includes depositing electrospun nanofibers for a first predefined period of time, dissipating charge on the deposited electrospun nanofibers for a second predefined period of time where no electrospun nanofibers are deposited, and sequentially repeating the depositing and dissipating steps to from a layer of electrospun nanofibers having a predefined thickness. | 12-08-2011 |
20110300348 | VAPOR PERMEABLE RETROREFLECTIVE GARMENT - A vapor permeable retroreflective material for use on protective garments. The material may be formed in a non-continuous pattern that provides a high-level of retroreflective brightness, yet also provides adequate permeability to prevent exposure to trapped thermal energy and heated moisture. The non-continuous retroreflective pattern may include retroreflective regions and non-retroreflective regions arranged such that thermal decay through the protective garment is not substantially decreased in the regions corresponding to the retroreflective material. Rather, vapor permeation and thermal decay through the garment may be substantially the same as if the retroreflective material was not present. | 12-08-2011 |
20110300349 | PRINTABLE COATING - A primer-less coating composition for facestock comprises: a binder being a water-dispersible polymer; an ethylenically unsaturated compound which is aqueous-dispersible and miscible with or bonded to said water-dispersible polymer, wherein said ethylenically unsaturated compound is able to form a covalent bond with an ink; and a crosslinker, wherein said crosslinker is suitable for binding the coating to the facestock. The coating composition may be applied to a substrate to form a printable film. A printed film in accordance with the invention may be used in a label, for example for use on a container such as a bottle. | 12-08-2011 |
20110305878 | NONWOVEN PANEL AND METHOD OF CONSTRUCTION THEREOF - A reflective panel and method of construction thereof from post consumer mixed Asian cardboard for forming structural and/or acoustic and/or thermal panels is provided. The method includes providing post consumer mixed Asian cardboard and comminuting the cardboard into predetermined reduced sized pieces. Then, combining the reduced sized pieces with a heat bondable textile material to form a substantially homogenous mixture. Further, forming a web of the mixture of a predetermined thickness in a dry nonwoven webbing process. Then, heating the web to bond the heat bondable material with the reduced sized pieces to form a nonwoven sheet having opposite sides. Further, bonding at least one reflective layer to at least one of the opposite sides of the nonwoven sheet. | 12-15-2011 |
20110305879 | COMPONENT - A component that is subject to tribological wear comprises a nano diamond layer on the surface thereof. | 12-15-2011 |
20110305880 | INK COMPOSITION FOR INKJET RECORDING, INKJET RECORDING METHOD AND PRINTED MATERIAL OBTAINED BY INKJET RECORDING - The invention provides an ink composition for inkjet recording, including:
| 12-15-2011 |
20110305881 | ARTICLES HAVING NON-FOULING SURFACES AND PROCESSES FOR PREPARING THE SAME INCLUDING APPLYING A PRIMER COAT - Processes are described herein for preparing medical devices and other articles having a low-fouling surface on a substrate comprising a polymeric surface. The polymeric surface material may possess a range of polymeric backbones and substituents while providing the articles with a highly efficient, biocompatible, and non-fouling surface. The processes involve coating the substrate to conceal or reduce flaws on or in the surface of the medical device or other article substrate, and thereafter forming a grafted polymer layer on the treated substrate surface. | 12-15-2011 |
20110305882 | METHOD FOR FORMING THIN FILM PATTERN AND FLAT DISPLAY DEVICE HAVING THE SAME - The present disclosure is a method for forming a thin film pattern to form a micron-pattern and a flat display device having the same. The method for forming a thin film pattern includes the steps of forming first to third thin film layers on a substrate in succession, forming a first photoresist pattern on the third thin film layer, patterning the second and third thin film layers using the first photoresist pattern as a mask to form first and second thin film mask pattern having line widths different from each other, forming a second photoresist pattern at a region where the first and second thin film mask patterns do not overlap positioned between the first thin film layer and the second thin film mask pattern, removing the first and second thin film mask patterns, and patterning the first thin film layer using the second photoresist pattern as a mask. | 12-15-2011 |
20110305883 | ADHESIVE RESIN COMPOSITIONS, AND LAMINATES AND FLEXIBLE PRINTED WIRING BOARDS USING SAME - Provided are an adhesive resin composition that is halogen-free, has good adhesiveness, solder heat resistance, and flame retardancy, and has good flow characteristics, and a laminate and a flexible printed wiring board using the same. The adhesive resin composition contains a phosphorus-containing epoxy resin and/or a phosphorus-containing phenoxy resin, a phosphorus-containing polyester resin having a weight-average molecular weight of more than 20,000 and 150,000 or less, another thermoplastic resin, and a curing agent. The adhesive resin composition preferably further contains a benzoxazine compound. Preferably, substantially no inorganic filler is mixed in the adhesive resin composition. | 12-15-2011 |
20110305884 | FIBROUS STRUCTURES - Fibrous structures that exhibit a Geometric Mean Elongation of greater than 15.8% as measured according to the Elongation Test Method are provided. | 12-15-2011 |
20110311786 | HEAT-SENSITIVE COATING COMPOSITIONS BASED ON RESORCINYL TRIAZINE DERIVATIVES - The present invention provides heat-sensitive coating compositions, which comprise a colour developer of formula (1) or mixtures thereof wherein R can be hydrogen, C | 12-22-2011 |
20110311787 | DECOMPOSABLE COMPOSITE WOOD SHEETS - Various embodiments of decomposable composite sheets and manufacturing methods thereof are described. In one embodiment, the sheet includes an organic substrate and a first layer of a substantially organic backer that is securely affixed to a first side of the substrate. Other embodiments can include a second layer of a substantially organic backer that is securely affixed to a second side of the substrate, and/or one or more layers of thin-film overlay. | 12-22-2011 |
20110311788 | SILPHENYLENE-CONTAINING PHOTOCURABLE COMPOSITION, PATTERN FORMATION METHOD USING SAME, AND OPTICAL SEMICONDUCTOR ELEMENT OBTAINED USING THE METHOD - Provided is a silphenylene-containing photocurable composition including: (A) a specific silphenylene having both terminals modified with alicyclic epoxy groups, and (C) a photoacid generator that generates acid upon irradiation with light having a wavelength of 240 to 500 nm. Also provided is a pattern formation method including: (i) forming a film of the photocurable composition on a substrate, (ii) exposing the film through a photomask with light having a wavelength of 240 to 500 nm, and if necessary, performing heating following the exposure, and (iii) developing the film in a developing liquid, and if necessary, performing post-curing at a temperature within a range from 120 to 300° C. following the developing. Further provided is an optical semiconductor element obtained by performing pattern formation using the method. The composition is capable of very fine pattern formation across a broad range of wavelengths, and following pattern formation, yields a film that exhibits a high degree of transparency and superior light resistance. The composition may also include: (B) a specific epoxy group-containing organosilicon compound. | 12-22-2011 |
20110318541 | Metal ink composition and method for forming the metal line using the same, and conductive pattern formed by using the metal ink composition - The present invention provides a metal ink composition, which includes 20 to 80 parts by weight of cupper nano-particle; 10 to 70 parts by weight of non-aqueous organic solvent; and 2 to 20 parts by weight of additive used for adjustment of the dry speed of coated metal ink when metal lines are formed. | 12-29-2011 |
20110318542 | Fluorine-Containing Compound, Fluorine-Containing Polymer Compound, Resist Composition and Patterning Method Using Same - There is disclosed a fluorine-containing polymer compound comprising a repeating unit (a) of the following general formula (2) and having a weight-average molecular weight of 1000 to 1000000 | 12-29-2011 |
20110318543 | LIQUID COMPOSITION, RECORDING METHOD, AND RECORDED MATTER - A liquid composition, which contains: a water-soluble organic acid represented by the following general formula (1); a water-soluble amine represented by the following general formula (2); a water-soluble organic solvent; and water, wherein an amount of the water-soluble amine contained in the liquid composition is 0.9 or larger molar equivalent relative to acid groups contained in the water-soluble organic acid, | 12-29-2011 |
20110318544 | FLEXIBLE DISPLAY PANEL AND METHOD OF FABRICATING THE SAME - In a method of fabricating a flexible display panel, a rigid substrate is provided. A flexible substrate having a first surface and a second surface opposite to the first surface is provided. A plurality of releasing-regions are formed on the first surface, and bonding strength of an area outside the releasing-regions is greater than bonding strength of the releasing-regions. An adhesive layer is formed between the rigid substrate and the first surface of the flexible substrate. A plurality of display units are formed on the second surface, and each of the display units is located over one of the releasing-regions, respectively. The flexible substrate is patterned to obtain a plurality of flexible carriers, and each of the flexible carriers carries one of the display units, respectively. The flexible carriers and the adhesive layer are de-bonded. | 12-29-2011 |
20110318545 | METALLIC DECORATIVE SHEET, PRODUCTION METHOD OF METALLIC DECORATIVE SHEET, AND PRODUCTION METHOD OF INSERT MOLDED BODY USING METALLIC DECORATIVE SHEET - Provided is a production method of a metallic decorative sheet capable of preventing from getting detached at edge portions. The production method of a metallic decorative sheet for insert molding comprises steps of forming a metal thin-film layer | 12-29-2011 |
20110318546 | Monocrystalline Semiconductor Wafer Comprising Defect-Reduced Regions and Method For Producing It - Monocrystalline semiconductor wafers have defect-reduced regions, the defect-reduced regions having a density of GOI-relevant defects within the range of 0/cm | 12-29-2011 |
20110318547 | THERMAL INK JET PRINTING METHOD - A method for printing a decoration image on a substrate with a thermal ink jet printer includes providing a thermal ink jet printer and providing an ink composition for the ink jet printer. The ink composition includes water and a colorant. Both the substrate and the ink are soluble or dispersible in water. Droplets of the ink composition are applied to the substrate by the thermal ink jet printer. The ink droplets are allowed to dry, thereby printing an image on the substrate. | 12-29-2011 |
20120003433 | DECORATION FILM, DECORATION DEVICE, AND METHOD FOR MANUFACTURING DECORATION DEVICE - A decoration film, a decoration device and a method for manufacturing a decoration device are provided. The decoration film includes a substrate, a releasing layer, a pattern layer and an adhesion layer. The releasing layer is disposed on the substrate. The pattern layer is disposed on the releasing layer at a side far from the substrate. The adhesion layer is disposed on a portion of the pattern layer. | 01-05-2012 |
20120003434 | OPTICAL SHEET LAMINATING METHOD, OPTICAL SHEET LAMINATING DEVICE AND PROGRAM USED THEREWITH, AND DISPLAY DEVICE - To provide a laminating method which achieve high accuracy and high quality in a laminating step of an optical sheet and a display panel. Contact areas between the optical sheet and the sheet holding head are read. At this time, an optical element face of the optical sheet is brought into contact with the sheet holding head, light is irradiated to the contact areas therebetween from a no-optical-element face, and positional information of the optical sheet is read based on the distribution of the reflected light thereof. | 01-05-2012 |
20120003435 | ACTIVE ENERGY RAY CURABLE INKJET INK COMPOSITION - There is provided an inkjet ink which has satisfactory storage stability and inkjet ejection stability, exhibits excellent curability, and is capable of forming high quality images on coated paper by an inkjet system. | 01-05-2012 |
20120003436 | PHOTOSENSITIVE COMPOSITION, PATTERN FORMING MATERIAL, AND PHOTOSENSITIVE FILM, PATTERN FORMING METHOD, PATTERN FILM, LOW REFRACTIVE INDEX FILM, OPTICAL DEVICE AND SOLID-STATE IMAGING DEVICE EACH USING THE SAME - A photosensitive composition contains (A) a hollow or porous particle, (B) a compound capable of generating an active species upon irradiation with an actinic ray or radiation, and (C) a compound capable of changing in the solubility for an alkali developer by the action of the active species. | 01-05-2012 |
20120003437 | PHOTOSENSITIVE COMPOSITION, PATTERN FORMING MATERIAL AND PHOTOSENSITIVE FILM USING THE SAME, PATTERN FORMING METHOD, PATTERN FILM, ANTIREFLECTION FILM, INSULATING FILM, OPTICAL DEVICE, AND ELECTRONIC DEVICE - A photosensitive composition contains (A) a polymer obtained from a silsesquioxane constituted of one or two or more kinds of a cage-shaped silsesquioxane compound represented by the specific formula. | 01-05-2012 |
20120003438 | GRAPHENE PROCESSING FOR DEVICE AND SENSOR APPLICATIONS - A supported graphene device comprises at least one graphene feature of 1 to about 10 graphene layers having a predetermined shape and pattern, with at least a portion of each graphene feature being supported on a substrate. In some embodiments the device comprises graphene features supported on crystalline semiconductor substrate, such as silicon or germanium. The graphene features on a crystalline semiconductor substrate can be fabricated by forming an amorphous carbon doped semiconductor on the crystalline semiconductor substrate and then epitaxially crystallizing the amorphous semiconductor with carbon migration to the surface to form a graphene feature of one or more graphene layers. The epitaxy can be promoted by heating the device or by irradiation with a laser. Methods for fabricating graphene on a variety of substrates, over large areas with controlled thicknesses employ ion implantation or other doping techniques followed by pulsed laser annealing or other annealing techniques that result in solid phase regrowth are presented. | 01-05-2012 |
20120003439 | Thermoplastic Planks And Methods For Making The Same - A thermoplastic laminate plank is described wherein the thermoplastic laminate plank comprises a core, a print layer, and optionally an overlay. The core comprises at least one thermoplastic material and has a top surface and bottom surface wherein a print layer is affixed to the top surface of the core and an overlay layer is affixed to the top surface of the print layer. Optionally, an underlay layer can be located and affixed between the bottom surface of the print layer and the top surface of the core. In addition, a method of making the thermoplastic laminate plank is further described which involves extruding at least one thermoplastic material into the shape of the core and affixing a laminate on the core, wherein the laminate comprises an overlay affixed to the top surface of the print layer and optionally an underlay layer affixed to the bottom surface of the print layer. | 01-05-2012 |
20120009395 | Method for the translation of a white light color palette to a black light color palette - One particular implementation of the present invention may involve a method for creating a color sample that provides a first color under white light and a second color under UV or black light. In general, the first color and second color may each include a perceived hue and value (shade and tint). However, the second color may also include a luminous effect under the UV light. In some implementations, the hue and value of the first color and the second color may be the same such that the difference between the first color and the second color is a luminous effect of the color sample under the black light. In another implementation, the hue and value of the first color and the second color may differ, such that the color scheme appears as one color under white light and glows a separate color under black light. | 01-12-2012 |
20120009396 | SPILL RESISTANT SURFACES HAVING HYDROPHOBIC AND OLEOPHOBIC BORDERS - Described herein are methods for creating spill-proof or spill-resistant surfaces through the use of hydrophobic or oleophobic (H-SH) edges, borders or/and boundaries that contain the water and other liquids within inside the edges, borders and/or boundaries. Also described herein are spill-proof/spill-resistant surfaces. Liquid (e.g., water and other aqueous solutions/suspension) heights of 3-6 mm on a level planar surface can be sustained by such edges, borders and/of boundaries. The H-SH borders can be created on glass, metal, wood, plastic, and concrete surfaces. | 01-12-2012 |
20120009397 | ABSORBENT PAPER PRODUCT HAVING PRINTED INDICIA WITH A WIDE COLOR PALETTE - An absorbent paper product is disclosed. The absorbent paper product has an MD modulus of less than about 20,000 g/cm at a load of about 15 g. The absorbent paper product further has indicia provided by five or more process colors. The process colors have L*a*b* color values. The a* and b* values are outside the boundary described by the following system of equations: | 01-12-2012 |
20120015156 | HEAT APPLIED APPLIQUE OR TRANSFER WITH ENHANCED ELASTOMERIC FUNCTIONALITY - The disclosure is directed generally to stretchable articles and/or assemblies, more specifically stretchable woven articles and/or assemblies having at least one elastomeric adhesive and a method for making the same. One aspect of the disclosure is an elastomeric textile product comprising a textile design and a self-supporting, elastomeric adhesive layer. In one preferred embodiment, the self-supporting, elastomeric adhesive layer comprises an elastomeric film position between opposing first and second adhesive films. | 01-19-2012 |
20120015157 | DECORATION PLATE AND ELECTRONIC DEVICE USING THE SAME - A decoration plate and an electronic device using the same are provided. The decoration plate includes a structure layer and a light source layer. The structure layer has an inner surface and an outer surface and is provided with a plurality of prisms. The light source layer is formed on the inner surface side of the structure layer. The prisms are distributed to form a predetermined pattern. After entering into the structure layer from the light source layer, the light leaves the structure layer from the area covered by the predetermined pattern on the outer surface. Since the light is split into several beams with different exit angles, the area covered by the predetermined pattern will exhibit different visual effect from other area. | 01-19-2012 |
20120015158 | PIXEL-TO-BARRIER-UNEVENESS-CONTROLLABLE INK - Disclosed is an ink, which has a viscosity and a volumetric shrinkage, controlled to 20˜80 cP and 10˜30%, respectively, by controlling an amount of each of: (a) a first monomer having a viscosity of 7˜1,600 cP and having one or two polymerizable functional groups; and (b) a second monomer having a viscosity of 30˜20,000 cP and having at least three polymerizable functional groups, in the presence of 0˜20 wt % of a solvent, and which can form a convex portion due to its surface tension controlled to 20˜40 mN/m, so as to compensate for its volumetric shrinkage, wherein the viscosity and the surface tension are measured at 25° C. A substrate, which is patterned or information-recorded with the ink, and a display device having the substrate are also disclosed. | 01-19-2012 |
20120015159 | CYCLIC CARBAMATE COMPOUNDS USEFUL IN ENERGY-CURABLE COMPOSITIONS - Cyclic carbamate functional compounds, which are the reaction product of a cyclic carbamate having an acrylate functional group, such as N-(2-acryloyloxyethyl)oxazolidinone, with aliphatic amine compounds, said cyclic carbamate functional compounds being useful as oxygen scavengers in energy-curable compositions, such as inks, coatings and adhesives, that comprise (a) the cyclic carbamate functional compound, (b) reactive monomers and/or oligomers and, optionally, (c) a photoinitiator. | 01-19-2012 |
20120015160 | PROCESS FOR PRODUCING POLYMER MEMBER AND POLYMER MEMBER - Provided is a polymer member that demands no volatile component such as solvent during production, in which distribution of its immiscible material is controlled. | 01-19-2012 |
20120021188 | SECURITY ARRANGEMENT - A security label ( | 01-26-2012 |
20120021189 | Pre-coated surfaces for analysis - Sample preparation can be a tedious and time consuming task. For example, MALDI imaging of tissue samples can require the tedious process of hand or robotically spotting solutions containing chemical species referred to as “matrix” onto a tissue sample prior its mass spectral analysis. Provided is a process for preparing a sample comprising immersing a solid support that has a surface comprising a first part that is more hydrophilic than a second part into a target compound solution, wherein the target compound is deposited primarily onto the more hydrophilic part; and/or applying and evaporating the target compound solution onto the substrate to produce the pre-coated substrate. A tissue or other sample may then be placed on the substrate for analysis. | 01-26-2012 |
20120021190 | PHOTOSENSITIVE RESIN COMPOSITION, METHOD FOR FORMING SILICA COATING FILM, AND APPARATUS AND MEMBER EACH COMPRISING SILICA COATING FILM - The photosensitive resin composition of the invention comprises component (a): a first siloxane resin obtained by hydrolytic condensation of a first silane compound comprising a compound represented by the following formula (1), component (b): a solvent in which component (a) dissolves, and component (c): an ester of a phenol or alcohol and naphthoquinone diazide sulfonic acid. | 01-26-2012 |
20120021191 | STRUCTURES COMPRISING HIGH ASPECT RATIO MOLECULAR STRUCTURES AND METHODS OF FABRICATION - A structure comprising high aspect ratio molecular structures (HARM-structures), wherein the structure comprises an essentially planar network ( | 01-26-2012 |
20120021192 | RHEOLOGY MODIFIED INK AND PRINTING PROCESS - The present invention provides Ink jet printing inks comprising a shear thinning agent as outlined in the specification, ink sets comprising such inks, processes of manufacturing such inks, printing processes using such inks and the use of shear thinning agents in ink jet inks. | 01-26-2012 |
20120028002 | ACTINIC RAY CURABLE INKJET INK COMPOSITION, PRINTED ARTICLE, SHAPED PRINTED PRODUCT, AND PRINTED ARTICLE FORMING METHOD - The present invention provides an actinic ray curable ink composition for inkjet recording, which has a high sensitivity for inhibiting a blocking phenomenon and capability for forming an image, obtained by curing, which exhibits excellent shaping suitability and punching suitability, and which includes: a colorant; a polymerization initiator; a polymerizable monomer; and organic fine particles, the polymerizable monomer containing a monofunctional monomer in an amount of 90% by mass or more with respect to a total mass of the polymerizable monomer, and organic fine particles that are crosslinked. | 02-02-2012 |
20120034429 | ADAPTIVE DISRUPTIVE ENVIRONMENTAL GRAPHIC DESIGN CLASS PATTERN AND METHODS RELATED THERETO - A picture, or graphic design, that defines a new, hybrid pattern-class, and that is a representative formation of design pixels into a complex new type of digital pattern with at least three basic and functional layers, and with targeted enhancements for texture and dimensionality, wherein lighter colors “float” on top of the pattern while the dark colors “sink to the bottom” of the pattern below the main color layers. | 02-09-2012 |
20120034430 | SANITARY TISSUE PRODUCTS COMPRISING A SURFACE PATTERN AND METHODS FOR MAKING SAME - Sanitary tissue products having a surface having a surface pattern and more particularly to sanitary tissue products having a surface having a surface pattern employing a first pattern overlaying a second pattern and methods for making same are provided. | 02-09-2012 |
20120034431 | STRUCTURED METAL HEAT SHIELD - A heat shield for a vehicle comprising at least one layer of a 3D structured metal sheet with a plurality of indentations or embossments, wherein the embossments protrude in a common direction normal to the surface of the sheet material, defined as the neutral plane n. The embossments also protrude a first distance h away from this neutral plane and together form a regular network, where each embossment forms a junction by intersecting with at least two other embossments. | 02-09-2012 |
20120034432 | COMPOSITE ABSORBENT MATERIALS AND METHODS FOR THEIR PRODUCTION - A composite absorbent material ( | 02-09-2012 |
20120034433 | BLOCK COPOLYMER BLENDS - A method of producing a structure having a polymer surface with a plurality of surface domains, the surface being formed by the steps of: forming a liquid composition comprising at least one surface polymer, at least one block copolymer and at least one common solvent, the at least one block copolymer having the general formulae A-B-C, wherein A is a polymer which is the same as the surface polymer or fully miscible or partially immiscible with the surface polymer; B is a polymer which is more immiscible in the surface polymer than polymer A; and C is a terminal group; and solidifying the liquid composition to form the structure having the surface with the plurality of surface domains. | 02-09-2012 |
20120034434 | MASK BLANK, TRANSFER MASK, AND FILM DENSITY EVALUATION METHOD - A relative density of a light-shielding film made of MoSi, which is given by relative density=(actual density/theoretical density)×100, is obtained using a density (actual density) calculated by an XRR method and a theoretical density obtained from a material composition. By obtaining a dense film having a relative density greater than 94%, the thickness of an alterated layer, caused by exposure light, at a surface of the MoSi film can be made not more than 2.0 nm so that the dimensional change of a transfer pattern can be made small. | 02-09-2012 |
20120040147 | INK JET RECORDING METHOD, INK SET, AND RECORDED MATTER - An ink jet recording method for recording a non-water-based glitter ink on a recording medium, including forming an underlayer, in which a resin ink in which resin components are dispersed or dissolved in a dispersion medium is applied to at least a site of the recording medium on which the non-water-based glitter ink is recorded, thereby forming an underlayer, and recording a glitter ink, in which the non-water-based glitter ink is recorded on the underlayer. | 02-16-2012 |
20120040148 | FORMALDEHYDE-FREE INKJET COMPOSITIONS AND PROCESSES - Disclosed are a process for inkjet printing color images on various substrates using a formaldehyde-free crosslinking agent in the ink composition, which is therefore devoid of formaldehyde emission and suitable for health-aware manufacturing practices, as well as objects having images and designs printed thereon which are devoid of formaldehyde and therefore suitable for use by infants. | 02-16-2012 |
20120040149 | Thermoplastic Planks And Methods For Making The Same - A thermoplastic laminate plank is described wherein the thermoplastic laminate plank comprises a core, a print layer, and optionally an overlay. The core comprises at least one thermoplastic material and has a top surface and bottom surface wherein a print layer is affixed to the top surface of the core and an overlay layer is affixed to the top surface of the print layer. Optionally, an underlay layer can be located and affixed between the bottom surface of the print layer and the top surface of the core. A method of making the thermoplastic laminate plank is further described which involves extruding at least one thermoplastic material into the shape of the core and affixing a laminate on the core, wherein the laminate comprises an overlay affixed to the top surface of the print layer and optionally an underlay layer affixed to the bottom surface of the print layer. | 02-16-2012 |
20120040150 | SEAM FOR AN INDUSTRIAL FABRIC AND SEAMING ELEMENT THEREFOR - A seaming element for seaming industrial textiles for filtration or other uses, an industrial textile with seaming elements, and a method of seaming such textiles. The seaming element is secured to a first seamable end or edge of the fabric, and includes at least one extension member, which can be a channelled protrusion, and which can be a channelled protrusion, and which is engageable with a corresponding at least one extension member of a corresponding seaming element secured to the second seamable end or edge. The seaming elements are configured and dimensioned so that when the extensions or protrusions of the two seaming elements are aligned together and engaged, in some embodiments being secured by a pintle, they have a thickness which is compatible with the caliper of the finished fabric, to form a secure seam while avoiding or minimizing any discontinuity or irregularity in the finished fabric. | 02-16-2012 |
20120040151 | RUST-RESISTANT TAPE - The present invention generally relates to rust-resistant tape formulations and/or structures. In one embodiment, the present invention relates to a rust-resistant tape that comprises a polymer base material layer, an adhesive layer and a rust-resistant component. In one embodiment, the adhesive layer adhered to one side of the layer of polymer base material. In another embodiment, the rust-resistant component comprises at least one volatile, or vapor-phase, corrosion inhibitor (VCI) that is placed into the matrix of the polymer base material or the adhesive layer. In still another embodiment, the at least one volatile, or vapor-phase, corrosion inhibitor (VCI) component of the present invention is contained within a discrete layer. In one instance, a rust-resistant tape in accordance with the present invention can be directly applied to a metal surface to be protected. | 02-16-2012 |
20120040152 | ADHESIVE ARTICLES WITH IMPROVED AIR EGRESS - This invention relates to an adhesive article which provides air egress. Air egress is provided by supplying a route, such as areas of no initial adhesion for the air to flow out from under the construction. The invention relates to an adhesive article comprising a facestock having a front surface and a back surface, a continuous layer of adhesive having an upper surface and a lower surface wherein the upper surface of the adhesive layer is adhered to the back surface of the facestock, and a plurality of spaced-apart non-adhesive material which is in contact with the lower surface of the adhesive layer. These articles have usefulness as industrial graphics images, as well as decorative coverings, etc. The articles provide air egress and optionally repositionability and slideability. | 02-16-2012 |
20120040153 | PRINTABLE COATING - A primer-less coating composition for facestock comprises: a binder being a water-dispersible polymer; an ethylenically unsaturated compound which is aqueous-dispersible and miscible with or bonded to said water-dispersible polymer, wherein said ethylenically unsaturated compound is able to form a covalent bond with an ink; and a crosslinker, wherein said crosslinker is suitable for binding the coating to the facestock. The coating composition may be applied to a substrate to form a printable film. A printed film in accordance with the invention may be used in a label, for example for use on a container such as a bottle. | 02-16-2012 |
20120045624 | AQUEOUS LASER-SENSITIVE COMPOSITION FOR MARKING SUBSTRATES - Aqueous composition comprising a colour former, a developer, and a binder, wherein (a) the weight ratio between the developer and the colour former is in the range of from 1 to 5; (b) the binder comprises an acrylate binder, comprising acrylic acid and styrene, and at least one additional monomer selected from the group consisting of α-methyl styrene, ethyl acrylate and 2-ethylhexyl acrylate; and (c) the colour former and/or the developer are present in an un-encapsulated form; a process for the preparation of these compositions, substrates coated with this composition and a process for its preparation, and a process for preparing a marked substrate using this composition. | 02-23-2012 |
20120045625 | METHOD OF MANUFACTURING LAMINATE, METHOD OF MANUFACTURING RETARDATION FILM, AND THE RETARDATION FILM - A method of manufacturing a laminate includes: a step of deriving, by the processor, an in-plane positional relationship between the retardation film and the object from an image of each of the retardation film and the object captured by the camera while the retardation film and the object are disposed in this order from a side of the camera within the imaging area of the camera at positions on a side opposite to the camera with respect to the (2n+1)λ/4 retardation film; and a step of performing alignment of the retardation film to the object based on the positional relationship derived by the processor, and then attaching the retardation film to the object. | 02-23-2012 |
20120045626 | LAMINATED NONWOVEN FABRIC - An object of the present invention is to provide a nonwoven fabric having high tensile strength and high tear strength. The laminate nonwoven fabric of the present invention is a laminated nonwoven fabric obtained by thermally press-contacting a thermoplastic continuous fiber layer as an outer layer with both surfaces of an interlayer, wherein the ratio (F1/F2) between the average oblateness (F1) of the thermoplastic continuous fiber present on the surface side and the average oblateness (F2) of the thermoplastic continuous fiber present on the inner side is 1.20 or more. | 02-23-2012 |
20120045627 | COATINGS WITH SMALL PARTICLES THAT EFFECT BULK PROPERTIES - Durable interactive coatings which may be deposited on a substrate which impact bulk properties i.e. bulk modifying coatings, and a method and apparatus for producing them. Such coatings can include a plurality of particles which adhere to the substrate surface and/or other particles and include films. The particles can be provided as one or more layers of nanoscale particles having an average size of less than about 1000 nm, 800 nm, 500 nm, or 200 nm or 100 nm or less than 50 nm. Such bulk modifying coatings can have a thickness that is less than about 5000 nm, 800 nm, 500 nm, or 250 nm or even 200 nm. Thicker coatings or thinner coatings are provided depending on the potential field thermodynamic interaction of the substrate and particles for bulk property enhancement. Corresponding films are also provided. | 02-23-2012 |
20120045628 | MICROMECHANICAL COMPONENT AND METHOD FOR PRODUCING A MICROMECHANICAL COMPONENT - A method is described for producing a micromechanical component. The method includes providing a first substrate, providing a second substrate, developing a projecting patterned element on the second substrate, and connecting the first and the second substrate via the projecting patterned element. The method provides that the connecting of the first and the second substrate includes eutectic bonding. Also described is a micromechanical component, in which a first and a second substrate are connected to each other. | 02-23-2012 |
20120045629 | Vinylhydrogenpolysiloxane Adhesive Composition - Vinylhydrogenpolysiloxanes are provided. The vinylhydrogenpolysiloxanes comprise organopolysiloxanes having at least two silicon-bonded hydrogen atoms and at least two silicon-bonded vinyl groups. As a fraction of the total number of silicon atoms in the vinylhydrogenpolysiloxanes, about 25% to about 90% of the silicon atoms are bonded to a hydrogen atom and about 10% to about 45% are bonded to a vinyl group. The ratio of the number of silicon-bonded hydrogen groups to the number of silicon-bonded vinyl groups is about 1.3 to about 6. Also provided are silicone compositions comprising at least one vinylhydrogenpolysiloxane and a hydrosilylation catalyst; silicone adhesives comprising a cured product of at least one vinylhydrogenpolysiloxane; and laminates comprising at least one such silicone adhesive. When subjected to high temperatures or direct flame, the vinylhydrogenpolysiloxanes form chars that retain adhesion to various substrates. | 02-23-2012 |
20120058312 | THERMAL COMPENSATED STAMPERS/IMPRINTERS - A method of manufacturing a stamper/imprinter for patterning a recording medium via thermally assisted nano-imprint lithography, comprising steps of: selecting a recording medium for patterning, comprising a substrate with a first coefficient of thermal expasnsion (CTE); providing a first stamper/imprinter comprising a topographically patterned surface having a correspondence to a selected pattern to be formed in a surface of the medium; providing a sheet of a material having a second CTE matching the first CTE; molding a layer of a polymeric material surrounding the sheet of material and having a surface in conformal contact with the topographically patterned surface of the first stamper/imprinter; and separating the layer of polymeric material from the patterned surface of the first stamper/imprinter to form a second stamper/imprinter comprising a topographically patterned stamping/imprinting surface having a correspondence to the selected pattern. | 03-08-2012 |
20120058313 | METHOD FOR MANUFACTURING LAMINATED CORE AND LAMINATED CORE - A method for manufacturing a laminated core is provided that makes positions of boundary parts of laminated blocks and improves an efficiency of a welding operation of the blocks. The above-described object is achieved by the method of manufacturing the laminated core | 03-08-2012 |
20120058314 | WATERPROOF STRUCTURE FOR ELECTRONIC DEVICE - A waterproof structure of the present invention is for an electronic device including a housing including a first case member that has a first abutting face, and a second case member that has a second abutting face that faces the first abutting face. A water repellent treatment is applied to the first and second abutting faces, and two or more boundaries that change from a region having a relatively small liquid droplet contact angle to a region having a relatively large liquid droplet contact angle are provided along a liquid infiltration direction at an abutting ends portion of the first and second case members. | 03-08-2012 |
20120064307 | Foil Laminate Intermediate and Method of Manufacturing - The present invention relates to a method of manufacturing a metal foil laminate which may be used for example to produce an antenna for a radio frequency (RFID) tag, electronic circuit, photovoltaic module or the like. A web of material is provided to at least one cutting station in which a first pattern is generated in the web of material. A further cutting may occur to create additional modifications in order to provide additional features for the intended end use of the product. The cutting may be performed by a laser either alone or in combinations with other cutting technologies. | 03-15-2012 |
20120064308 | Sheet Having Convex Pattern on Surface and Method for Forming the Convex Pattern - A method for forming a convex pattern on a surface of a sheet includes the steps of covering a photosensitive resin film | 03-15-2012 |
20120064309 | METHOD OF GENERATING STRUCTURAL COLOR - Provided is a method of printing a structural color. The method includes providing a first substrate, forming a layer of a composition for generating a structural color including magnetic nanoparticles and a curable material on the first substrate, applying a magnetic field to the layer of the composition for generating a structural color and exhibiting a structural color using a change in lattice spacing of a photonic crystal composed of magnetic nanoparticles depending on the magnetic field strength, and curing the layer of the composition for generating a structural color to fix the lattice spacing of the photonic crystal and to form a structural color printed layer. | 03-15-2012 |
20120064310 | DECORATIVE SHEET - [Problem to be Solved] There is provided a decorative sheet which is not limited in color, has a simple structure and excellent display performance, and can give a three-dimensional feeling. | 03-15-2012 |
20120064311 | CAMOUFLAGE DEVICE - A camouflage sheet including a substrate of shapeable material capable of retaining its shape, for forming a three dimensional structure to provide a heat signature not characteristic of the object, the shapeable material being re-shapeable on site, and a visible pattern on the shapeable material mimicking the surroundings of the object to be protected, for protecting an object from detection in both the visible and the IR spectrum. | 03-15-2012 |
20120070627 | METHOD FOR NANOPATTERNING BASED ON SELF ASSEMBLY OF A TRIBLOCK TERPOLYMER - Nanolithography and nanoscale device features based on a self-assembled film comprising an ABC triblock terpolymer disposed on a substrate surface are provided. The self-assembled film has a controlled pattern of features over the entire film. Each feature comprises block A, block B, or block C of the ABC triblock terpolymer. One or more blocks (A, B, or C) of the self-assembled film can be transformed by, for example, being removed, to provide a particular pattern geometry for nanolithography. | 03-22-2012 |
20120070628 | Printing dimensionally stable resin inks - Small geometry images are printed by coating a surface with a first resin and printing the image from ink containing a second resin on the first resin. The first resin and the second resin are characterized by being solvated by the same solvent. The solvent by which both resins are solvated need not be the solvent used in the inks for either layer. The solvent need not be the same for the inks in both layers. It is believed that solvent from a freshly printed second layer is at least slightly absorbed by the first layer, thereby reducing spreading. | 03-22-2012 |
20120070629 | METHOD FOR PRODUCING OPTICAL ORIENTATION FILM, METHOD FOR PRODUCING RETARDATION FILM, SYSTEM FOR PRODUCING OPTICAL ORIENTATION FILM, OPTICAL ORIENTATION FILM AND RETARDATION FILM - A method for producing an optical orientation film is disclosed, the method being able to realize highly accurate exposure in a pattern, even if a simple device and non-parallel light are used and a long continuous resin substrate is used and fed continuously. The method for producing the optical orientation film includes the steps of: (i) preparing an irradiation target substrate and a long continuous photomask (ii) feeding the irradiation target substrate continuously; (iii) feeding the photomask continuously; (iv) producing a laminate by laminating the photomask fed in step (iii) on an orientation layer of the irradiation target substrate fed in step (ii); (v) exposing the orientation layer in the pattern by irradiating with light, while feeding the laminate obtained in step (iv) in the longitudinal direction of the laminate; and (vi) removing the photomask from the laminate irradiated in step (v). | 03-22-2012 |
20120070630 | POWDER COATING COMPOSITION COMPRISING A POLYESTER AND A BETA-HYDROXYALKYLAMIDE AS CROSSLINKER - The invention relates to a thermosetting powder coating composition comprising a crosslinker and a polyester, wherein the polyester has functional groups which functional groups are capable of reacting with β-hydroxyalkylamide groups, wherein the polyester is prepared from at least the following monomers: neopentylglycol, a difunctional alcohol other than neopentylglycol; isophthalic acid, terephthalic acid, optionally adipic acid and a branching monomer chosen from the group of an at least trifunctional carboxylic acid, an at least trifunctional alcohol, an at least trifunctional hydroxy carboxylic acid and mixtures thereof, wherein the amount of difunctional alcohol other than neopentylglycol in the polyester ranges from 1 to 50% w/w based on the polyester, wherein the amount of isophthalic acid in the polyester ranges from 6 to 35% w/w based on the polyester, wherein the polyester has a functionality of at least 2.1, wherein the crosslinker is a compound having β-hydroxyalkylamide groups. This thermosetting powder coating composition has the ability to be cured at low temperature, while at the same time being able provide powder coatings having at least two of the following three properties: limited blooming to no blooming, an acceptable smoothness, sufficient impact resistance. | 03-22-2012 |
20120070631 | PRODUCTION METHOD OF WORKPIECE AND WORKPIECE WITH THREE-DIMENSIONAL PATTERN - A production method of workpiece is provided. First, an adhesive layer is applied on a workpiece. The adhesive layer is impressed by a mold, so that the adhesive layer forms a three-dimensional pattern. A first curing process with a first irradiating energy is performed on the adhesive layer. The workpiece is punched after the first curing process is performed on the adhesive layer, so that the workpiece forms a three-dimensional workpiece. A second curing process with a second irradiating energy is performed on the adhesive layer after the workpiece is punched, wherein the second irradiating energy intensity is higher than the first irradiating energy intensity. | 03-22-2012 |
20120070632 | METHOD OF TRANSFER TO A SHEET, TRANSFER APPARATUS, AND SHEET PRODUCT - Provided is transfer method to a sheet, a transfer apparatus and a sheet product capable of transferring a same pattern or design or the like to the same position on a base sheet of various printed materials and the like. | 03-22-2012 |
20120070633 | PACKAGING MATERIAL COMPRISING MAGNETISABLE PORTIONS - A packaging material comprising a plurality of magnetisable portions thereon comprising at least one spot per package to be formed from the packaging material is disclosed. At least one of the magnetisable portions provides a first magnetic mark carrying a magnetic field pattern providing position information related to finishing of respective package to be formed. | 03-22-2012 |
20120070634 | PACKAGING MATERIAL COMPRISING MAGNETISABLE PORTIONS - A packaging material comprising a plurality of magnetisable portions thereon comprising at least one spot per package to be formed from the packaging material is disclosed. At least one of the magnetisable portions provides a magnetic mark carrying a magnetic field pattern. The magnetic field pattern comprises a first magnetic field peak having a first polarity and a second magnetic field peak having a second opposite polarity. | 03-22-2012 |
20120076991 | SURFACE-TREATING AGENT FOR PATTERN FORMATION AND PATTERN-FORMING METHOD USING THE SURFACE-TREATING AGENT - A surface-treating agent for forming a resist pattern, includes: a compound represented by formula (1) as defined in the specification, wherein the surface-treating agent is used in a step between a formation of a first resist pattern on a first resist film and a formation of a second resist film on the first resist pattern to form a second resist pattern, and a pattern-forming method uses the surface-treating agent. | 03-29-2012 |
20120076992 | METHODS AND APPARATUS FOR INCORPORATING LUMINOPHORES INTO DECORATIVE LAMINATES - A process for incorporating light emitting materials into aircraft interiors is described. The method includes selecting at least one light emitting material, incorporating the selected at least one light emitting material into one or more of a laminate coating and an extruded component, and installing the one or more of a laminate coating and extruded component into an aircraft cabin configuration. | 03-29-2012 |
20120076993 | DOOR, METHOD OF MAKING DOOR, AND STACK OF DOORS - A door, method of making a door, and stack of doors includes providing a door having front and back skins that have differing, but complementary profiles, one for the front side of the door and one for the back side of the door where each allows and fits onto the other, while still providing direct contact in the stile and rail zones of the door permitting conventional door layup practices. | 03-29-2012 |
20120076994 | MODIFIED POLYAMIDE ACRYLATE OLIGOMERS - A liquid resin, which is the Michael addition product of an amine-terminated aminoamide thermoplastic polymer with one or more polyol ester compounds having at least one (meth)acrylate group, wherein the amine-terminated aminoamide thermoplastic polymer contains a bisphenol or novolac segment to provide increased hardness and improved flow of radiation-curable compositions incorporating such resins, methods of printing using such compositions and articles printed with such compositions. | 03-29-2012 |
20120076995 | PACKAGING MATERIAL COMPRISING MAGNETISABLE PORTIONS - A packaging material comprises a plurality of magnetisable portions thereon. The magnetisable portions are provided as at least one spot per package to be formed from the packaging material. The spots comprise magnetisable particles and are provided on the side of the paper layer intended to face to the interior of the package. | 03-29-2012 |
20120082824 | Thermal Poly Rubber (TPR) Layered Toy - A Thermal Poly Rubber (TPR) toy which is produced from a TPR base toy over which one or more diecut polymer and/or TPR layers are provided so as to produce a TPR toy having a more vibrant appearance, and a textured feel and appearance. The TPR toy reduces or eliminates the need for subsequent printing on the surface of the TPR toy. | 04-05-2012 |
20120082825 | METHODS OF WET ETCHING A SELF-ASSEMBLED MONOLAYER PATTERNED SUBSTRATE AND METAL PATTERNED ARTICLES - Method of patterning a substrate are described including a method of providing a substrate comprising a metalized surface having a self-assembled monolayer patterned region and unpatterned region; and wet etching the metalized surface in a liquid etchant agitated with bubbling gas to remove metal from the unpatterned regions to form a metal pattern. Also described are metal patterned article including an article comprising a substrate and an etched microcontact printed metal pattern disposed on the substrate wherein the pattern has a thickness of at least 100 nanometers and a pattern feature uniformity of at least 50% for an area of at least 25 cm | 04-05-2012 |
20120082826 | Gradient Coatings with Biopolymer-resistant domains - A medical device or analytical device comprising a fluid-impervious surface comprising a base surface, at least one distinct region of the base surface covered by a mixed monolayer film, the mixed monolayer film comprising a species having a functional group Ml and a species having a functional group M2 where M1 and M2 have different surface energies, the mixed monolayer forming a surface energy gradient wherein at least one of the species used to form the monolayer on the surface comprises a biopolymer-resistant domain. | 04-05-2012 |
20120088076 | SANITARY TISSUE PRODUCTS AND METHODS FOR MAKING SAME - Sanitary tissue products having a surface having a surface pattern including at least one line art element and at least one fragmented line art element and methods for making same are provided | 04-12-2012 |
20120094089 | ULTRAVIOLET MARKING - An apparatus for UV marking a substrate comprises: an ink jet apparatus having UV sensitive ink, the ink jet apparatus being configured to eject the UV sensitive ink; and an extruder producing a wire and insulation in a processing direction. The ink jet apparatus is configured in relation to the processing direction so as to eject UV sensitive ink in a pattern onto a surface of the wire and insulation. Additionally, an apparatus for forming a UV marked product comprises: first and second injection devices; and a two layer mold injection unit at the output of the first and second injection devices. The first injection device uses a first mixture of a polymer, a color masterbatch, and a UV sensitive masterbatch, and the second injection device uses a second mixture of the polymer and the color masterbatch, and the first mixture and the second mixture are injected into the two layer mold to form a UV marked product having a UV sensitive section and a non-UV sensitive section. Further, methods related to UV marked or formed products and methods for recognizing legitimate products marked by the marking methods. | 04-19-2012 |
20120094090 | METHOD FOR FORMING TRANSPARENT CONDUCTIVE LAYER PATTERN - Provided is a low-cost method for easily forming a transparent conductive pattern that has a low electrical resistance and high transparency, and that is highly invisible to the eye. The method for forming a transparent conductive layer pattern includes the steps of (1) detachably forming a transparent conductive layer on a substrate; (2) then forming, on a support, a negative-patterned heat-sensitive adhesive image; (3) bonding the substrate to the support so that the transparent conductive layer and the heat-sensitive adhesive layer are in close contact with each other; (4) forming a pattern of the transparent conductive layer on the substrate by detaching the support from the substrate to transfer a portion of the transparent conductive layer, the portion being in close contact with the heat-sensitive adhesive layer, to the heat-sensitive adhesive layer; and (5) fixing the transparent conductive layer on the substrate by applying a coating material for a protective layer onto a front surface of the substrate on which the pattern of the transparent conductive layer is formed and impregnating the transparent conductive layer with the coating material. | 04-19-2012 |
20120100348 | METHOD AND APPARATUS FOR OPTIMALLY LASER MARKING ARTICLES - The present invention relates to laser marking articles. In particular it relates to laser marking articles by laser ablating a coating applied to the article which reveals the surface of the article underneath, thereby forming the mark by the contrasting appearance between the revealed surface of the article and the adjacent remaining coating. Laser parameters are selected to provide uniform, commercially desirable appearance and avoid damage to the underlying surface while maintaining acceptable system throughput. In particular the laser pulse envelope is tailored to provide desirable appearance while maintaining acceptable system throughput. | 04-26-2012 |
20120100349 | BIODEGRADABLE BARRIER TAPE - A biodegradable barrier tape in the form of an elongated ribbon of woven plant fibre. | 04-26-2012 |
20120100350 | TOP SHEET FOR DISPOSABLE DIAPER AND SANITARY PAD WITH IMPROVED SKIN FRIENDLINESS - Provided is a top sheet for a disposable diaper and sanitary pad which is prepared by manufacturing a perforated hydrophobic nonwoven having a weight of 25 g/m | 04-26-2012 |
20120107574 | METHOD FOR APPLYING A SHRINK LABEL TO AN ARTICLE, A SHRINK LABEL AND A SHRINK LABEL MATERIAL - A method for applying a shrink label to an article, a shrink label and a shrink label material. | 05-03-2012 |
20120107575 | TOUCH PANEL HAVING A SEAMLESS OUTER SURFACE AND ITS MANUFACTURING METHOD - A touch panel having a seamless outer surface and its manufacturing method are disclosed. The method includes: binding step, placing step, and placing step. Provide a film and a shell. This film has a decorated area and a non-decorated area. Bond this film onto the shell to form an exterior seamless structure. Providing a touch panel and a screen displaying portion, place them together so as to form an internal structure. Finally, place the internal structure into a hollow area of the exterior seamless structure with glue for expelling any air clearance so that the exterior seamless structure and the internal structure are combined as a final product. It has a smooth surface with less shrinkage and is good for decoration. It can reduce thickness significantly. Light transmittance at hollow area is relatively larger. Plus, the sensitivity of the touch panel will perform a better response. | 05-03-2012 |
20120107576 | TAPE FOR HOLDING CHIP, METHOD OF HOLDING CHIP-SHAPED WORKPIECE, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING TAPE FOR HOLDING CHIP, AND METHOD OF MANUFACTURING TAPE FOR HOLDING CHIP - The present invention aims to provide a tape for holding a chip that makes pasting and peeling of a chip-shaped workpiece easy. It is a tape for holding a chip having a configuration in which a pressure-sensitive adhesive layer is formed on a base material, wherein the pressure-sensitive adhesive layer has a chip-shaped workpiece pasting region onto which a chip-shaped workpiece is pasted and a frame pasting region onto which a mount frame is pasted, and that is used by pasting the mount frame to the frame pasting region, wherein the 180-degree peeling adhesive power of the pressure-sensitive adhesive layer to a silicon mirror wafer at the frame pasting region is 5 times or more the 180-degree peeling adhesive power of the pressure-sensitive adhesive layer to a silicon mirror wafer at the chip-shaped workpiece pasting region. | 05-03-2012 |
20120107577 | Vessel Closing Laminate - A vessel closing laminate comprising: a seal laminate comprising a bottom subassembly of layers including a foil layer; and a seal substrate attached to the uppermost layer of the bottom subassembly of layers wherein the seal substrate has a bottom foam layer and a top plastic material layer and further includes a free tab lying wholly within the circumference of the seal; a wax layer on top of the plastic material layer of the seal substrate; and an absorbent liner adhered to the plastic material layer of the seal substrate by means of the wax layer. | 05-03-2012 |
20120107578 | METHOD AND DEVICE FOR PRODUCING CONSTRUCTION ELEMENTS - Embodiments of the invention relate to a method for producing structural elements exposed to the effects of weather, such as metallic structural elements, in particular sectional door panels, roll-up door slats or the like, in which method the structural elements which may have already been provided with a base coating, are imprinted. | 05-03-2012 |
20120107579 | INK COMPOSITION - An ink composition having a viscosity which is below about 50.0 cps and having, at least, 10 wt %, by total weight of ink composition, of non volatile substances. Said composition comprising a carrier liquid, a dispersing agent, and solid particles; said solid particles are dispersed in the carrier liquid and comprise pigments embedded with resin polymers. | 05-03-2012 |
20120114913 | BORDERLESS EMBLEM FOR SECURING TO A FIRST FABRIC - A borderless emblem for securing to a first fabric by heat and/or pressure includes a fabric base, an emblem design applied to a front surface of the fabric base and a layer of thermoplastic adhesive material bonded to a rear surface of the fabric base. The first fabric has a predetermined weave pattern and a predetermined color. The fabric base has an outer peripheral edge and is constructed of the same or substantially the same fabric as the first fabric. The fabric base has a base color that is the same or substantially the same as the predetermined color. The fabric base is cut from a fabric utilizing a laser cutter which heats a portion of the fabric base proximate the outer peripheral edge. The laser cutter finishes the outer peripheral edge of the fabric base without including a stitched or other border. | 05-10-2012 |
20120114914 | Modular Textile System - A method of making a modular textile system includes dividing a textile web having a length and a width into a plurality of tile areas including a first tile area, a second tile area, and a third tile area. The textile web includes a plurality of discrete design zones positioned along the width of the textile web. A first design zone includes a motif and a second design zone does not include the motif. The textile web is divided so that the first design zone is apportioned between the first tile area and the second tile area, and the second design zone is apportioned between the second tile area and the third tile area. | 05-10-2012 |
20120121868 | Phthalocyanines and Their Use in Ink-Jet Printing - A process for preparing phthalocyanine, azaphthalocyanine, metallo-phthalocyanine or metallo-azaphthalocyanine dyes and salts thereof. Also novel compounds, inks, printing processes, printed materials and ink jet cartridges. | 05-17-2012 |
20120121869 | DYE MIGRATION PREVENTING DECORATION PIECES MADE OF THERMOPLASTIC SYNTHETIC RESIN - A decoration piece has: a design piece made of thermoplastic synthetic resin formed with a design and having an outer profile line; a lower layer; and a dye migration preventing layer arranged between the design piece and the lower layer, said dye migration preventing layer having an outer profile same as that of said design piece, said dye migration preventing layer capable of being adhered to both said design piece and the lower layer, said dye migration preventing layer capable of preventing any migrating of dye. The dye migration preventing layer includes a dye migration preventing film made of ethylene-vinyl alcohol copolymer or polyamide MXD6, or a dye migration preventing film made of polyvinylidene chloride. | 05-17-2012 |
20120128943 | SEAM AND SEAM TAPE - A seam comprising a first piece of laminated fabric, a second piece of laminated fabric, a layer of adhesive hydrostatic polymer, and a seam tape wherein the first and second pieces of laminated fabrics are coupled together at a common border with the layer of adhesive hydrostatic polymer to form a seam, and wherein the seam tape is at least partially coupled along the seam. A method of forming a seam comprising stacking, in order, a first piece of laminated fabric, at least one strip of adhesive hydrostatic polymer, and a second piece of laminated fabric, ultrasonically coupling the first piece of laminated fabric, strips of adhesive hydrostatic polymer, and second piece of laminated fabric together, wherein at least a portion of adhesive hydrostatic polymer from the strips of adhesive hydrostatic polymer is extruded through the seam, and applying a seam tape along at least a portion of the seam. | 05-24-2012 |
20120128944 | WIDE CASTING BELT, METHOD FOR MANUFACTURING A WIDE FILM, AND WIDE FILM - The present invention relates to a wide casting belt, and more particularly, to a wide casting belt used for manufacturing a film in a gel state by casting dope used during the manufacture of a polarizing plate for a liquid crystal display, and an optical compensation film, etc. The present invention also relates to a method for manufacturing a wide film using the wide casting belt, and to a wide film manufactured with said wide casting belt. | 05-24-2012 |
20120128945 | ANTISTATIC RESIN COMPOSITION - An antistatic resin composition is provided, from which a thermoplastic resin molded article having a sufficient permanent antistatic property without impairing an excellent mechanical property or a good appearance of the molded article, even in a case that the content of an antistatic agent contained in the composition is less than that in a conventional composition, is provided. The antistatic resin composition contains an antistatic agent (A) and a thermoplastic resin (B), in which a melt viscosity ratio of the thermoplastic resin (B) to the antistatic agent (A) at 220° C. is 0.5-5 and an absolute value of a difference between solubility parameters (SPs) of the antistatic agent (A) and the thermoplastic resin (B) is 1.0-3.0. The antistatic resin molded article is obtained by molding the antistatic resin composition. | 05-24-2012 |
20120128946 | FLOORING MATERIAL AND FABRICATION METHOD THEREOF - The present invention relates to a flooring material and a fabrication method thereof. Since the invention forms the flooring material using bio-degradable resin which can be fabricated with materials extracted from the reproducible resources, the invention solves the supply and demand problem of raw materials caused by the depletion of oil resources. Further, the invention improves the production efficiency of the flooring materials by applying a calendaring method during the fabrication process, emits few environmentally-harmful materials including CO | 05-24-2012 |
20120135206 | FLUORINE-CONTAINING HIGHLY BRANCHED POYMER AND RESIN COMPOSITION CONTAINING THE SAME - It is an object to provide a compound that can provide a molded article and a coating film excellent not only in solubility in an organic solvent, but also in miscibility with/dispersibility in a matrix resin, causing no aggregation in a matrix resin, excellent in surface modification property, and having high transparency. A fluorine-containing highly branched polymer obtained by polymerizing a monomer A having two or more radical polymerizable double bonds in the molecule thereof with a monomer B having a fluoroalkyl group and at least one radical polymerizable double bond in the molecule thereof in the presence of a polymerization initiator C in a content of 5% by mol or more and 200% by mol or less, based on the total molar amount of the monomer A and the monomer B; and a resin composition comprising the polymer. | 05-31-2012 |
20120135207 | METHOD OF FORMING AN IMAGE HAVING MULTIPLE PHASES - A method of forming an image having multiple phases is disclosed herein. The method includes forming exposed and unexposed areas, the exposed areas comprising a first polymer network exhibiting first and second phases that are chemically connected and have different refractive indices, the first phase being continuous, and the second phase comprising a plurality of structures dispersed within the first phase, and the unexposed areas comprising a second polymer network comprising third and fourth phases that are chemically connected and have different refractive indices, the third phase being continuous, and the fourth phase comprising a plurality of structures dispersed within the third phase. The first and second polymer networks are chemically connected, and morphology formed by the first and second phases is different than that formed by the third and fourth phases. | 05-31-2012 |
20120141744 | Antistatic Films and Methods To Manufacture The Same - In embodiments herein, the invention relates to a multilayer printable polymeric film including: (a) at least one layer A including one or more polyolefins and having a first side and a second side; (b) a layer B including one or more polyolefins and having a first side and a second side, where the first side of layer B is located on the second side of layer A; and (c) a printable coating located on the first side of layer A; wherein at least one of layer A or B comprises 0.01 to 50.0 wt. % of at least one polyether-polyolefin block copolymer, based on the weight of the polymers comprising the layer; and wherein the multilayer printable polymeric film has a kinetic coefficient of friction less than 0.65; and a surface tension of greater than about 35 mN/m; on one or both sides of the film. | 06-07-2012 |
20120141745 | SIMPLIFIED CONTROL OF COLOR SHIFTING PROPERTIES OF A CHIRAL LIQUID CRYSTAL POLYMER - A chiral liquid crystal precursor composition which comprises at least one salt that changes a position of the selective reflection band exhibited by the composition in a cured state compared to the position of a selective reflection band exhibited by a composition in the cured state that does not contain the at least one salt. This abstract is neither intended to define the invention disclosed in this specification nor intended to limit the scope of the invention in any way. | 06-07-2012 |
20120141746 | METHOD OF FORMING AND VISUALIZING LATENT IMAGE - A method of visualizing a latent image includes: preparing a latent image composed of a plurality of paramagnetic seeds immobilized on a base in the form of an image, and a dispersion liquid of paramagnetic colloidal particles; immersing the latent image in the dispersion liquid of paramagnetic colloidal particles; and applying a magnetic field to the latent image and the dispersion liquid of paramagnetic colloidal particles. | 06-07-2012 |
20120141747 | LOW VOC SOLVENT-BORNE PRINTING INKS - Styrene-acrylic dispersants for use in pigment dispersions have lower viscosities than benchmark formulations such as nitrocellulose, dimer-acid based polyamides, and thermoplastic polyurethanes, prepared under otherwise identical conditions. Lower viscosities allow for the preparation of similarly viscous dispersions and inks with the styrene-acrylics when compared to traditional dispersants, at either (a) lower solvent levels, or if solvent levels are to be maintained, (b) higher pigment and solids loadings. | 06-07-2012 |
20120141748 | Template-Registered DiBlock Copolymer Mask for MRAM Device Formation - A device comprising a diblock copolymer mask for fabricating a magnetoresistive random access memory (MRAM) includes a magnetic layer; a mask formed on the magnetic layer; a template formed on the mask; and the diblock copolymer mask, the diblock copolymer mask comprising a first plurality of uniform shapes formed on and registered to the template. | 06-07-2012 |
20120148807 | INK COMPOSITION, INK JET RECORDING METHOD USING THE SAME AND RECORDED MATERIAL - Disclosed are an ink composition containing at least one of a porphyrazine compound or a salt thereof and an aromatic compound having a sulfo group or a salt thereof, an ink jet recording method using the ink composition and a recorded material recorded by the recording method. | 06-14-2012 |
20120148808 | TRANSPARENT FLUORINE-CONTAINING POLYMER - Disclosed is a fluorine-containing polymer obtained by polymerizing a 1,6-diene-type ether compound represented by formula [1] and, for example, a (meth)acrylic acid compound represented by formula [2]. The fluorine-containing polymer shows high transparency, has a high glass transition point, and is soluble in a solvent and therefore has moldability. In the case where a (meth)acrylic unit has a reactive substituent, by utilizing the crosslinking reaction thereof, a thin film having high solvent resistance can be produced. | 06-14-2012 |
20120148809 | HIGH HARDNESS IMPRINT MATERIAL - There is provided an imprint material from which a film having a high hardness can be formed. An imprint material comprising a component (A), a component (B) and a component (C), the component (A) being a compound having, in the molecule thereof, five or more polymerizable groups, the component (B) being a compound having, in the molecule thereof, two polymerizable groups, and the component (C) being a photo-radical generator. | 06-14-2012 |
20120148810 | PATTERNING METHOD - A patterning method is provided. A predetermined pattern is drawn on a substrate, and a plurality of decorative parts is distributed and fixed on the predetermined pattern through a bonding process. In addition, a pattern obtained by distributing the plurality of decorative parts assumes a three-dimensional pattern model on the substrate. | 06-14-2012 |
20120156440 | Translucent, Flame Resistant Composite Materials - A translucent composite material comprises a substrate and a plurality of glass fibers embedded within the substrate. The substrate may comprise a substantially continuous nonwoven, non-fabric, translucent thermoplastic polyphenylsulfone substrate. The plurality of glass fibers may substantially span across a length of the substrate and may have an orientation, a fiber thickness, and a fiber area density selected to provide the translucent composite material with a strength, a flame-resistance, and a light transmissivity. | 06-21-2012 |
20120156441 | Laminated Core with Soft-Magnetic Material and Method for Joining Core Laminations by Adhesive Force to Form a Soft-Magnetic Laminated Core - The invention relates to a laminated core with soft-magnetic material and to a method for joining core laminations by adhesive force to form a soft-magnetic laminated core. The laminated core comprises core laminations made of soft-magnetic sheets, which form a core lamination stack. The core lamination stack has intermediate layers between the core laminations. The intermediate layers comprise a cured adhesive introduced in a state of low viscosity into interstices between the core laminations. For this purpose, the core laminations comprise a final-annealed, crystalline CoFe alloy, an adhesive-wettable top side and an adhesive-wettable underside. Together with the intermediate layers, the core laminations form a dimensionally accurate laminated core. The laminated core has a substantially adhesive-free contour consisting of contour surfaces of the core laminations. The adhesive is solvent-free in its low-viscosity state. | 06-21-2012 |
20120156442 | METHODS OF MULTI-SHOT INJECTION MOLDING AND METAL-PLATED MULTI-LAYERED POLYMERIC ARTICLES MADE THEREFROM - Molded metallized polymeric components are formed by methods of multi-shot injection molding of a first resin and a second resin, where the first resin forms a first polymer that is metal-platable and the second resin forms a second polymer that is colored and resistant to metallization. Select regions of the metal-platable polymer surface are metallized. One or more metallized surface regions are formed on a first injection-molded polymer that is metal-platable and one or more colored surface regions defined by a second injection-molded polymer that is colored and resistant to metallization. Molded decorative polymeric components formed from such methods are also provided. A third polymer is optionally provided that comprises an injection-molded transparent polymer. The third layer protects and optionally encapsulates the underlying first and second polymers from exposure to an external environment. | 06-21-2012 |
20120156443 | METHODS OF MULTI-SHOT INJECTION MOLDING AND METAL-PLATED SURFACE COATED POLYMERIC ARTICLES MADE THEREFROM - Molded metallized polymeric components are formed by methods of multi-shot injection molding of a first resin and a second resin, where the first resin forms a first polymer that is metal-platable and the second resin forms a second polymer that is resistant to metallization. The second resin defines one or more colored surface regions and may have one or more surface topcoats. The surface topcoat may be a transparent protective coating or a colored paint. Select regions corresponding to the metal-platable polymer surface are metallized. Molded decorative polymeric components formed from such methods are also provided. | 06-21-2012 |
20120156444 | TRANSFER MEDIUM, PRODUCTION METHOD THEREOF, AND TRANSFERRED MATTER - A production method of a transfer medium includes: forming a colored layer on a base material by discharging ink from an ink jet head toward the base material; and forming an adhesive layer on the colored layer by discharging an adhesive liquid from the ink jet head toward the colored layer. | 06-21-2012 |
20120156445 | Multilayer Body - The invention relates to a multilayer body ( | 06-21-2012 |
20120156446 | Method for the Production of a Multilayer Element, and Multilayer Element - The invention relates to a method for producing a multilayer element ( | 06-21-2012 |
20120156447 | FIBROUS PRODUCT AND METHOD AND DEVICE FOR MANUFACTURING SUCH A FIBROUS PRODUCT - A fibrous product, especially tissue paper product, non-woven product or a hybrid thereof, includes at least one top ply with either an embossing pattern forming pillow-like chambers at least partially surrounded by first embossing protuberances or with second embossing protuberances; at least one middle ply embossed in register with the at least one top ply so that either second embossing protuberances of the at least one middle ply nest into the pillow-like chambers of the at least one top ply or second embossing protuberances of the at least one top ply nest into the pillow-like chambers at least partially surrounded by first embossing protuberances of the at least one middle ply; and at least one bottom ply not in register with the at least one top ply and being bonded to both the at least one top ply and the at least one middle ply. | 06-21-2012 |
20120156448 | FLUORINE-CONTAINING CYCLIC OLEFIN POLYMER COMPOSITION, IMPRINT PRODUCT OBTAINED USING THE COMPOSITION, AND METHOD FOR PRODUCING THE SAME - A fluorine-containing cyclic olefin polymer composition of the present invention includes a fluorine-containing cyclic olefin polymer (A) containing a repeating structural unit represented by the general formula (1) and having a fluorine atom content rate of 40 to 75% by mass; a photocurable compound (B); and a photocuring initiator (C). | 06-21-2012 |
20120156449 | INK SET, RECORDING METHOD, RECORDED MATERIAL AND PRINTED MATERIAL - The ink set includes a yellow ink composition and either a magenta ink composition or a cyan ink composition, or both, wherein a coloring agent of the yellow ink composition is one which includes an azo pigment represented e.g. by the following formula | 06-21-2012 |
20120156450 | MULTI-EXPOSURE LITHOGRAPHY EMPLOYING DIFFERENTIALLY SENSITIVE PHOTORESIST LAYERS - A stack of a second photoresist having a second photosensitivity and a first photoresist having a first photosensitivity, which is greater than second photosensitivity, is formed on a substrate. A first pattern is formed in the first photoresist by a first exposure and a first development, while the second photoresist underneath remains intact. A second pattern comprising an array of lines is formed in the second photoresist. An exposed portion of the second photoresist underneath a remaining portion of the first photoresist forms a narrow portion of a line pattern, while an exposed portion of the second photoresist outside the area of the remaining portions of the photoresist forms a wide portion of the line pattern. Each wide portion of the line pattern forms a bulge in the second pattern, which increases overlay tolerance between the second pattern and the pattern of conductive vias. | 06-21-2012 |
20120164396 | MATRIX ASSISTED INK TRANSPORT - Provided is a direct write patterning method utilizing a mixture comprising an ink of choice and an ink carrier matrix. The method involves disposing the mixture on a tip or stamp and transporting the mixture from the tip or stamp on a surface to form a pattern that contains the ink. The method does not require chemical or physical modification of either the tip or stamp or the surface prior to transporting the mixture to the surface. The method can be applied for patterning hard inks such as nanomaterials and crystallized polymers and soft inks such as biomaterials including peptides and proteins. Also provided are related biomaterial and hard ink arrays. | 06-28-2012 |
20120164397 | Barrier and a method for making a barrier - A methodology | 06-28-2012 |
20120164398 | METHODS OF MULTI-SHOT INJECTION MOLDING AND DURABLE POLYMERIC ASSEMBLIES MADE THEREFROM - Metallized multi-component polymeric assemblies are formed by methods provided, including injection molding a first resin to form a first sub-component comprising a metal-platable polymer and injection molding second and third colored resins via a multi-shot injection molding process to form second sub-component having a second and third polymer. Select regions corresponding to the metal-platable polymer surface are metallized. The second and third polymers preferably have distinct colors from one another. The first and second sub-components are assembled and coupled together to form a decorative polymeric component assembly that is visible to an external environment. | 06-28-2012 |
20120164399 | METHOD FOR PRODUCING MICRON-RESOLUTION COLOURED IMAGES EMBEDDED IN A VERY ROBUST, VERY DURABLE MEDIUM - A method for producing a colored or fluorescent substrate with a view to formation of a colored or fluorescent image including the formation. The method defines on a substrate of a colored or fluorescent matrix, pixels of at least two different colors, wherein each pixel forms a filter for a given color. At least one filter is an interferential filter or a filter obtained with colored or fluorescent particles. | 06-28-2012 |
20120164400 | BINDER FOR INK-JET PRINTING INK, INK-JET PRINTING INK CONTAINING THE SAME, AND PRINTED MATTER - The present invention relates to a binder for an ink-jet printing ink, the binder containing an aqueous medium (D); and a polyurethane (C) having a weight-average molecular weight of 30,000 to 200,000 and obtained by reacting a polyol (A) containing an alicyclic structure-containing polyol (a1) and a hydrophilic group-containing polyol (a2) with a polyisocyanate (B), the polyurethane (C) being dispersed in the aqueous medium (D), wherein a ratio of an alicyclic structure contained in the polyurethane (C) relative to the total mass of the polyurethane (C) is 1,000 to 5,500 mmol/kg, an ink-jet printing ink containing the binder, and printed matter. | 06-28-2012 |
20120164401 | FABRIC - A fabric having a base layer of a textile made from yarns of a biodegradable polymer, and a visible layer having a biodegradable film that is placed on the base layer as a separate layer, the yarns of the textile being multifilament yarns with a strength of more than 40 cN/tex measured according to DIN EN ISO 2062. | 06-28-2012 |
20120164402 | DECORATIVE CONCRETE BLOCK AND METHOD OF MANUFACTURING DECORATIVE CONCRETE BLOCK - A decorative concrete block includes a concrete block body having at least one outer surface with an image formed using a plurality of ink dots, the ink dots being obtained by curing ink droplets of an active energy curable ink. | 06-28-2012 |
20120164403 | BORDERLESS EMBLEM FOR SECURING TO A FIRST FABRIC - A borderless emblem for securing to a first fabric by heat and/or pressure includes a fabric base, an emblem design applied to a front surface of the fabric base and a layer of polyurethane bonded to a rear surface of the fabric base. The first fabric has a predetermined weave pattern and a predetermined color. The fabric base has an outer peripheral edge and is constructed of the same or substantially the same fabric as the first fabric. The fabric base is cut from a fabric sheet utilizing a laser cutter to fuse the outer peripheral edge for finishing the outer peripheral edge of the fabric base without including a stitched or other border. | 06-28-2012 |
20120164404 | PRINTABLE COATING - A primer-less coating composition for facestock comprises: a binder being a water-dispersible polymer; an ethylenically unsaturated compound which is aqueous-dispersible and miscible with or bonded to said water-dispersible polymer, wherein said ethylenically unsaturated compound is able to form a covalent bond with an ink; and a crosslinker, wherein said crosslinker is suitable for binding the coating to the facestock. The coating composition may be applied to a substrate to form a printable film. A printed film in accordance with the invention may be used in a label, for example for use on a container such as a bottle. | 06-28-2012 |
20120171431 | METHOD FOR THE CREATION OF COMPLEX STRUCTURES ON A MICROMETRIC OR NANOMETRIC SCALE, AND THE COMPLEX STRUCTURE THUS OBTAINED - A method for the creation of complex structures ( | 07-05-2012 |
20120171432 | Substrate structure and method of manufacturing the same - Provided is a substrate structure including: a base substrate on which a conductive pattern is formed; a first plating layer covering the conductive pattern; and a second plating layer covering the first plating layer, wherein the first plating layer includes an electroless reduction plating layer. | 07-05-2012 |
20120171433 | FLUORINATED COATING AND PHOTOTOOLS MADE THEREWITH - A coating is disclosed, comprising the reaction product of: an epoxy silane; and an oligomer comprising M | 07-05-2012 |
20120171434 | PHOTOINITIATOR COMPOSITION - A photoinitiator composition comprising a combination of aminoalkyl phenone, thioxanthone and multifunctional amino benzoate synergist for use in printing inks and coatings that are curable using UV radiation, particularly from LED lamps. | 07-05-2012 |
20120171435 | Dyes and Their Use in Ink-Jet Printing - A dye of Formula ( | 07-05-2012 |
20120171436 | PRINTABLE COATING - A primer-less coating composition for facestock comprises: a binder being a water-dispersible polymer; an ethylenically unsaturated compound which is aqueous-dispersible and miscible with or bonded to said water-dispersible polymer, wherein said ethylenically unsaturated compound is able to form a covalent bond with an ink; and a crosslinker, wherein said crosslinker is suitable for binding the coating to the facestock. The coating composition may be applied to a substrate to form a printable film. A printed film in accordance with the invention may be used in a label, for example for use on a container such as a bottle. | 07-05-2012 |
20120177891 | METHODS OF FORMING A PATTERNED, SILICON-ENRICHED DEVELOPABLE ANTIREFLECTIVE MATERIAL AND SEMICONDUCTOR DEVICE STRUCTURES INCLUDING THE SAME - Methods of forming a patterned, silicon-enriched developable antireflective material. One such method comprises forming a silicon-enriched developable antireflective composition. The silicon-enriched developable antireflective composition comprises a silicon-enriched polymer and a crosslinking agent. The silicon-enriched polymer and the crosslinking agent are reacted to form a silicon-enriched developable antireflective material that is insoluble and has at least one acid-sensitive moiety. A positive-tone photosensitive material, such as a positive-tone photoresist, is formed over the silicon-enriched developable antireflective material and regions thereof are exposed to radiation. The exposed regions of the positive-tone photosensitive material and underlying regions of the silicon-enriched developable antireflective material are removed. Additional methods are disclosed, as are semiconductor device structures including a silicon-enriched developable antireflective material. | 07-12-2012 |
20120177892 | Method for Manufacturing a Thin Film Structural System - A method for manufacturing a thin film structural system including a thin film structure includes depositing a reinforcing material in a liquid form in a predefined pattern on a thin film membrane, and transforming the reinforcing material in the predefined pattern to form a reinforcing element connected to the thin film membrane. The reinforcing material may be deposited in a melted form and solidified by cooling, may be transformed by a light or laser induced chemical reaction, or may be deposited and solidified such that the reinforcing element is at least partially embedded in the thin film membrane. The predefined pattern may redistribute loads around a damaged portion of the thin film structure, or define a hinge, a folding line, a stiffening feature. The reinforcing element may be electrically, optically or thermally conductive, to communicate with a device included in the system. The system may be a space structure. | 07-12-2012 |
20120177893 | CRYSTALLIZED GLASS ARTICLE HAVING PATTERNS - A crystallized glass article having patterns outwardly expressing a spotted pattern through precipitating one or more spherical crystallized glass inside a crystallized glass layer. The crystallized glass article having patterns includes a crystallized glass layer A containing at least one main crystal selected from β-wollastonite and diopside crystals, and having a thickness more than 6 mm and less than or equal to 18 mm, and one or more spherical crystallized glass precipitating inside the crystallized glass layer A; and a glass layer B provided in a manner of fusion-bonding on at least a part of at least one surface selected from one horizontal surface and lateral surfaces of the crystallized glass layer A. | 07-12-2012 |
20120177894 | FUNCTIONALIZATION OF SP3 HYBRIDIZED CARBON, SILICON AND/OR GERMANIUM SURFACES - The invention concerns a method for preparing a grafted material comprising the following steps:
| 07-12-2012 |
20120183747 | Useful aramid blends - The present invention relates to a fabric comprising a warp system and a weft system. The warp system comprises at least one flame retardant yarn comprising aramid fibers and the weft system comprises at least one core spun yarn. The flame retardant yarn of the warp system is covered by at least 70% of the weft system. | 07-19-2012 |
20120183748 | Joining Polymeric Materials - Systems and methods for joining polymeric materials together may use lasers or broadband infrared heat sources. The polymeric materials are arranged in an overlapping manner and then are exposed to the heat producing radiation for a sufficient time to join the polymeric materials together at the overlapped area. Such systems and methods may avoid the need to add an energy absorbing dopant to the materials being joined. Such systems and methods also may be used on transparent materials. | 07-19-2012 |
20120183749 | RESINS AND COATING COMPOSITIONS - The invention relates to a polyesteramide resin containing ester groups and at least one amide group in the back-bone characterized in that it has at least one hydroxyalkylamide endgroup, and a Tg<0° C. | 07-19-2012 |
20120183750 | FIBROUS STRUCTURES - Fibrous structures that exhibit a Geometric Mean Elongation of greater than 15.8% as measured according to the Elongation Test Method are provided. | 07-19-2012 |
20120183751 | BASE GENERATOR, PHOTOSENSITIVE RESIN COMPOSITION, PATTERN FORMING MATERIAL COMPRISING THE PHOTOSENSITIVE RESIN COMPOSITION, PATTERN FORMING METHOD USING THE PHOTOSENSITIVE RESIN COMPOSITION AND PRODUCTS COMPRISING THE SAME - The present invention is to provide a photosensitive resin composition which has excellent resolution, is low in cost and is applicable to a wide range of applications due to the structure of a polymer precursor in which reaction into a final product is promoted by a basic substance or by heating in the presence of a basic substance. The present invention is also to provide a base generator which is applicable to such a photosensitive resin composition. | 07-19-2012 |
20120183752 | CURABLE COMPOSITION FOR IMPRINTS, PATTERNING METHOD AND PATTERN - A curable composition for imprints which comprises a polymerizable compound having at least one of a fluorine atom and a silicon atom, a photopolymerization initiator, and a compound having a functional group capable of bonding to a substrate exhibits good patternability in transferring patterns, particularly micropatterns. | 07-19-2012 |
20120189821 | OBJECT STRUCTURE HAVING PATTERNS OF REFRACTIVE VEINS THEREON - An object structure having patterns of refractive veins thereon is provided, in which a heat insulated base plate capable of combining with object major body is provided, then a first base layer, a soft material layer, an aluminum film layer, and a second base layer being respectively build-up in this order thereon. Further, embossing process is conducted on the second base layer and then a pattern layer is printed thereon. In turn, a protection layer is overlaid on the pattern layer for protecting the colors thereof. Configuring like this, the heat insulated base plate having pattern design thereon can be combined integrally with the object major body by injection molding process, and pattern integrity can be ensured during injection molding by means of the heat-blocking effect obtained from the heat insulated base plate. | 07-26-2012 |
20120189822 | RADIATION-CURABLE INK FOR INK JET RECORDING, RECORD MADE USING THE SAME, AND INK JET RECORDING METHOD USING THE SAME - A radiation-curable ink for ink jet recording contains N-vinylcaprolactam. The N-vinylcaprolactam content is in a range of 5% by mass to 20% by mass, inclusive, relative to the total mass of the ink. The ink is for the purpose of making a marking on a recording medium, and the recording medium is a package substrate or a semiconductor substrate. The ink is applied to the package substrate or the semiconductor substrate, exposed to radiation, and then subjected to a heat treatment at a temperature in a range of 150° C. to 200° C., inclusive. | 07-26-2012 |
20120189823 | PROTECTIVE FILM AND METHOD FOR PRODUCING SAME - The problems in accordance with the present invention are: to provide a DLC film, wherein a protective film having a segmented shape is easily formed, quality control of the protective film is improved, segmented shapes with a high degree of freedom (that are complicated) are possible, and the application of the DLC film is possible not only to two-dimensional shapes but also to three-dimensional shapes; and to provide a method for forming the DLC film. As solutions to the problems, there are provided a protective film and a method for producing the protective film, characterized by, when forming on a substrate the protective film having a segmented shape formed by depositing a film so that the film is formed divided into segments, masking the substrate using a drawing material so that segments having predetermined shapes are obtained, thereafter depositing the protective film, and then removing the masked part to form a spacing between segments. | 07-26-2012 |
20120189824 | FABRICATION OF COMPLEX THREE-DIMENSIONAL STRUCTURES BASED ON DIRECTED ASSEMBLY OF SELF-ASSEMBLING MATERIALS ON ACTIVATED TWO-DIMENSIONAL TEMPLATES - Methods of fabricating complex three-dimensional structures on patterned substrates and related compositions are provided. The methods involve depositing on the substrate a block copolymer material that is “mismatched” to the substrate pattern, and then ordering the material to form a complex three-dimensional structure. According to various embodiments, the copolymer material mismatches the substrate pattern in that the symmetry and/or length scale of its bulk morphology differs from that of the pattern. When ordered, a balance between the physics that determines the bulk block copolymer morphology and the physics that determines the substrate surface interfacial interactions results in a thermodynamically stable complex three-dimensional film that varies in a direction perpendicular to the substrate and has a morphology that differs from its bulk morphology. | 07-26-2012 |
20120189825 | SOLVENT BORNE POLYURETHANE PROCESS - A process for obtaining a solvent borne polyurethane composition comprising preparing an isocyanate-terminated prepolymer A; | 07-26-2012 |
20120189826 | STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A structure for which the electrical reliability is improved is provided. A structure in accordance with one embodiment includes an inorganic insulating layer including amorphous silicon oxide and having an elastic modulus which is 45 GPa or less. A method for manufacturing a structure in accordance with one embodiment includes applying an inorganic insulating sol including inorganic insulating particles composed of amorphous silicon oxide, and forming an inorganic insulating layer including amorphous silicon oxide and having an elastic modulus which is 45 GPa or less by heating the inorganic insulating particles at a temperature lower than a crystallization onset temperature of silicon oxide to each other. | 07-26-2012 |
20120189827 | NAPKIN - A napkin is disclosed. On a first surface if the napkin first and second adhesive portions are adhered so that they are brought into engagement with each other when the sheet is folded in half along a first fold. The adhesive is of a type that will allow the first and second adhesive portions to separate and allow the sheet to be adhered to an object such as clothing. | 07-26-2012 |
20120196094 | HYBRID-GUIDED BLOCK COPOLYMER ASSEMBLY - A method for nano-patterning includes imprinting features in a resist with an imprint mold to form one or more topographic surface patterns on the imprinted resist. A a block copolymer (“BCP”) material is deposited on the imprinted resist, wherein a molecular dimension L | 08-02-2012 |
20120196095 | DECORATIVE RESIN MOLDED ARTICLE AND ITS PRODUCTION METHOD - A decorative resin molded article includes a resin sheet having, as formed on one side thereof, convex projections made of an ink containing a UV-curable resin and a colorant, and therefore having, on that one side thereof, a design of a color pattern and a concave and convex pattern synchronizing with each other, and a resin injection-molded body as laminated and integrated on the other side of the resin sheet through injection molding of a synthetic resin thereon. | 08-02-2012 |
20120196096 | INK - An ink contains at least a first solid particle, and a second solid particle formed of a base material of a different main component from that of the first solid particle. The first solid particle and the second solid particle have zeta potentials of the same polarity, or zeta potentials of 0±5 mV. The first and second solid particles in the ink have the same surface property, specifically the same interface property in the ink. This makes it possible to use a common dispersant suited for adsorption on the first and second solid particles. In this way, more than one kind of solid particle can be stably dispersed using a sole kind of dispersant. | 08-02-2012 |
20120196097 | SUPER LATTICE INTRINSIC MATERIALS - In an exemplary embodiment, a Super Lattice Intrinsic Material utilizes a coupling of an appropriate micro/macro structured substrate and a group of as-deposited nanostructures. Substrate texture can be provided either by prior or insitu processing, and the material depositions can be either uniform or non-uniform depending on the desired product parameters. | 08-02-2012 |
20120202015 | METHOD FOR MANUFACTURING ADHESION BODY, METHOD FOR MANUFACTURING SUBSTRATE WITH ADHESIVE PATTERN, AND SUBSTRATE WITH ADHESIVE PATTERN - The method for manufacturing an adhesion body according to the present invention is a method for manufacturing an adhesion body in which a first adherend and a second adherend are bonded to each other via an adhesive pattern, comprising a step of providing an adhesive layer containing a thermosetting component on a first adherend; a step of forming an adhesive pattern by etching the adhesive layer in a state in which a protective layer for protecting a predetermined portion of the adhesive layer from etching is provided on a surface of the adhesive layer opposite to a surface in contact with the first adherend; and a step of bonding a second adherend to the adhesive pattern after the protective layer is removed. | 08-09-2012 |
20120202016 | INK COMPOSITION AND PRINTED MATTER - An ink composition of the invention is an ink composition to be ejected by an ink jet system and includes silver particles and water. When a composition A formed of components of the ink composition other than the water is held in an atmosphere at an ambient temperature of 23° C. and a relative humidity (RH) of 50%, the equilibrium moisture content of the component A is in a range of 2 to 7.8 percent by mass. | 08-09-2012 |
20120202017 | SOLVENT ANNEALING BLOCK COPOLYMERS ON PATTERNED SUBSTRATES - Provided herein are block copolymer thin film structures and methods of fabrication. Aspects described herein include methods of directed self-assembly of block copolymers on patterns using solvent annealing, and the resulting thin films, structures, media or other compositions. According to various embodiments, solvent annealing is used direct the assembly of block copolymers on chemical patterns to achieve high degrees of pattern perfection, placement of features at the precision of the lithographic tool used to make the chemical pattern, improved dimensional control of features, improved line edge and line width roughness, and resolution enhancement by factors of two to four or greater. | 08-09-2012 |
20120202018 | Print Methodology for Applying Polymer Materials To Roofing Materials to Form Nail Tabs or Reinforcing Strips - A method and apparatus for applying nail tabs to roofing and building cover materials involving the steps of depositing tab material onto the surface of the roofing or building cover material, during or after its manufacture, resulting in a plurality of nail tabs from a lamination roll, and bonding the tabs to the surface of the material by pressure between the lamination roll and said surface. The method also encompasses depositing the tab material or pre-formed tabs by a pressure roll in contact with said surface. The tabs preferably are made substantially of a polymer material and may be hardened or cured by ultra-violet or visible light. The tabs may also be pre-formed and have adhesive backing. | 08-09-2012 |
20120202019 | AZO COMPOUNDS, INK COMPOSITIONS, AND COLORED BODIES - Azo compounds represented by general formula (1) or salts thereof are provided as highly water-soluble colorants which have hues of yellow, orange, brown or red, and excellent color development properties and which, when used in various recording processes, particularly in an inkjet recording process, ensure various excellent fastnesses such as light fastness, ozone fastness, and fading balance. Ink compositions containing the colorants are also provided. In general formula (1), R | 08-09-2012 |
20120207983 | INKJET INK SET, IMAGE FORMING METHOD USING SAME, AND PRINT FORMED BY THE IMAGE FORMING METHOD - An inkjet ink set including at least a black ink, a cyan ink, a magenta ink, and a yellow ink. Each of the inks includes at least a water-soluble dye, water and a water-soluble solvent including a specific alkoxypropionamide compound. The cyan, magenta and yellow inks has a S/W ratio of not less than 1.5 and not greater than 3.5, wherein S represents the content of the water-soluble solvent in each ink, and W represents the content of water in each ink, and the black ink has a S/W ratio of not less than 1.0 and less than 1.5, wherein S represents the content of the water-soluble solvent in the black ink, and W represents the content of water in the black ink. | 08-16-2012 |
20120207984 | INKJET INK, METHOD FOR PREPARING SAME, INK CARTRIDGE CONTAINING SAME, IMAGE FORMING METHOD AND APPARATUS USING SAME, AND PRINT FORMED BY THE IMAGE FORMING METHOD - The inkjet ink includes a carbon black, a surfactant, water, and a water soluble organic solvent. The carbon black has a residue on sieve of from 0.1 ppm to 50 ppm, which is determined based on DIN ISO 787/18, and a statistical thickness surface area (STSA) of from 70 m | 08-16-2012 |
20120207985 | PRINTING INK - The present invention provides an inkjet ink comprising 5 to 30% by weight of organic solvent based on the total weight of the ink, a radiation curable monofunctional monomer, a radiation curable multifunctional monomer, a photoinitiator and a colourant. | 08-16-2012 |
20120207986 | STRUCTURAL REINFORCEMENT MATERIAL, INSERT, AND REINFORCED CAVITY COMPRISING SAME - A structural reinforcement material is provided that includes a base material selected from thermosets, low viscosity thermoplastics with short transition phases and low viscosities, low melting point metallic alloys, and combinations thereof. At STP, the structural reinforcement material is a solid or a formable dough or a mixture thereof. When heated to an activation temperature, the structural reinforcement material becomes flowable into a cavity. Following cooling, the structural reinforcement material is a solid or a thermoset with a strength sufficient to reinforce the cavity. A structural reinforcement insert comprising the structural reinforcement material is also provided, as is a reinforced cavity of an automobile and a method of reinforcing a cavity. | 08-16-2012 |
20120207987 | ACTIVATING AGENT FOR HYDRAULIC TRANSFER FILM, HYDRAYLIC TRANSFER METHOD, AND HYDRAULIC TRANSFER PRODUCT - An ultraviolet ray hardening resin composite type coating agent for being applied to a print pattern of a water pressure transfer film to restore an adhesion and including a photo-polymerization oligomer, a photo-polymerization monomer and a photo-polymerization initiator for improving a membrane strength, a chemical resistance and light resistance, said photo-polymerization oligomer including a multi-functional oligomer and a bi-functional oligomer, said photo-polymerization monomer being a bi-functional monomer, said coating agent including a non-reactive resin added in addition to said ultraviolet ray hardening resin composite, said photo-polymerization oligomer being blended at 25 to 56 weight %, said photo-polymerization monomer being blended at 33 to 65 weight %, said photo-polymerization initiator being blended at 5 to 10 weight % and said non-reactive resin being blended at 2 to 10 weight %. | 08-16-2012 |
20120213976 | Support Material and Applications Thereof - In one aspect, support materials operable for use in 3D printing systems are described herein. In some embodiments, a support material comprises a wax component comprising at least one ethoxylated fatty alcohol and a viscosity modifying agent, wherein the support material is water dispersible. In some embodiments, the wax component comprises a mixture of at least one fatty alcohol and at least one ethoxylated fatty alcohol. | 08-23-2012 |
20120213977 | PROCESS FOR PARTIAL SHRINKAGE COMPENSATION IN PLASTICS MOULDINGS - The present invention relates to a process for the production of a plastics moulding, comprising (A) back-injecting a plastic film on a first side with at least one thermoplastic plastic, wherein one or more partial areas of the plastics film are not back-injected, (B) cooling the plastics moulding obtained in step A), and (C) subsequently heating at least those regions of the plastics moulding obtained in step B) that have not been back-injected again. | 08-23-2012 |
20120213978 | INK COMPOSITION, IMAGE FORMING METHOD, AND PRINTED MATERIAL - Provided is an ink composition having excellent dischargeability during image recording by an inkjet method and having excellent water resistance, solvent resistance, and adhesiveness to a recording medium of the recorded image. | 08-23-2012 |
20120219765 | Ink composition for inkjet printing on substrate material comprising polyvinyl chloride - There is disclosed an ink composition and a method of printing and using ink on substrate material comprising polyvinyl chloride with thermal inkjet, drop on demand piezo inkjet, or continuous inkjet by depositing ink comprising vinyl-penetrating solvent, colorant and non-aqueous carrier solvent, the ink being free from polymerizable resins and binders. | 08-30-2012 |
20120219766 | HIGH STRENGTH SPECIALTY PAPER - A high strength specialty paper comprising at least one nonwoven web layer is provided. The nonwoven web layer comprises a plurality of first fibers, a plurality of cellulosic fibers, and a binder. The first fibers comprise a water non-dispersible synthetic polymer and have a different configuration and/or composition than the cellulosic fibers. The first fibers have a length of less than 25 millimeters and a minimum transverse dimension of less than 5 microns. Also disclosed is a process for producing the first fibers and the multicomponent fibers from which they are derived. | 08-30-2012 |
20120219767 | FLUOROPOLYMER FILMS AND METHODS FOR MAKING THE SAME - Embodiments of films and methods for making the films are provided. The film comprises a fluoropolymer layer having a surface and comprising a melt processable fluoropolymer and a functionalized polymer dispersed throughout the fluoropolymer layer. A portion of the functionalized polymer is disposed at the surface of the fluoropolymer layer for bonding to a second layer. | 08-30-2012 |
20120219768 | Plasma Coatings And Method of Making The Same - According to at least one aspect of the present invention, a method is provided for forming a polymerized coating on a surface of a substrate. In at least one embodiment, the method comprises providing a plasma gun having an outlet; introducing a pre-polymer molecule into the outlet of the plasma gun to form a number of fragments of the pre-polymer molecule as a plasma output including a direct-spray component and an over-spray component; at least partially isolating the direct-spray component and the over-spray component from each other to respectively obtain an isolated directed-spray component and an isolated over-spray component; and depositing at least a portion of the isolated direct-spray component and the isolated over-spray component onto the surface of the substrate through the outlet to form a base polymerized coating. The plasma gun is optionally operated at atmospheric pressure. | 08-30-2012 |
20120219769 | METHOD FOR PRODUCING BINDER FOR INKJET PRINTING INK, INKJET PRINTING INK, AND PRINTED MATERIAL - An object of the present invention is to provide a method for producing a binder for inks and for use in producing an inkjet printing ink that has both good ink dischargeability and blend stability. A method for producing a binder for inkjet printing inks, the binder containing a hydrophilic-group-containing urethane resin (A), an acetylene compound (B), and an aqueous medium (C), includes step (1) of allowing Ga polyol (a1) containing a hydrophilic-group-containing polyol to react with a polyisocyanate (a2) in an organic solvent or in the absence of a solvent and feeding the organic solvent as needed to prepare an organic solvent solution [I], step (2) of mixing the organic solvent solution [I] with the acetylene compound (B) and the aqueous medium (C) to prepare a mixture [II], and step (3) of removing the organic solvent contained in the mixture [II]. | 08-30-2012 |
20120225259 | FLAT BACK PLATE - The present invention refers to a flat back plate, e.g. for MEMS capacitors e.g. for MEMS microphones. For that the back plate comprises a tensile element that exerts a horizontal tensile stress on its environment. | 09-06-2012 |
20120225260 | WEB SUBSTRATES HAVING WIDE COLOR GAMUT INDICIA PRINTED THEREON - A web substrate having indicia having a unique color gamut expressed by a difference in L*a*b* color values is disclosed. | 09-06-2012 |
20120225261 | WEB SUBSTRATES HAVING WIDE COLOR GAMUT INDICIA PRINTED THEREON - A web substrate having indicia with L*a*b* color values disposed thereon is disclosed. The indicia disposed upon the web substrate are defined by CIELab coordinate values disposed inside the boundary described by the MacAdam 3-D gamut and CIELab coordinate values disposed outside the boundary described by the Kien 3-D gamut. | 09-06-2012 |
20120225262 | WEB SUBSTRATES HAVING WIDE COLOR GAMUT INDICIA PRINTED THEREON - A web substrate having indicia having X colors disposed thereon is disclosed. The indicia are disposed upon the web substrate by a contact printing system adapted to print the X colors upon the web substrate utilizing X-Y printing components. X and Y are whole numbers, 01. Each of the X colors is defined by L*a*b* color values defined by CIELab coordinate values disposed inside the boundary described by a system of equations. | 09-06-2012 |
20120225263 | CURABLE COMPOSITION FOR IMPRINTS, PATTERNING METHOD AND PATTERN - A curable composition for imprints, containing (A1) a polymerizable compound having at least one of a fluorine atom and a silicon atom, (A2) a polymerizable compound having an aromatic group and (B) a photopolymerization initiator, exhibits good patternability in repeated pattern transferring and solvent resistance. | 09-06-2012 |
20120231232 | Build Material and Applications Thereof - In one aspect, build materials operable for use in 3D printing systems are described herein. In some embodiments, a build material comprises an oligomeric curable material, a reactive component that is solid at 25° C., and at least one diluent, wherein the reactive component comprises at least one chemical moiety that is polymerizable with a chemical moiety contained in the oligomeric curable material and/or the at least one diluent. | 09-13-2012 |
20120231233 | DECORATIVE LIGHTWEIGHT PANEL - Provided is a decorative lightweight panel excellent in moisture resistance and dimensional stability, easy to be produced, and easy to be handled. The decorative lightweight panel includes a plate-shaped lightweight cement panel; a resin-reinforcing layer formed on one surface or both surfaces of the lightweight cement panel; and a decorative layer formed on the surface of the resin-reinforcing layer or on the surface of the lightweight cement panel. | 09-13-2012 |
20120231234 | CURABLE COMPOSITION FOR IMPRINTS, PATTERNING METHOD AND PATTERN - Provided is a curable composition for imprints which ensures satisfactory pattern formability and defect-preventive performance even in the process of high-speed pattern transfer. The curable composition for imprints, comprises at least one species of polymerizable monomer(s) (A), and a photo-polymerization initiator (B), wherein the polymerizable monomer (A) contains a polymerizable monomer (Ax) having a hydrogen-bondable group and fluorine-containing group(s). | 09-13-2012 |
20120231235 | Polyamides as Binders for Printing Inks - One aspect of the present invention relates to the use of a polyamide as a binder for printing inks, wherein the polyamide is a reaction product (P) of (1) one or more compounds which are chosen from the group of primary and/or secondary monoamines and polyamines with (2) a dimer fatty acid and (3) a carboxylic monoacid, with the proviso that the dimer fatty acid (2) building block of (P) contains at least 30% by weight of monomer fatty acid. | 09-13-2012 |
20120231236 | DICING FILM WITH PROTECTING FILM - The present invention provides a dicing film with a protecting film that enables to paste a dicing film to a semiconductor wafer without a shift in position while reducing a downtime. There is provided a dicing film with a protecting film in which a dicing film and a protecting film are laminated, wherein the difference between the transmittance of the protecting film and the transmittance of the dicing film with a protecting film at a portion of the dicing film where light for detecting a film transmits first is 20% or more in a wavelength of 600 to 700 nm. | 09-13-2012 |
20120237737 | METHOD FOR ASSEMBLING APPARATUS INCLUDING DISPLAY SHEET AND APPARATUS INCLUDING DISPLAY SHEET - A method for assembling an apparatus including a display sheet having a non-transparent part in at least a part of the display sheet, has a bonding step of bonding the display sheet to a housing. The bonding step has a first step of attaching the display sheet to the housing via a UV curable adhesive, and a second step of causing an ultraviolet light emitting apparatus to irradiate, from an external surface side of the display sheet. The display sheet is attached to the housing with ultraviolet light after the first step so that the ultraviolet light passes through at least a part of the non-transparent part of the display sheet and cures the UV curable adhesive. | 09-20-2012 |
20120237738 | PRINTED ARTICLE AND METHOD OF MANUFACTURING PRINTED ARTICLE - A printed article includes a base material, a first printed layer, a second printed layer, and a third printed layer. The first printed layer is printed with a first ink in a first area of the base material. The second printed layer is printed with a second ink in a second area different from the first area of the base material. The second ink is different in a property or function from the first ink and having a color difference with respect to the first ink. The third printed layer is printed with a third ink, and covers or fills a boundary between the first printed layer and the second printed layer, and has a color difference with respect to the first printed layer and the second printed layer. | 09-20-2012 |
20120237739 | PLASTICS MATERIAL DECORATIVE PART - The present invention is related to a plastics material decorative part, a Plastics material decorative part, preferably for a motor vehicle, comprising: a surface having a first region in which the surface is provided with a high-gloss finish, and having a second region which is formed as a portion of the first region, a decoration, which is provided in the second region and applied by hot stamping to the surface provided with a high-gloss finish. | 09-20-2012 |
20120251794 | PLATE HAVING A CARBON NANOTUBE LAYER AND MANUFACTURING METHOD THEREOF - The present invention provides a method for making a sheet of a carbon nanotube (CNT) layer. First, a CNT solution is provided. A substrate is also provided. The substrate is then covered by the CNT solution, and a CNT layer is formed on the substrate through vacuum filtration. The CNT layer is cleaned with an organic chemical solution, and the CNT layer is cleaned with water. Finally, water is removed from the CNT layer. Additionally, in the step of vacuum filtration, a whirlpool is created in the CNT solution. | 10-04-2012 |
20120251795 | TREATMENT AGENT, METHOD FOR FORMING IMAGE, METHOD FOR PRODUCING TREATMENT AGENT, METHOD FOR PRODUCING FABRIC HAVING IMAGE, AND FABRIC HAVING IMAGE - Provided is a treatment agent used for forming an image on fabric, containing a resin emulsion and a metal salt. A pH of the treatment agent is in a range from 5.5 to 9. The pH may be adjusted using a pH adjuster. Examples of the pH adjuster include sodium bicarbonate, potassium carbonate, calcium carbonate, sodium hydroxide, sodium carbonate, triethanolamine, and N-butyl diethanolamine. | 10-04-2012 |
20120251796 | HIGH THERMAL RESISTIVITY INSULATION MATERIAL WITH OPACIFIER UNIFORMLY DISTRIBUTED THOUGHOUT - A fibrous insulation product with improved thermal resistance and method of making it are provided. A plurality of base fibers (e.g. glass) are formed into an insulation product, which may be bindered or unbonded. At least one infrared opacifying agent, such as soot, carbon black or graphite, is applied to the fibrous insulation product such that the base fibers are substantially uniformly coated with opacifying agent. The opacifying agent may be applied, for example, from a fluid suspension or by pulling the fiber through a sooty flame. When opacifying agent applied via a suspension and a binder is desired, it is preferable to avoid binder dispersions that can dislocate the opacifying agent. Alternative binder applications may include co-mingling of base fibers with binder fibers, or other physical or mechanical distributions. | 10-04-2012 |
20120251797 | CHEMICAL VAPOR DEPOSITION COATING, ARTICLE, AND METHOD - The present invention relates to a chemical vapor deposition coating, a chemical vapor deposition article, and a chemical vapor deposition method. The coating, article, and method involve thermal decomposition of dimethylsilane to achieve desired surface properties. | 10-04-2012 |
20120258289 | METHOD OF FABRICATING NANOSTRUCTURE ARRAY AND DEVICE INCLUDING NANOSTRUCTURE ARRAY - Provided are a method of fabricating a nanostructure array and a device including the nanostructure array. Nanoscale patterning is caused at an interface of a resist layer by light passed through a focusing layer. By such nanoscale patterning, a nanostructure array is fabricated on a substrate in various ways. As the focusing layer, an array of beads or lenses is used, and a pattern of the resist layer may include a nanoscale pore-opening and an undercut structure connected to a lower portion of the opening. The method facilitates adjustment of the size and shape of nanostructures and the interval between the nanostructures. Also, performance of the device including the nanostructure array can be improved. In particular, the method and device result in a sensor having improved sensitivity and reliability optimized for an environment and purpose to be used. | 10-11-2012 |
20120258290 | Artificial Marble with Transparent and Amorphous Pattern - Artificial marble having a transparent amorphous pattern includes a base or matrix material portion and a transparent pattern portion. The pattern portion has a specific gravity or about 1.60 or more and is formed by hardening or curing a resin composition comprising a binder selected from halogenated urethane acrylates, halogenated epoxy acrylates and combinations thereof and an acrylic polymerizable monomer. | 10-11-2012 |
20120263924 | Multi-Layer Films And Methods Of Forming Same - A multi-layer film having a first film layer being at least partially formed from a polymer (A) and a polymer (B) and a second film layer being at least partially formed from the polymer (A), the polymer (B), a polymer (C), and optionally an opacifying agent, wherein at least one of the polymer (A), the polymer (B) and the polymer (C) is synthetic and is at least partially derived from a renewable resource such that the multi-layer film has a bio-based content of about 10% to about 100% using ASTM D6866-10, method B. Methods of forming multi-layer films are also provided. | 10-18-2012 |
20120263925 | SOLVENT BORNE POLYURETHANE COMPOSITION - A solvent borne polyurethane composition with a polymodal molecular weight distribution comprising: i) 10 to 90 wt % of at least a polyurethane A with a Mw in the range of from 4,000 up to 25,000 g/mol, ii) 90 to 10 wt % of at least a polyurethane B with a Mw in the range of from 25,000 to 100,000 g/mol and iii) a liquid medium. | 10-18-2012 |
20120270017 | Kitchenware with patterns on its surface and manufacture method thereof - A kitchenware with surface patterns and a manufacture method thereof; the kitchenware comprises: a hand-held part and food contact part; the hand-held part and/or food contact part covered with a color layer divided into a first region without a laser engraving process and a second region formed by laser engraving; the thickness of the second region is smaller than that of the first region; the first and second regions form preset patterns; a protection layer covers the color layer. The manufacture method comprises: manufacturing the hand-held and food contact parts of the kitchenware with preset color; covering surfaces of the hand-held and/or food contact parts with a color layer; determining an engraving region and engraving depth according to preset patterns, engraving the color layer with laser; and covering the color layer with a protection layer. The kitchenware has aesthetic patterns, a flat surface, improved non-stick performance, and is cleaned easily. | 10-25-2012 |
20120270018 | PHOTOCURABLE INK COMPOSITION FOR INJET PRINTING, AND PRINTED MATERIAL - A photocurable ink composition for inkjet printing is obtained that has superior curability with respect to light from a light-emitting diode, has favorable adhesion to a polyvinyl chloride-based sheet, and is able to adequately inhibit the occurrence of cockling. The photocurable ink composition for inkjet printing at least has: a photopolymerizable compound; a photopolymerization initiator; and a sensitizer, wherein benzyl acrylate, N-vinylcaprolactam and an acrylated amine compound having two photopolymerizable functional groups and two amino groups in a molecule thereof are contained as the photopolymerizable compound, the content of the benzyl acrylate is 20 to 65% by mass in the photocurable ink composition for inkjet printing, a compound exhibiting an initiator function when irradiated with light having a wavelength of 450 to 300 nm is contained as the photopolymerization initiator, a compound exhibiting a sensitizer function when irradiated with light having a wavelength of 400 nm or longer is contained as the sensitizer, and a flash point as measured using a SETA closed-cup flash point tester according to a method in compliance with JIS K2265 is 70° C. or higher. | 10-25-2012 |
20120270019 | METHOD FOR MANUFACTURING A PARTIALLY COATED CARRIER STRUCTURE - A method is provided for manufacturing a partially coated carrier structure including a carrier tape coated by a coating. A protective film is applied to at least a portion of the coating, the coating is partially removed from the carrier tape, and the protective film is removed from the coating. A device for manufacturing a partially coated carrier structure by a method of this type includes a first conveying facility for conveying a carrier tape coated by a coating, an application facility for application of a protective film to at least a portion of the coating, and at least one tool that can be used to partially remove the coating from the carrier tape. | 10-25-2012 |
20120276344 | METHOD OF MAKING A PATTERNED DRIED POLYMER AND A PATTERNED DRIED POLYMER - A method of making a patterned dried polymer from a polymer solution or polymer dispersion, the method comprising the step of placing a mask above the polymer solution/dispersion so that there are exposed areas of polymer solution/dispersion and unexposed areas of polymer solution/dispersion, and irradiating the masked polymer solution/dispersion with infrared radiation. | 11-01-2012 |
20120276345 | CUT-AND-STACK LABEL MADE FROM SHRINK FILM AND RELATED METHODS - A cut-and-stack label includes a cut-and-stack sheet sized for feeding by cut-and-stack labeling equipment and labeling an individual container. The cut-and-stack sheet includes a first shrink film layer having a first face and a second shrink film layer having a second face. The first face of the first shrink film layer is laminated to the second face of the second shrink film layer. Printing is provided on at least one of the first and second faces. A method of producing cut-and-stack labels and a method of labeling a container are also provided. | 11-01-2012 |
20120276346 | SELF-ASSEMBLY OF BLOCK COPOLYMERS ON TOPOGRAPHICALLY PATTERNED POLYMERIC SUBSTRATES - Highly-ordered block copolymer films are prepared by a method that includes forming a polymeric replica of a topographically patterned crystalline surface, forming a block copolymer film on the topographically patterned surface of the polymeric replica, and annealing the block copolymer film. The resulting structures can be used in a variety of different applications, including the fabrication of high density data storage media. The ability to use flexible polymers to form the polymeric replica facilitates industrial-scale processes utilizing the highly-ordered block copolymer films. | 11-01-2012 |
20120282444 | LAMINATING ADHESIVE HAVING SILANE CROSS-LINKING - The invention relates to a one-component, moisture-curing adhesive containing at least one polyoxyalkylene and/or poly(methyl)acrylate prepolymer having at least one hydrolyzable silane group, at least one filler, and auxiliary materials and additives, wherein the prepolymer has a molecular weight of 4,000 to 40,000 g/mol and the adhesive has a viscosity of 200 to 10,000 mPas. | 11-08-2012 |
20120288689 | PROCESS FOR THE MANUFACTURING OF SURFACE ELEMENTS - A process for the manufacturing of surface elements is provided herein. The surface elements can include a decorative upper layer and a supporting core. A supporting core with a desired format is manufactured and provided with an upper side and a lower side. The upper side of the supporting core is provided with a décor, by for example printing, which décor is positioned after a predetermined fixed point on the supporting core. The upper side of the supporting core is provided with a protecting, at least partly translucent, wear layer by for example spray coating, roller coating, curtain coating and immersion coating or by being provided with one or more sheets of a-cellulose impregnated with thermosetting resin or lacquer. | 11-15-2012 |
20120288690 | MULTI COLOR, PHOTOACTIVE, COLOR CHANGING COMPOSITIONS - A multi color, photoactive, color changing plastic, coating or ink, composition comprising both a photochromic material and a photosensitive chromogenic system is provided which will undergo a sequence of color changes upon exposure to ultraviolet radiation. Also provided is an ultraviolet indicator or dosimeter comprising the color changing composition. | 11-15-2012 |
20120288691 | PATTERN FORMING METHOD, PATTERN, CHEMICAL AMPLIFICATION RESIST COMPOSITION AND RESIST FILM - A pattern forming method comprising (i) a step of forming a film from a chemical amplification resist composition (ii) a step of exposing the film, and (iii) a step of developing the exposed film by using an organic solvent-containing developer, wherein the chemical amplification resist composition contains (A) a resin containing a repeating unit having two or more hydroxyl groups, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, (C) a crosslinking agent and (D) a solvent; a pattern formed by the pattern forming method; a chemical amplification resist composition used in the pattern forming method; and a resist film formed using the chemical amplification resist composition. | 11-15-2012 |
20120295073 | Multilayer composite for diffusion of odorous substances and production process - A multilayer composite for diffusing an odorous substance. The composite which comprises an absorbent support-reservoir layer designed to be printed and to receive an odorous substance intended to be diffused, a printable and/or printed support layer, and a polymer barrier layer, such as a polymer film, positioned between printable and/or printed support layer and absorbent support-reservoir layer, to prevent migration of this substance between support layers. | 11-22-2012 |
20120295074 | ARRAYS OF LONG NANOSTRUCTURES IN SEMICONDUCTOR MATERIALS AND METHODS THEREOF - An array of nanowires and method thereof. The array of nanowires includes a plurality of nanowires. The plurality of nanowires includes a plurality of first ends and a plurality of second ends respectively. For each of the plurality of nanowires, a corresponding first end selected from the plurality of first ends and a corresponding second end selected from the plurality of second ends are separated by a distance of at least 200 μm. All nanowires of the plurality of nanowires are substantially parallel to each other. | 11-22-2012 |
20120295075 | THREE-DIMENSIONAL MODELING APPARATUS, MODEL, AND METHOD OF MANUFACTURING A MODEL - A three-dimensional modeling apparatus includes: a stage; a regulating body having a surface including a linear region along a first direction and being arranged to face the stage so that the linear region of the surface comes closest to the stage; a supply nozzle configured to supply a material to be cured by energy of an energy beam to a slit region which is a region between the stage and the linear region; a movement mechanism configured to move the regulating body and the stage relative to each other along a second direction other than the first direction to form a cured layer of the material for at least one layer; and an irradiation unit configured to irradiate the material supplied from the supply nozzle to the slit region with the energy beam under a state in which the stage and the regulating body rest relative to each other. | 11-22-2012 |
20120295076 | ULTRAVIOLET RAY CURABLE INK JET COMPOSITION AND PRINTED OBJECT - An ultraviolet ray curable ink jet composition of the present invention is characterized by being adapted to be ejected using an ink jet method and containing:
| 11-22-2012 |
20120295077 | LIQUID RADIATION CURABLE RESINS CAPABLE OF CURING INTO LAYERS WITH SELECTIVE VISUAL EFFECTS AND METHODS FOR THE USE THEREOF - The invention relates to a method for forming a liquid radiation curable resin capable of curing into a solid upon irradiation comprising at least one thermally sensitive visual effect initiator. The liquid radiation curable resin is capable of curing into three-dimensional articles having selective visual effects. The resulting three-dimensional articles possess excellent color and/or transparency stability and excellent mechanical properties. | 11-22-2012 |
20120295078 | SHEET STRUCTURE, SEMICONDUCTOR DEVICE AND METHOD OF GROWING CARBON STRUCTURE - The sheet structure includes a plurality of linear structure bundles including a plurality of linear structures of carbon atoms arranged at a first gap, and arranged at a second gap larger than the first gap, a graphite layer formed in a region between the plurality of linear structure bundles and connected to the plurality of linear structure bundles, and a filling layer filled in the first gap and the second gap and retaining the plurality of linear structure bundles and the graphite layer. | 11-22-2012 |
20120301684 | PHOTOSENSITIVE FILM PATTERN AND METHOD FOR MANUFACTURING A PHOTOSENSITIVE FILM PATTERN - A method for manufacturing a photosensitive film pattern includes: forming a thin film on a substrate; forming a photosensitive film on the thin film; arranging an exposure apparatus including a photo-modulation element on the photosensitive film; exposing the photosensitive film using the exposure apparatus according to an exposure pattern of the photo-modulation element; and developing the exposed photosensitive film to form a photosensitive film pattern. The exposure pattern includes a main pattern of a quadrangular shape and a at least one assistance pattern positioned at a corner of the main pattern. The photosensitive film pattern has a quadrangular shape with a long edge and a short edge, and a corner with a curved surface having a curvature radius of 20% to 40% of a length of the short edge. | 11-29-2012 |
20120301685 | INK COMPOSITION, RECORDING METHOD, AND RECORDED ARTICLE - An ink composition contains a dye whose free acid is expressed by formula (1), lithium ions, and an aromatic compound having a carboxy group. | 11-29-2012 |
20120301686 | POLYMER, PROCESS AND COMPOSITION - Polymeric ink binders are described that comprise two parts A and B (optionally particles having a core B and shell A): where part A is an oligomer of: A-I a ureido monomer (e.g. N-(2-methylacryloyloxyethyl)ethylene urea, MEEU)≧0.01% w/w; A-I1a C | 11-29-2012 |
20120301687 | METHOD OF PRODUCING COLOURED PORTIONS ON A TYRE - A method of producing coloured portions on a tyre, the method including the steps of:
| 11-29-2012 |
20120308783 | METHOD OF CREATING TWO-SIDED TEMPLATE FROM A SINGLE RECORDED MASTER - The embodiments disclose a method of creating two-sided template from a single recorded master, including fabricating a first template using a single recorded master, wherein the first template has a changed duty cycle and an unchanged servo arc orientation, creating a replicate of the first template, wherein the replicate has a mirrored servo arc orientation and a changed duty cycle and fabricating a second template using the replicate to produce a predetermined mirrored servo arc orientation and a predetermined duty cycle for imprinting on a second side of a patterned stack. | 12-06-2012 |
20120308784 | ADHESIVE PAD - A flexible adhesive pad has a tacky elastomeric back layer made of a first naphthenic oil-impregnated thermoplastic rubber, an intermediary layer made of a polymer film permanently adhered to the elastomeric back layer by a first oil-based adhesive, and a tacky elastomeric front layer made of a second naphthenic oil-impregnated thermoplastic rubber adhered to the intermediary layer by a second oil-based adhesive. The front layer is transparent and the intermediary layer may has graphics or text viewable through the front layer that indicate a device that the front layer is intended to receive, and/or where on the front layer that device is intended to be received. | 12-06-2012 |
20120308785 | INKJET INK, INK CARTRIDGE, INKJET RECORDING APPARATUS, INKJET RECORDING METHOD, AND PRINT - An inkjet ink including an aqueous medium including water; and a water-soluble organic solvent; a resin-covered pigment which is dispersed in the aqueous medium and which is prepared by an acid deposition method; and a surfactant-treated pigment which is dispersed in the aqueous medium and which is prepared by treating a pigment with a nonionic surfactant. The inkjet ink has a pH in a range of from 8.5 to 10.5. | 12-06-2012 |
20120308786 | INK COMPOSITION - Disclosed is an ink composition which has superior storage stability, is resistant to bleeding, has good fixability to paper, and enables printing of a clear image. The ink composition includes a pigment dispersion containing a surface-treated pigment and a resin emulsion, wherein the surface-treated pigment is obtained by binding a functional group, having a larger calcium index than a calcium index of 1,2,3-benzenetricarboxylic acid, to the surface of a pigment; and the resin emulsion is adapted so that an aqueous solution containing 1 mass % of a solid content of the resin emulsion has a conductance of 300 μS/cm or less. | 12-06-2012 |
20120308787 | WETNESS INDICATING INK COMPOSITIONS - A method of printing on a hygienic article includes applying droplets of an ink composition with an ink jet printer to a surface of a hygienic article to form a desired image. The ink composition includes an organic solvent, a water-soluble binder resin including hydroxypropylcellulose, and a water-soluble dye. The image acts as a wetness indicator by dissolving in an aqueous fluid. | 12-06-2012 |
20120315446 | AIRBAG COVER MATERIALS FOR LASER SCORING - A panel for use over a vehicle airbag includes a skin layer with a tear seam formed therein. The skin layer is made from a material that includes a polymer matrix and one or more transmissivity-reducers in the form of microflake fillers or fillers having a monomodal particle size distribution. The transmissivity-reducers are included in an amount that reduces the transmissivity of light through the material to reduce variation in laser scoring processes and also in an amount that does not substantially alter the mechanical properties of the polymer matrix. Skin layers made from these materials can be used in laser scoring processes of TPO coverings that are thinner than previously known. | 12-13-2012 |
20120315447 | LABEL FOR IN-MOLD MOLDING, IN-MOLD MOLDED ARTICLE AND METHOD FOR MOLDING SAME - A label for in-mold molding, which comprises a laminate film comprising a substrate layer (A) and a heat-sealable resin layer (B), wherein the substrate layer (A) comprises a thermoplastic resin in an amount of from 40 to 90% by weight and at least one of an inorganic fine powder and an organic filler in an amount of from 10 to 60% by weight, the heat-sealable resin layer (B) comprises a thermoplastic resin in an amount of from 50 to 100% by weight, the laminate film is at least uniaxially stretched, the porosity of the laminate film is from 10% to 45%, the thermal conductivity of the label is from 0.04 to 0.11 W/mK, and the bonding strength of the label stuck to an adherend formed of a propylene-based resin at 200° C. and 60 MPa is from 250 to 1500 g/15 mm. | 12-13-2012 |
20120315448 | METHOD FOR MAKING FOAMED SYNTHETIC BOARDS - The invention relates to a method for making articles having a veined aspect that includes the steps of (a) extruding a layer of substantially transparent PMMA including pigmented granules in a first extruder, (b) extruding a polystyrene layer including a foaming agent in a second extruder, wherein the extrusions of steps (a) and (b) are carried out simultaneously as a co-extrusion. | 12-13-2012 |
20120315449 | PATTERN FORMING METHOD, RESIST COMPOSITION FOR MULTIPLE DEVELOPMENT USED IN THE PATTERN FORMING METHOD, DEVELOPER FOR NEGATIVE DEVELOPMENT USED IN THE PATTERN FORMING METHOD, AND RINSING SOLUTION FOR NEGATIVE DEVELOPMENT USED IN THE PATTERN FORMING METHOD - A pattern forming method, including: (A) coating a substrate with a positive resist composition of which solubility in a positive developer increases and solubility in a negative developer decreases upon irradiation with actinic rays or radiation, so as to form a resist film; (B) exposing the resist film; and (D) developing the resist film with a negative developer; a positive resist composition for multiple development used in the method; a developer for use in the method; and a rinsing solution for negative development used in the method. | 12-13-2012 |
20120321861 | RESIN COMPOSITION FOR PROTECTIVE LAYER TRANSFER SHEETS - The present invention relates to a resin composition for protective layer transfer sheets which includes a polyester resin produced by polycondensing a polyhydric alcohol component containing a hydrogenated bisphenol A in an amount of 30 mol % or more and a polycarboxylic acid component containing a benzenedicarboxylic acid in an amount of 50 mol % or more. | 12-20-2012 |
20120321862 | MATTING ACTIVATOR FOR HYDRAULIC TRANSFER FILM, HYDRAULIC TRANSFER METHOD, AND HYDRAULIC TRANSFER PRODUCT - There is provided a matting activator for water pressure transfer film adapted to impart the maximum matting effect to a decoration obtained by water pressure transfer with a matting agent added to the activator. To an ultraviolet ray hardening resin composite, the main component of the activator are added a matting agent and a resin bead gathering agent. The ultraviolet ray hardening resin composite includes a photo-polymerization monomer including a photo-polymerization component having at least photo-polymerization monomer and a photo-polymerization initiator, the matting agent comprises resin beads, the resin bead gathering agent comprises particulate silica, the weight ratio of the blend of the resin beads relative to the ultraviolet ray hardening resin composite is 0.01-0.3 and the weight ratio of blend of the resin bead gathering agent relative to the resin beads is adjusted in 0.05-1.5 according to the weight ratio of blend of the resin bead. | 12-20-2012 |
20120321863 | Process for preparing encapsulated solid particles - A process for preparing a dispersion of encapsulated solid particles in a liquid medium comprising:
| 12-20-2012 |
20120321864 | Metallic Nanofiber Ink, Substantially Transparent Conductor, and Fabrication Method - An exemplary printable composition comprises a liquid or gel suspension of a plurality of metallic nanofibers or nanowires; a first solvent; and a viscosity modifier, resin, or binder. In various embodiments, the metallic nanofibers are between about 10 microns to about 100 microns in length, are between about 10 nm to about 120 nm in diameter, and are typically functionalized with a coating or partial coating of polyvinyl pyrrolidone or a similar compound. An exemplary metallic nanofiber ink which can be printed to produce a substantially transparent conductor comprises a plurality of metallic nanofibers; one or more solvents such as 1-butanol, ethanol, 1-pentanol, n-methylpyrrolidone, cyclohexanone, cyclopentanone, 1-hexanol, acetic acid, cyclohexanol, or mixtures thereof; and a viscosity modifier, resin, or binder such as polyvinyl pyrrolidone or a polyimide, for example. | 12-20-2012 |
20120328849 | LAMINATION PROCESS OPTIMIZATION UTILIZING NEOPENTYL GLYCOL-MODIFIED POLYESTERS - Thermoplastic articles made from polyesters modified by neopentyl glycol laminate more quickly than cyclohexanedimethanol-modified versions yet retain the properties that make modified polyesters the material of choice in this market—water-like color and clarity, high impact strength, low lamination temperature and low flammability. For situations where a faster cycle time is not needed, articles laminated with neopentyl glycol-modified polyesters can alternatively be manufactured at lower temperatures. | 12-27-2012 |
20120328850 | Sheet Materials Having Improved Softness - As disclosed herein, an article defining a visible surface includes a sheet material, an ink composition overlaying the sheet material, and a softening agent overlaying the ink composition, wherein the ink composition is positioned between the sheet material and the softening agent. For example, the softening agent may be selected from the group consisting of erucamide, cetyl 2-ethylhexanone, ethylhexyl stearate, ethylhexyl hydroxyetarate, perfluorinated dimethicone, and so forth. The articles have reduced static coefficient of friction and maintain oil Crockfastness. | 12-27-2012 |
20120328851 | MASK UNIT - A mask unit includes: a unit mask having a plurality of patterned areas arranged along a length direction of the unit mask and a dummy area disposed between two of the plurality of patterned areas; a mask frame coupled to lateral ends of the unit mask in the length direction of the unit mask to apply a tension force to the unit mask along the length direction of the unit mask; and a cross-tension member coupled to the dummy area of the unit mask and extending in a direction crossing the length direction of the unit mask to apply a tension force to the unit mask in the direction crossing the length direction of the unit mask. | 12-27-2012 |
20120328852 | SUBSTRATE WITH A MODIFIED LIQUID CRYSTAL POLYMER MARKING - The present invention relates to a marking on an item or substrate, which marking is based on a chiral nematic (also called cholesteric) liquid crystal precursor composition. Prior to curing the chiral liquid crystal precursor composition in the chiral liquid crystal state at least one optical property exhibited by the composition is modified by two modifying agents of different types in at least one area of the item or substrate. | 12-27-2012 |
20120328853 | INKJET RECORDING INK SET, INKJET RECORDING METHOD AND RECORDED MATTER - An inkjet recording ink set including: a black ink; and color inks composed of a cyan ink, a magenta ink and a yellow ink, wherein the black ink contains a water-soluble solvent, a surfactant, water, and colored polymer emulsion particles obtained by dispersing, in water, carbon black coated with a vinyl polymer or a polyester polymer, wherein the cyan ink, the magenta ink and the yellow ink each contain a corresponding color dye, a water-soluble solvent, and water, and wherein an amount S of the water-soluble solvent contained in each color ink occupies 40% by mass or more of a total amount of each color ink, a ratio (S/W) of the amount S of the water-soluble solvent to an amount W of the water contained in each color ink is in the range of 0.7 to 1.6, and each color ink does not have a flash point. | 12-27-2012 |
20120328854 | INKJET RECORDING INK SET, INKJET RECORDING METHOD, AND RECORDED MATTER - An inkjet recording ink set including a black ink and color inks, wherein the black ink and the color inks each contain at least a dye, a water-soluble solvent and water and each have a viscosity of 5 mPa-s to 20 mPa-s at 25° C., and wherein the respective color inks each satisfy a mass ratio relationship of S/W≧1, and the black ink satisfies a mass ratio relationship of S/W<1, where S denotes an amount of the water-soluble solvent contained in the respective inks, and W denotes an amount of the water contained in the respective inks. | 12-27-2012 |
20120328855 | LAMINATE - A laminate excellent in terms of surface hardness, impact resistance, punchability, and yellowing deterioration resistance and suitable for use as display covers and building materials is provided. A laminate characterized by laminating a layer of a polycarbonate resin which contains a structural unit derived from a dihydroxy compound having, as part of the structure thereof, a site represented by the following formula (1) (layer A) to a layer of an aromatic polycarbonate resin (layer B). | 12-27-2012 |
20130004736 | METHOD OF PROTECTING PATTERNED MAGNETIC MATERIALS OF A STACK - The embodiments disclose a method of protecting patterned magnetic materials of a stack, including depositing a thin continuous film of an inert material that is inert to the magnetic materials of a patterned stack upon which the thin continuous film is being deposited and forming a thin interim interface layer from the thin continuous film to protect top and sidewall areas of non-etched higher relief magnetic islands and magnetic film etched surfaces of the patterned stack from air exposure damage and damage from contact with backfilled materials. | 01-03-2013 |
20130004737 | Decorating Layer of Laminated Product and Method of Manufacture Thereof - A decoration layer of a laminated product includes first and second surfaces. The first surface is adapted to be engaged with a furnishing surface of a pattern transforming member. The first surface includes a decorating pattern determined by a decorating mold shape extended on the furnishing surface. The second surface is adapted to be engaged with a carrying layer. The decoration layer laminated with the carrying layer and the adhesive layer is adapted to embellish an object and includes the pattern transforming member separated therefrom, with the carrying layer disposed between the decorating layer and the adhesive layer, with the adhesive layer adhered to the object. | 01-03-2013 |
20130004738 | LOW CURL OR CURL FREE OPTICAL FILM-TO-PAPER LAMINATE - An optical film material suitable for use in low curl or curl free optical film-to-paper laminates is provided. The inventive optical film material includes a light-transmitting polymeric optical spacer or carrier film that has a linear coefficient of thermal expansion (CTE) of less than about 25×10 | 01-03-2013 |
20130004739 | PATTERN FORMING METHOD, METHOD FOR PRODUCING ELECTRONIC DEVICE USING THE SAME, AND ELECTRONIC DEVICE - Provided is a pattern forming method including, in the following order: (1) forming a resist film on a substrate; (2) exposing the resist film, and thereby forming a first line-and-space latent image; (3) subjecting the resist film in which the first line-and-space latent image has been formed, to a first heating treatment; (4) exposing the resist film that has been subjected to the first heating treatment, and thereby forming a second line-and-space latent image, so that the line direction in the second line-and-space latent image intersects the line direction in the first line-and-space latent image; (5) subjecting the resist film in which the second line-and-space latent image has been formed, to a second heating treatment; and (6) developing the resist film that has been subjected to the second heating treatment, using a developer containing an organic solvent. | 01-03-2013 |
20130004740 | ACTINIC-RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM AND PATTERN FORMING METHOD EACH USING THE COMPOSITION, METHOD FOR PREPARING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE - An actinic-ray-sensitive or radiation-sensitive resin composition which is capable of improving line edge roughness (LER) and inhibiting pattern collapse, a resist film and a pattern forming method each using the same, a method for preparing an electronic device, and an electronic device are provided. | 01-03-2013 |
20130004741 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM THEREFROM AND METHOD OF FORMING PATTERN USING THE COMPOSITION - An actinic-ray- or radiation-sensitive resin composition according to the present invention includes (A) a resin to be decomposed to increase its solubility in an alkali developer when acted on by an acid, and (B) a compound represented by the general formula (1-1) below. | 01-03-2013 |
20130004742 | Method of Transfer Printing Using White Toner - A novel method of transfer printing onto articles, particularly dark articles, is disclosed. A design, preferably in color, is printed onto a transfer sheet in sublimation dye using a commercially-available printer. White toner is then printed over at least a portion of the design using an electrostatic printing device. Heat and pressure are applied to transfer the design and the white toner to an article. In some preferred embodiments, the transfer sheet is a self-weeding transfer paper. In some preferred embodiments, the same printer is used to print both the sublimation dye design and the white toner. In some preferred embodiments, the color palette of the image is inverted prior to printing with white toner. The invention is particularly well suited to the transfer printing of dark textile articles. | 01-03-2013 |
20130004743 | DOUBLE LAYER UV VARIABLE DATA TEXT - A method and system for including a double layer security mark in digital document data defining an image of a document to be printed and in the printed document. A fluorescence mark region including a fluorescence mark is defined using first and second metameric colorant mixtures. Variation in colorant spatial coverage between the first and second metameric colorant mixtures results in colorant-free areas that define the fluorescence mark due to substrate fluorescence under UV illumination. The fluorescence mark region is modified to define a double layer fluorescence mark region including the fluorescence mark and also including at least one visible light object defined by a third colorant mixture. The third colorant mixture is added to the fluorescence mark region by masking the colorant-free areas of the fluorescence mark region to prevent writing of the third colorant mixture data to the colorant-free areas to avoid disturbing the colorant-free areas. | 01-03-2013 |
20130004744 | INK COMPOSITION FOR CLEAR LAYER FORMATION, COATING METHOD FOR SAME, AND PRINTED ARTICLE USING THE SAME - Disclosed is an ink composition for clear layer formation having excellent adhesion properties on substrates, recording layers, and clear layers, as well as excellent overcoat characteristics and refinishing properties. Further disclosed are a coating method for the ink composition, and a printed article formed using the ink composition. The ink composition for clear layer formation is used for forming at least one clear layer on a substrate, or on a printed article on which a printed coating film is formed on a substrate as a recording layer. The ink composition for clear layer formation is characterised in that: the surface free energy of the substrate is 30-45 (mJ/m | 01-03-2013 |
20130004745 | Azaphthalocyanines And Their Use In Ink Jet Printing - A process for preparing azaphthalocyanine or metallo-azaphthalocyanine dyes and salts thereof. Also novel compounds, inks, printing processes, printed materials (including color filters) and ink-jet cartridges. | 01-03-2013 |
20130004746 | Phthalocyanines and Their use in Ink Jet Printing - A process for preparing phthalocyanine or metallo-phthalocyanine dyes and salts thereof. Also novel compounds, inks, printing processes, printed materials and ink-jet cartridges. | 01-03-2013 |
20130011630 | METAL-OXIDE FILMS FROM SMALL MOLECULES FOR LITHOGRAPHIC APPLICATIONS - Metal-oxide films for lithographic applications are provided. The films are formed from compositions comprising metal-oxide precursor compounds including metals and metalloids other than silicon. These films are easily produced and can be modified with a variety of ligands, including alkoxides, phenoxides, carboxylates, beta-diketones, and beta-ketoesters. | 01-10-2013 |
20130011631 | BIAXIALLY ORIENTED BIO-BASED POLYESTER FILMS AND LAMINATES - A laminate film including at least one bio-based polyester layer. The polyester layer has a radiocarbon ( | 01-10-2013 |
20130011632 | MICROFINE STRUCTURE, METHOD FOR PRODUCING MICROFINE STRUCTURE, AND POLYMERIZABLE RESIN COMPOSITION FOR PRODUCING THE SAME - Provided is a method for producing a microfine structure comprising the steps of: forming a resin film by applying a liquid polymerizable resin composition containing a high molecular weight component, a low molecular weight component and a reactive dilution component to an adhesion promoting layer formed on a substrate; imprinting a mold with an extremely fine convex concave pattern onto the resin film; and transferring the convex concave pattern to the resin film. Herein, components of the adhesion promoting layer, the high molecular weight component, the low molecular weight component and the reactive dilution component respectively have cross-linking reactive functional groups which react with each other. | 01-10-2013 |
20130011633 | WALL PANEL FOR A CARAVAN AND A METHOD OF MANUFACTURE THEREOF - A method of manufacturing a wall panel for a caravan, the wall panel having a first face and a second face, comprises printing a first design onto the first face of the wall panel for example using a first direct-to-substrate printer. A second design may be printed onto the second face of the wall panel, for example using a second direct-to-substrate printer (which may be the same printer as, or a different printer to, the first printer). Alternatively, the first design may be printed onto a first skin for the wall panel. The second design may be printed onto a second skin for the wall panel. The first and second skins, with the designs printed thereon, may then be assembled into a wall panel. | 01-10-2013 |
20130011634 | PRESSURE-SENSITIVE ADHESIVE SHEET AND LAMINATE - The invention provides a pressure-sensitive adhesive sheet which is to be attached to a member having a connecting terminal (e.g., FPC connector) in an end area thereof and allows the connecting terminal to be easily used without shape processing of the sheet. The pressure-sensitive adhesive sheet is to be attached to a region of a member having a connecting terminal in an end area thereof, which region includes the connecting terminal. The pressure-sensitive adhesive sheet includes an adhesive face to be attached to the region including the connecting terminal, and the adhesive face having a non-adhesive region as a region corresponding to the connecting terminal. | 01-10-2013 |
20130011635 | PHOTOSENSITIVE SEMICONDUCTOR NANOCRYSTALS, PHOTOSENSITIVE COMPOSITION COMPRISING SEMICONDUCTOR NANOCRYSTALS AND METHOD FOR FORMING SEMICONDUCTOR NANOCRYSTAL PATTERN USING THE SAME - An organic-inorganic hybrid electroluminescent device having a semiconductor nanocrystal pattern prepared by producing a semiconductor nanocrystal film using semiconductor nanocrystals, where the nanocrystal is surface-coordinated with a compound containing a photosensitive functional group, exposing the film through a mask and developing the exposed film | 01-10-2013 |
20130011636 | Azaphthalocyanines and Their use in Ink Jet Printing - A process for preparing azaphthalocyanine or metallo-azaphthalocyanine dyes and salts thereof. Also novel compounds, inks, printing processes, printed materials (including color filters) and ink-jet cartridges. | 01-10-2013 |
20130011637 | WATER-SOLUBLE AZO COMPOUND OR SALT THEREOF, INK COMPOSITION, AND COLORED BODY - A water-soluble azo compound represented by formula (1) or a salt thereof, and an ink composition containing the compound or salt. The ink composition has a chroma saturation and hue suitable for inkjet recording, and enables a material recorded therewith to have high fastness in various fields, in particular high ozone gas resistance, while enabling an image recorded therewith to have excellent storage stability and the like. In formula (1), Q represents a halogen atom; x represents an integer of 2 to 4; and the group A represents an amino group represented by the following formula (101). In formula (101), y represents an integer of 1 to 3. | 01-10-2013 |
20130011638 | COMPOSITE LAYER - Composite layer comprising a plurality of longitudinal first zones comprised of a first polymeric material alternating with a plurality of longitudinal second zones comprised of a second polymeric material such that one first zone is disposed between two adjacent second zones. The zones are generally parallel to one another, and at least one of each first zone or each second zone has a maximum width dimension of not greater than 2 mm. Adjacent first and second zones have an average pitch, wherein for the composite layer there is an average of said average pitches, and wherein the average pitch for any adjacent first and second zones is within 20 percent of the average pitch for adjacent first and second zones of said average of said average pitches. | 01-10-2013 |
20130011639 | METALLIC PIGMENT COMPOSITION - Disclosed is a metallic pigment composition that can be used in coating compositions or ink compositions, specifically, in water-based coatings and water-based inks, and exhibits superior coating material storage stability. When formed into a coating material, the metallic pigment composition has superior performance for photoluminescence, concealment, flip-flop color and other properties, and does not change the color of dyes or organic pigments. The metallic pigment composition contains: at least one organic molybdenum compound; a hydrolysate of a compound containing silicon and/or a condensate thereof; and metal particles. | 01-10-2013 |
20130017373 | Stretchable Ink CompositionAANM Wu; YiliangAACI OakvilleAACO CAAAGP Wu; Yiliang Oakville CAAANM Zhang; QiAACI MiltonAACO CAAAGP Zhang; Qi Milton CAAANM Zhou; KeAACI OakvilleAACO CAAAGP Zhou; Ke Oakville CAAANM Qi; YuAACI OakvilleAACO CAAAGP Qi; Yu Oakville CAAANM Hu; Nan-XingAACI OakvilleAACO CAAAGP Hu; Nan-Xing Oakville CA - Disclosed is a stretchable ink composition which comprises water, a colorant, a surfactant, and a fluoroelastomer. | 01-17-2013 |
20130017374 | CARBON NANOTUBES NANOCOMPOSITES FOR MICROFABRICATION APPLICATIONSAANM Mionic; MarijanaAACI LausanneAACO CHAAGP Mionic; Marijana Lausanne CHAANM Magrez; ArnaudAACI MieussyAACO FRAAGP Magrez; Arnaud Mieussy FRAANM Forro; LaszloAACI EcublensAACO CHAAGP Forro; Laszlo Ecublens CHAANM Jiguet; Sebastien MauriceAACI Chavannes-pres-RenensAACO CHAAGP Jiguet; Sebastien Maurice Chavannes-pres-Renens CHAANM Judelewicz; Moshe PatrickAACI PullyAACO CHAAGP Judelewicz; Moshe Patrick Pully CHAANM Stora; ThierryAACI ThoiryAACO FRAAGP Stora; Thierry Thoiry FR - A composite epoxy resin consisting in a SU-8 epoxy resin, a solvent, with or without photoinitiator and carbon nanotubes in powder. When the resin is combined with the carbon nanotubes, the mechanical, thermal and electrical properties of the nanocomposite are enhanced. That offers a wide range of composites which can be used with different micro-fabrication techniques, such as: lamination, spin-coating, spraying and screening for assembly, interconnect and packaging applications. | 01-17-2013 |
20130017375 | DEVELOPING SOLUTION FOR PHOTORESIST ON SUBSTRATE INCLUDING CONDUCTIVE POLYMER, AND METHOD FOR FORMING PATTERNAANM Ihara; TakashiAACI Nagoya-shiAACO JPAAGP Ihara; Takashi Nagoya-shi JPAANM Taguchi; HiromuAACI Nagoya-shiAACO JPAAGP Taguchi; Hiromu Nagoya-shi JP - A developing solution is disclosed with which it is possible to develop a photoresist disposed on a substrate including a conductive polymer. Also disclosed is a method for forming a resist pattern using the developing solution. The developing solution contains one or more acids and/or salts thereof, the acids being selected from inorganic acids, amino acids having an isoelectric point less than 7, and carboxylic acids having two or more carboxy groups. Use of this developing solution hence inhibits the phenomenon in which a substrate including a conductive polymer suffers an increase in surface resistivity due to a developing solution, and makes it possible to obtain a fine resist pattern. | 01-17-2013 |
20130017376 | NEGATIVE RESIST COMPOSITION, METHOD FOR PRODUCING RELIEF PATTERN USING THE SAME, AND ELECTRONIC COMPONENT USING THE SAMEAANM Okuyama; KenichiAACI Tokyo-toAACO JPAAGP Okuyama; Kenichi Tokyo-to JPAANM Kanke; SatoruAACI Tokyo-toAACO JPAAGP Kanke; Satoru Tokyo-to JP - An object of the present invention is to provide a negative resin composition which can produce a pattern with high sensitivity, high resolution and low line edge roughness in pattern formation by exposure to electron beams or EUV, a method for producing a relief pattern and an electronic component using the negative resist composition. | 01-17-2013 |
20130017377 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION AND METHOD OF FORMING PATTERN USING THE SAMEAANM Kataoka; ShoheiAACI ShizuokaAACO JPAAGP Kataoka; Shohei Shizuoka JPAANM Iwato; KaoruAACI ShizuokaAACO JPAAGP Iwato; Kaoru Shizuoka JPAANM Kamimura; SouAACI ShizuokaAACO JPAAGP Kamimura; Sou Shizuoka JPAANM Tsuchihashi; ToruAACI ShizuokaAACO JPAAGP Tsuchihashi; Toru Shizuoka JPAANM Enomoto; YuichiroAACI ShizuokaAACO JPAAGP Enomoto; Yuichiro Shizuoka JPAANM Fujii; KanaAACI ShizuokaAACO JPAAGP Fujii; Kana Shizuoka JPAANM Mizutani; KazuyoshiAACI ShizuokaAACO JPAAGP Mizutani; Kazuyoshi Shizuoka JPAANM Tarutani; ShinjiAACI ShizuokaAACO JPAAGP Tarutani; Shinji Shizuoka JPAANM Kato; KeitaAACI ShizuokaAACO JPAAGP Kato; Keita Shizuoka JP - Provided is an actinic-ray- or radiation-sensitive resin composition and a method of forming a pattern using the same, ensuring excellent the etching resistivity and the stability during a post-exposure delay (PED) period. The composition contains a resin containing a repeating unit containing a group that is decomposed when acted on by an acid to thereby produce an alcoholic hydroxyl group, and a compound that generates an acid of pKa≧1.5 when exposed to actinic rays or radiation. | 01-17-2013 |
20130022793 | PEEL OFF CHILD PHOTO POSTER - The present invention is a peel-off child photo poster of a child that includes a child photo with a front facing and a back facing that includes a life-sized, personalized action or still photo of a child playing a sport disposed on the front facing. The poster also includes a peel-off backing wherein the back facing is placed directly on the peel-off backing and is removable from the peel-off backing and a plurality of removable tabs that are utilized to secure the child photo to a vertical surface. The personalized action or still photo includes the child's name, age, team name and jersey number. The removable tabs are orientated in any suitable arrangement and number of removable tabs to secure the child photo to the vertical surface. | 01-24-2013 |
20130022794 | EXTENSIBLE SHEET MATERIAL WITH VISUAL STRETCH INDICATOR - As described herein, an extensible sheet material includes a first and a second zone that is separate from the first zone. The first zone exposes a first color or pattern when the extensible sheet material is stretched beyond a first percentage. The second zone exposes a second color or pattern when the extensible sheet material is stretched beyond a second percentage greater than the first percentage. Absorbent articles that include the extensible sheet material are capable of indicating to a wearer when the extensible sheet material has been stretched too little, too much, or just the right amount. | 01-24-2013 |
20130022795 | METHOD FOR HIGH RESOLUTION SUBLIMATION PRINTING - A method for printing a high resolution image onto natural fabric such as cotton or cotton blends is provided. The method comprises applying a base coat composition comprising an organosilicon compound to a fabric, drying the fabric to obtain a base coat, and printing a high-resolution image on the base coated fabric. In one embodiment, the printing comprises sublimation printing. A fabric comprising a base coat, and a high resolution printed image, wherein the base coat comprises an intermediary layer between the fabric layer and the high-resolution printed image is also provided. | 01-24-2013 |
20130029110 | Natural Cured Coating Composition, Product and Method of Producing the Same - Methods, products and a composition are provided which relate to non-toxic and biodegradable cured coatings. The cured coatings cure under ambient conditions with a reduced cure time, have no VOC emissions upon curing, and have little or no VOC emissions during curing. | 01-31-2013 |
20130029111 | POST-TREATMENT COMPOSITION FOR A DIGITALLY PRINTED IMAGE - A post-treatment composition for a digitally printed image includes a non-polar solvent and a non-ionic surfactant. The non-ionic surfactant is present in an amount ranging from about 0.5 wt % to about 20 wt % of a total weight of substrate used to form the digitally printed image. The post-treatment composition renders the digitally printed image deinkable. | 01-31-2013 |
20130029112 | SECURITY ELEMENTS AND METHODS AND APPARATUS FOR THEIR MANUFACTURE - An apparatus is provided for magnetically imprinting indicia into a layer on an article, the layer comprising a composition in which magnetic or magnetisable particles are suspended. The apparatus comprises: a soft magnetisable sheet, having an outer surface arranged to face the article in use, and an opposing interior surface; and a permanent magnet, shaped such that its magnetic field contains perturbations giving rise to indicia. The permanent magnet is disposed adjacent the interior surface of the soft magnetisable sheet. The soft magnetisable sheet enhances the perturbations of the magnetic field of the permanent magnet such that when the layer to be imprinted is located adjacent the outer surface of the soft magnetisable sheet, the magnetic or magnetisable particles are oriented by the magnetic field to display the indicia. | 01-31-2013 |
20130029113 | BLOCK COPOLYMER MATERIALS FOR DIRECTED ASSEMBLY OF THIN FILMS - Provided herein are methods of formulating and engineering block copolymer (BCP) systems for directed self-assembly (DSA) processes. In some embodiments, the methods involve engineering a BCP material based on the interaction parameter (χ) of the material and the surface and/or interaction energies of its constituent blocks. Also provided are novel block BCP materials that can be used in DSA techniques. In some embodiments, the BCP systems described herein have micro-phase separating blocks, with at least one block including multiple types of repeat units. Also provided are structures formed by DSA, including structures having a sub-20 nm dimension. Applications included nanolithography for semiconductor devices, fabrication of cell-based assays, nanoprinting, photovoltaic cells, and surface-conduction electron-emitter displays. | 01-31-2013 |
20130029114 | ALUMINUM ALLOY WELDED MEMBER - An aluminum alloy material is welded by performing friction stir welding to form a welded section in an aluminum alloy welded component. The aluminum alloy material contains Mg: 0.3-6.0% (mass %, hereinafter the same), Cu: 0.2% or less, Si: 0.1% or less, Fe: 0.1% or less, the balance being Al and inevitable impurities. Second phase particles dispersed in the aluminum alloy material have a grain size of 5 μm or less as observed with an optical microscopic. Because the second phase particles are homogeneously dispersed in a welded section equivalent portion of the aluminum alloy welded component as compared to other portions, variations in pit formation caused by etching during anodizing are reduced, thereby eliminating color tone variations in the anodized coating formed on the aluminum alloy welded component. | 01-31-2013 |
20130034706 | PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, PRODUCTION METHOD OF ELECTRONIC DEVICE, AND ELECTRONIC DEVICE - The present invention relates to a pattern forming method comprising:
| 02-07-2013 |
20130040113 | SCREEN PROTECTOR - A screen protector is revealed. The screen protector includes a transparent protection film, an ink layer and a glue layer. The transparent protection film is made from flexible material and having an outer surface and an adhesive surface. The ink layer is a frame being printed around a periphery of the adhesive surface of the transparent protection film. The glue layer is disposed over the ink layer. By the glue layer, the screen protector is attached to a periphery of a screen and the screen is covered by the transparent protection film. Moreover, the manufacturing of the screen protector is more efficient and economic. There is no blank left around the periphery of the screen. The screen protector makes the screen look better and prevents the screen from scratches. | 02-14-2013 |
20130052430 | Radial Lenticular Blending Effect - A method of integrating a radial zoom effect with a complementary radial image transition effect includes integrating the effects such that the two blended radial effects share a common center, and thereby share common displacement paths during the perceived transition. In addition to the visual appeal of the effect, the invention also resolves operational incompatibilities between the practice of commercial photography and the practice of lenticular printing. A lenticular product is formed in accordance with this method. | 02-28-2013 |
20130059127 | EPOXYBENZYL-TERMINATED POLY(ARYLENE ETHER)S, METHOD FOR PREPARATION THEREOF, AND CURABLE COMPOSITIONS COMPRISING SAME - An epoxybenzyl-terminated poly(arylene ether) has the structure R-W-R wherein W is a divalent poly(arylene ether) residue terminated with phenolic oxygen atoms, and R is an epoxybenzyl group, wherein each occurrence of R is the same or different. The epoxybenzyl-terminated poly(arylene ether) is formed by reacting a peroxide-containing reagent with a vinybenzyl-terminated poly(arylene ether). Also disclosed is a curable composition including the epoxybenzyl-terminated poly(arylene ether)s, a curing promoter, and, optionally, an auxiliary epoxy resin. The curable composition is useful for the preparation of composites, and in particular, composites used in manufacturing printed circuit boards. | 03-07-2013 |
20130059128 | TOUCH-ON-LENS DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present disclosure relates to a touch-on-lens (TOL) device and a method for manufacturing the same. The method includes forming a plastic layer and a touch layer; cutting the plastic layer and the touch layer; and filially laminating the plastic layer and the touch layer after cutting to a strengthened lens. The method can not only help keep good mechanical property but can also help improve the efficiency of mass production. Moreover, the present disclosure adopts a photoetching process to form a sensing pattern, thereby making the circuit thinner and beautifying the appearance. | 03-07-2013 |
20130059129 | MOLDED SKIN AND METHOD OF PRODUCING A MOLDED SKIN - A molded skin includes a plastisol layer that is formed of a first plastisol having a first color. A plurality of plastisol splashes are introduced into the surface of the plastisol layer. The plurality of plastisol splashes are formed of a second plastisol having a second color different from the first color and have a median diameter that is less than about 200 μm. The molded skin provides a very durable optical effect that is achieved in a simple manner. | 03-07-2013 |
20130059130 | PHOTOPATTERNABLE IMAGING LAYERS FOR CONTROLLING BLOCK COPOLYMER MICRODOMAIN ORIENTATION - The present invention provides structures including a substrate, a crosslinked polymer film disposed over the substrate, and a patterned diblock copolymer film disposed over the crosslinked polymer film. The crosslinked polymer comprises a random copolymer polymerized from a first monomer, a second monomer, and a photo-crosslinkable and/or thermally crosslinkable third monomer, including epoxy-functional or acrylyol-functional monomers. Also disclosed are methods for forming the structures. | 03-07-2013 |
20130059131 | Printing Process, Polymer and Ink - A process for ink jet printing an image on a substrate is described, which includes applying to the substrate a composition comprising a liquid medium, a pigment and a polymer comprising a chain of the Formula (1) or a salt thereof: | 03-07-2013 |
20130065025 | SUBSTRATE HAVING ANIMATED FLASHING FIGURE, APPLICATION THEREOF AND MANUFACTURING METHOD OF THE SAME - A substrate having animated flashing figures, applications thereof, and a manufacturing method of the same are revealed. A reflective film with an electroplated layer on a surface is covered over the base material. Then at least two figures are printed on the reflective film to form a printed layer. The figures are cut into strips and then the strips are arranged alternatively. Finally, a surface grating layer is arranged over the printed layer. Through the combination of the reflective film, the printed layer formed by staggered strips of the figures, and the surface grating layer, figures on a surface of the substrate show an animated flashing effect. The substrate can be applied to various products such as containers, trays, eyeglass frames, watch bands, etc. | 03-14-2013 |
20130065026 | METHOD FOR PREPARING COMPOSITE MATERIALS - A method for preparing composite structure self-assemblies. Structural segments are formed, which connect to each other through binder material. The structural segments are combined with the binder material to produce structural segments having the binder adhered thereto. The structural segments are combined to a form a composite structure through self-assembly, where the structural segments join to each other through said binder. | 03-14-2013 |
20130065027 | INK SET FOR FORMING MULTILAYER, INK JET RECORDING METHOD, AND PRINTED MATERIAL - An ink set for forming a multilayer of the present invention includes a group of coloring ink compositions which include a yellow, a magenta, a cyan and a black ink composition, and a clear ink composition, wherein each of the coloring ink compositions contain a (component A) radical polymerizable compound, a (component B) radical polymerization initiator and a (component D) coloring agent, and the component A contains a (component A-1) N-vinyl compound and a (component A-2) specific ethylenic unsaturated compound (CTFA), the clear ink composition contains a (component A) radical polymerizable compound, a (component B) acylphosphine oxide-based photoinitiator and a (component C) surfactant, and the relation of 0.1≦(Y/X)<1 is satisfied when the content of the radical polymerization initiator in the clear ink composition is X, the content of the radical polymerization initiator in the magenta ink composition is Y. | 03-14-2013 |
20130065028 | INK FOR INKJET RECORDING, INKJET RECORDING METHOD, INKJET RECORDING DEVICE, AND INK RECORDING MATERIAL - An ink for inkjet recording includes water; a hydrosoluble organic solvent; a surface active agent; a coloring agent; and at least one of a hydrosoluble resin, a resin emulsion, and a resin-coated pigment. The ratio of the hydrosoluble organic solvent in the ink to the ink is from 40% to less than 50% by weight. The hydrosoluble organic solvent comprises components A, B, and C. The content ratio of component A relative to the ink is from 5% to less than 10% by weight; the content ratio of the component B is from 5% to less than 30% by weight; and the content ratio of a hydrosoluble organic solvent having an equilibrium moisture content of 20% by weight or less at 23° C. and 80% RH as the component C is from 10% to less than 30% by weight. | 03-14-2013 |
20130065029 | INK SET FOR FORMING MULTIPLE LAYERS, INK JET RECORDING METHOD, AND PRINTED MATERIAL - An ink set for forming multiple layers comprising a colored ink composition comprising a yellow ink composition, a magenta ink composition, a cyan ink composition, and a black ink composition, and a white ink composition, each ink composition comprising (Component A) a radically polymerizable compound and (Component B) a radical polymerization initiator, Component A above comprising (Component A-1) an N-vinyl compound and (Component A-2) a compound represented by Formula (a-2) below, and when the content of the radical polymerization initiator in the white ink composition is X and the content of the radical polymerization initiator in the magenta ink composition is Y, Expression (1) below being satisfied | 03-14-2013 |
20130065030 | Dispersion, process for preparing a dispersion and ink jet printing ink - A process for preparing a dispersion of encapsulated solid particles in a liquid medium is described, which includes providing a dispersion comprising solid particles, a liquid medium and a polymer comprising at least one repeat unit of the Formula (1) or a salt thereof: | 03-14-2013 |
20130071630 | FIBROUS STRUCTURES DERIVED FROM RENEWABLE RESOURCES - Disclosed herein are co-formed fibrous structures that are composed of (a) a plurality of filaments that have a biobased content of at least about 25% and selected from the group consisting of polypropylene, polyethylene, polymethylpentene, polybutylene-1, polyisobutylene, ethylene propylene copolymer, ethylene propylene diene monomer copolymer or rubber, and mixtures thereof; and, (b) a solid additive including a cellulosic fiber. The solid additive is present in an amount of at least about 30 wt. %, based on the total weight of the fibrous structure. The co-formed fibrous structures of the invention can themselves be articles, such as, paper, fabrics, and absorbent pads. | 03-21-2013 |
20130071631 | Method For Forming A Metal-Plastic Composite And The Metal-Plastic Composite Made Thereby - Disclosed is a method for forming a metal-plastic composite which includes the steps of: a) activating a first surface of a metal substrate; b) applying an adhesive material on the first surface that has been activated to form an adhesive layer on the first surface; and c) placing the metal substrate together with the adhesive layer in a mold and injection molding a plastic material over the adhesive layer. A metal-plastic composite made by the method is also disclosed. | 03-21-2013 |
20130071632 | ULTRAVIOLET RAY-CURABLE RESIN COMPOSITION USED IN INKJET PRINTING AND OPTICAL ELEMENT OBTAINED USING SAME - Provided is a material suitable for an optical element, which can be applied by inkjet, has an ultraviolet curable characteristic, and yields a cured product excellent in transparency and hardness and having a refractive index of more than 1.5. Specifically, an optical element excellent in transparency and hardness and having a refractive index of more than 1.5 is obtained by preparing a resin composition including at least: (A) 5 to 45 mass % of a vinyl group-containing copolymer obtained by copolymerization of a divinyl aromatic compound; (B) 55 to 94 mass % of a liquid photocurable polyfunctional (meth)acrylate having two or more (meth)acryloyl groups; (C) 0.97 to 20 mass % of a photopolymerization initiator; and (D) 0.03 to 1 mass % of a surfactant, and applying the resin composition onto a support substrate by inkjet, followed by photocuring. | 03-21-2013 |
20130078430 | DECAL ASSEMBLY FOR REPAIRING OR REPLACING SIGNAGE AND METHOD OF USE THEREOF - A decal assembly designed and configured for repairing or replacing a sign comprises a decal, having a front side that incorporates a display and a back side that is coated with an adhesive, and one or more pieces of backing material covering and protecting the adhesive on the back side of the decal. To use the decal assembly, the backing material is peeled from the back side of the decal, the back side of the decal is aligned with, then pressed and adhered onto the front side of a sign that is to be repaired or replaced. | 03-28-2013 |
20130078431 | COMPOSITION FOR LABEL BASE, METHOD FOR PRODUCING LABEL BASE, AND LABEL - The present invention relates to: a composition for label base containing a polyester resin having a repeating unit formed by condensation of an aliphatic dicarboxylic acid and an aliphatic diol; a method for producing a label base containing developing the composition for label base to form a solid image having a label shape, transferring the solid image onto a pressure-sensitive adhesive layer side of a release paper, and fixing the transferred solid image on the pressure-sensitive adhesive layer by heat and pressure application into a film shape; and a label containing the label base and a label image provided thereon obtained by transferring a toner image to the label base and fixing the transferred toner image to the label base by heat and pressure application | 03-28-2013 |
20130078432 | PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, METHOD FOR PREPARING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE - The pattern forming method of the invention includes (i) forming a film using an actinic ray-sensitive or radiation-sensitive resin composition containing a resin (P) having a repeating unit (a) represented by the following general formula (I), a compound (B) capable of generating an organic acid upon irradiation with actinic rays or radiation, and a nitrogen-containing organic compound (NA) having a group capable of leaving by the action of an acid, (ii) exposing the film, and (iii) developing the film after the exposure using a developer including an organic solvent to form a negative type pattern, | 03-28-2013 |
20130078433 | ACTINIC-RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, AND RESIST FILM USING THE SAME, PATTERN FORMING METHOD, ELECTRONIC DEVICE MANUFACTURING METHOD, AND ELECTRONIC DEVICE, EACH USING THE SAME - Provided are an actinic-ray-sensitive or a radiation-sensitive resin composition with greater residual film ratio and capable of suppressing pattern collapse and an occurrence of bridge defects after development, and a resist film, a pattern forming method, an electronic device manufacturing method, and an electronic device, each using the same. An actinic-ray-sensitive or radiation-sensitive resin composition includes a resin (P) having a repeating unit (a) represented by following General Formula (I), a compound (B) represented by any of following General Formulae (B-1) to (B-3), and a solvent, | 03-28-2013 |
20130078434 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, AND, RESIST FILM, PATTERN FORMING METHOD, ELECTRONIC DEVICE MANUFACTURING METHOD, AND ELECTRONIC DEVICE, EACH USING THE SAME - An actinic ray-sensitive or radiation-sensitive resin composition of the present invention includes a resin (P) having a repeating unit (a) represented by following General Formula (I), a compound (B) generating organic acid by irradiation of actinic ray or radiation, and 1% by mass or more of a resin (C) which has at least one of a fluorine atom and a silicon atom and is different from the resin (P) with regard to total solids of the actinic ray-sensitive or radiation-sensitive resin composition, | 03-28-2013 |
20130078435 | METHOD FOR MULTI-COLOR VEHICLE INTERIOR COMPONENTS AND COMPONENTS FORMED FROM THE METHOD - A trim component is provided herein, the trim component having: a first extruded TPO layer having a first show surface and a second backing surface; a second extruded TPO layer applied to the second backing surface of the first extruded TPO layer after the first extruded TPO layer is formed by an extrusion process, wherein a portion of the second extruded TPO layer is visible at the first show surface through a pattern cut in the first extruded TPO layer. | 03-28-2013 |
20130084436 | SIMPLE METHOD TO FABRICATE NANO-POROUS DIAMOND MEMBRANES - A method to fabricate nanoporous diamond membranes and a nanoporous diamond membrane are provided. A silicon substrate is provided and an optical lithography is used to produce metal dots on the silicon substrate with a predefined spacing between the dots. Selective seeding of the silicon wafer with nanodiamond solution in water is performed followed by controlled lateral diamond film growth producing the nanoporous diamond membrane. Back etching of the under laying silicon is performed to open nanopores in the produced nanoporous diamond membrane. | 04-04-2013 |
20130084437 | Film Coatings Based on Polyalkylimine Condensation Polymers - Provided is a composition, preferably VOC-free, comprising the condensation product of a polyalkylimine and one or more amine-reactive molecules, and films coated with the condensation product. The coatings are applied to the films to provide a dried coating weight of less than 0.30 g/m | 04-04-2013 |
20130084438 | PATTERN FORMING METHOD, ELECTRON BEAM-SENSITIVE OR EXTREME ULTRAVIOLET-SENSITIVE COMPOSITION, RESIST FILM, MANUFACTURING METHOD OF ELECTRONIC DEVICE, AND ELECTRONIC DEVICE - There is provided a pattern forming method comprising (1) a step of forming a film by using an electron beam-sensitive or extreme ultraviolet-sensitive resin composition containing (A) a resin that contains a repeating unit having a partial structure represented by the specific formula and can decrease the solubility for a developer containing an organic solvent by the action of an acid, and (B) a compound capable of generating an acid upon irradiation with an electron beam or an extreme ultraviolet ray, (2) a step of exposing the film by using an electron beam or an extreme ultraviolet ray, and (4) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern. | 04-04-2013 |
20130084439 | IMAGE PROCESSING APPARATUS, INKJET PRINTING APPARATUS AND IMAGE PROCESSING METHOD - The use amount of clear ink is set to minimum the hue difference Δθs−d from each of the colors corresponding to input signal values. The use amount of the clear ink to provide the minimum hue difference Δθs−d is varied depending on the use amount of color ink used for the color corresponding to the input signal value. Then, a color conversion table having output values of the use amount and ink color data corresponding to the use amount is set. The color conversion in such a relationship is performed, whereby the bronzing color is recognized as a color close to the print color. As a result, undesired bronzing is lessened, so that degradation in image quality can be suppressed. | 04-04-2013 |
20130089713 | ARTICLE PRINTED WITH INFRARED DYE - A printed article is disclosed including a substrate and printed data that is not visible to the unaided eye. The printed data includes a dye that fluoresces in the infrared region of the electromagnetic spectrum and a polyvinyl acetal binder. The weight ratio of the dye relative to the combined weight of the dye and binder is in a range of 0.001 to 0.025. | 04-11-2013 |
20130089714 | SURFACE DECORATION METHOD AND ELECTRONIC DEVICE - A surface decoration method in which an article for printing that serves as a underlayer is printed with a plurality of lines of ultraviolet curing ink in a row having spaces such that the underlayer is exposed. | 04-11-2013 |
20130089715 | ARTIFICIAL ELECTROMAGNETIC MATERIAL - An artificial electromagnetic material is provided. The artificial electromagnetic material includes at least one material sheet. Each material sheet includes a laminary substrate and a plurality of artificial microstructures attached to the substrate. The substrate is made from transparent material. Because the substrate is made from transparent material, therefore the artificial electromagnetic material is capable of generating responses to visible light wave and convergence, divergence, deflection of visible light wave and so on can be achieved. | 04-11-2013 |
20130089716 | SPIN-ON CARBON COMPOSITIONS FOR LITHOGRAPHIC PROCESSING - The invention described herein is directed towards spin-on carbon materials comprising polyamic acid compositions and a crosslinker in a solvent system. The materials are useful in trilayer photolithography processes. Films made with the inventive compositions are not soluble in solvents commonly used in lithographic materials, such as, but not limited to PGME, PGMEA, and cyclohexanone. However, the films can be dissolved in developers commonly used in photolithography. In one embodiment, the films can be heated at high temperatures to improve the thermal stability for high temperature processing. Regardless of the embodiment, the material can be applied to a flat/planar or patterned surface. Advantageously, the material exhibits a wiggling resistance during pattern transfer to silicon substrate using fluorocarbon etch. | 04-11-2013 |
20130089717 | METHOD FOR THE FABRICATION OF A STRUCTURE FROM AN ION GEL AND STRUCTURE FABRICATED THEREWITH - The invention relates to a method for the fabrication of structures from an ion gel. In particular, the invention relates to a method, wherein the structures are fabricated by way of ink jet printing technology, which comprises the following steps of
| 04-11-2013 |
20130095302 | GRAYSCALE RENDERING IN 3D PRINTING - An additive three-dimensional fabrication process uses multiple build materials with different optical properties (e.g., color, opacity) at different surface depths to achieve grayscale-rendered images on exterior surfaces thereof. | 04-18-2013 |
20130095303 | SEAM CONCEALMENT FOR THREE-DIMENSIONAL MODELS - A three-dimensional model built with an extrusion-based digital manufacturing system, and having a perimeter based on a contour tool path that defines an interior region of a layer of the three-dimensional model, where at least one of a start point and a stop point of the contour tool path is located within the interior region of the layer. | 04-18-2013 |
20130101809 | Sequentially Primed Printed Substrate - A sequentially primed printed substrate and method of forming the printed substrate are provided. The substrate is formed by the steps of: 1) providing a film printed with one or more layers of ink; 2) applying a layer of primer to the ink and/or film; 3) drying or curing the layer of primer; 4) applying a layer of radiation-curable varnish to the primer-coated film; and 5) curing the varnish. | 04-25-2013 |
20130101810 | TREATMENT LIQUID FOR PLASTIC FILM, PRIMER LIQUID FOR PRINTING, INK COMPOSITION, AND METHOD FOR INK JET - This invention provides a treatment liquid for an ink jet recording medium having a recording face of a plastic film, wherein the treatment liquid including at least a specific cyclic ester compound or a specific cyclic amide compound, a primer liquid for printing, and an ink composition. According to the present invention, a plastic recorded matter possessing excellent adhesion and waterfastness can be formed on a recording medium having a recording face of a plastic film. | 04-25-2013 |
20130101811 | INK COMPOSITION, INKJET RECORDING METHOD AND COLORED BODY - A water-based black ink composition which exhibits a low intensity, colorless and neutral black color and provides a black recorded image the printed image of which has high density. The ink composition includes at least one compound represented by formula (1) or a salt thereof and an azo compound having λmax within the range of 550-660 nm. In the formula (1), R | 04-25-2013 |
20130101812 | METHOD OF FORMING PATTERN - Provided is a method of forming a pattern, including (a) forming a chemically amplified resist composition into a film, (b) exposing the film to light, and (c) developing the exposed film with a developer containing an organic solvent, wherein the developer contains an ester and a ketone having 7 or more carbon atoms. | 04-25-2013 |
20130108839 | NANOSTRUCTURED GRAPHENE WITH ATOMICALLY-SMOOTH EDGES | 05-02-2013 |
20130108840 | LARGE AREA CONTROLLED ASSEMBLY OF TRANSPARENT CONDUCTIVE NETWORKS | 05-02-2013 |
20130108841 | INK JET RESIN INK COMPOSITION, INK JET RECORDING METHOD, AND RECORDED MATTER | 05-02-2013 |
20130108842 | INK JET RECORDING METHOD | 05-02-2013 |
20130108843 | EPOXY RESIN COMPOSITION AND PRE-PREG, SUPPORT-PROVIDED RESIN FILM, METALLIC FOIL CLAD LAMINATE PLATE AND MULTILAYER PRINTED CIRCUIT BOARD UTILIZING SAID COMPOSITION | 05-02-2013 |
20130115430 | Patterning of Biomaterials Using Fluorinated Materials and Fluorinated Solvents - A method for patterning biomaterials is presented. The biomaterials exhibit biological activity after patterning. The use of bio-compatible imaging materials and solvents allows conventional lithographic patterning methods to be applied to patterning biomolecules. The method allows deposition of multiple layers without subsequent layers affecting earlier laid deposits and can pattern multiple different biomolecules on a single surface. | 05-09-2013 |
20130115431 | INK COMPOSITION, INK JET RECORDING METHOD, AND RECORDED MATTER - An ink composition includes at least a coloring material, two or more polysiloxane surfactants having different solubilities in water, and alkyl polyols having a boiling point at one atmosphere of 180 to 230° C. The ink composition does not substantially contain alkyl polyols having a boiling point at one atmosphere of 280° C. or more and enables recording on a non-ink-absorbing or low-ink-absorbing recording medium. | 05-09-2013 |
20130122266 | LUMINESCENT BORATES, MATERIALS AND ARTICLES INCORPORATING SUCH BORATES, AND METHODS AND APPARATUS FOR THEIR PRODUCTION AND USE IN ARTICLE AUTHENTICATION - Embodiments include luminescent materials and associated production methods. The material includes a crystal borate having a first substitutable element and a second substitutable element, one or more rare earth ions substituted for the first substitutable element, and chromium substituted for the second substitutable element. The one or more rare earth ions are selected from a group consisting of neodymium and ytterbium. The material also may include a medium within which particles of the borate are incorporated. The medium, with the luminescent material particles, may form a security feature of an article. Embodiments of methods for identifying whether such a luminescent material is incorporated with an article include exposing a portion of the article to excitation in a chromium absorption band, and determining whether a detected emission produced by the article as a result of the excitation indicates an ytterbium emission after termination of the exposing step. | 05-16-2013 |
20130129988 | CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION AND PATTERN FORMING PROCESS - A chemically amplified positive resist composition comprising (A) 100 pbw of a base resin which is normally alkali insoluble or substantially insoluble, (B) 0.05-20 pbw of a photoacid generator, (C) 0.1-50 pbw of a thermal crosslinker, and (D) 50-5,000 pbw of an organic solvent is coated to form a thick film having a high sensitivity and resolution. | 05-23-2013 |
20130129989 | AZAPHTHALOCYANINES AND THEIR USE IN INK JET PRINTING - A process for preparing azaphthalocyanine or metallo-azaphthalocyanine dyes and salts thereof. Also novel compounds, inks, printing processes, printed materials and ink jet cartridges. | 05-23-2013 |
20130129990 | MULTI-LAYER FILM, DECORATIVE MOLDING FILM AND MOLDED BODY - A multi-layer film which is excellent in transferability to a metal shape during thermoforming and hardly produces uneven thickness, wrinkles, whitening or cracks on the surface of the obtained molded article. | 05-23-2013 |
20130129991 | MULTIPLE EXPOSURE WITH IMAGE REVERSAL IN A SINGLE PHOTORESIST LAYER - Multiple patterned exposures of a single layer of image reversal resist prior to and following image reversal processing, upon development, respond to the respective exposures as either a positive or a negative resist, allowing a desired shape of a resist structure to be built up from any of a number of combinations of primitive masks. Exploiting the image reversal resist in this manner allows several types of diffraction distortion to be entirely avoided and for many sophisticated lithographic processes to he reduced in complexity by one-half or more while any desired resist structure shape can be formed form a limited number of primitive mask patterns. A regimen, which may be automated as an executable algorithm for a computer may be followed to evaluate different combinations of masks which are valid to produce a desired resist structure shape and select the optimum mask pattern combination to do so. | 05-23-2013 |
20130136901 | CURABLE COMPOSITION AND METHOD OF FORMING PATTERN - A curable composition that can be released from a mold simply within a short period of time after photo-curing by a small mold-releasing force is provided. The curable composition contains a gas-generating agent that generates a gas by pressure application. A method of forming a pattern is also provided. In the method, the mold can be released with a small force. | 05-30-2013 |
20130136902 | PROCESS FOR PRODUCING FIBER COMPOSITE MOLDINGS - The invention relates to a process for producing fiber composite moldings comprising | 05-30-2013 |
20130136903 | DESIGN OF HIGH SPEED SOLVENT-BASED FLEXOGRAPHIC/ROTOGRAVURE PRINTING INKS - Solvent-based flexographic or rotogravure inks are formulated to provide good pin-holing performance at high-speed printing conditions by combining the ink ingredients such the Maron-Pierce MPF (Maximum Packing Fraction) of the resulting ink is below 42 volume percent at a shear of either 10 reciprocal seconds or 2100 reciprocal seconds or both. | 05-30-2013 |
20130143007 | MASK - A light irradiation apparatus and a method of manufacture a photo-aligning layer are disclosed. A mask included in a light irradiation apparatus according to one embodiment is configured to irradiate the light having straightness at a high level of illumination to a subject that is apart from the mask with a certain distance. A photo-aligning layer with a desired alignment pattern can be fabricated using the mask. | 06-06-2013 |
20130143008 | LIQUID COMPOSITION, RECORDING METHOD, AND RECORDED MATTER - A liquid composition containing: a water-soluble cationic polymer obtained by polymerizing monomers containing epihalohydrin and at least one of amine and amide; and water, wherein the liquid composition is for agglutinating negatively charged particles which are dispersed in a dispersion liquid. | 06-06-2013 |
20130143009 | PERSPECTIVE FILM AND PREPARING METHOD THEREOF - The bi-viewable perspective film and its preparation method as stated in this invention refer to a transparent film with a printed colour rendering layer and a liner pressed upon it. The colour rendering layer contains various types of light-transmitting zones spread out across its entirety. The transparent film is directly attached onto the liner with a variety of adhesive methods. The sum of these processes comprises the perspective film in question. | 06-06-2013 |
20130149505 | INK SET, INK JET RECORDING APPARATUS, AND RECORDED ARTICLE - An ink set is provided which includes a first ink containing a first pigment, water, and a glycol ether having an HLB value, measured by Davies method, in the range of 4.2 to 8.0, and a second ink substantially not containing the glycol ether. The second ink contains a second pigment and water. | 06-13-2013 |
20130149506 | NONSTICK MATERIAL, METHOD FOR REMOVING A PART OF A PLANAR MATERIAL LAYER AND MULTILAYER STRUCTURE AND USE THEREFOR - The invention relates to a nonstick material for use during removal of a part ( | 06-13-2013 |
20130149507 | HIGH SPEED PRINTING INK - Formulations for solvent-based flexographic or rotogravure inks, which are capable high-quality, defect-free printing at high speeds, contain flexographic ink resins solutions and solvent mixtures which are designed to maintain a dynamic solubility parameter in the ink as the dry state is approached during the printing process. The inks described show extremely high quality printing at linear press speeds above 1800 fee per minute (ca 0.549 km/min). | 06-13-2013 |
20130164504 | Elastic Bands with Hidden Messages - A band capable of being stretched includes a first indicia being positioned on a first portion of the band and being in a readily recognizable form only when the band is in a stretched condition, such form changing to an un-recognizable form when the band is in an un-stretched position. In addition, the band may further include a second indicia being positioned on a second portion of the band and being in a readily recognizable form when the band is in an un-stretched position. | 06-27-2013 |
20130164505 | Purple Solder Proof Ink Composition, Purple Printed Circuit Board and Process for Preparing the Same - Disclosed are a purple solder proof ink composition for printing circuit board, a process for preparing a purple printed circuit board, and a purple printed circuit board. | 06-27-2013 |
20130164506 | APPARATUS FOR THE SELECTIVE SEPARATION OF TWO LAYERS OF MATERIAL USING AN ULTRASHORT PULSE SOURCE OF ELECTROMAGNETIC RADIATION - A direct-write apparatus and end use device for selective separation of at least one layer of material from another layer of material at the interface between them using a beam of electromagnetic radiation from an ultrashort pulse source is disclosed. | 06-27-2013 |
20130171428 | SYSTEMS AND METHODS FOR FORMING AN IMAGE ON A DEVICE - An image can be formed onto a device such as a cell phone or a tablet by laser engraving the image onto a housing of the device. A coloring agent can then be applied to the engraved image to modify the color of the image. The coloring agent can darken the engraved image. The coloring agent can include multiple colors of ink (e.g., UV curing ink) that can be applied to form a multi-color image. The coloring agent can be applied without requiring the use of a masking layer, for example, by aligning the laser engraved image with a coloring agent applicator so that the coloring agent is applied to substantially only the engraved image on the device. | 07-04-2013 |
20130171429 | CYCLOALIPHATIC MONOMER, POLYMER COMPRISING THE SAME, AND PHOTORESIST COMPOSITION COMPRISING THE POLYMER - A monomer has the Formula I: | 07-04-2013 |
20130171430 | Printable Molded Articles Made from a Polyoxymethylene Polymer Composition - Polymer compositions containing a polyoxymethylene polymer are disclosed that are receptive to paints and ink coatings. The polymer composition contains a polyoxymethylene polymer having a relatively high terminal hydroxyl group content in combination with a thermoplastic elastomer. In one embodiment, a coupling agent may be added for coupling the thermoplastic elastomer to the polyoxymethylene polymer. The polymer composition can be molded into various articles and then painted or printed with an ink composition. The polymer composition has been found to dramatically improve adhesion between the molded article and the ink or paint coating. | 07-04-2013 |
20130177746 | LAMINATE STRUCTURE COMPRISING A PROTECTIVE LAYER AND AN EXPOSED PHOTOPOLYMER LAYER - The present invention relates to a laminate structure comprising a protective layer and an exposed photopolymer layer, the laminate structure being obtainable by reacting at least one radiation-curing resin I), an isocyanate-functional resin II) and a photoinitiator system III), and the radiation-curing resin I) containing ≦5% by weight of compounds having a weight-average molecular weight of <500 and ≧75% by weight of compounds having a weight-average molecular weight of >1000, the isocyanate-functional resin II) containing ≦5% by weight of compounds having a weight-average molecular weight of <500, and the protective layer containing the radiation-curing resin I) at least to an extent of 80% by weight and the isocyanate-functional resin II) at most to an extent of 15% by weight. The invention further provides a process for producing the inventive laminate structure. | 07-11-2013 |
20130183499 | FLEXIBLE PRINTED CIRCUIT BOARD INTEGRATED WITH REINFORCING PLATE, AND METHOD FOR MANUFACTURING FLEXIBLE PRINTED CIRCUIT BOARD INTEGRATED WITH REINFORCING PLATE - An object of the present invention is to provide (i) a stiffener-integrated flexible printed circuit board in which a defect such as a blistering is not generated during a reflow process even though a stiffener is attached to a photosensitive resin composition, and (ii) a method for manufacturing the stiffener-integrated flexible printed circuit board. The object can be attained by causing a stiffener-integrated flexible printed circuit board to have a structure in which (A) a stiffener ( | 07-18-2013 |
20130189499 | ANTIBACTERIAL AND ANTIFUNGAL PROTECTION FOR INK JET IMAGE - A method of forming a clear ink jet coating or a colored ink jet image on a substrate is disclosed. The coating or colored image provides antibacterial and antifungal protection. The method includes providing a source of ink jet ink having a mixture of solvent and a silver salt biocide including a silver sulfate biocide having a concentration range of 0.0005 to 0.5 weight %, applying the clear ink or colored ink in an image wise fashion to a substrate, and fixing the clear or colored ink to the substrate whereby an effective coating or image article is formed that provides antibacterial and antifungal protection. | 07-25-2013 |
20130189500 | MESH-TYPE DRYWALL TAPE HAVING AN INDEXING PATTERN FOR INDICATING A TAPE EDGE - A mesh-type drywall tape includes a scrim layer of a plurality of lengthwise strands coupled to a plurality of crosswise strands, wherein the scrim layer includes an indexing pattern for indicating a tape edge. To form the indexing pattern, markings are disposed on multiple strands. In one embodiment, the indexing pattern has a v-shaped configuration with diagonal portions that may vary or alternate in shape and color. The indexing pattern repeats itself along the entire length of the tape. | 07-25-2013 |
20130189501 | Coated Films - Disclosed is a coating composition and coated film having the coating composition adhered thereto in which the coating composition comprises particulate filler; binder composition comprising acrylic polymer and ethylene acrylic acid copolymers; non-cross-linking adhesion promoter; and optionally urethane polymer, styrene-acrylic copolymer, or a combination thereof; and wherein cross-linker(s) are substantially absent from the composition; and wherein the coated film has a 45° Gloss (ASTM D2457) of less than 30 or 25 or 20 or 15. The coated film is useful for pressure sensitive labels for thermal transfer ribbon printing labels. | 07-25-2013 |
20130189502 | TRANSPARENT CONDUCTIVE ELEMENT, INPUT DEVICE, ELECTRONIC DEVICE, AND MASTER FOR FABRICATION OF TRANSPARENT CONDUCTIVE ELEMENT - A transparent conductive element includes a base having a first surface and a second surface, and a transparent electrode pattern part and a transparent insulating pattern part formed on at least one of the first surface and the second surface. The transparent electrode pattern part and the transparent insulating pattern part are laid alternately on a base surface. Plural pore portions are randomly formed apart in the transparent electrode pattern part and. Plural island portions are randomly formed apart in the transparent insulating pattern part. | 07-25-2013 |
20130189503 | METHOD OF PRINTING ON A FILM SUBSTRATE - A method for printing images on a substrate with an ink jet printer includes providing a liquid ink composition. The liquid ink composition includes an organic solvent, a binder, and a colorant. Droplets of the ink jet ink composition are directed to a plastic film substrate. The droplets are allowed to dry, thereby printing a durable graphic image on the substrate. | 07-25-2013 |
20130196122 | METHOD OF SURFACE TENSION CONTROL TO REDUCE TRAPPED GAS BUBBLES - The embodiments disclose a method of surface tension control to reduce trapped gas bubbles in an imprint including modifying chemistry aspects of interfacial surfaces of an imprint template and a substrate to modify surface tensions, differentiating the interfacial surface tensions to control interfacial flow rates of a pre-cured liquid resist and controlling pre-cured liquid resist interfacial flow rates to reduce trapping gas and prevent trapped gas bubble defects in cured imprinted resist. | 08-01-2013 |
20130196123 | Method for marking polymer compositions containing graphite nanoplatelets - Polymer substrates are marked by a method in which certain graphite nanoplatelets are incorporated into the polymer composition, such as a coating or plastic article, prior to marking the composition by exposing selected portions of the substrate to a heat source, typically a laser. Additional pigments may also be present allowing for the production of a variety of different types of markings. | 08-01-2013 |
20130202857 | Floor Panel Having a Printed Cork Layer - A method for producing a floor panel comprising a carrier board, a cork layer, a primer and a decorative layer is disclosed. To achieve qualitatively improved decorative layers, a method is disclosed in which the cork layer is applied to the carrier board, in which, in order to form the primer, a coating system having a proportion of volatile components is applied to the cork layer, and in which the decorative layer is printed onto the primer. | 08-08-2013 |
20130202858 | INK COMPOSITION, IMAGE FORMING METHOD, AND PRINTED MATTER USING THE SAME - An ink-jet ink composition is disclosed which includes (a) from 3% by mass to 10% by mass of polymer compound particles that include a first copolymer including at least one repeating unit derived from a hydrophilic monomer in an amount of from 1% by mass to 15% by mass, that have a volume average diameter of from 100 nm to 300 nm, and that have no cross-linked structure, (b) from 5% by mass to 20% by mass of at least one water soluble polymer, (c) from 0.1% by mass to 10% by mass of at least one colorant, (d) from 5% by mass to 40% by mass of at least one water soluble organic solvent, and (e) water. An image forming method and printed matter using the same are also disclosed. | 08-08-2013 |
20130202859 | SOLVENT BORNE POLYURETHANE PROCESS - A process for obtaining a solvent borne polyurethane composition comprising preparing an isocyanate-terminated prepolymer A; | 08-08-2013 |
20130209754 | LOW DIELECTRIC PHOTOIMAGEABLE COMPOSITIONS AND ELECTRONIC DEVICES MADE THEREFROM - This invention relates generally to silicon based photoresist compositions that can be used in forming low k dielectric constant materials suitable for use in electronic devices, methods of their use and the electronic devices made therefrom. | 08-15-2013 |
20130209755 | SELF-ASSEMBLED STRUCTURES, METHOD OF MANUFACTURE THEREOF AND ARTICLES COMPRISING THE SAME - Disclosed herein is a method of manufacturing self assembled structures that have lamellae or cylinders whose longitudinal axis is parallel or perpendicular to a surface upon which the self assembled structure is disposed. The method comprises disposing a random copolymer on the substrate to form a surface modification layer and disposing a block copolymer on the surface modification layer. The block copolymer is then subjected to etching. | 08-15-2013 |
20130209756 | Multilayer Polymeric Film - Provided is a film including: (a) an intermediate layer comprising a polymer and an antistatic agent, said intermediate layer having a first side and a second side; (b) a first layer including a polymer, said first layer being on the first side and is printed or printable; and (c) a second layer including a polymer and a non-migratory slip agent, said second layer being on the second side, wherein the film has at least one of (i) a thickness of at least about 30 μm, (ii) a static coefficient of friction of about 0.15 to less than 0.30, and (iii) a kinetic coefficient of friction of about 0.15 to less than 0.30. Also provided are a method for producing such a film, and labels such as cut and stack labels comprising the film. | 08-15-2013 |
20130209757 | USING CHEMICAL VAPOR DEPOSITED FILMS TO CONTROL DOMAIN ORIENTATION IN BLOCK COPOLYMER THIN FILMS - The present invention uses vacuum deposited thin films of material to create an interface that non-preferentially interacts with different domains of an underlying block copolymer film. The non-preferential interface prevents formation of a wetting layer and influences the orientation of domains in the block copolymer. The purpose of the deposited polymer is to produce nanostructured features in a block copolymer film that can serve as lithographic patterns. | 08-15-2013 |
20130216793 | TRANSPARENT TONER AND TONER IMAGE USING THE SAME, ELECTROSTATIC LATENT IMAGE DEVELOPER, TONER CARTRIDGE, PROCESS CARTRIDGE, IMAGE FORMING APPARATUS, AND IMAGE FORMING METHOD - A transparent toner for developing an electrostatic latent image includes toner particles containing a binder resin; and an external additive containing cerium oxide, in which a content of cerium in all toner particles is from 0.05% by weight to 0.20% by weight, the cerium oxide contains a praseodymium, and a content of praseodymium in all toner particles is from 0.001% by weight to 0.050% by weight. | 08-22-2013 |
20130216794 | INKJET INK COMPOSITION, IMAGE FORMING METHOD, AND PRINTED ARTICLE - Provided is an inkjet ink composition, which forms an image having an excellent blocking resistance, and particularly which forms an image having an excellent blocking resistance in a case where images come into contact with one another overlapped, an image forming method using the ink composition and a printed article with the image having the excellent blocking resistance. | 08-22-2013 |
20130224446 | BIODEGRADABLE PACKAGE WITH SEALANT LAYER - A multi-layer packaging film comprising a bio-based layer and method for making the same. An outer layer comprising a bio-based film is adhered to a product side layer comprising a bio-based film having barrier properties. A non-compostable sealant layer is pattern applied to a portion of the product side layer. Because a portion of the bio-based product side layer is exposed, upon opening of the package the product side layer is susceptible to moisture. This allows for subsequent biodegradation or compostability of the films. In another embodiment the sealant layer comprises a water permeable material. Upon opening of the package moisture can subsequently contact the product side layer and initiate biodegradation. | 08-29-2013 |
20130230701 | ACTINIC RADIATION-CURING TYPE INK SET, INKJET RECORDING METHOD, AND PRINTED MATERIAL - Disclosed is an actinic radiation-curing type ink set comprising a colored ink composition and a clear ink composition (C1), the colored ink composition comprising (Component A1) a radically polymerizable compound, (Component B1) a polymerization initiator, and (Component D) a colorant, and the clear ink composition (C1) comprising (Component A2) a radically polymerizable compound, (Component B2) a polymerization initiator, and (Component S) an organic solvent. | 09-05-2013 |
20130230702 | ROOFING FELT MATERIAL - A roofing material includes a sheet of flexible material having two parallel long sides and two short end edges joining the long sides. The material has pre-printed thereon a plurality of longitudinal guide lines extending parallel to the long sides of the material. The guide lines are equally spaced and arranged in a repeating sequence across the width of the material to form identical groups of a plurality of guide lines. Each guide line of a group is visually distinct from the others in the group. The material further includes a plurality of different indicator markings, one indictor marking corresponding to each group of guide lines to enable each group of guide lines to be distinguished from the other groups. | 09-05-2013 |
20130230703 | NANOTUBE NETWORK AND METHOD OF FABRICATING THE SAME - A stamping device for stamping a nanotube network onto a target substrate is disclosed. The device comprises a template structure having a support structure formed on or attached to a substrate, and a plurality of nanotubes being supported by the support structure and engaging a plane which is spatially separated from the substrate. | 09-05-2013 |
20130230704 | COLOUR LASER MARKING OF ARTICLES AND SECURITY DOCUMENT PRECURSORS - A method of colour laser marking an article having a polymeric foil with at least one colourless layer containing an infrared absorber, a polymeric binder and a colour forming compound; including the steps of:—laser marking the colourless layer with an infrared laser using a first laser operation mode to generate a blue or cyan colour; and—laser marking the same colourless layer with an infrared laser using a second laser operation mode to generate a black colour, wherein the first laser operation mode applies less energy to the colourless layer than the second laser operation mode. Also disclosed is an article, such as a security document, including a polymeric foil and a colourless layer containing laser marked graphical data having a blue or cyan colour and laser marked information having a black colour | 09-05-2013 |
20130236703 | ROLLED DECORATIVE ADHESIVE TAPE PRODUCT - An image is divided into several segments of uniform width and printed on a backing which is used to make adhesive tape wound upon a roll allowing a user to apply the image to a substrate by separating successive image segments from the roll and applying them to a substrate. | 09-12-2013 |
20130236704 | WEAR PARTS HAVING COATING RUN-OUT AND METHODS OF PRODUCING SAME - Wear parts having run-out and methods of producing the same, systems and control structures used to produce wear parts having run-out, and associated methods and software are disclosed. Some methods utilize a plasma-enhanced chemical vapor deposition process to produce a coating with a desired coating profile on a wear part. | 09-12-2013 |
20130236705 | Web With Molded Articles On Both Sides - Injection molding parts onto a carrier web located between mold halves, each mold half having a cavity, resulting in molded articles having parts on both sides of the carrier web. Polymer flow into the cavities is assisted by application of ultrasonic energy to the mold. After the molding operation, mold halves are separated, and the carrier web is advanced, or indexed, to a next position for another molding sequence. Articles produced include lenses with part of the carrier web between lens halves, and a carrier web bearing an array of molded parts. | 09-12-2013 |
20130236706 | Support Material and Applications Thereof - In one aspect, support materials operable for use in 3D printing systems are described herein. In some embodiments, a support material comprises a wax component comprising at least one ethoxylated fatty alcohol and a viscosity modifying agent, wherein the support material is water dispersible. In some embodiments, the wax component comprises a mixture of at least one fatty alcohol and at least one ethoxylated fatty alcohol. | 09-12-2013 |
20130244008 | Nanoporous to Solid Tailoring of Materials via Polymer CVD into Nanostructured Scaffolds - Method for tailoring permeability of materials. The method establishes a pattern of vertically aligned nanowires on a substrate and a physical shadow mask is provided to protect selected features of the pattern. A polymer is selectively infiltrated, using chemical vapor disposition, into interstices in the vertically aligned carbon nanotubes to establish a selected permeability. A cover over the infiltrated vertically aligned nanowires is provided. | 09-19-2013 |
20130244009 | ARTICLE AND METHOD OF MAKING AND USING THE SAME - Article having a first single, discrete atomic, dry layer of a first weakly bonded crystalline material on at least a surface of a substrate. Embodiments of the articles are useful, for example, in automotive under-the-hood parts exposed to chemicals and extreme temperatures | 09-19-2013 |
20130244010 | MODIFIER FOR POLYOLEFIN RESIN - Provided are a modifier for polyolefin resin which imparts excellent wettability for water, etc. to a polyolefin resin substrate without decreasing the resin property of the polyolefin resin substrate composed of polyolefin resin, and a polyolefin resin composition containing the modifier and a polyolefin resin. The present invention relates to a modifier for polyolefin resin (K) containing a copolymer (X) having a polyolefin (A) and an unsaturated dicarboxylic acid (anhydride) (B) as a constitutional unit and having an acid value of 50 to 250 mg KOH/g. | 09-19-2013 |
20130251960 | METHOD AND APPARATUS FOR RELIABLY LASER MARKING ARTICLES - Disclosed is a method for creating a mark desired properties on an anodized specimen and the mark itself. The method includes providing a laser marking system having a controllable laser pulse parameters, determining the laser pulse parameters associated with the desired properties and directing the laser marking system to mark the article using the selected laser pulse parameters. Laser marks so made have optical density that ranges from transparent to opaque, white color, texture indistinguishable from the surrounding article and durable, substantially intact anodization. The anodization may also be dyed and optionally bleached to create other colors. | 09-26-2013 |
20130251961 | Interpenetrated Polymer Layer - Structures having an interpenetrated polymer layer are described. The interpenetrating layer ( | 09-26-2013 |
20130260107 | PRINTING SYSTEM, PRINTING METHOD AND PRINTING MEDIUM - A printer includes a printing unit that performs printing by causing at least one of respective colors of ink, clear ink and white ink to be ejected onto a transparent medium, and a controller that controls the printing unit, in which the controller performs control so as to form a design image by causing respective colors of ink to be ejected onto a transparent medium, and performs control so as to form a clear layer by causing clear ink to be ejected in a state overlapped on the design image, and performs controls so as to form a base layer by causing white ink to be ejected overlapped on the clear layer. | 10-03-2013 |
20130260108 | PHOTO-CURING POLYSILOXAN COMPOSITION AND APPLICATIONS THEREOF - A photo-curing polysiloxane composition includes a polysiloxane, a quinonediazidesulfonic acid ester, a fluorene-containing compound, and a solvent. The polysiloxane is obtained by subjecting a silane monomer component to condensation. A protective film formed from the photo-curing polysiloxane composition, and an element containing the protective film, are also discussed. | 10-03-2013 |
20130260109 | PHOTOCURABLE RESIN COMPOSITION, DRY FILM, CURED PRODUCT AND PRINTED WIRING BOARD - [Problems] The present invention provides a photocurable resin composition having excellent adhesion with a substrate and excellent resolution; a film obtained by using the photocurable resin composition; a cured product obtained by curing the photocurable resin composition; and a printed wiring board comprising the cured product. | 10-03-2013 |
20130260110 | STRUCTURE FORMING APPARATUS, STRUCTURE MANUFACTURING METHOD, AND STRUCTURE - A structure forming apparatus includes a rotary body, an irradiation unit, and a holding member. On the rotary body, a mask that is subjected to patterning is capable of being disposed. The irradiation unit is capable of irradiating an area along an axis direction of a rotation of the rotary body with an energy line with the mask intervened therebetween. The holding member is disposed to be opposed to the rotary body so that a holding area that holds a material cured with the energy line is formed. | 10-03-2013 |
20130266776 | BINDER FOR INKJET PRINTING INK, INKJET PRINTING INK CONTAINING THE BINDER, AND PRINTED PRODUCT - An object to be achieved by the present invention is to provide a binder for an ink-jet printing ink, the binder being capable of forming a printed image having excellent durability such as rubfastness and alkali resistance without impairing good ejection stability, storage stability, etc. of an ink, and an ink-jet printing ink containing the binder. The present invention relates to a binder for an ink-jet printing ink, the binder containing an aqueous medium (D); and a polyurethane (C) obtained by reacting a polyol (A) containing a vinyl polymer (a1) having two hydroxyl groups at one end and at least one polyol (a2) selected from the group consisting of polyether polyols, polyester polyols, polyester ether polyols, and polycarbonate polyols with a polyisocyanate (B), the polyurethane (C) having a structure derived from the vinyl polymer (a1) in a side chain thereof and being dispersed in the aqueous medium (D), and printed matter. | 10-10-2013 |
20130266777 | NEGATIVE PATTERN FORMING METHOD AND RESIST PATTERN - A negative pattern forming method, includes: (i) forming a film having a film thickness of 200 nm or more from a chemical amplification resist composition containing (A) a resin capable of increasing a polarity of the resin (A) by an action of an acid to decrease a solubility of the resin (A) for a developer containing one or more organic solvents, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, and (C) a solvent; (ii) exposing the film, so as to form an exposed film; and (iii) developing the exposed film with a developer containing one or more organic solvents. | 10-10-2013 |
20130266778 | NEGATIVE PHOTOSENSITIVE RESIN COMPOSITION, PARTITION WALLS FOR OPTICAL DEVICE AND PRODUCTION PROCESS THEREOF, PROCESS FOR PRODUCING OPTICAL DEVICE HAVING THE PARTITION WALLS, AND INK REPELLENT SOLUTION - To provide a negative photosensitive resin composition which is excellent in storage stability of silanol groups and which is applicable to preparation of partition walls which can maintain excellent ink repellency even after ink affinity-imparting treatment, and partition walls for an optical device using such a composition. | 10-10-2013 |
20130266779 | CURABLE RESIN COMPOSITION - Provided is a curable resin composition which can provide a cured article having a low dielectric constant and a low dielectric tangent, and can also provide a cured article having excellent moldability at ordinary press-molding temperatures, excellent heat resistance and excellent adhesion properties. The present invention provides a curable resin composition containing a polyphenylene ether, wherein the average number of phenolic hydroxy groups is 0.3 or more per molecule of the polyphenylene ether, the resin flow amount of the curable resin composition upon curing is 0.3 to 15% inclusive, and a cured article having a dielectric tangent of 0.005 or less at 1 GHz and a glass transition temperature of 170° C. or higher can be produced. | 10-10-2013 |
20130273336 | METHOD FOR PRODUCING A DECORATED PROFILE BODY - A method for producing a decorated profile body comprises the following steps. In a first step, a profile body substrate is provided. In a second step, a decoration background is applied to the profile body substrate. In a third step, by means of a digital printing process, a decoration finish is applied to the profile body substrate which decoration finish covers the decoration background of the profile body substrate at least in some sections. The decoration finish is applied to the profile body substrate after the applied decoration background, wherein the decoration background and the decoration finish together form a decoration of the profile body substrate. This creates a decorated profile body in which the decoration background has a color composition which is a substantially expanded color space compared to the decoration finish. The decoration finish is printed using a digital printing process having a comparatively limited color space applied according to the CMYK principle. The color composition of the decoration background is selected in such a way that essentially no metamerism effect occurs between the decoration of the decorated profile body and an adjacent reference decoration of a furniture panel, the reference decoration being substantially identical thereto. | 10-17-2013 |
20130273337 | METHOD FOR MICROPATTERNING A SUBSTRATE AND A PATTERNED SUBSTRATE FORMED THEREOF - A method of micropatterning a substrate is provided. The method comprises providing a template substrate having a patterned surface inked with a composition of interest; contacting the patterned surface with an intermediate substrate, thereby transferring the composition to the surface of the intermediate substrate; contacting the surface of the intermediate substrate comprising the composition with the substrate; and removing the intermediate substrate by dissolution using a solvent. A patterned substrate formed using the method, as well as a biosensor comprising the patterned substrate is also provided. | 10-17-2013 |
20130280497 | Anhydride Copolymer Top Coats for Orientation Control of Thin Film Block Copolymers - The present invention involves the use of random copolymer top coats that can be spin coated onto block copolymer thin films and used to control the interfacial energy of the top coat-block copolymer interface. The top coats are soluble in aqueous weak base and can change surface energy once they are deposited onto the block copolymer thin film. The use of self-assembled block copolymers to produce advanced lithographic patterns relies on their orientation control in thin films. Top coats potentially allow for the facile orientation control of block copolymers which would otherwise be quite challenging. | 10-24-2013 |
20130280498 | METHOD FOR PRODUCING THREE-DIMENSIONAL IMAGE FORMATION OBJECT, AND THREE-DIMENSIONAL IMAGE FORMATION SHEET - A method for producing a three dimensional (3D) image formation object as a specific product using a thermally expandable sheet on which an intended 3D image is formed is described. The method sets first and second regions with a first processing line as a borderline on the first side, forms a first image for obtaining a 3D image in the first region, prints information on the second region, processes the 3D image formation sheet to fold the sheet along the first processing line, and adheres a third region which is on a second side of the 3D image formation sheet and corresponding to the second region and a fourth region which is on the second side of and corresponding to the fourth region to each other. This completes the 3D image formation object in which the first and second regions have a front/back relationship. | 10-24-2013 |
20130280499 | FOUNTAIN SOLUTION AND FOUNTAIN SOLUTION CONCENTRATES - The present invention relates to a fountain solution concentrate for offset printing comprising tert.-amyl alcohol. The present invention also refers to a fountain solution obtained by the addition of water to the fountain solution concentrate. Another aspect of the present invention is a method for offset printing wherein a fountain solution according to the present invention is applied to the image plate to desensitize the non-image areas of the image plate and the printed media obtainable thereby. | 10-24-2013 |
20130280500 | DIGITAL THERMOGRAPHIC METHODS, SYSTEMS, AND PRODUCTS - A method for producing a printed product with raised print includes receiving a prepress format document containing a plurality of flat ink color separations and at least one raised print color separation, printing onto a substrate the flat ink color separations using flat ink and the at least one raised print color separation using dimensional ink, allowing the flat and dimensional ink to dry, heating the inked substrate to a temperature that causes the applied dimensional ink to become sticky, applying thermographic powder to the heated inked substrate such that the thermographic powder sticks to the sticky dimensional ink, removing the thermographic powder from regions of the substrate where the dimensional ink is not applied, reheating the sheet to melt the thermographic powder, and cooling the sheet to result in a print product having both flat and raised printed content. | 10-24-2013 |
20130280501 | METHOD OF PATTERNING MOLECULES ON A SUBSTRATE USING A MICRO-CONTACT PRINTING PROCESS - The present invention relates to a method of patterning molecules on a substrate using a micro-contact printing process, to a substrate produced by said method and to uses of said substrate. It also relates to a device for performing the method according to the present invention. | 10-24-2013 |
20130280502 | SURFACE TREATMENT AGENT FOR HYDRAULIC TRANSFER, AND HYDRAULIC TRANSFER METHOD AND HYDRAULIC TRANSFER PRODUCTS USING SAME - A surface treatment agent for hydraulic transfer to perform hydraulic transfer decoration with high adhesion onto an olefin-type substrate with poor adhesion. They are provided by a surface treatment agent for hydraulic transfer to a polyolefin-type substrate to be used in a hydraulic transfer method for forming a decorative layer, by coating an activator to a dried print pattern on a water-soluble film to recover adhesion of said print pattern, and then by hydraulic transferring said print pattern onto the surface of a surface treatment layer of the polyolefin-type substrate treated with the surface treatment agent, characterized in that said surface treatment agent for hydraulic transfer is consisted of a resin composition including a modified polyolefin (a), a polyfunctional isocyanate (b) and a solvent (c), and a blending ratio of (b)/(a) is 0.005 to 0.5, in weight ratio. | 10-24-2013 |
20130288017 | COATED SUBSTRATES FOR LIQUID ELECTROPHOTOGRAPHIC PRINTING - The present disclosure is drawn to compositions and methods involving coated substrates for liquid electrophotographic printing. Generally, a coated substrate for liquid electrophotographic printing can comprise a polyethylene- or polypropylene-based substrate and a coating adhered to the substrate, the coating comprising a water-based aliphatic polyurethane polymer. | 10-31-2013 |
20130288018 | SYSTEM AND METHOD FOR PRINTING ON PLASTIC AND FORMING THE PRINTED PLASTIC - Systems and methods for printing on a plastic substrate and forming the printed plastic substrate, and printed products thereof, are provided. A method for printing on a plastic substrate and forming the printed plastic substrate includes printing a printing medium to have a printed pattern on a surface of the plastic substrate; thermoforming the plastic substrate to have a shape using a thermoforming device; and indexing the plastic substrate to align the plastic substrate according to the printed pattern relative to the thermoforming device. | 10-31-2013 |
20130288019 | INK COMPOSITION, INKJET RECORDING METHOD, AND COLORED MATERIAL - An aqueous black ink composition which enables the formation of an excellent black recorded image having extremely high ozone gas resistance and a neutral and achromatic color. The ink composition comprises: a dye which includes at least one compound represented by specific formula (1) or at least one tautomer or salt of the compound; a dye which includes at least one compound represented by specific formula (3) or at least one tautomer or salt of the compound; and a dye which includes at least one compound represented by specific formula (4) or at least one tautomer or salt of the compound. | 10-31-2013 |
20130288020 | TRANSFER MOLD BODY - In a transfer mold body, a display portion is formed from a crystalline resin and a main body portion is formed from an amorphous resin. Accordingly, a transfer layer is only transferred to the amorphous resin formed main body portion that has good transfer properties for the transfer layer, and the transfer layer is not transferred to the crystalline resin formed display portion that has poor transfer properties for the transfer layer. Accordingly, only the main body portion is decorated by the transfer layer, with the display portion to which the transfer layer is not transferred visibly displayed as the letter. Accordingly, since printing process is not necessary, suppressing an increase in cost of the transfer mold body. Moreover, the position of the letter is determined by two-color molding of the main body portion and the display portion, enabling positional displacement of the letter to be suppressed. | 10-31-2013 |
20130288021 | RESIN COMPOSITION FOR PHOTOIMPRINTING, PATTERN FORMING PROCESS AND ETCHING MASK - A resin composition for photoimprinting, a cured product of the resin composition which is excellent in etching and heat resistance, and a pattern forming process using the resin composition are provided. The resin composition contains photocurable monomer (A) containing at least one carbazole compound of formula (I): | 10-31-2013 |
20130295341 | USE OF FLUORESCING TONERS FOR IMAGING - A fluorescing dry toner particle comprises a polymeric binder phase comprising a non-fluorescing binder polymer and a polymeric fluorescing colorant dispersed within the non-fluorescing binder polymer. The polymeric fluorescing colorant comprises a fluorescing moiety that is covalently attached to a colorant polymer that is the same or different than the non-fluorescing binder polymer, but the polymeric fluorescing colorant is blendable with the non-fluorescing binder polymer to form a homogeneous polymeric binder matrix, and is present in an amount of at least 1 weight % and up to and including 40 weight %, based on the total fluorescing dry toner particle weight. These fluorescing dry toner particles can be used in various dry developers to provide fluorescing toner images with or without non-fluorescing color toner images. | 11-07-2013 |
20130295342 | ACTIVE ENERGY RAY-CURABLE INK COMPOSITION, INKJET RECORDING METHOD, DECORATIVE SHEET, DECORATIVE SHEET MOLDED PRODUCT, METHOD FOR PRODUCING IN-MOLD MOLDED PRODUCT, AND IN-MOLD MOLDED PRODUCT - An active energy ray-curable ink composition includes (component A) monofunctional radically polymerizable monomers, (component B) a polyfunctional oligomer having a glass transition temperature of 20° C. or lower and an acrylate value of 300 g/value or more, and (component C) a bifunctional radically polymerizable monomer having a viscosity at 25° C. of 15 mPa·s or less, wherein the component A includes an N-vinyl compound and a compound represented by the following formula (I), the content of the N-vinyl compound with respect to the total amount of the ink composition is from 10 to 50% by mass, and the content of the component A with respect to the total amount of the ink composition is 70% by mass or more. | 11-07-2013 |
20130295343 | VERTICALLY ALIGNED ARRAY OF CARBON NANOTUBES - A method and device for producing an aligned carbon nanotube array. The arrays of aligned carbon nanotubes (CNTs) may be formed by drying liquid dispersions of CNTs on a nanoporous substrate under an applied electrostatic field. The array may be used in a number of applications including electronics, optics, and filtration, including desalination. | 11-07-2013 |
20130295344 | INK COMPOSITION, IMAGE FORMING METHOD, AND PRINTED MATTER - The present invention provides an ink composition including (Component A) a compound having two or more groups represented by Structural Formula (1), (Component B) a compound represented by Formula (2), (Component C) a coloring material, and (Component D) water: wherein in Structural Formula (1), R | 11-07-2013 |
20130295345 | MEDICAL ADHESIVE FILM - An adhesive film including an upper surface including a developing reference axis. The adhesive film is simple in structure, accurate in positioning, and convenient for practice. | 11-07-2013 |
20130302574 | SOLVENT FREE AQUEOUS POLYURETHANE DISPERSIONS AND SHAPED ARTICLES THEREFROM - Novel aqueous polyurethane dispersions and shaped three dimensional articles formed therefrom are provided. The aqueous polyurethane dispersions are provided in solvent-free systems of a prepolymer comprising at least one polyether, polyester, or polycarbonate polyol, a mixture of MDI isomers, and a diol. The shaped articles from such dispersions can be heat-activated for bonding, lamination, and adhesion of substrates, including stretch fabrics. | 11-14-2013 |
20130302575 | METHOD AND MATERIAL SYSTEM FOR BUILDING MODELS IN LAYERS - The present invention describes a method for building models in layers, wherein a first material and subsequent thereto selectively a second material are applied in layers in a build space, and these two application steps are repeated until a desired model is obtained. The two materials form a solid object in a suitable mixing ratio, the first material including a particulate material and the second material being applied with the aid of a print head. The first material, the second material and/or the particulate material include one or more diamines and/or one or more dicarbonyl compounds as the binder. | 11-14-2013 |
20130302576 | FRICTIONAL-FORCE VARIABLE COMPACT AND FRICTIONAL-FORCE VARIABLE STRUCTURE - A frictional-force variable compact of the invention includes: a first surface; a second surface; insulation portion; and an electroconductive portion electrically conducting the first surface to the second surface. | 11-14-2013 |
20130309457 | METHOD OF FORMING PATTERNS USING BLOCK COPOLYMERS AND ARTICLES THEREOF - A method for patterning a layered structure is provided that includes performing photolithography to provide a developed prepattern layer on a horizontal surface of an underlying substrate, modifying the prepattern layer to form spaced apart inorganic material guides, casting and annealing a layer of a self-assembling block copolymer to form laterally-spaced cylindrical features, forming a pattern by selectively removing at least a portion of one block of the self-assembling block copolymer, and transferring the pattern to the underlying substrate. The method is suitable for making sub-50 nm patterned layered structures. | 11-21-2013 |
20130309458 | METHOD FOR MANUFACTURING GRAPHENE USING LIGHT AND GRAPHENE MANUFACTURED USING THE SAME - A method for manufacturing graphene using light capable of transferring and patterning graphene, and graphene manufactured using the method are disclosed. The method includes forming a graphene layer on a catalyst metal layer, attaching a support layer losing adhesion by light on the graphene layer, removing the catalyst metal layer, disposing a substrate on the graphene layer, and separating the support layer from the graphene layer by irradiating light to the support layer. | 11-21-2013 |
20130309459 | ACRYLATED NATURAL OIL COMPOSITIONS - The present invention relates to a radiation curable composition comprising (a) from 1 to 99% by weight of a (meth)acrylated natural oil which is the reaction product of an unsaturated natural oil with an unsaturated anhydride and a hydroxyl functional (meth)acrylate, and (b) from 1 to 99% by weight of a (meth)acrylated oligomer and/or monomer, and optionally an inert resin and/or filler, as well as their use for making inks and coatings. | 11-21-2013 |
20130309460 | DECORATIVE SHEET, AND DECORATIVE RESIN-MOLDED ARTICLE EMPLOYING SAME - The invention provides a decorative sheet including at least a surface protective layer on a substrate, in which the surface protective layer includes a cured material of an ionizing radiation curable resin composition at least containing a polycarbonate(meth)acrylate (A) and a multi-functional (meth)acrylate (B) in a mass ratio (A)/(B) of (98/2)-(70/30). The invention also provides a decorative sheet including at least a surface protective layer on a substrate, in which the surface protective layer includes a cured material of an ionizing radiation curable resin composition at least containing an acrylic silicone (meth)acrylate (C) and a multi-functional (meth)acrylate (B) in a mass ratio (C)/(B) of (50/50)-(95/5). The present invention provides a decorative sheet with a surface protective layer having scratch resistance as well as three-dimensional formability. | 11-21-2013 |
20130309461 | ELECTROSTATIC ADSORBABLE SHEET - The present invention provides an electrostatic adsorbable sheet that can attach and display a printed material as it is on an object to be attached, as a poster, an advertisement or the like, has a high electrostatic adsorbability during display use, can be used for display over a long period of time with sufficient durability of the electrostatic adsorbability, has electrostatic adsorbability that is hardly affected by humidity, and can be easily peeled away after display use. In the electrostatic adsorbable sheet, since the electrostatic adsorbability is not exhibited externally before display use, troubles such as blocking of sheets themselves and attachment to a roll particularly in a printing step hardly occur, handlability is good, and the adhesiveness of ink is excellent, so that the sheet is compatible with a variety of printing methods. | 11-21-2013 |
20130316152 | PHOTOIMAGING - There is herein described a method and apparatus for photoimaging. More particularly, there is described a method and apparatus for photoimaging a substrate (e.g. a web) covered with a wet curable photopolymer wherein a rotatable phototool is pressed and rotated against the substrate to create an imaged substrate which is used to form images suitable for forming electrical circuits such as for printed circuit boards (PCBs), flat panel displays and flexible circuits. There is also described a method and apparatus for directly photoimaging a substrate covered with a wet curable photopolymer, wherein the photoimaged substrate is used to form images such as electrical circuits and a method and apparatus for exposing at least part of a solder mask on a printed circuit board (PCB) using a wet curable photopolymer wherein an imaging process may thereafter occur on the area above the solder mask. | 11-28-2013 |
20130316153 | Constructions Comprising Rutile-Type Titanium Oxide; And Methods of Forming and Utilizing Rutile-Type Titanium Oxide - Some embodiments include methods of forming rutile-type titanium oxide. A monolayer of titanium nitride may be formed. The monolayer of titanium nitride may then be oxidized at a temperature less than or equal to about 550° C. to convert it into a monolayer of rutile-type titanium oxide. Some embodiments include methods of forming capacitors that have rutile-type titanium oxide dielectric, and that have at least one electrode comprising titanium nitride. Some embodiments include thermally conductive stacks that contain titanium nitride and rutile-type titanium oxide, and some embodiments include methods of forming such stacks. | 11-28-2013 |
20130323474 | INKJET RECORDING METHOD AND INKJET PRINTED MATTER - An inkjet recording method includes using ink for inkjet recording containing a hydrodispersible colorant, a surfactant, water, and an organic solvent containing a polyol, an amide compound represented by the following Formula I, and an oxetane compound represented by the following Formula II; using a recording medium having a particular transfer amount of highly deionized water as measured by an automatic scanning absorptometer; and forming an image on the recording medium with the ink, wherein the ink has a particular wettability, where γ′ represents dynamic surface tension as measured by maximum bubble pressure technique and θ represents a contact angle, | 12-05-2013 |
20130323475 | DOUBLE-LAYERED SHEET SYSTEMS - A magnetic sheet system providing flexible magnetic sheets that are digitally printable on both sides and that serve as magnetic postcard stocks for production of customized magnetic postcards. | 12-05-2013 |
20130323476 | MARKED THERMOPLASTIC COMPOSITIONS, METHODS OF MAKING AND ARTICLES COMPRISING THE SAME, AND USES THEREOF - An article for laser marking can comprising: a thermoplastic composition comprising a thermoplastic polymer, an active component comprising at least one of a polymeric unit and an additive, wherein the thermoplastic polymer has a visible transmission of greater than or equal to 80% according to ASTM D1003-00, Procedure A, using D65 illumination, 10 degrees observer, and thickness of 1 mm; and a mark produced by chemical rearrangement of the active component generated by a laser of a first wavelength; wherein the mark exhibits at least one of: (i) a change in optical properties in the region 400 nm to 700 nm when exposed to light having a wavelength less than or equal to 500 nm; and (ii) a change in optical properties in the region of 400 nm to 700 nm when exposed to light having a wavelength greater than or equal to the first wavelength. | 12-05-2013 |
20130330522 | WHITE TONER, METHOD FOR PRODUCING THE SAME, AND DEVELOPMENT AGENT, RECORDING MEDIUM, PRINTED MATTER, AND IMAGE FORMING APPARATUS USING THE SAME - White toner contains a binder resin, a white pigment, and a releasing agent, wherein the white pigment is coated with the releasing agent and dispersed in the binder resin. | 12-12-2013 |
20130330523 | GRAPHENE NANORIBBONS AND METHODS OF FABRICATION THEREOF - This disclosure provides systems, methods, and apparatus related to graphene nanoribbons. In one aspect, a device includes a substrate and a first graphene nanoribbon overlying the substrate. The first graphene nanoribbon is less than about 20 nanometers wide. | 12-12-2013 |
20130337237 | STRETCHABLE INK COMPOSITION - An ink composition suitable for ink jet printing, including printing on deformable substrates. In embodiments, the stretchable ink composition is based on an aqueous ink formulation comprising an emulsion of a polyurethane elastomer in combination with colorant dispersions, and surfactants. | 12-19-2013 |
20130337238 | STAGGERED LASER-ETCH LINE GRAPHIC SYSTEM, METHOD AND ARTICLES OF MANUFACTURE - A staggered laser-etch line graphic system, method, and articles of manufacture are provided. One described method includes the steps of laser engraving a first plurality of lines associated with a first component section of a graphic on a surface of an article; laser engraving a second plurality of lines associated with a second component section of the graphic on the surface of the article; and controlling said laser engraving of the first plurality of lines and said laser engraving of second plurality of lines to reduce the visual impact of a demarcation line separating the first component section of the graphic and the second component section of the graphic. | 12-19-2013 |
20130344296 | COATED FILM FOR INSERT MOLD DECORATION, METHODS FOR USING THE SAME, AND ARTICLES MADE THEREBY - In an embodiment, a coated thermoplastic film, comprises a polymeric film substrate; and a coating formed from a coating composition comprising a urethane acrylate having a functionality of 2.0 to 6.0 acrylate functional groups; an acrylate monomer having a functional group; and an epoxy acrylate oligomer. In an embodiment, a method of making the coated thermoplastic film comprises decorating and shaping a coated thermoplastic film comprising a polymeric film substrate; and a coating formed from a coating composition comprising a urethane acrylate having a functionality of 2.0 to 6.0 acrylate functional groups; an acrylate monomer having a functional group; and an epoxy acrylate oligomer having an acrylate functional group; and placing the film into a mold, and injecting a resin into the mold cavity space behind the film, wherein said film and said injection molded resin form a single molded part. | 12-26-2013 |
20130344297 | Identification of Material Composition - Identification of a material composition. The material composition is configured with a sequential pattern applied to one or more surfaces of the body. The sequential pattern identifies the specific material composition. A discrete section or sub-section of the material is analyzed, after which the discrete section or sub-section may be sorted for recycling. | 12-26-2013 |
20130344298 | STATIC DISSIPATING LASER ENGRAVABLE FILM - Laser engravable films are disclosed. In one aspect, the laser engravable film of the present invention includes a monolayer film, preferably comprising polycarbonate, having a laser engraving additive and an antistatic composition mixed within the layer of polycarbonate. In another aspect, the laser engravable film of the present invention includes a first layer, preferably comprising polycarbonate, having an antistatic composition mixed within the first layer, and a second layer, preferably comprising polycarbonate, with laser engraving additives mixed within the second layer. | 12-26-2013 |
20130344299 | COATED FILM FOR INSERT MOLD DECORATION, METHODS FOR USING THE SAME, AND ARTICLES MADE THEREBY - In an embodiment, a coated thermoplastic film, comprises a polymeric film substrate; and a coating formed from a coating composition comprising a urethane acrylate having a functionality of 2.0 to 6.0 acrylate functional groups; an acrylate monomer having a functional group; and an epoxy acrylate oligomer; and an additive comprising at least one of a silicone based additive, palmitic acid, and combinations comprising at least one of the foregoing. In an embodiment, a method of molding an article comprises decorating and forming the coated thermoplastic film to form a shaped film, and placing the shaped film into a mold, and injecting a resin into the mold cavity space behind the shaped film, wherein the shaped film and the injection molded resin form a single molded part. | 12-26-2013 |
20130344300 | CLICHE FOR OFFSET-PRINTING AND METHOD FOR MANUFACTURING SAME - The present invention relates to a cliché for offset printing and a method of manufacturing the same, and the cliché for offset printing according to the present invention comprises: a groove pattern, wherein a depth of at least a partial region of the groove pattern is different from a depth of a residual region. The present invention may comprise a double etching process when a cliché for offset printing is manufactured to control a bottom touch phenomenon that is a problem exhibited when a known wide line width is implemented, thus manufacturing the cliché for offset printing having various line widths and etching depths. | 12-26-2013 |
20130344301 | PRINT PROCESSING FOR PATTERNED CONDUCTOR, SEMICONDUCTOR AND DIELECTRIC MATERIALS - Embodiments relate to printing features from an ink containing a material precursor. In some embodiments, the material includes an electrically active material, such as a semiconductor, a metal, or a combination thereof. In another embodiment, the material includes a dielectric. The embodiments provide improved printing process conditions that allow for more precise control of the shape, profile and dimensions of a printed line or other feature. The composition(s) and/or method(s) improve control of pinning by increasing the viscosity and mass loading of components in the ink. An exemplary method thus includes printing an ink comprising a material precursor and a solvent in a pattern on the substrate; precipitating the precursor in the pattern to form a pinning line; substantially evaporating the solvent to form a feature of the material precursor defined by the pinning line; and converting the material precursor to the patterned material. | 12-26-2013 |
20130344302 | LASER REINFORCED DIRECT BONDING OF OPTICAL COMPONENTS - A method for the laser reinforced direct bonding of two optical components having a respective bonding surface and a reinforced optical assembly made thereby are provided. The method includes a first step of assembling the two optical components by direct bonding of their respective bonding surface together, thereby defining a direct-bonded interface therebetween. The method further includes a second step of reinforcing the direct-bonded interface with a weld seam including at least one substantially continuous reinforcing weld line forming a dosed shape enclosing a sealed direct-bonded region. Each weld line is inscribed by focusing ultrashort laser pulses at the direct-bonding interface so as to generate non-linear optical phenomena inducing a localized junction between the two optical components. Advantageously, embodiments of the present invention provide reinforced optical assemblies exhibiting hermetic and mechanically resistant bonds over a large area as well as negligible alteration of their optical transmission properties. | 12-26-2013 |
20140004317 | STAIN-RESISTANT LABEL ADHERED TO PRODUCT INCLUDING ONE OR MORE LABEL-STAINING MATERIALS THEREIN | 01-02-2014 |
20140004318 | TRANSPARENT MATERIAL PROCESSING WITH AN ULTRASHORT PULSE LASER | 01-02-2014 |
20140004319 | DISLOCATION ENGINEERING IN SINGLE CRYSTAL SYNTHETIC DIAMOND MATERIAL | 01-02-2014 |
20140004320 | Photopatterning | 01-02-2014 |
20140004321 | RESIN COMPOSITION FOR OPTICAL WAVEGUIDE, DRY FILM, OPTICAL WAVEGUIDE, AND PHOTOELECTRIC COMPOSITE WIRING BOARD USING SAME | 01-02-2014 |
20140011002 | ARTIFICIAL MICROSTRUCTURE AND ARTIFICIAL ELECTROMAGNETIC MATERIAL USING THE SAME - An artificial microstructure used in artificial electromagnetic material includes a first line segment and a second line segment. The second line segment is perpendicular to the first line segment. The first line segment and the second line segment intersect with each other to form a cross-type structure. The present disclosure further relates to an artificial electromagnetic material using the artificial microstructure. | 01-09-2014 |
20140011003 | TEMPORARY FRECKLE TATTOO - Described is a temporary freckle tattoo. Importantly, the invention includes an application sheet having temporary tattoo graphics thereon, the temporary tattoo graphics being formed to mimic freckles when applied to a user. Additionally, the application sheet includes a die-line that is shaped to assist application of the application sheet to a user's face. | 01-09-2014 |
20140017456 | Heterogeneous Surfaces - Condensation can be an important process in both emerging and traditional power generation and water desalination technologies. Superhydrophobic nanostructures can promise enhanced condensation heat transfer by reducing the characteristic size of departing droplets via a surface-tension-driven mechanism. A superhydrophobic surface can include a heterogeneous surface. | 01-16-2014 |
20140017457 | METHOD FOR PREPARING MICRO-PATTERNED SUPERHYDROPHOBIC/SUPERHYDROPHILIC COATINGS - Provided herein are methods and materials for the production of hydrophobic coatings, which may be thermally treated to produce binary hydrophobic-hydrophilic regions. | 01-16-2014 |
20140023834 | IMAGE TRANSFER PROCESS EMPLOYING A HARD MASK LAYER - At least one mask layer formed over a substrate includes at least one of a dielectric material and a metallic material. By forming a first pattern in one of the at least one mask layer, a patterned mask layer including said first pattern is formed. An overlying structure including a second pattern that includes at least one blocking area is formed over said patterned mask layer. Portions of said patterned mask layer that do not underlie said blocking area are removed. The remaining portions of the patterned mask layer include a composite pattern that is an intersection of the first pattern and the second pattern. The patterned mask layer includes a dielectric material or a metallic material, and thus, enables high fidelity pattern transfer into an underlying material layer. | 01-23-2014 |
20140023835 | OPTICAL DEVICE - A device including an imaging optical unit ( | 01-23-2014 |
20140023836 | DOOR, METHOD OF MAKING DOOR, AND STACK OF DOORS - A door, method of making a door, and stack of doors includes providing a door having front and back skins that have differing, but complementary profiles, one for the front side of the door and one for the back side of the door where each allows and fits onto the other, while still providing direct contact in the stile and rail zones of the door permitting conventional door layup practices. | 01-23-2014 |
20140030493 | TWO-PACK PLASTISOL INK COMPOSITIONS FOR SCREEN PRINTING OF TEXTILES - Plastisol ink compositions are disclosed containing (meth)acrylate polymer, non-phthalate ester plasticizers, and optionally, pigment, filler, thixotropic agent, and other additives. The plasticizers are separated into lower and higher solvating plasticizers, and the composition for handling and storage is separated into two masterbatches with the (meth)acrylate polymer mixed with the lower solvating plasticizer. The plastisol can be used an ink of various colors for use in application to textiles. The plastisol ink compositions avoid polyvinyl chloride polymer resins and phthalate plasticizers conventionally employed in plastisol inks. | 01-30-2014 |
20140037913 | BINDER FOR INK-JET PRINTING INK, INK-JET PRINTING INK CONTAINING THE SAME, AND PRINTED MATTER - It is an object of the present invention to provide a binder for ink-jet printing ink that can form printed images having excellent scratch resistance and good alcohol resistance without impairing good discharge stability of ink. The present invention relates to a binder for ink-jet printing ink including a polyurethane (A) that has a hydroxyl group at a molecular terminal, has a weight-average molecular weight of 30,000 to 70,000, and is obtained by causing a reaction of a polyisocyanate and a polyol (a2) containing a particular polyether polyol so that the equivalent ratio [isocyanate group [X]/hydroxyl group [Y]] of an isocyanate group [α] in the polyisocyanate (a1) to a hydroxyl group [Y] in the polyol (a2) is in the range of 0.930 to 0.995 and an aqueous medium (B). The hydroxyl group present at the molecular terminal of the polyurethane (A) is a hydroxyl group derived from the polyether polyol. | 02-06-2014 |
20140044931 | MANUFACTURING METHOD OF LABEL AND MEMBER FOR LABEL - Provided is a manufacturing method of a label, including configuring a member for a label so as to dispose a magnetic member between a base material and a covering material, cutting the magnetic member along with the base material so as to cut a label from the member for a label, removing an unnecessary portion of the base material from the member for a label, and detecting whether or not the label is present in the unnecessary portion of the base material or whether or not the label is present in the member for a label in which the unnecessary portion of the base material has been removed. | 02-13-2014 |
20140044932 | PHOTOCURABLE COMPOSITIONS - A photocurable composition comprising a photoresist component, and an ethylenically unsaturated perfluoropolyether is disclosed. The composition enables easier release of phototool from a photoresist. | 02-13-2014 |
20140044933 | NANO PATTERN FORMATION - Nano structure patterning formation includes coating a part of a structural guide with a hydrophobic polymer, positioning the structural guide on a substrate, coating at least a part of the substrate with a film made of a block copolymer, and annealing the film made of the block copolymer to align the block copolymer. | 02-13-2014 |
20140050899 | EMBROIDERY AND METHOD OF MAKING SAME - Chemical burnout is used on fabrics having beaded and/or glittered areas to create embroidery that realistically simulates hand-sewn embroidery. A chemical fluid etchant is printed only on selected areas of a pattern on a fabric of cellulose fibers. Preferably, the fabric is a composite fabric that also has non-cellulose fibers. A curable fluid adhesive is printed only on other selected areas of the pattern. Ornamental particles are deposited onto the curable adhesive. The composite fabric is heated to cure the adhesive and fix the particles to the other selected areas, and to simultaneously activate the etchant to dissolve the cellulose fibers at the selected areas. The chemical etchant is removed by rinsing the composite fabric. | 02-20-2014 |
20140050900 | CURABLE COMPOSITION FOR IMPRINTS, PATTERN-FORMING METHOD AND PATTERN - Provide is a curable composition for imprints capable of keeping a good pattern and heat resistance. A curable composition for imprints comprising a polymerizable compound (Ax-1) having maleimide structure(s), or a compound (Ax-2) having a partial structure represented by formula (I) below. Formula (I) | 02-20-2014 |
20140057084 | COMPOSITE MATERIAL - In a method of manufacturing a composite material, a catalyst material is patterned within a deposition area to form an array of catalyst regions. A first array of bundles of filaments is grown on the catalyst regions. Adjacent filaments in the bundle are spaced by an inter-filament gap. Adjacent bundles are spaced in the array by an inter-bundle gap substantially free of filaments. The free tips of the filaments are drawn together within each bundle, so that the inter-filament gaps become smaller at the tip of each bundle than at the base of each bundle where the filaments remain attached to the catalyst region. These steps are repeated to provide a second array of bundles of filaments. The second array are positioned or grown at least partly in the inter-bundle gaps of the first array. The inter-filament gaps and inter-bundle gaps of both arrays are impregnated with a matrix material. | 02-27-2014 |
20140057085 | WATER PRESSURE TRANSFER METHOD, COATING AGENT FOR WATER PRESSURE TRANSFER FILM, AND WATER PRESSURE TRANSFER ARTICLE - A coating agent to be applied and permeated into a print pattern of a water pressure transfer film has a main ingredient of a ultraviolet hardening resin composite containing a photo-polymerization monomer, a photo-polymerization oligomer and a photo-polymerization initiator, said photo-polymerization monomer has 3 or less functional groups and a volume shrinkage coefficient of 20% or less, said photo-polymerization oligomer has 10 or less functional groups, the coating agent further contains polyurethane beads having an average particle diameter of 5 through 50 micrometer and the polyurethane beads are blended at 15 through 100 weight part relative to 100 weight part of said ultraviolet hardening resin composite. This coating agent can impart a softness feeling and a wetness feeling to the surface of the decoration layer by the polyurethane beads. | 02-27-2014 |
20140065378 | Color Stable Inks And Applications Thereof - In one aspect, inks for use with a 3D printer are described herein. In some embodiments, an ink comprises a polymerizable material and a reactive wax comprising a saturated alkyl moiety bonded to an ethyleneically unsaturated moiety through a urethane, urea, ester, or carbonate ester linkage, wherein the ink is free or substantially free of non-reactive wax. | 03-06-2014 |
20140065379 | TOPCOAT SURFACES FOR DIRECTING THE ASSEMBLY OF BLOCK COPOLYMER FILMS ON CHEMICALLY PATTERNED SURFACES - Provided are novel methods of fabricating block copolymer thin film structures that allow control over both the lateral structure and vertical orientation of the thin films. In some embodiments, the methods involve directing the assembly of a block copolymer thin film between a chemically patterned surface and a second surface such that the thin film includes domains that are oriented perpendicularly through the thickness of the thin film. In certain embodiments, the second surface can be preferential at least one block of the block copolymer. In certain embodiments, the second surface can be a homopolymer. Also provided are thin film block copolymer structures having perpendicular orientations through the thickness of the thin films. The methods and structures may include block copolymers having large interaction parameters (χ's) and small domain sizes. | 03-06-2014 |
20140065380 | OVERLAY MARK AND METHOD OF FORMING THE SAME - A method of forming an overlay mark is provided. A plurality of photoresist patterns are formed on a substrate. Each of the photoresist patterns includes a first strip and a plurality of second strips arranged in parallel. The first strip crosses the second strips to form a fence shape. Further, there is a space between two adjacent photoresist patterns, and the space is fence-shaped. A plurality of islands are formed in each of the spaces to form dot type strip patterns. The photoresist patterns are removed, and the dot type strip patterns serve as the overlay mark. | 03-06-2014 |
20140072777 | THERMALLY CROSS-LINKABLE PHOTO-HYDROLYZABLE INKJET PRINTABLE POLYMERS FOR MICROFLUIDIC CHANNELS - Thermally cross-linkable photo-hydrolyzable inkjet printable polymers are used to print microfluidic channels layer-by-layer on a substrate. In one embodiment, for each layer, an inkjet head deposits droplets of a mixture of hydrophobic polymer and cross-linking agent in a pattern lying outside a two-dimensional layout of the channels, and another inkjet head deposits droplets of a mixture of poly(tetrahydropyranyl methacrylate) PTHPMA (or another hydrophobic polymer which hydrolyzes to form a hydrophilic material), cross-linking agent, and a photoacid generator (PAG) in a pattern lying inside the two-dimensional layout of the channels. After all layers are printed, flood exposure of the entire substrate to UV radiation releases acid from the PAG which hydrolyzes PTHPMA to form hydrophilic poly(methacrylic acid) PMAA, thereby rendering the PTHPMA regions hydrophilic. The layers of these now-hydrophilic patterned regions together define the microfluidic channels. The cross-linking agent (e.g., triallyl isocyanurate TAIC) forms covalent cross-links between the two polymer phases. | 03-13-2014 |
20140072778 | CARBON NANOTUBE COMPOSITE FILM AND METHOD FOR MAKING THE SAME - A carbon nanotube composite film includes a treated patterned carbon nanotube film and a polymer film having the treated patterned carbon nanotube film located therein. The treated patterned carbon nanotube film includes carbon nanotube linear units spaced from each other and carbon nanotube groups spaced from each other and combined with the carbon nanotube linear units. A method for making the carbon nanotube composite film is also disclosed. | 03-13-2014 |
20140072779 | INKJET INK, INK CARTRIDGE, INKJET RECORDING DEVICE, AND INKJET PRINTED MATTER - Inkjet ink contains a pigment, a hydrosoluble solvent, and water, wherein the pigment is represented by the following chemical formula 1 and has a CuKα X-ray diffraction spectrum having a wavelength of 1.541 Å such that no main peak is observed at a Bragg (2θ±0.2°) angle in a range of 2θ of from 28.0° to 29.0°, | 03-13-2014 |
20140072780 | METHOD FOR MANUFACTURING ELECTRIC FILM BODY - A method for manufacturing an electric film body is made by forming a film body to have a shape in accordance with a desired electric characteristic and includes a film forming process for forming an electric film body on a board layer, an electric characteristic measuring process for measuring an electric characteristic in a surface of the electric film body formed in the film forming process, an electric film body shape setting process for setting a shape of the electric film body based on the electric characteristic measured in the electric characteristic measuring process, and an electric film body forming process for forming the electric film body formed in the shape set in the electric film body shape setting process. | 03-13-2014 |
20140072781 | SKIN PRINT PRODUCTS - Some implementations of the present invention include a piece of jewelry, an accessory, a tool, a household product, a personal care product, or other product that comprises a two-dimensional or a three-dimensional, permanent rendering of a person's skin. In some cases, the skin print is from a celebrity. Additionally, in some cases, the skin print comprises an identifying feature that readily identifies the person from which the skin print was taken. Some non-limiting examples of such identifying features include a mole, a scar, and a dimple. Other implementations are also described. | 03-13-2014 |
20140072782 | BIODEGRADABLE SHEETS - The present invention relates to sheets. The sheets include a base layer, a resin layer formed on the base layer, and a printed layer formed on the resin layer. The resin layer includes a polylactic acid (PLA) resin. The sheets are biodegradable. | 03-13-2014 |
20140079919 | HOT MELT ADHESIVE - A hot melt adhesive material and articles made using the hot melt adhesive to assemble structures in an article. The adhesive material typically is manufactured by blending amorphous polymer with a heterophase polymer having crystallinity into an adhesive composition. | 03-20-2014 |
20140087149 | SOLUBLE AROMATIC POLYMER - An aromatic copoly(ester-sulfone) that contains one or more aromatic ester repeating units and one or more aromatic sulfonyl repeating units is provided. While a wide variety of aromatic ester repeating units may be employed, the polymer is nevertheless “low naphthenic” to the extent that it contains a minimal content of repeating units derived from naphthenic hydroxycarboxylic acids and naphthenic dicarboxylic acids. Despite the absence of a high level of conventional naphthenic acid repeating units, the present inventors have discovered that selective control over the type and relative concentration of the aromatic sulfonyl repeating units can lead to “low naphthenic” polymers that are not only soluble in certain solvents, but also capable of exhibiting good mechanical properties. Thus, the ability of the resulting polymer to be dissolved or dispersed in various solvents can be enhanced without sacrificing performance. | 03-27-2014 |
20140087150 | ADHESIVE TAPE WITH PRINT AND ADHESIVE TAPE ROLL WITH PRINT - This disclosure discloses an adhesive tape with print, comprising a transferred layer. The transferred layer is formed on a tape main body by transfer from an ink ribbon. In the tape main body, an adhesive layer is disposed on one side of a tape base layer, and a separation layer is provided on the other side of the tape base layer and comprises an olefin resin separating agent or an acrylic resin separating agent. A first layer is formed on a front surface of the other side of the transferred layer and has a solubility parameter value greater than or equal to 7 and less than or equal to 9. A second layer is formed on a front surface of the one side of the transferred layer and has a solubility parameter value greater than or equal to 7 and less than or equal to 9. | 03-27-2014 |
20140087151 | METHOD AND SYSTEM FOR MODIFYING A SURFACE TOPOGRAPHY - According to one example, there is provided a method or system for modifying the surface topography of a substrate support. | 03-27-2014 |
20140087152 | RESIN COMPOSITION - Resin compositions which contain an epoxy resin, an alkoxy oligomer, and an inorganic filler provide insulating layers that have a surface with not only low arithmetic mean roughness but also low root mean square roughness in a wet roughening step and that are capable of forming thereon a plated conductive layer having a sufficient peel strength that can be formed while maintaining the glass transition temperature and thermal expansion coefficient. | 03-27-2014 |
20140087153 | PRINTING APPARATUS AND PRINTING METHOD - A printing apparatus includes: a first nozzle for ejecting clear ink to form a first dot; and a second nozzle for ejecting color ink to form a second dot, wherein, when forming a color image on a photoluminescent ground layer by means of the second dot, the first dot is formed at an area where the color image does not exist. | 03-27-2014 |
20140093706 | COATED SUBSTRATE AND METHOD FOR PRODUCING THE SAME - The present disclosure discloses a coated substrate for electrophotographic (LEP) printing comprising an ink-receiving layer and a base layer, wherein said ink-receiving layer comprises a reactive polycarbodiimide component and a method for producing the same. | 04-03-2014 |
20140093707 | Method of Producing a Motif Having Reflecting Properties and Transferring said Motif onto a Substrate, and a Reflection Transfer Film - A method of producing a motif having reflecting properties and transferring said motif onto a substrate includes providing an adhesive-repellent base medium; applying a transfer adhesive to the base medium over the full surface area; applying a reflection colorant which contains a multiplicity of reflection particles; cutting a motif into the layer structure produced, wherein a projecting region remains; removing said projecting region; and applying the layer structure having the motif, after removal of the base medium, to a substrate. | 04-03-2014 |
20140099480 | REGISTRATION SYSTEM FOR PHOTOTOOLS - There is herein described a method and apparatus for positioning a phototool. More particularly, there is described a method and apparatus for accurately positioning a phototool capable of photoimaging a substrate (e.g. a web) covered with a wet curable photopolymer wherein the phototool creates an imaged substrate which is used to form images suitable for forming electrical circuits such as for printed circuit boards (PCBs), flat panel displays and flexible circuits. | 04-10-2014 |
20140099481 | METHOD FOR APPLYING A PATTERN, SUCH AS A LOGO, ONTO THE OUTER SKIN OF AN AIRCRAFT ELEMENT - The present disclosure relates to a method for applying a pattern, such as a logo, onto the outer skin of an aircraft element, which includes the following steps: a) applying a coat of paint onto the aircraft element; b) applying a top coat (base coat) onto the coat of paint; c) applying a first coat of transparent varnish (clear coat) onto the base coat; d) applying the pattern onto the first coat of transparent varnish; and e) applying a second coat of transparent varnish (clear coat) onto the pattern. | 04-10-2014 |
20140099482 | COLOUR LASER MARKING OF ARTICLES AND SECURITY DOCUMENTS - A method for preparing a colour laser marked article comprising the steps of: a) infrared laser marking a security element including a polymeric support and a colour forming layer comprising a colour forming compound, an infrared dye and a polymeric binder comprising vinyl acetate and at least 85 wt % of vinyl chloride based on the total weight of the binder; and b) exposing the laser marked security element with light having a wavelength higher than 440 nm. The light exposure of step b) hinders the falsification of an issued security document without significant increase of background density. | 04-10-2014 |
20140106134 | METHODS OF FABRICATING TRANSPARENT AND NANOMATERIAL-BASED CONDUCTIVE FILM - The present invention relates to methods of fabricating transparent conductive films based on nanomaterials, in particular, silver nanowires. The present invention incorporates a single step of annealing and patterning the conductive films by using a high energy flash lamp without post treatment to improve the conductivity and create substantially invisible patterns on the films for use in touch panel or display manufacturing industry. | 04-17-2014 |
20140106135 | PROCESS OF MAKING A GRAPHIC DECAL FOR A TOOLBOX, DECAL MADE THEREBY, AND KIT OF COMPONENTS - A process of fabricating an extremely durable graphic decal for a toolbox of a customer. | 04-17-2014 |
20140106136 | PACKAGING AND INK THEREFOR - Provided are retort inks containing binder, colorant and carrier, where the cured ink has a bond strength to a layer of retort material of at least about 500 g/2.54 cm before retorting and/or the binder has an endotherm of 0.6 J/g or less or after retorting a bond strength of at least about 375 g/2.54 cm or destruct strength. Laminate plies carrying the ink and retorts using the ink also are provided. | 04-17-2014 |
20140106137 | WAFER PROCESSING LAMINATE, WAFER PROCESSING MEMBER, TEMPORARY ADHERING MATERIAL FOR PROCESSING WAFER, AND MANUFACTURING METHOD OF THIN WAFER - A wafer processing laminate, a wafer processing member, a temporary adhering material for processing wafer, and a method for manufacturing a thin wafer using the same. The wafer processing laminate includes a support, a temporary adhesive material layer formed thereon and a wafer laminated on the temporary adhesive material layer, where the wafer has a circuit-forming front surface and a back surface to be processed. The temporary adhesive material layer includes a first temporary adhesive layer of a thermoplastic organopolysiloxane polymer layer (A) releasably adhered on a surface of the wafer, a second temporary adhesive layer of a radiation curable polymer layer (B) laminated on the first temporary adhesive layer, and a third temporary adhesive layer of a thermoplastic organopolysiloxane polymer layer (A′) laminated on the second temporary adhesive layer and releasably adhered to the support. | 04-17-2014 |
20140120326 | POLYMERIZABLE COMPOUND, INK CARTRIDGE CONTAINING INK INCLUDING THE POLYMERIZABLE COMPOUND, INKJET RECORDING APPARATUS USING THE INK CARTRIDGE, AND PRINT FORMED BY INK INCLUDING THE POLYMERIZABLE COMPOUND - A polymerizable compound is provided. The polymerizable compound has two or more polymerizable functional groups in a molecule thereof, wherein one of the two or more polymerizable functional groups of the polymerizable compound is an acrylamide group having the below-mentioned formula (1). The polymerizable compound can be preferably used for inkjet ink. | 05-01-2014 |
20140120327 | LINERLESS MEDIA - A linerless media comprising selectively applied adhesive to allow cutting through a non-adhesive portion of the linerless media. The linerless media can be a linerless media web or linerless media labels with alternating adhesive portions and non-adhesive portion provided along a length of the linerless media web or linerless media label. The adhesive portion applied to the linerless media web or label can be an adhesive bar code. | 05-01-2014 |
20140127477 | SYSTEMS AND METHODS FOR CONVEYANCE OF A SUBSTANCE INTO A HETEROGENEOUS MATERIAL - Systems and methods are described in which composite solids such as dyed fibers or fabrics are produced by reversibly generating permeable regions within a heterogeneous solid. Permeating substances are trapped within the heterogeneous solid on reversal of the permeability to form a composite solid, within which the permeating substances are protected from environmental factors. | 05-08-2014 |
20140127478 | COMPOSITION FOR FORMING FINE PATTERN AND METHOD FOR FORMING FINED PATTERN USING SAME - The present invention provides a resist pattern-forming composition capable of forming a resist pattern excellent in etching resistance. The invention also provides a resist pattern formation method using that composition. The composition comprises pure water and a water-soluble resin having aromatic group-containing substituents in its side chain. The composition also contains a free acid or an acid group combined with the water-soluble resin. | 05-08-2014 |
20140134408 | METHOD AND APPARATUS FOR MAKING A WATER DRAINAGE-PROMOTING WRAP - A method and apparatus for making a water drainage-promoting wrap for applications such as housewrap and roofing underlayment. A substrate, which may be breathable or non-breathable, is conveyed through a nip between a rotating sleeve and a roll, the sleeve having a plurality of apertures therein. A fluid resin composition is fed into the sleeve and is fed out through the apertures in the sleeve as it rotates and as the substrate moves through the nip, forming spaced-apart spacer elements on a face of the substrate. The spacer elements are then dried or cured. | 05-15-2014 |
20140141209 | INK FOR INKJET RECORDING, AND INK CARTRIDGE, INKJET RECORDING METHOD, INKJET RECORDER AND INK-RECORDER MATTER USING THE INK - An ink for inkjet recording includes water; a water-soluble solvent; a pigment; and a copolymer including a salt of phosphonic acid group. The copolymer including a salt of phosphonic acid group includes structural units having the following formulae (1) and (2): | 05-22-2014 |
20140147639 | RESIN COMPOSITION FOR PRINTED CIRCUIT BOARD, INSULATING FILM, PREPREG, AND PRINTED CIRCUIT BOARD - Disclosed herein are a resin composition of a printed circuit board including a liquid crystal oligomer, an epoxy resin, and a phenolic curing agent having five or more functional groups, an insulating film and a prepreg manufactured using the resin composition, and a printed circuit board including the insulating film or the prepreg. The resin composition for a printed circuit board according to the present invention, and the insulating film and the prepreg manufactured using the same, may have low coefficient of thermal expansion, excellent heat resistance property, and a high glass transition temperature. | 05-29-2014 |
20140147640 | METHOD OF WOOD PRESERVATION USING EXPIRATION CONTROL - A method to preserve packed wood by expiration controlling consists of following steps: to clean/smooth surface of packed wood by a cleaner/by sanding said wood surface; to dry moisture of clean packed wood by heating in a high temperature oven; to assemble/manufacture a packed wood according to designed/required shape; to immunize wood preservatives by dipping in pool contains preservation liquid in certain dosage; to dry by draining the preservatives of packed wood that dipped in said preservation liquid pool; and to put security symbols on packed wood before shipping/distribution. | 05-29-2014 |
20140154478 | SELF-STICK INSULATION AND METHODS - According to one embodiment, a self-adhesive insulation product is provided. The self-adhesive insulation product includes an elongated fibrous insulation blanket having a length, a width, a thickness, and a first major surface and a second major surface which each extend for the length and width of the fibrous insulation blanket. The self-adhesive insulation product also includes a coating of an adhesive applied to the first major surface of the fibrous insulation blanket. The adhesive includes an emulsion of a polymer material and water with the water evaporated so that the adhesive forms a dry layer atop the first major surface. The adhesive is nonbondable or nonadherable to other objects when in the dry state and is bondable or adherable to other objects upon the subsequent application of water. | 06-05-2014 |
20140154479 | RESIN COMPOSITION FOR PRINTED CIRCUIT BOARD, INSULATING FILM, PREPREG AND PRINTED CIRCUIT BOARD - Disclosed herein is a resin composition for a printed circuit board, including: a liquid crystalline oligomer; an epoxy resin; and an inorganic filler which is a reaction product of silica, silane having a vinyl group and an alkoxy group, and vinyl or hydroxyl terminated silicone oil. The resin composition has a low thermal expansion coefficient, excellent heat resistance and a high glass transition temperature. | 06-05-2014 |
20140154480 | ULTRAVIOLET RAY CURABLE INK COMPOSITION FOR USE IN INK JET METHOD AND PRINTED OBJECT - An ultraviolet ray curable ink composition adapted to be ejected by using an ink jet method is provided. The ultraviolet ray curable ink composition includes a polymerizable compound, metal powder, and a liquid component having an alicyclic structure including a hetero atom. The metal powder is constituted from metal particles subjected to a surface treatment with a fluorine type silane compound and/or a fluorine type phosphoric acid ester as a surface treatment agent. An amount of the liquid component contained in the ultraviolet ray curable ink composition is in the range of 0.05 mass % or more but 7.0 mass % or less. A printed object is also provided. Such a printed object is produced by using the ultraviolet ray curable ink composition. | 06-05-2014 |
20140154481 | ULTRAVIOLET RAY CURABLE INK COMPOSITION FOR USE IN INK JET METHOD AND PRINTED OBJECT - An ultraviolet ray curable ink composition adapted to be ejected by using an ink jet method is provided. The ultraviolet ray curable ink composition includes a polymerizable compound and metal powder. The metal powder is constituted from metal particles subjected to a surface treatment with a fluorine type silane compound and/or a fluorine type phosphoric acid ester as a surface treatment agent. A polymerizable compound includes a monomer having an alicyclic structure. This makes it possible to provide the ultraviolet ray curable ink composition which has excellent storage stability, can provide excellent dispersion stability of the metal particles of the metal powder. A printed object is also provided. Such a printed object is produced by using the ultraviolet ray curable ink composition. | 06-05-2014 |
20140162031 | DECORATIVE LAMINATE AND METHOD FOR MANUFACTURING SAME - A decorative laminate includes a decorative layer composed of a resin impregnated decorative sheet and a core layer composed of plural resin impregnated core sheets of core paper, wherein an exposed sheet at a bottom of the decorative laminate is subjected to a parchment treatment. | 06-12-2014 |
20140162032 | STRUCTURE INTEGRATED BY VACUUM-PRESSURE FORMING OR VACUUM FORMING, AND MANUFACTURING METHOD THEREOF - A structure comprising a decorative sheet having a surface layer and an adhesive layer containing a polymer having 25 to 100 mass % of propylene units, and a substrate containing a polymer having 25 to 100 mass % of propylene units. The decorative sheet and the substrate are integrated by vacuum-pressure forming or vacuum forming. | 06-12-2014 |
20140170393 | THERMOPLASTIC RESIN COMPOSITION AND ITS MOLDED PRODUCT - A thermoplastic resin composition includes 100 parts by weight of a thermoplastic resin (a) that is a liquid crystalline polyester and/or a polyphenylene sulfide; and 0.001 to 10 parts by weight of a metal complex (b) having a monodentate or a bidentate ligand; and at least one metal and/or its salt selected from the group consisting of copper, zinc, nickel, manganese, cobalt, chromium and tin. | 06-19-2014 |
20140178654 | Porous Substrate Impregnated with an Aromatic Polyester - A prepreg composite that contains a porous substrate impregnated with an aromatic polyester is provided. In addition to possessing a high degree of heat and moisture resistance, the present inventors have also discovered that the nature of the aromatic polyester can be selectively controlled so that it is soluble in a solvent. This allows for the formation of polymer solutions that can be readily employed to impregnate the porous substrate. The nature of the polyester and its relative concentration in the solution can also be tailored to achieve polymers that not only adhere well to the substrate, but also possess good thermal and mechanical properties for use in a wide variety of potential applications. | 06-26-2014 |
20140186591 | Solder Mask Shape for BOT Laminate Packages - A device is provided. The device may comprise an integrated circuit package. The integrated circuit package may comprise a first layer and a solder mask. The first layer may comprise a top surface wherein the solder mask is disposed on the top surface of the first layer. The solder mask may comprise a vertical edge. The vertical edge may form an angle between the top surface of the first layer and the vertical edge of not less than 90 degrees. The angle may be not less than 120 degrees or not less than 150 degrees. | 07-03-2014 |
20140186592 | PHOTO-SENSITIVE RESIN COMPOSITION FOR BEZEL OF TOUCH SCREEN MODULE AND BEZEL FOR TOUCH SCREEN MODULE USING THE SAME - This invention relates to a photo-sensitive resin composition for a bezel of a touch screen module, including a colorant composed of surface-modified TiO | 07-03-2014 |
20140186593 | RESIN COMPOSITION FOR PRINTED CIRCUIT BOARD, INSULATING FILM, PREPREG, AND PRINTED CIRCUIT BOARD - Disclosed herein are a resin composition for a printed circuit board, an insulating film, a prepreg, and a printed circuit board, the resin composition including: a composite epoxy resin containing a bisphenol A type epoxy resin, a cresol novolac epoxy resin, a rubber-modified epoxy resin, a phosphorus based epoxy resin, and an alkyl sulfonated tetrazole-modified epoxy resin; and a curing agent. The insulating film and the prepreg according to the present invention may have basically low coefficient of thermal expansion, excellent heat resistance, a high glass transition temperature, and excellent adhesion force with the metal. | 07-03-2014 |
20140186594 | PATTERNED RADIATION-SENSING THERMOPLASTIC COMPOSITE PANELS - A patterned scintillator panel including an extruded scintillator layer comprising a thermoplastic polyolefin and a scintillator material, wherein the scintillator layer comprises a pattern. Also disclosed is a method of making a patterned scintillator panel including forming a scintillator layer by melt extrusion, the scintillator layer comprising thermoplastic particles comprising a thermoplastic polyolefin and a scintillator material; and patterning the scintillator layer. Further disclosed is a method of making a patterned scintillator panel including forming a scintillator layer by injection molding, the scintillator layer comprising thermoplastic particles comprising a thermoplastic polyolefin and a scintillator material; and patterning the scintillator layer. | 07-03-2014 |
20140193614 | METHOD OF PATTERNING BLOCK COPOLYMER LAYER AND PATTERNED STRUCTURE - A method of patterning a block copolymer layer, the method including: providing a substrate with a guide pattern formed on a surface thereof; forming a block copolymer layer on the substrate with the guide pattern, the block copolymer layer including a block copolymer; and directing self-assembly of the block copolymer on the substrate according to the guide pattern to form n/2 discrete domains, wherein the guide pattern includes a block copolymer patterning area having a 90-degree bending portion, and an outer apex and an inner apex of the 90-degree bending portion are each rounded, the outer apex having a first curvature radius r | 07-10-2014 |
20140199527 | INK COMPOSITION USABLE IN SOLAR BATTERY MANUFACTURING PROCESS, AND METHOD OF FORMING PATTERN USING THE SAME - Provided are an ink composition usable in a solar battery manufacturing process, a method of forming a pattern using the ink composition, an insulation film formed of the ink composition, and an etching mask formed of the ink composition. The ink composition includes: a) a polymerizable compound having an ethylenically unsaturated bond; b) a fluorinated surfactant; and c) a solvent, wherein the ink composition has a solid content of 45 parts by weight to 99.99 parts by weight. | 07-17-2014 |
20140199528 | METHOD FOR PRODUCING PATTERN PHASE DIFFERENCE FILM, PATTERN PHASE DIFFERENCE FILM, AND IMAGE DISPLAY DEVICE - A pattern phase difference film, which is used to display 3D images using a passive system, and provides a method for producing a pattern phase difference film that can be manufactured with high precision, easily and in large quantities. A mask, which has slits that are made narrow compared to the width of a region that is to undergo exposure treatment and are provided for exposure treatment, is manufactured. | 07-17-2014 |
20140205814 | 3D Printed Decorative Film And Products Made Thereof - A 3D printed decorative film includes a transparent substrate with one-sided or two-sided concave or convex structures and a printing layer. The transparent substrate is a thermoplastic film. The heat softening temperature of concave or convex structures is at least 50° C. higher than that of thermoplastic film. An additional reflective layer was applied on top or bottom of printed layer. The reflective layer can be reflective powder, metalized film or high reflective index materials. The concave or convex structure lens is prism, half sphere, half cylindrical, pyramidal, Fresnel lens structures or the combination of above. | 07-24-2014 |
20140212631 | PRINTING ARRANGEMENT FOR TWO-SIDED PRINTING ON A RECORDING MEDIUM AND PRINTING METHOD - A printing arrangement and printing method are disclosed for two-sided printing on a recording medium, in which intermediate fixing by superheated steam takes place after printing on the first side of the recording medium. Owing to the intermediate fixing, damage to the print image of the first side during subsequent printing on the second side is avoided without the recording medium being impaired by the intermediate fixing. | 07-31-2014 |
20140212632 | PRINTING ARRANGEMENT FOR TWO-SIDED PRINTING ON A RECORDING MEDIUM AND PRINTING METHOD - A printing arrangement and a printing method for two-sided printing on a recording medium are disclosed, in which conditioning by steam and/or liquid droplets takes place after printing on the first side of the recording medium. Because of the conditioning, damage is avoided to the print image of the first side during subsequent printing on the second side, without the recording medium being impaired by the conditioning. | 07-31-2014 |
20140212633 | PRINTING PLATE AND METHOD FOR MANUFACTURING SAME - The present invention relates to a cliché and a method for manufacturing the same, and the cliché according to the present invention comprises a cliché comprising: a groove pattern, wherein the groove pattern comprises a region composed of linear patterns which do not intersect with each other and the region composed of linear patterns is a square region comprising two or more lines of a linear pattern in the region and comprises a region in which the line width (W) and the depth (D) of the linear pattern and the ratio (R) of a region which does not comprise the linear pattern in the square region and the aperture line width (W | 07-31-2014 |
20140212634 | ACTIVE ENERGY RAY-CURABLE INKJET INK COMPOSITION AND PRINTED MATERIAL USING SAME - Provided is an ink composition used in active energy ray-curable inkjet printing, which has excellent curing property, discharge property, storage stability, and compatibility with various substrates. The inkjet ink composition of the present invention includes at least two types of polymerizable compounds selected from a group consisting of (A) 2-(2-vinyloxyethoxy)ethyl acrylate, (B) N-vinyl caprolactam, and (C) at least one type of bifunctional acrylate monomer including at least a diacrylate having a molecular weight of 250 or less. | 07-31-2014 |
20140220312 | SOLVENTLESS STRETCHABLE INK COMPOSITION - An ink composition suitable for ink jet printing, including printing on deformable substrates. In embodiments, the stretchable ink composition is based on a solventless monomer-based ink formulation comprising a mixture of acrylic ester oligomer and monomers of acrylic ester and aromatic acrylate. | 08-07-2014 |
20140220313 | LIGHT BLOCKING SHEET, METHOD FOR MANUFACTURING LIGHT BLOCKING SHEET, AND TRANSPARENT DISPLAY DEVICE - A light blocking sheet includes: a plurality of light transmission portions extending in a first direction and arranged at a distance from each other along a second direction that crosses the first direction; and a plurality of light blocking portions extending in the first direction, each of the plurality of light blocking portions being disposed between two immediately neighboring light transmission portions. | 08-07-2014 |
20140234587 | Methods of Producing Flat Top Dots on Flexographic Printing Plates, and Laminates Therefor - Two methods using two respective laminates produce flexographic printing plates with flat top dots from sheet photopolymers. The methods use a preliminary laminate to isolate the photopolymer surface from the ambient air. A third method enables images to be transferred to non-porous surfaces. | 08-21-2014 |
20140234588 | Solar heat film / solar heat shade and system thereof - A solar Heat system that produces direct heat, and shades the bright sun's rays that come through your existing glass areas, such as windows, doors, etc. The Solar Heat Film can be hung easily on the interior trim of windows, doors, etc. With two pieces of tape, or any other simple method, the Solar Heat Film can be put on to a shade roll to make it functional, or it will be sold as a Solar Heat Shade. The system utilizes the room, house and structure as a battery to store the heat gain. The extra heat will slowly absorb into the floors, walls, ceiling, furniture, etc. When the sun goes down, the furnace does not come on until later, and when it does it's just maintaining the temperature instead of trying to capture it up, this creates a big difference in fuel savings. | 08-21-2014 |
20140234589 | METHODS OF PATTERNING BLOCK COPOLYMER LAYERS AND PATTERNED STRUCTURES - A method of patterning a block copolymer layer, the method including:
| 08-21-2014 |
20140234590 | Magnetic Graphic Wall System - The practical application of incorporating magnetic receptive printing media coupled with the use of an underlying specifically referenced magnet allows the multi layering of magnetic media while maintaining the reference of position on the surface. This is achieved by aligning the polarity lines to the benefit of the intended design while fabricating the first layer to receive the second or subsequent layers to interact with the intended design. | 08-21-2014 |
20140234591 | Film Coatings Based on Polyalkylimine Condensation Polymers - A coated thermoplastic film and a method of making the coated film, wherein at least one side of the film is coated with a coating composition, the coating composition comprising the reaction product of a polyalkyleneimine having at least primary nitrogens, and an epoxide compound having at least one epoxide moiety and a weight average molecular weight (M | 08-21-2014 |
20140242349 | METHOD AND SYSTEM FOR ALLIGNMENT OF GRAPHITE NANOFIBERS FOR ENHANCED THERMAL INTERFACE MATERIAL PERFORMANCE - The exemplary embodiments of the present invention provide an apparatus and a thermal interface material with aligned graphite nanofibers in the thermal interface material to enhance the thermal interface material performance. The thermal interface material having a thickness between a first surface and a second surface opposite the first surface. The comprising thermal interface material includes a plurality of carbon nanofibers (CNFs), wherein a majority of the CNFs are oriented orthogonal to a plane of the first surface. The apparatus includes the thermal interface material, and a first object having a third surface; and a second object having a fourth surface; wherein the thermal interface material is sandwiched between the third surface and the fourth surface. | 08-28-2014 |
20140242350 | Process For The Production Of A Layered Body And Layered Bodies Without Masking Obtainable Therefrom - A process for the production of a layered body S2 ( | 08-28-2014 |
20140242351 | METHOD FOR LASER MARKING AND RESIN PRODUCT - The present disclosure relates to a method for laser marking an object. In the method, a coating material is applied on a surface of a predetermined part of the object made of resin so as to provide a coated region on the object. The coated region is different in color from the object. Subsequently, laser is emitted onto a part of the coated region to remove the coating material from the object so as to provide a non-coated region having a predetermined shape within the coated region on the object. Moreover, the laser is emitted to the non-coated region to change a color of a resin surface of the object in the non-coated region. Accordingly, the laser marking can be performed at low cost and high speed without requiring a special device. | 08-28-2014 |
20140242352 | INKJET INK, INK STORAGE CONTAINER, INKJET RECORDING DEVICE, AND RECORDED MATTER - An inkjet ink that contains at least water; a water-soluble organic solvent; a pigment; and a copolymer or a salt thereof. The copolymer or the salt thereof has at least a structural unit represented by Formula 1, a structural unit represented by Formula 2, and a structural unit represented by Formula 3 or 4. | 08-28-2014 |
20140242353 | INKJET INK COMPOSITION, INKJET RECORDING METHOD, AND PRINTED MATERIAL - The inkjet ink composition includes (Component A) a polymerizable compound, (Component B) a polymerization initiator, and (Component C) a vegetable oil, in which the component B contains a compound represented by the formula (1) or (2). | 08-28-2014 |
20140242354 | ENCAPSULATION FILM WITH THIN LAYER COMPOSED OF GRAPHENE OXIDE AND REDUCED GRAPHENE OXIDE AND METHOD FOR FORMING THE SAME - Provided are an encapsulation film formed by stacking at least one bilayer including a thin layer composed of graphene oxide or reduced graphene oxide and an organic polymer layer and a method for forming the same. Since the encapsulation film is formed by stacking at least one bilayer including a thin layer composed of graphene oxide or reduced graphene oxide, the encapsulation film can represent an excellent blocking property with respect to oxygen and moisture. Parallel diffusion of the oxygen and the moisture in the encapsulation film may be significantly limited by maximizing a thickness of the organic polymer layer formed between the thin layers. | 08-28-2014 |
20140248473 | PROCESS FOR PRODUCING DECORATIVE SHEET, DECORATIVE SHEET, DECORATIVE SHEET MOLDED PRODUCT, PROCESS FOR PRODUCING IN-MOLD MOLDED ARTICLE, AND IN-MOLD MOLDED ARTICLE - Disclosed is a process for producing a decorative sheet, the process comprising a discharge step of discharging an ink composition onto a recording medium, a drying step of drying the ink composition above the recording medium by means of heat, and a curing step of curing the ink composition above the recording medium by means of a light source having a peak wavelength of 200 nm to 300 nm, the ink composition comprising (Component A) a polymer compound comprising a monomer unit (a-1) having a partial structure represented by Formula (1) below and a monomer unit (a-2) having a hydrophilic group, (Component B) water, and (Component C) a pigment. | 09-04-2014 |
20140255661 | PROCESS FOR PRODUCING PATTERNED COATINGS - Methods of producing patterned articles using a composition that includes a non-volatile component in a volatile liquid carrier, where the liquid carrier is in the form of an emulsion comprising a continuous phase and a second phase in the form of domains dispersed in the continuous phase. | 09-11-2014 |
20140255662 | CURABLE COMPOSITION FOR IMPRINT, PATTERN-FORMING METHOD AND PATTERN - Provide a curable composition for imprint, which is improved in the surface roughness of the cured film. A curable composition for imprint comprising a polymerizable compound (A), a polymerization initiator (B), and a non-polymerizable compound (C),
| 09-11-2014 |
20140272323 | NITRIDED COMPONENT SURFACE REPAIR - A plunger for fuel injection assembly is provided. The plunger includes a nitrided surface. The nitrided surface includes a damaged area. An electroless material is coated on the damaged area. | 09-18-2014 |
20140272324 | DESIGNED DEFECTS IN LAMINATE COMPOSITES - Novel methods and kits for creating defects in composite materials, as well as an engineered part or structure with one or more designed defects, are disclosed. The disclosed inventions advantageously permit the intentional introduction of one or multiple defects of any desired size and shape, either between the same two chosen laminae or on different layers within a multi-layer composite, having mechanical and thermal properties that are akin to those found for actual defects. One disclosed method involves creating a mask having a cut-out in the size and shape of a desired defect, placing the mask at a location on a first substrate where the defect is desired, applying a release agent, removing the mask, and adding a second substrate over the first substrate with release agent. The first substrate may be a cured lamina or an uncured lamina pre-impregnated with resin having a barricade in the size and shape of the outline of the desired defect. | 09-18-2014 |
20140272325 | Imagewise Priming of Non-D2T2 Printable Substrates for Direct D2T2 Printing - A method for enabling D2T2 printing onto non-D2T2 printable substrates uses a diffusible primer material provided on a dye-sheet or ribbon. The primer comprises a polymer, a release agent and a plasticizer. The release agent and the plasticizer are diffused into the substrate, while the polymer remains on the dye-sheet or ribbon. Printing of the primer onto the PC substrate is controlled via a computer image program corresponding to a colored image. This computer image program also controls the printing of the colored image at the primed locations. Accordingly, image-wise treatment of a plastic material via the primer selectively renders the PC substrate surface D2T2 printable at the point of personalization, providing for a 100% PC full card body having the colored image. | 09-18-2014 |
20140272326 | FABRICATION APPARATUS FOR FABRICATING A LAYER STRUCTURE - The invention relates to a fabrication apparatus for fabricating a layer structure comprising at least a patterned first layer on a substrate. A layer structure ( | 09-18-2014 |
20140272327 | INK FOR INKJET, INK CARTRIDGE USING THE INK, INKJET RECORDER AND INK RECORDED MATTER - An ink for inkjet includes water, and a mixture of hydrosoluble organic materials in an amount of from 40 to 70% by weight. The mixture of hydrosoluble organic materials includes N,N-dimethylacrylamide and/or N,N-diethylacrylamide, the total content of which is from 10 to 70% by weight based on total weight of the ink. | 09-18-2014 |
20140272328 | MULTI-FUNCTION EPOXY CASTING RESIN SYSTEM - Disclosed herein are embodiments of an epoxy casting resin system which can be used to at least partially cover an electronic component or mechanism. In some embodiments, the epoxy resin can have a low viscosity, while maintaining advantageous physical, thermal, and electrical properties. Further described are methods for making embodiments of the epoxy casting resin. | 09-18-2014 |
20140272329 | LASER MICROMACHINING OPTICAL ELEMENTS IN A SUBSTRATE - Optical elements with small increments in average density are formed in a substrate by laser micromachining using a variable aperture and a pattern mask set of pattern masks each having of shape-defining elements whose density differs among the pattern masks in first density increments. A laser light beam passes through a combined mask formed by the variable aperture and one pattern mask selected from the pattern mask set. The variable aperture controls beam size and the pattern mask spatially modulates its intensity. A focusing element focuses light from the combined mask on a small averaging region of the substrate. Different combinations of the size of the aperture mask and the selected pattern mask are used in combination at respective averaging regions of the substrate. The resulting average densities of the optical elements vary among the averaging regions in increments that are small compared to the first density increments. | 09-18-2014 |
20140287198 | INK JET RECORDING METHOD AND RECORDED MATTER - An ink jet recording method includes discharging a first ink composition onto a recording medium using a recording head, in which the first ink composition includes a coloring material, an alkane diol with 4 or more to 8 or fewer carbon atoms, a water-soluble solvent, and water; the recording head discharges the first ink composition using a piezoelectric element, and has a resolution per unit length of 200 dpi or more, and the recording medium has an absorbing layer on the surface thereof, in which a nonpolar component of a surface free energy of the absorbing layer is 20 mN/m or lower. | 09-25-2014 |
20140287199 | COATINGS FOR CUTTING TOOLS - In one aspect, cutting tools are described having coatings adhered thereto which, in some embodiments, can demonstrate desirable wear resistance and increased cutting lifetimes. A coated cutting tool, in some embodiments, comprises a substrate and a coating adhered to the substrate, the coating comprising a polycrystalline layer of TiZrAl | 09-25-2014 |
20140287200 | COMPOUND, POLYMER, CURABLE COMPOSITION, COATING COMPOSITION, ARTICLE HAVING CURED FILM, ARTICLE HAVING PATTERN OF LIQUID-PHILIC REGION AND LIQUID REPELLENT REGION, AND PROCESS FOR PRODUCING IT - To provide a curable composition which has favorable insulating property and liquid repellency, and from which a cured film having liquid repellency capable of being sufficiently converted to be liquid-philic even by irradiation with ultraviolet light having a wavelength of at least 300 nm, can be formed; an article having a cured film obtained by curing the curable composition and its production process. | 09-25-2014 |
20140287201 | Durable, Heat Resistant, Erasable Release Coatings, Release Coated Substrates, and Their Methods of Manufacture - Methods are generally disclosed for forming and using a release sheet. To form the release sheet, a release coating is applied over a first surface of a base sheet. Generally, the release coating includes a fatty alcohol ester of acrylic acid and a curable monomer, and can also include a curable polymeric resin. Then, the release coating can be cured. In one particular embodiment, the release coating can be substantially free from siloxanes. The release sheet formed according to this method is also generally provided, along with methods of forming a casting sheet using the release sheet are also generally provided. | 09-25-2014 |
20140287202 | TRANSFER MOLD MANUFACTURING METHOD, TRANSFER MOLD MANUFACTURED THEREBY, AND COMPONENT PRODUCED BY THE TRANSFER MOLD - A transfer mold that is used in production of a component by electroplating and has high workability and superior durability as well as a component produced thereby are provided. A method therefor includes the steps of forming a pattern of a desired component by providing a reverse pattern of the pattern of the desired component on a metal substrate and etching the metal substrate using the reverse pattern as a mask and treating the reverse pattern with heat or removing the reverse pattern and forming an insulation layer in a portion where the reverse pattern has been removed. | 09-25-2014 |
20140295147 | INK JET RECORDING METHOD AND RECORDED MATTER - The ink jet recording method according to the invention records an image by discharging liquid droplets of an ink composition from a recording head and allowing the droplets to adhere to a recording medium. The ink composition contains an alkanediol having 6 or less of carbon atoms and having a normal boiling point of 240° C. or more in an amount of 3% by mass or more and 20% by mass or less. The ink droplets of the ink composition have a volume of 5 pL or more and 30 pL or less per droplet. The recording head is a serial scanning head or line scan head. In the serial scanning head, the image resolution in the recording medium-transporting direction is 6 times or less the head resolution in the transporting direction. | 10-02-2014 |
20140295148 | PHOTOSENSITIVE RESIN COMPOSITION - A photosensitive resin composition includes a carboxyl group-containing photosensitive resin, a photopolymerization initiator, a compound having an ethylenic unsaturated group, a non-reactive diluent, and an epoxy compound. The photopolymerization initiator includes an oxime ester compound and an aminocarbonyl compound having a tertiary amino group. | 10-02-2014 |
20140295149 | LIQUID REPELLENT COMPOSITION, LIQUID REPELLENT POLYMER, CURABLE COMPOSITION, COATING COMPOSITION, ARTICLE HAVING CURED FILM, ARTICLE HAVING PATTERN OF LIQUID-PHILIC REGION AND LIQUID REPELLENT REGION, AND PROCESS FOR PRODUCING IT - A cured film is formed by using a curable composition comprising a liquid repellent polymer having units (u1) based on a liquid repellent compound represented by the following formula (m1): | 10-02-2014 |
20140302288 | METHOD AND APPARATUS FOR THE PRODUCTION OF A PARTICLE BASED ELEMENT WITH INCLINED INJECTION OF A MODIFICATION SUBSTANCE - The present invention relates to a method for the production of a particle based element, in particular a particle board or fiber board, wherein particles ( | 10-09-2014 |
20140308486 | METHOD FOR MAKING A FIBROUS STRUCTURE COMPRISING A PLURALITY OF DISCRETE BOND SITES AND FIBROUS STRUCTURES MADE THEREWITH - The present invention relates to a method for making a fibrous structure, particularly in order to provide a fibrous structure comprising a bonding material which is bonded to a nonwoven substrate at a plurality of discrete bond sites. The plurality of discrete bond sites comprises first, second and third areas. The first, second and third areas comprise a plurality of individual parallelograms which have different compacted fibers relative to each others. The first, second and third areas comprise a plurality of individual parallelograms which have different densities of compacted fibers relative to each other. The bonding material is made of a plurality of filaments comprising a hydroxyl polymer. | 10-16-2014 |
20140315002 | PHOTOACTIVE RESINS, RADIATION CURABLE COMPOSITIONS AND RADIATION CURABLE INKS - The present invention is directed to photoactive resins employed in radiation curable compositions and ink. The radiation curable compositions include mono or multi-functional acrylates, an amine and the above-mentioned photoactive resin. Radiation curable inks at least include the components of the radiation curable composition in addition to colorants. | 10-23-2014 |
20140322499 | OPTICAL ELEMENT AND METHOD FOR MAKING SAME - An optical element includes a transparent substrate and a transparent optical film. The transparent substrate is made of an organic polymer. The transparent optical film is formed on the transparent substrate. A micro-structure pattern is formed on a surface of the transparent optical film. The transparent optical film is obtained by curing an ultraviolet glue containing monomers of the organic polymer. | 10-30-2014 |
20140322500 | METHOD FOR PRODUCING A SHEET - The method for producing a electroconductive sheet having a substrate, in particular made of paper, and an electroconductive layer include the steps of: a/ preparing a multi-layer structure with an anti-adhesive coating inserted between a plastic film and a base layer, b/ cross-laminating the multi-layer structure and the substrate, and c/ removing the plastic film and the anti-adhesive coating from the base layer. The base layer is a layer of an electroconductive material or is covered with an electroconductive layer by an additional step consisting of: d1/ depositing an electroconductive film on the base layer; or d2/ printing the base layer with at least one ink having electrical properties, with the base layer being a printable layer with a binder base of which the rate is 15% greater in dry weight in relation to the total dry matter weight of this layer. | 10-30-2014 |
20140329056 | PIXEL DEFINING LAYER, PREPARATION METHOD THEREOF, ORGANIC LIGHT-EMITTING DIODE SUBSTRATE AND DISPLAY - A method for preparing a pixel defining layer (PDL), an organic light-emitting diode (OLED) substrate prepared by the PDL, and a display device are provided, which can overcome the problem that the conventional PDL comprising hydrophilic and hydrophobic materials involves a complicated process. The method for preparing the PDL comprises: step 1: forming a mixed solution on a substrate to form a mixed solution film, in which the mixed solution includes a hydrophilic material, a hydrophobic material and a solvent; step 2: performing heat treatment on the mixed solution film to form a pixel defining material layer in which the content of the hydrophilic material on the upper portion is less than that of the hydrophilic material on the lower portion and the content of the hydrophobic material on the upper portion is more than that of the hydrophobic material on the lower portion; and step 3: forming a pattern of the PDL on the pixel defining material layer by a patterning process. This method for preparing a PDL is simple and easy to realize. | 11-06-2014 |
20140329057 | PHOTO-CURABLE COMPOSITION AND PATTERNING METHOD - A photo-curable composition having a high polymerization rate and a high polymerization conversion is provided. A patterning method having a high throughput is also provided. | 11-06-2014 |
20140335324 | TEMPLATE FOR SELF ASSEMBLY AND METHOD OF MAKING A SELF ASSEMBLED PATTERN - Disclosed and claimed herein is a template for directing a pattern in a block copolymer film and the process of making the pattern. | 11-13-2014 |
20140335325 | Polymer Composite Articles - The present disclosure pertains to resins/filler/matting composites that are formed via a resin infusion process. Certain embodiments are directed to methods and systems that may be used to produce a moulded composite article. For example, a unitary polymer composite article comprising: 50% to 90% by weight of particles; 10% to 50% by weight of resin; and at least one layer of matting integrally incorporated in the unitary polymer composite. | 11-13-2014 |
20140335326 | PHOTO-REACTIVE BINDER - A compound obtained by reaction of one or more amines of general formula NHR | 11-13-2014 |
20140335327 | AMINO PHOTO-REACTIVE BINDER - A compound obtained by reaction of one or more amines of the general formula NHR | 11-13-2014 |
20140335328 | NANOWIRE MANUFACTURING DEVICE HAVING NANOWIRE MANUFACTURING SUBSTRATE AND NANOWIRE ADHESIVE FILM AND NANOWIRE MANUFACTURED USING THE SAME - Provided is a nanowire manufacturing substrate, comprising a grid base layer on a substrate and a grid pattern formed by patterning the grid base layer, the grid pattern being disposed to produce a nanowire on a surface thereof. According to the present invention, the width and height of the nanowire can be adjusted by controlling the wet-etching process time period, and the nanowire can be manufactured at a room temperature at low cost, the nanowire can be mass-manufactured and the nanowire with regularity can be manufactured even in case of mass production. | 11-13-2014 |
20140342134 | THERMOPLASTIC SINGLE PLY MEMBRANE - A thermoplastic single ply protective roof covering may have two pressure sensitive rubber-based adhesive backings. These dual-adhesive backings may be used to provide for a non-heat welded seam having a combination of good seam strength and fire performance. | 11-20-2014 |
20140349085 | METHOD OF FABRICATING 3D NANOSTRUCTURED METAL OXIDES USING PROXIMITY-FIELD NANOPATTERNING AND ATOMIC LAYER DEPOSITION - The present invention is 3D nanostructured porous metal oxide and the method of fabricating said metal oxide, wherein said method is comprising the steps of: (a) spin-coating with photoresist onto substrate; (b) forming periodic 3D porous nanostructure patterned pore in said photoresist using proximity-field nanopatterning; (c) impregnating metal oxide into said 3D pore of photoresist having said periodic 3D pore pattern as template via atomic layered deposition (ALD) with metal precursor; and (d) obtaining 3D nanostructured porous metal oxide having the inverse shape of said template by removing said photoresist template. | 11-27-2014 |
20140349086 | PHOTO-CURABLE COMPOSITION AND PATTERNING METHOD USING THE SAME - The present invention provides a photo-curable composition that requires a small demolding force. The present invention also provides a UV imprint method that requires a small demolding force. | 11-27-2014 |
20140349087 | INKJET PRINTING OF WOOD COLOURS - An inkjet ink set consisting of a black inkjet ink, a cyan inkjet ink and two inkjet inks A and B, optionally complemented by a white ink and/or a colourless ink, wherein the inkjet ink A has a hue angle H* between 70 and 85 and a chroma C* between 30 and 80; the inkjet ink B has a hue angle H* between 20 and 40 and a chroma C* between 30 and 80; and the CIE L* a* b* coordinates were determined on polyethylene coated white paper for a 2° observer under a D50 light source. | 11-27-2014 |
20140349088 | NON-LITHOGRAPHIC LINE PATTERN FORMATION - A metal layer is deposited over an underlying material layer. The metal layer includes an elemental metal that can be converted into a dielectric metal-containing compound by plasma oxidation and/or nitridation. A hard mask portion is formed over the metal layer. Plasma oxidation or nitridation is performed to convert physically exposed surfaces of the metal layer into the dielectric metal-containing compound. The sequence of a surface pull back of the hard mask portion, trench etching, another surface pull back, and conversion of top surfaces into the dielectric metal-containing compound are repeated to form a line pattern having a spacing that is not limited by lithographic minimum dimensions. | 11-27-2014 |
20140356588 | PTFE LAYERS AND METHODS OF MANUFACTURING - Single, continuous PTFE layers having lateral zones of varied characteristics are described. Some of the lateral zone embodiments may include PTFE material having little or no nodal and fibril microstructure. Methods of manufacturing PTFE layers allow for controllable permeability and porosity of the layers, in addition to other characteristics. The characteristics may vary from one lateral zone of a PTFE layer to a second lateral zone of a PTFE layer. In some embodiments, the PTFE layers may act as a barrier layer in an endovascular graft or other medical device. | 12-04-2014 |
20140363633 | METHODS OF REDUCING A REGISTRATION ERROR OF A PHOTOMASK, AND RELATED PHOTOMASKS AND METHODS OF MANUFACTURING AN INTEGRATED CIRCUIT - Methods of reducing a registration error of a photomask are provided. A method of reducing a registration error of a photomask may include identifying the registration error with respect to a pattern element in a pattern region of the photomask. Moreover, the method may include reducing a thickness of a portion of a non-pattern region of the photomask by irradiating an energy beam onto a location of the non-pattern region of the photomask that is spaced apart from the pattern element, to generate stress at the pattern element. Related photomasks and methods of manufacturing an integrated circuit are also provided. | 12-11-2014 |
20140363634 | INK, INK CARTRIDGE, INK JET RECORDING DEVICE, INK JET INK PRINTEDMATTER, COMPOUND, AND COMPOSITION - Ink contains at least one of a compound represented by the following chemical formula 1, a compound represented by chemical formula 2, a compound represented by chemical formula 3, or a compound represented by chemical formula 4. | 12-11-2014 |
20140363635 | Bio-mineralized cathode and anode materials for electrochemical cell - A bio-mineralized composition for use in an electrochemical cell is described. The bio-mineralized composition may comprise a material represented by general formula y[Li | 12-11-2014 |
20140370247 | Laser Absorbing Compounds - Various laser marking compositions and related methods are described. The laser marking compositions include a molybdenum metal complex, a tungsten metal complex, or combinations thereof. Marks or other indicia formed on a substrate using the compositions and methods exhibit increased contrast and improved substrate bonding. | 12-18-2014 |
20140370248 | STRAY LIGHT RESISTANCE OF UV INKJET INKS - An energy curable inkjet ink includes a nitroxy inhibitor, a compound having an ethylenic unsaturated bond, a photoinitiator, a coloring agent, and a stray light resistance index of at least 7. A method of preparing an energy curable inkjet ink with stray light resistance includes providing a nitroxy inhibitor; providing a mixture of a compound having an ethylenic unsaturated bond, and a photoinitiator, and a coloring agent; combining the nitroxy inhibitor and the mixture to obtain the energy curable inkjet ink and thereby to increase a stray light resistance index of the energy curable inkjet ink to at least 7. | 12-18-2014 |
20140370249 | METHOD FOR BLACKENING WHITE FLUORIDE SPRAY COATING, AND FLUORIDE SPRAY COATING COVERED MEMBER HAVING A BLACKENED LAYER ON ITS SURFACE - This invention is to provide a fluoride spray coating covered member having excellent resistance to halogen corrosion and resistance to plasma erosion and displaying identification symbols such as letters, numeric characters, graphic, pattern, firm name, serial number and so on. In the invention, one or more implanting gases selected from fluorine-containing gas, oxygen gas and inert gas are ion-implanted onto a white fluoride spray coating formed on a surface of a substrate, whereby at least a part of the surface of the white fluoride spray coating is changed into a black color to form a black ion-implanted layer. | 12-18-2014 |
20140370250 | INKJET INK COMPOSITION, INKJET RECORDING METHOD, AND PRINTED MATERIAL - An object of the present invention is to provide an ink composition for inkjet recording that is excellent in terms of surface gloss and storage stability. | 12-18-2014 |
20140377512 | Printed Foamed Film Packaging - A method of constructing a package having printed indicia of acceptable quality includes providing at least one layer of foamed thin film and printing the indicia on the printed surface by applying ink to a printer surface and contacting the printed surface with the inked printer surface to coat the printed surface with ink. The layer of foamed thin film comprises a bio-based content of between about 10% and about 100%, a caliper of between 10 and 250 microns, and between 5% to 50% density reduction as compared to a non-foamed thin film of substantially the same caliper and composition, wherein a first surface of the at least one layer of foamed thin film is the printed surface of the package. | 12-25-2014 |
20150010735 | CURABLE RESIN COMPOSITION, CURED PRODUCT THEREOF, PRINTED CIRCUIT BOARD COMPRISING THE SAME, AND METHOD FOR PRODUCING THE CURED PRODUCT - An alkaline development-type curable resin composition having an excellent strength against tearing, breaking, distortion and torsion, and excellent toughness, a cured product of the curable resin composition, a printed circuit board having the cured product, and a process for manufacturing the cured product are disclosed. A curable resin composition is obtained, which contains (A) a thermoplastic resin, (B) a thermosetting component, (C) an alkali-soluble component, and at least one of (D-1) a photopolymerization initiator and (D-2) a photo-base generator, the thermoplastic resin (A) having two or more glass transition points including two glass transition points Tgx and Tgy, which satisfy Tgx>30° C. and Tgy<0° C. and the thermosetting component (B) having a glass transition point Tgz, which satisfies Tgz≧Tgy+20° C. Moreover, a cured product of the curable resin composition, a printed circuit board having the cured product, and a process for manufacturing the cured product are obtained. | 01-08-2015 |
20150010736 | STRETCHABLE TAPE FLAG - A stretchable tape flag, wherein a backing layer has a stretchable portion capable of being stretched by hand and has elastic and plastic deformation characteristics on at least one portion; an adhesive layer capable of reapplication and placed on at least part of one side of the backing layer; and stuck on the object with the stretchable portion of the backing layer extended. | 01-08-2015 |
20150017396 | INK FOR AQUEOUS INKJET RECORDING, INKJET RECORDING METHOD, AND INKJET PRINTED MATTER - An ink for aqueous inkjet recording contains: water; a hydrosoluble organic solvent; a pigment; and polycarbonate-based urethane resin particles, wherein the pigment contains a geminalbis phosphonic acid group and/or a geminalbis phosphonate group. | 01-15-2015 |
20150017397 | FORMING METHOD AND SUBSTRATE - The present invention provides a method of forming a detection mark from line patterns formed on a substrate, including a first step of deciding a first region for forming the detection mark on the substrate, and a second region which surrounds the first region and in which formation of the detection mark is forbidden, and a second step of projecting, onto the substrate by a projection optical system, patterns including a first cut pattern for partially cutting the line pattern in the first region to form a plurality of mark elements, and a removal pattern for removing the line pattern in the second region, and forming the detection mark including the plurality of mark elements. | 01-15-2015 |
20150017398 | ACTIVE-ENERGY-RAY-CURABLE INK COMPOSITION AND PRINTED OBJECT USING SAID INK COMPOSITION - Provided is an ink composition having excellent conformability even to a flexible base material to be printed. This active-energy-ray-curable ink composition comprises active-energy-ray-polymerizable monomers and an active-energy-ray polymerization initiator. The active-energy-ray-polymerizable monomers include: monomer A which is a monofunctional monomer having a glass transition point of −30° C. or lower; and monomer B which is a polyfunctional monomer having a glass transition point of 0° C. or lower. Preferably, said monomer B is a bifunctional monomer having a glass transition point of −30° C. or lower. Preferably, the active-energy-ray-polymerizable monomers further include monomer C which is a monofunctional monomer having an alicyclic structure with a glass transition point between 0-110° C. inclusive. The ink composition may also include a colorant. | 01-15-2015 |
20150017399 | METHOD OF PRODUCING A FUNCTIONALIZED SURFACE AND SURFACES MADE THEREBY - A method of photopatterning rewritable reactive groups onto surfaces using typically a plasmachemical deposition of functionalized materials, followed by molecular printing of inks. Subsequent treatment of the reactive groups allows for surface rewriting and also the method allows for the creation of either positive or negative image multifunctional rewritable patterned surfaces. | 01-15-2015 |
20150017400 | USE OF OILS WITH HIGH CONCENTRATIONS OF POLYUNSATURATED FATTY ACIDS IN PLASTICS AND SURFACE COATINGS - Oil compositions having a high concentration of polyunsaturated fatty acids are described for use in various applications including use as drying oils, in ink compositions and coating compositions. Oil compositions wherein the double bonds of the fatty acids are substantially epoxidized are described and used as plasticizers and thermal stabilizers for various halogenated polymer compositions. | 01-15-2015 |
20150024173 | PHOTOSENSITIVE RESIN COMPOSITION, METHOD FOR MANUFACTURING PATTERNED CURED FILM, AND ELECTRONIC COMPONENT - The invention provides a photosensitive resin composition comprising (A) an alkali-soluble resin having a phenolic hydroxyl group, (B) a compound that generates an acid by light, and (C) an acrylic resin having a group that crosslinks with the component (A), as well as a method for manufacturing a patterned cured film, and an electronic component prepared therewith. | 01-22-2015 |
20150024174 | METHOD OF FORMING A COLOR LASER IMAGE OBSERVABLE WITH VARIABLE COLORS, AND A DOCUMENT ON WHICH SUCH A COLOR LASER IMAGE IS MADE IN THIS WAY - The present invention relates to forming color laser images that are observable with variable colors. The gray levels are produced by non-reflective, black volumes in a laserable layer that mask the adjacent subpixels and that cause variable colors to appear depending on the angle of observation of the document. The invention is applicable to official documents. | 01-22-2015 |
20150030817 | Method for Producing a Panel Having a Decor and a Three-Dimensional Structure - The invention relates to a method for producing a panel, in particular a furniture or floor panel, wherein a decor, preferably a wood imitating decor, is applied to at least one surface of a plate-shaped substrate, and wherein the substrate or the decor is provided with an areal, three-dimensional structure. The method is substantially characterised in that to produce the structure, liquid and/or powdery application material is applied in a single layer or multiple layers to the substrate or the decor, in that the application material applied is solidified in regions by means of at least one digitally controllable device, and in that the device is controlled using structural data present in digital form. | 01-29-2015 |
20150030818 | INKJET INK, INK CARTRIDGE, INKJET RECORDING DEVICE, AND INK PRINTED MATTER - Inkjet ink contains water, hydrosoluble organic materials; and a colorant, wherein the hydrosoluble organic materials account for 30% by weight to 50% by weight of the inkjet ink, wherein the hydrosoluble organic materials contain 3-methoxy-1-butanol, herein the hydrosoluble organic materials contain at least one of 1,3-butane diol, 3-methyl-1,3-butane diol, 1,2-butane diol, 2,3-butane diol, 1,2-pentane diol, 3-methyl-3-hydroxymethyl oxetane, 1,2-propane diol, or 1,3-propane diol in an amount of 1% of the inkjet ink. | 01-29-2015 |
20150030819 | TONER, IMAGE FORMING METHOD, AND PROCESS CARTRIDGE - Toner contains a binder resin, a releasing agent, and a tri- or higher metal salt, wherein the toner has a weight average molecular weight (Mw) of from 7,000 to 10,000, a ratio of the weight average molecular weight (Mw) to a number average molecular weight (Mn) of 5 or less, and an acid value of from 6 mgKOH/g to 12 mgKOH/g, wherein the binder resin is a polyester resin, wherein the releasing agent is a monoester wax. | 01-29-2015 |
20150030820 | ACTIVE ENERGY RAY CURABLE COMPOSITION, AND CURED PRODUCT AND USE THEREOF - Provided is an active energy ray-curable composition that is capable of improving adhesiveness of a cured product and of suppressing detachment or peeling of the cured product as well as a cured product and use thereof. The active energy ray-curable composition contains, as active ingredients, an oxetane alcohol represented by the following structural formula (1) or (2), a silane coupling agent, and a photoacid-generating agent. A silicon component in the silane coupling agent is contained in an amount of preferably 1 to 21% by mass, in terms of silica (SiO | 01-29-2015 |
20150030821 | SURFACE MARKED ARTICLES, RELATED METHODS AND SYSTEMS - A method of surface marking an article, especially a building product, is provided. One described method includes the steps of laser marking a first graphic design element on a surface of an article and ink-jet printing a second graphic design element in registry with the first graphic design element on the surface of the article to create a high quality overall graphic design. Also provided are articles made according to this method, and systems for carrying out the method. | 01-29-2015 |
20150037544 | REFLECTIVE PHOTOMASK BLANK, REFLECTIVE PHOTOMASK, AND INTEGRATED CIRCUIT DEVICE MANUFACTURED BY USING REFLECTIVE PHOTOMASK - A reflective photomask blank, a reflective photomask and an integrated circuit device manufactured by using a reflective photomask, include a multi-layered reflection layer; a capping layer on the multi-layered reflection layer and including a first transition metal; a passivation film contacting at least a portion of the capping layer on a side opposite to the multi-layered reflection layer and including a second transition metal and a nitrogen (N) atom; and a light absorption pattern covering a portion of the capping layer. | 02-05-2015 |
20150037545 | Recording Medium and Recorded Matter - The disclosure discloses a non-transitory computer-readable recording medium storing print data editing program. The program executes steps including a background patter print data generating, a disposition setting, and a disposition adjusting. In the disposition adjusting, at least one spacing adjustment of column spacing adjustment and row spacing adjustment is performed. The column spacing adjusts the column spacing so that a length of the sides parallel with the angle of inclination in the disposition adjustment region becomes an integer multiple of a total length of a length of the background pattern print data along the row direction and the column spacing. The row spacing adjustment adjusts the row spacing so that a length of the sides orthogonal to the angle of inclination becomes an integer multiple of a total length of a length of the background pattern print data along the column direction and the row spacing. | 02-05-2015 |
20150044431 | TREATMENT OF RELEASE LAYER - There is provided a method for treating a hydrophobic release layer of an intermediate transfer member for use in a printing process in which a negatively charged aqueous inkjet ink including a polymeric resin and a colorant is jetted onto said layer, the claimed method comprising contacting the release layer, prior to jetting the ink, with an aqueous solution or dispersion of a positively charged polymeric chemical agent reducing the tendency of a jetted ink droplet to bead up on the intermediate transfer member. Other embodiments, such as hydrophobic release layers having such chemical agents disposed thereupon and printed ink images comprising the same, are also described. | 02-12-2015 |
20150050465 | System and Method for Reducing Lumber Misuse in Construction - A system and method for reducing lumber misuse is disclosed. Specifically, a system can comprise lumber marked according to a coded chart. The chart can comprise one or more attributes associated with the lumber. The lumber can also comprise a plurality of identifiers, each of the identifiers associated with at least one of the attributes. The method for reducing lumber misuse can comprise marking lumber with a plurality of unique identifiers, said identifiers associated to one or more attributes. | 02-19-2015 |
20150050466 | Water Soluble and Water Fast Dyes for Ink Jet Printing - The invention relates to azo dyes of desulfo-K-acid [CA: 35400-55-6], their salts, a method for the preparation of these dyes, or salts thereof, a liquid phase comprising at least one of these dyes, a method for applying the liquid phase on a substrate, a printed matter and the use of these dyes in water-based inks for inkjet printing, in writing utensil or dyeing solutions for manufacturing color filters for optical and opto-electronic applications. | 02-19-2015 |
20150050467 | INK FOR AQUEOUS INKJET RECORDING, INKJET RECORDING METHOD, AND INKJET PRINTED MATTER - Ink for aqueous inkjet recording contains water, a hydrosoluble organic solvent; a pigment; and an acrylic-modified polycarbonate-based urethane resin that contains at least one of an acrylic monomer, a methacrylic monomer, and an alkylester thereof as components. | 02-19-2015 |
20150050468 | LASER SYSTEMS AND METHODS FOR INTERNALLY MARKING THIN LAYERS, AND ARTICLES PRODUCED THEREBY - Laser output ( | 02-19-2015 |
20150056419 | System And Method For Lenticular Image Printing And Print Media - A method of forming a lenticle on a substrate includes operating a plurality of inkjets to eject a plurality of ink drops of an optically transparent ink onto a surface of a substrate between a first printed line of an optically opaque ink and a second printed line of the optically opaque ink to form a lenticle from the optically transparent ink, the first printed line being formed substantially parallel to the second printed line with a separation between the first printed line and the second printed line corresponding to a predetermined width of a lenticle to be formed on the substrate. | 02-26-2015 |
20150056420 | PHASE CHANGE INKS CONTAINING WAX-SOLUBLE NEAR-INFRARED DYES - Phase change ink compositions having a phase change carrier composition containing a wax and a wax-soluble near-infrared (NIR) dye having an absorption maximum in the wavelength region from about 700 nm to about 1400 nm. Near-infrared prints prepared with such phase change ink compositions. Methods of producing a layer of such a phase change ink on the surface of a substrate. | 02-26-2015 |
20150064416 | Folded Absorbent Article - A method of making a folded absorbent article includes the steps of: providing an absorbent structure; providing a liquid-impermeable back sheet having a body-facing surface and a substrate-facing surface; joining the absorbent structure in facing relation to the body-facing surface of the back sheet to define an absorbent article; applying adhesive to the substrate-facing surface of the back sheet to define a first adhesive zone and a second adhesive zone; treating portions of the substrate-facing surface of the back sheet to define a first treated zone and a second treated zone; folding the absorbent article to align the first adhesive zone in facing relation with the first treated zone; and folding the absorbent article to align the second adhesive zone in facing relation with the second treated zone. | 03-05-2015 |
20150064417 | PHOTO-CURABLE/THERMALLY CURABLE COMPOSITION, METHOD FOR MANUFACTURING CURED PRODUCT THEREOF, CURED PRODUCT, AND PRINTED WIRING BOARD INCLUDING THE SAME - A photocurable and thermally curable composition having a viscosity suitable for application to an inkjet printer is prepared. By the composition, it is made possible to directly draw a pattern on a substrate for a printed wiring board. The composition is cured at a relatively low temperature, having excellent adhesion, chemical resistance, heat resistance, and insulating properties after curing. The photocurable and thermally curable composition includes a (meth)acryloyl group-containing monomer, a block isocyanate, and a photo-polymerization initiator, wherein the composition is applicable to inkjet printing. | 03-05-2015 |
20150064418 | INK COMPOSITION, INK CARTRIDGE, INKJET RECORDING DEVICE, AND INKJET PRINTED MATTER - An ink composition contains a pigment; a copolymer containing a phosphoric acid group or phosphonic acid group; a hydrosoluble solvent; and water, wherein the pigment is represented by the following chemical formula 1 and satisfies the following relation 1: Y03-05-2015 | |
20150072111 | METHOD FOR MANUFACTURING A FLOOR COVERING - Method for manufacturing a floor covering in which first the material of the layer is provided, said material being transferred to a sheet-shaped mould forming the layer and then fed to a device for vulcanisation, wherein the device comprises a roller arrangement with rollers, wherein a separating layer is provided between roller and layer, and wherein the separating layer is provided with a transmission structure, said transmission structure being transferred to the layer while the layer is running through the device, as a result of which the structure with zones of a different gloss level is formed on the layer, said invention further relating to a floor covering manufactured in this way. | 03-12-2015 |
20150072112 | IMAGE FORMING APPARATUS - An image forming apparatus includes a toner image holding member, a transfer unit, and a supply unit. The toner image holding member holds a toner image. The transfer unit transfers the toner image held by the toner image holding member to a recording medium. The supply unit supplies powder such that the powder is interposed between an end portion of the recording medium and the toner image holding member and/or the transfer unit if the recording medium includes an intermediate adhesive layer. | 03-12-2015 |
20150079357 | SYSTEM AND METHOD FOR PRODUCING COLOR SHIFTING OR GLOSS EFFECT AND RECORDING MEDIUM WITH COLOR SHIFTING OR GLOSS EFFECT - A gloss effect image pattern is created on a recording medium by electronically creating a first electronic pattern ink, the first electronic pattern ink including a first color and including holes, the holes representing areas in the first electronic pattern ink wherein the first color is absent; electronically creating an electronic image region having a pattern; electronically painting, using the first electronic pattern ink, a background of electronic image region and the pattern of the electronic image region; electronically painting a predetermined portion of the holes within the electronic image region with a second color; and rendering, using marking materials, the electronic image region on the recording medium. | 03-19-2015 |
20150079358 | INK FOR INKJET RECORDING, IMAGE FORMING METHOD, IMAGE FORMING APPARATUS AND IMAGE FORMED MATERIAL - An ink for inkjet recording includes a colorant; an organic solvent; a surfactant; and water. The organic solvent includes a polyol having a solubility parameter (SP value) of from 11.8 to 14.0 (components A) or an oxetane compound having the following formula (I) (components B): | 03-19-2015 |
20150086753 | NORBORNANE-2-SPIRO-a-CYCLOALKANONE-a'-SPIRO-2"-NORBORNANE-5,5",6,6"-TETRAC- ARBOXYLIC DIANHYDRIDE, NORBORNANE-2-SPIRO-a- CYCLOALKANONE-a'-SPIRO-2"-NORBORNANE-5,5",6,6"-TETRACARBOXYLIC ACID AND ESTER THEREOF, METHOD FOR PRODUCING NORBORNANE-2-SPIRO-a-CYCLOALKANONE-a'-SPIRO-2"-NORBORNANE-5,5",6,6"-TETRA- CARBOXYLIC DIANHYDRIDE, POLYIMIDE OBTAINED BY USING THE SAME, AND METHOD FOR PRODUCING POLYIMIDE - A norbornane-2-spiro-α-cycloalkanone-α′-spiro-2″-norbornane-5,5″,6,6″-tetracarboxylic dianhydride represented by the following general formula (1): | 03-26-2015 |
20150086754 | METHOD FOR PRINTING A THREE-DIMENSIONAL STRUCTURE, METHOD FOR CONTROLLING A PRINT HEAD AND PRINTED ARTICLE - The present invention relates to a method for printing a three-dimensional structure by depositing a plurality of droplets of printing material onto a substrate, wherein the substrate comprises a main plane and wherein the droplets are deposited in such a manner that layers of printing material are generated which are inclined to the main plane of the substrate. | 03-26-2015 |
20150086755 | PHOTOCURED PRODUCT - To provide a photocured product having small mold releasing force. A photocured product obtained by curing with light and containing a surface active agent, wherein a peak area of the ether bond derived peak is 3.0 times or more as large as a peak area of the ester bond derived peak, wherein the peak areas are obtained by peak separation processing by curve fitting of an X-ray photoelectron spectroscopy spectrum obtained as an analytical result on a chemical state of carbon at topmost surface of the photocured product, the analytical result being among analytical results on the topmost surface of the photocured product obtained by surface analysis of the photocured product with angle resolved X-ray photoelectron spectroscopy. | 03-26-2015 |
20150086756 | Tamper Evident Security Labels - Labels and multilayer adhesive assemblies and laminates are described that contain one or more tamper indicating layers. After adhering to a surface of interest, upon attempted removal of the label from the surface or other manipulation of the label, the label separates along the tamper indicating layer. One or both exposed faces of the separated tamper indicating layer may include tamper indicating and or authenticity indicating provisions such as indicia to further provide evidence of attempted tampering. | 03-26-2015 |
20150086757 | Methods of Patterning a Conductor on a Substrate - A method of patterning a conductor on a substrate includes providing an inked elastomeric stamp inked with self-assembled monolayer-forming molecules and having a relief pattern with raised features. Then the raised features of the inked stamp contact a metal-coated visible light transparent substrate. Then the metal is etched to form an electrically conductive micropattern corresponding to the raised features of the inked stamp on the visible light transparent substrate. | 03-26-2015 |
20150093549 | FORMED LIGNEOUS BODY, AND METHOD OF PRODUCING THE SAME - A formed ligneous body which has high degrees of scratch-, water- and weather-proof properties, a decorative design surface with a natural and fine woody grain, and high degrees of aesthetic dignity and ligneous properties. The formed ligneous body includes a surface layer portion | 04-02-2015 |
20150093550 | Nanostructures from Laser-Ablated Nanohole Templates - Solution casting a nanostructure. Preparing a template by ablating nanoholes in a substrate using single-femtosecond laser machining. Replicating the nanoholes by applying a solution of a polymer and a solvent into the template. After the solvent has substantially dissipated, removing the replica from the substrate. | 04-02-2015 |
20150099100 | NOVEL PROCESSES FOR APPLYING MATERIALS HAVING A SPECIFIC PATTERN ONTO A SUBSTRATE SURFACE - Unique and improved methods of applying materials onto various parts to create a pre-defined pattern with improved thickness and control are disclosed. A controlled amount of material is applied at a selected compound surface to produce a predetermined pattern incrementally built-up at a specific region of a surface. The improved repeatability of the process facilitates higher material utilization, reduced material waste and minimal personnel exposure to the handling of potentially hazardous materials. | 04-09-2015 |
20150104622 | A POLYMERIC FILM SURFACE - A surface on a polymeric film having an array of patterned structures, wherein the array of patterned structures influences fluid flow of the surface and causes reduced attachment of a biological material. | 04-16-2015 |
20150104623 | PATTERNING METHOD FOR GRAPHENE USING HOT-EMBOSSING IMPRINTING - The present application provides a patterning method of a graphene, including a step of forming a graphene layer on a polymer substrate and a step of forming a nanopattern on the graphene layer by hot embossing imprinting. | 04-16-2015 |
20150118448 | LIQUID ELECTROPHOTOGRAPHIC INK - The present disclosure discloses a liquid electrophotographic ink. The ink includes a liquid vehicle, an ethylene acid copolymer, and an ethylene/(meth)acrylic acid C1-10 alkyl ester copolymer. Also disclosed is a method for the manufacture that ink and a method for performing a liquid electrophotographic process by printing a substrate with the ink. | 04-30-2015 |
20150118449 | DOCUMENT OF VALUE, A METHOD OF PRODUCING IT AND SHEET CARRYING DOCUMENTS VALUE - A method of producing a document of value, the method including providing a single lamina or multi-laminate sheet carrying data relating to one or more documents of value; selecting the shape of at least part of the edge of the or each document using the data relating to the document; and operating a cutting tool to cut out the or each security document from the sheet by cutting along the edge of the or each security document such that the at least part of the edge has the selected shape. | 04-30-2015 |
20150118450 | METHOD AND ARRANGEMENT FOR HANDLING NARROW ROLLS - A product marking is disclosed in connection with a narrow roll. The narrow rolls are provided with a product marking in a first information adding step, the product marking including at least general information. In a second information adding step, the product marking in at least some of the narrow rolls is provided with customer information. After the second information adding step all the narrow rolls have a product marking comprising the customer information. | 04-30-2015 |
20150118451 | INK COMPOSITION, IMAGE FORMING METHOD, PRINTED MATERIAL, AND GRAFT COPOLYMER - An ink composition includes a graft copolymer including a repeating unit having a partial structure represented by Formula (1) described below and a repeating unit having a hydrophilic group in which a graft chain includes the repeating unit and water. | 04-30-2015 |
20150125667 | FORMING CONDUCTIVE METAL PATTERNS WITH REACTIVE POLYMERS - A pattern is formed in a polymeric layer comprising a reactive composition that comprises: (a) a polymer comprising pendant—arylene-X—C(═O)—O— t-alkyl groups that comprise a blocking group that is cleavable to provide pendant—arylene-XH groups, (b) a compound that provides a cleaving acid upon exposure to radiation having a λ | 05-07-2015 |
20150125668 | LIGHT-REFLECTION/LIGHT-TRANSMISSION IMAGE SHEET AND METHOD FOR FORMING LIGHT-REFLECTION/LIGHT-TRANSMISSION IMAGE SHEET - In order to enable a natural and clear image to appear in both light reflection and light transmission usage modes of a light-reflection/light-transmission image sheet having front and back-side images ( | 05-07-2015 |
20150125669 | Pre-Fabricated Marking System And A Method For Producing Said System - This invention concerns a pre-fabricated marking system and a method for producing such a marking system, comprising the steps of a) producing a base layer ( | 05-07-2015 |
20150132543 | MARKED COATING COMPOSITION AND METHOD FOR ITS AUTHENTICATION - This invention relates to the field of authentication of coating compositions such as varnishes, inks and paints, and it is particularly useful in the field of authentication of such coating compositions when applied to substrates like banknotes or other valuable documents. It is particularly directed to a marked coating composition. e.g. an ink, that is marked with a marker (taggant) such as to allow for its authentication, and a method for authenticating such a marked coating composition. The marking is achieved by covalently binding a taggant to a coating composition component. e.g. such used for security documents. The taggant, which is not extractable through usual chemical treatments like washing methods or the use of solvents, can be detected and identified upon thermally induced chemical fragmentation with a PY-GC-MS apparatus. The marked ink or the marked substrate is thus authenticated as belonging to a particularly marked ink, varnish or batch. | 05-14-2015 |
20150132544 | INK COMPOSITION, IMAGE FORMING METHOD, AND PRINTED MATERIAL - An ink composition includes a compound having two or more partial structures represented by the following Formula (A) in a molecule (Component a), a compound selected from the group consisting of a compound represented by the following Formula (B1) and a compound represented by the following Formula (B2) (Component b), and a color material (Component c). | 05-14-2015 |
20150140284 | PROCESS FOR DEPOSITING A CERAMIC COATING AND PRODUCT FORMED THEREOF - A system and methods for applying a ceramic coating to a component that includes first applying a coating material to a first portion of a component. A removal agent is then applied to a second portion of the component that has an overspray byproduct thereon, and then the ceramic coating material is applied to at least the second portion of the component. | 05-21-2015 |
20150140285 | FORMING CONDUCTIVE METAL PATTERN USING REACTIVE POLYMERS - A conductive metal pattern is formed in a polymeric layer that has a reactive polymer that comprises (1) pendant groups that are capable of providing pendant sulfonic acid groups upon exposure to radiation, and (2) pendant groups that are capable of reacting in the presence of the sulfonic acid groups to provide crosslinking. The polymeric layer is patternwise exposed to provide a polymeric layer comprising non-exposed regions and exposed regions comprising a polymer comprising pendant sulfonic acid groups. The exposed regions are contacted with electroless seed metal ions to form a pattern of electroless seed metal ions. The electroless seed metal ions are reduced to provide a pattern of electroless seed metal nuclei that are then electrolessly plated with a conductive metal. | 05-21-2015 |
20150140286 | INTEGRATED INGOT FOR TSV SUBSTRTAES AND METHOD FOR MAKING THE SAME - The disclosure describes a metal-wire-based method for making an integrated ingot, which basically comprises a dielectric matrix and a patterned array of metal wires, and may further comprise other additive elements at desired locations. After sawing the integrated ingot into slices, a plurality of substrates containing through substrate metal pillars and other additive elements at desired locations are produced in a batch way. The metal-wire-based method comprises the key steps: forming a patterned array of metal wires, precisely integrating other additive elements at desired locations when needed, forming a solid dielectric material in the empty space among and around metal wires and other additive elements. Furthermore, a guidance metal wire method is described for precisely integrating other additive elements at desired locations in a patterned array of metal wires. | 05-21-2015 |
20150140287 | Transparent conductive electrodes comprising merged metal nanowires, their structure design, and method of making such structures - A method for making a nanowire-based electrode having homogenous optical property and heterogeneous electrical property is disclosed. The method comprises forming a pattern on the electrode using a photolytically process. | 05-21-2015 |
20150140288 | System and Method for Embossing the Wire Side of a Molded Fiber Article - A system and method for constructing a molded fiber article capable of having detailed indicia embossed thereon is provided. A molded fiber article having a smooth surface with detailed indicia is also provided. The system includes using a wire mesh structure coupled with an embossing plate such that the embossing plate imparts a smooth surface with indicia onto the molded fiber article during formation. The smooth surface if the embossing plate allows for greater detail than that of the textured surface created by the wire mesh structure. The system may also include a forming die that generally conforms to the wire mesh structure to facilitate formation of the molded fiber article. The wire mesh structure may include a recessed area for housing the embossing plate, which may be attached to the wire mesh structure using fasteners and a backing plate. | 05-21-2015 |
20150140289 | METHOD OF PATTERNING A BIORESORBABLE MATERIAL - A method of patterning a bioresorbable material includes providing a fluoropolymer layer in a first pattern over a layer of bioresorbable material. A patterned bioresorbable material is formed by selectively removing the bioresorbable material in areas not covered by the first pattern of fluoropolymer. The fluoropolymer layer may optionally be provided using a photosensitive fluoropolymer along with fluorinated solvents for coating and developing images. The disclosed methods may provide patterned bioresorbable materials having simple or complex shapes, coarse or fine features. In some embodiments, high volume manufacturing methods such as photolithography may be used. Flexible bioelectronic devices may be provided with patterned bioresorbable materials to aid in application into biological targets, but which later bioresorb thereby imparting higher flexibility to the bioelectronic device. In some embodiments, using flexible bioelectronic devices having patterned bioresorbable material corresponding to the device shape can reduces local stresses on biological systems. | 05-21-2015 |
20150140290 | MULTILAYER ARTICLES AND METHODS OF MAKING AND USING THE SAME - Multilayer articles comprise a thermoformable substrate, a base layer, and an optional transparent protective layer. Methods of making and using the paint film composites, and shaped articles made thereby, are also disclosed. | 05-21-2015 |
20150290877 | Selectively Applied Adhesive Particulate on Nonmetallic Substrates - The manufacturing of articles relies on the bonding of two or more components to form some forms of the articles, such as a shoe sole bonded with a shoe upper. The bonding may be achieved with an adhesive particulate that is applied to a surface of a substrate. The adhesive particulate is selectively fused to the substrate with a controlled energy source, such as a laser. The selective application of laser energy allows for specific geometric structures of adhesive particulate to be formed on the substrate. The substrate having the fused adhesive particulate is mated with another component allowing the fused adhesive particulate to bond the first substrate and the second component. | 10-15-2015 |
20150290926 | Apparatus to print on water-soluble film - Apparatus for producing a printed film, the apparatus including a roll of water-soluble film to be printed, the film including a resin that includes polyvinyl alcohol (PVOH) or a copolymer thereof, a rotating drum, a printing system for printing a printed pattern onto the film, the printing system including a plurality of separate printing stations arranged on the rotating drum. Printed films made from the apparatus. | 10-15-2015 |
20150290951 | PRINTING METHOD AND PRINTED PRODUCT - The object is to obtain a printed product excellent in fastness to washing. To achieve the object, a printing method is provided. The printing method includes a forming step of forming a solvent UV ink layer ( | 10-15-2015 |
20150291754 | ELECTRICALLY CONDUCTIVE, TRANSPARENT, TRANSLUCENT, AND/OR REFLECTIVE MATERIALS - In one aspect, microporous membranes are described herein demonstrating composite architectures and properties suitable for electronic and/or optical applications. In some embodiments, a composite membrane described herein includes a microporous polymeric matrix or substrate having an interconnected pore structure and an index of refraction and an electrically conductive coating deposited over one or more surfaces of the microporous polymeric matrix. In other embodiments, the pores are filled and the membranes are substantially transparent. | 10-15-2015 |
20150291815 | COMPOSITION, IMPRINTING INK AND IMPRINTING METHOD - Disclosed is a silane-based composition for forming an imprinting ink for imprint lithography applications in which the crosslinking of the silanes in the composition is suppressed by the inclusion of a compound of Formula 3: wherein R | 10-15-2015 |
20150294754 | FIBRILLATED LIQUID CRYSTAL POLYMER POWDER, METHOD OF PRODUCING FIBRILLATED LIQUID CRYSTAL POLYMER POWDER, PASTE, RESIN MULTILAYER SUBSTRATE, AND METHOD OF PRODUCING RESIN MULTILAYER SUBSTRATE - A fibrillated liquid crystal polymer powder containing fibrillated liquid crystal polymer particles. A paste containing a dispersion medium and the fibrillated liquid crystal polymer powder. A method of producing the fibrillated liquid crystal polymer powder. A resin multilayer substrate obtained by laminating a plurality of resin sheets including at least one layer of a liquid crystal polymer sheet. On a surface of at least one layer of the liquid crystal polymer sheet, a thickness adjustment layer made of a fibrillated liquid crystal polymer powder containing fibrillated liquid crystal polymer particles is provided in a region insufficient in thickness when at least the plurality of resin sheets are laminated. | 10-15-2015 |
20150296614 | CROSSLINKED FLUOROPOLYMER CIRCUIT MATERIALS, CIRCUIT LAMINATES, AND METHODS OF MANUFACTURE THEREOF - Circuit subassemblies comprising a conductive layer disposed on a dielectric substrate layer, wherein the dielectric substrate layer comprises a crosslinked fluoropolymer. | 10-15-2015 |
20150299110 | METHOD FOR PRODUCING CYANOGEN-HALIDE, CYANATE ESTER COMPOUND AND METHOD FOR PRODUCING THE SAME, AND RESIN COMPOSITION - A method for efficiently producing a cyanogen halide with suppressed side effects, and a method for producing a high-purity cyanate ester compound at a high yield includes contacting a halogen molecule with an aqueous solution containing hydrogen cyanide and/or a metal cyanide, so that the hydrogen cyanide and/or the metal cyanide is allowed to react with the halogen molecule in the reaction solution to obtain the cyanogen halide, wherein more than 1 mole of the hydrogen cyanide or the metal cyanide is used based on 1 mole of the halogen molecule, and when an amount of substance of an unreacted hydrogen cyanide or an unreacted metal cyanide is defined as mole (A) and an amount of substance of the generated cyanogen halide is defined as mole (B), the reaction is terminated in a state in which (A):(A)+(B) is between 0.00009:1 and 0.2:1. | 10-22-2015 |
20150306839 | Composite textile for protective apparel - In accordance with the present invention, a novel composite textile laminate (composite fabric) is provided. The composite textile is particularly suitable for outdoor apparel that protects the wearer from outdoor elements such as sunlight, wind, and cold. In a preferred embodiment, the textile consists of an outer layer of elastane having a sun protective factor, an intermediate layer of polyurethane-ester open-cell foam, and an inner lining of synthetic micro-suede material or brushed nylon that contacts the skin. The outer and inner layers are flame bonded, with the intermediate foam layer in between them. The composite textile can be embossed and the perimeter is defined and sealed by laser cutting, which act against de-lamination. The result is a composite synthetic textile with improved comfort and protection. The outer elastane surface can also be sublimated with a desired image. | 10-29-2015 |
20150306843 | Durable Stick-On Patch for Clothing and Other Items - A durable stick-on patch made from a fabric-like polymer substrate, such as woven polyester, on which a design or information has been printed, by joining the substrate with a fabric adhesive having a backing using a standard laminating device, where individual stick-on patches are cut from the resulting patch-roll and can be applied to clothing or other items at room temperature. | 10-29-2015 |
20150307703 | A HALOGEN-FREE FLAME RETARDANT RESIN COMPOSITION AND THE USE THEREOF - The present invention relates to a halogen-free flame retardant resin composition, according to parts by weight, the resin composition comprises: (A) a mixture of phenoxyphosphazene compound (A1) and compound (A2) having a dihydrobenzoxazine ring, the mixture comprising 45-90 parts by weight, and the weight ratio of the phenoxyphosphazene compound (A1) and the compound (A2) having a dihydrobenzoxazine ring is between 1:25-1:2; (B) an epoxy resin with epoxy equivalent of 500-2000, the epoxy resin comprising 10-45 parts by weight; (C) a phenolic resin comprising 10-25 parts by weight; and (D) an amine curing agent comprising 0.5-10 parts by weight. The prepreg, laminate, and metal-clad laminate for the printed circuit prepared using the halogen-free flame retardant resin composition, have the advantages of high glass transition temperature (T | 10-29-2015 |
20150307708 | RESIN COMPOSITION, PRE-PREG, LAMINATE, METAL FOIL-CLAD LAMINATE, AND PRINTED WIRING BOARD - An object of the present invention is to provide a resin composition that can attain cured products having high flame retardancy, high heat resistance, a small coefficient of thermal expansion, and high drilling processability, a prepreg having the resin composition, laminate and a metal foil clad laminate having the prepreg, and a printed circuit board having the resin composition. A resin composition, having at least an epoxy silicone resin (A) prepared by reacting a linear polysiloxane (a) having a carboxyl group with a cyclic epoxy compound (b) having an epoxy group such that the epoxy group of the cyclic epoxy compound (b) is 2 to 10 equivalents based on the carboxyl group of the linear polysiloxane (a), a cyanic acid ester compound (B) and/or a phenol resin (C), and an inorganic filler (D). | 10-29-2015 |
20150315402 | BOTTOM LAYER FILM-FORMING COMPOSITION OF SELF-ORGANIZING FILM CONTAINING STYRENE STRUCTURE - There is provided a composition for forming an underlayer film used for an underlayer of a self-organizing film. An underlayer film-forming composition of a self-organizing film, the underlayer film-forming composition including a polymer made of a unit structure derived from an optionally substituted styrene and a unit structure derived from a crosslink forming group-containing compound, the polymer containing 60 mol % to 95 mol % of the unit structure derived from the styrene and 5 mol % to 40 mol % of the unit structure derived from the crosslink forming group-containing compound relative to the whole unit structures of the polymer. The crosslink forming group is a hydroxy group, an epoxy group, a protected hydroxy group, or a protected carboxy group. The crosslink forming group-containing compound is hydroxyethyl methacrylate, hydroxyethyl acrylate, hydroxypropyl methacrylate, hydroxypropyl acrylate, hydroxystyrene, acrylic acid, methacrylic acid, glycidyl methacrylate, or glycidyl acrylate. | 11-05-2015 |
20150315785 | BOARDS COMPRISING AN ARRAY OF MARKS TO FACILITATE ATTACHMENT - A board includes a pattern to facilitate attachment of the board to a frame structure using fasteners. The pattern comprises a first array of first marks disposed in rows spaced apart a first predetermined distance, and a second array of second marks disposed in rows spaced apart a second predetermined distance apart, with the first and second marks being different, and with the first and second distances being different. The board may be used in a variety of construction applications, with the pattern facilitating the quick attachment of the board to the underlying frame by placing the fasteners using the first markings of the first array or the second markings of the second array. | 11-05-2015 |
20150319853 | RESIN COMPOSITION, PREPREG, LAMINATE, METALLIC FOIL CLAD LAMINATE, AND PRINTED CIRCUIT BOARD - An object of the present invention is to provide a resin composition that has a variety of properties required for a material for printed circuit boards such as high flame retardancy, and can attain a cured product having high moldability, high resistance against chemicals in a desmearing step, and a small coefficient of thermal expansion, a prepreg comprising the resin composition, a laminate including the prepreg, a metallic foil clad laminate including the prepreg, and a printed circuit board including the prepreg. A resin composition comprising an acrylic-silicone copolymer (A), a halogen-free epoxy resin (B), a cyanic acid ester compound (C) and/or a phenol resin (D), and an inorganic filler (E). | 11-05-2015 |
20150322219 | BOTTOM LAYER FILM-FORMATION COMPOSITION OF SELF-ORGANIZING FILM CONTAINING POLYCYCLIC ORGANIC VINYL COMPOUND - An underlayer film-forming composition for a self-assembled film having a polymer including 0.2% by mole or more of a unit structure of a polycyclic aromatic vinyl compound relative to all unit structures of the polymer. The polymer includes 20% by mole or more of a unit structure of an aromatic vinyl compound relative to all the unit structures of the polymer and includes 1% by mole or more of a unit structure of the polycyclic aromatic vinyl compound relative to all the unit structures of the aromatic vinyl compound. The aromatic vinyl compound includes an optionally substituted vinylnaphthalene, acenaphthylene, or vinylcarbazole, and the polycyclic aromatic vinyl compound is vinylnaphthalene, acenaphthylene, or vinylcarbazole. The aromatic vinyl compound includes an optionally substituted styrene and an optionally substituted vinylnaphthalene, acenaphthylene, or vinylcarbazole, and the polycyclic aromatic vinyl compound is vinylnaphthalene, acenaphthylene, or vinylcarbazole. | 11-12-2015 |
20150322286 | Polymerized Metal-Organic Material for Printable Photonic Devices - To manufacture a nanophotonic device, a metal oxide precursor is mixed with an organic acid, an organic polymer and a photoinitiator in a solvent to form a dispersion comprising a hybrid organic-inorganic phase. A film is formed on a substrate form the dispersion, the film including the hybrid organic-inorganic phase. The film is annealed to transform the hybrid organic-inorganic phase into an inorganic phase. | 11-12-2015 |
20150328861 | MULTI-LAYERED STRUCTURE AND A METHOD OF SEALING OR SHAPING USING A MULTI-LAYERED STRUCTURE - A multi-layered structure comprising an induction activation layer comprising a blend of (a) a first thermoplastic polymer, (b) a plurality of first particles, each said first particle comprising (i) a core comprising one or more magnetic materials and (ii) a shell comprising silicon dioxide; and (c) optionally a plurality of second particles, each said second particle comprising heat conducting particles; and a sealant, wherein the sealant exhibits a melting point equal to or lower than any other layer in the multi-layered structure, wherein the induction activation layer and sealant are in direct or indirect thermal contact is provided. | 11-19-2015 |
20150328879 | PRECISION REGISTRATION IN PRINTING CYLINDER SYSTEMS - A printing system for printing on a web of media traveling along a web transport path including a plurality of print stations located along the web transport path, each print station including a printing cylinder having a printing cylinder circumference for printing on the web of media at a corresponding print location. A plurality of web-transport rollers are used to guide the web of media along the web transport path, each having a roller circumference that is substantially equal to an integer fraction of the printing cylinder circumference. | 11-19-2015 |
20150328880 | DRIVE GEARS PROVIDING IMPROVED REGISTRATION IN PRINTING CYLINDER SYSTEMS - A printing system for printing on a web of media traveling along a web transport path including a plurality of print stations located along the web transport path, each print station including a printing cylinder having a printing cylinder circumference for printing on the web of media at a corresponding print location. A plurality of web-transport rollers are used to guide the web of media along the web transport path. The printing system includes one or more constrained driven rollers having an affixed driven gear, the driven gear being driven by a motor using a gear train including one or more drive gears which transfer torque from the motor to the driven gear, wherein the driven gear and the drive gears associated with the constrained driven rollers are constrained to have a rotate an integer number of times for every rotation of the printing cylinders. | 11-19-2015 |
20150329362 | SIMPLE METHOD FOR PRODUCING SUPERHYDROPHOBIC CARBON NANOTUBE ARRAY - Efficient methods for producing a superhydrophobic carbon nanotube (CNT) array are set forth. The methods comprise providing a vertically aligned CNT array and performing vacuum pyrolysis on the CNT array to produce a superhydrophobic CNT array. These methods have several advantages over the prior art, such as operational simplicity and efficiency. | 11-19-2015 |
20150330027 | Fibrous Structures with Visually and Elementally Balanced Designs - A strip of a fibrous structure has a first pattern that includes a first major design element and a first minor design element, wherein the first major design element is at least partially disposed in a central region and the first minor design element is at least partially disposed in the one of the group consisting of a first edge region and a second edge region. The strip further has a second pattern includes a second major design element and a second minor design element, wherein the second major design element is at least partially disposed in the central region and the second minor design element is at least partially disposed in the one of the group consisting of the first edge region and the second edge region. The first pattern and the second pattern interlock to form an interlocking motif. | 11-19-2015 |
20150331274 | MOTHER SUBSTRATE FOR DISPLAY SUBSTRATES, DISPLAY PANEL AND DISPLAY DEVICE - The embodiments of the present invention provide a mother substrate for display substrates, a display panel and a display device. The mother substrate for display substrates includes a plurality of display substrates and is divided into a display substrate area and a non display substrate area, and at least one film layer of the mother substrate for display substrates has an identical film layer structure in the display substrate area and the non display substrate area. With such arrangement, the arrangement of the at least one film layer is more uniform inside the mother substrate for display substrates, thereby the difference between the stresses applied to the display substrate area and the non display substrate area of the mother substrate for the display substrates by the at least one film layer is reduced significantly. | 11-19-2015 |
20150331379 | PRINTING SYSTEM AND METHOD - According to one example, there is provided a method of printing. The method comprises generating a gloss modification image based on a substrate gloss level and a desired gloss level for a portion of the image, and printing the image and the gloss modification image on the substrate. | 11-19-2015 |
20150336271 | SYSTEM AND METHOD FOR FIXTURELESS COMPONENT LOCATION IN ASSEMBLING COMPONENTS - A system for assembling a first component and a second component comprises a support operatively supporting the first component without any fixtures, a vision system configured to view the supported first component and the second component and determine the locations thereof, a robotic system configured to move and position the second component relative to the first component, and a controller operatively connected to the vision system and to the robotic system and operable to control the robotic system to position the second component relative to the first component based on the locations determined by the vision system. Various methods of assembling the first component and the second component are provided to create a process joint prior to creation of a structural joint in a subsequent assembly operation. | 11-26-2015 |
20150336301 | CYLINDRICAL POLYMER MASK AND METHOD OF FABRICATION - A cylindrical mask may be fabricated using a hollow casting cylinder and a mask cylinder. The casting cylinder has an inner diameter that is larger than the outer diameter of the mask cylinder. The casting and mask cylinders are coaxially assembled and a liquid polymer inserted in a space surrounding the mask cylinder between the inner surface of the casting cylinder and the outer surface of the mask cylinder. After curing the liquid polymer, the casting cylinder is removed. A surface of the cured polymer can be patterned. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims. | 11-26-2015 |
20150336358 | RECYCLABLE SURFACE COVERING AND METHOD AND SYSTEM FOR MANUFACTURING A RECYCLABLE SURFACE COVERING - A process and system for making a laminated surface covering and the surface covering itself are described. The covering includes several layers bonded to each other. The system performs the process. One example of the process includes passing a first material across a first conveyor, passing a second material across a second conveyor, passing a bonding material across a third conveyor, contacting the first material and the second material to the bonding material, and heating at least one of the first material and the second material. The process also includes introducing the first material, the second material, and the bonding material into a pressure zone such that the bonding material is introduced between a bottom surface of the first material and a top surface of the second material. The process applies pressure to bond the first material and second material together via the bonding material to produce a laminated material. | 11-26-2015 |
20150336360 | HIERARCHICAL STRUCTURES FOR SUPERHYDROPHOBIC SURFACES AND METHODS OF MAKING - Embodiments of a superhydrophobic structure comprise a substrate and a hierarchical surface structure disposed on at least one surface of the substrate, wherein the hierarchical surface structure comprises a microstructure comprising a plurality of microasperities disposed in a spaced geometric pattern on at least one surface of the substrate. The fraction of the surface area of the substrate covered by the microasperities is from between about 0.1 to about 1. The hierarchical structure comprises a nanostructure comprising a plurality of nanoasperities disposed on at least one surface of the microstructure. | 11-26-2015 |
20150337092 | COMPOSITE FILMS AND METHODS FOR THEIR PRODUCTION - A polymeric flexible substrate that meets the barrier requirements for oxygen and water, while exhibiting thermal stability or transparency may be formed from functionalized graphanes bonded with polymers. | 11-26-2015 |
20150337152 | INK JET INK SET FOR SUBLIMATION TRANSFER, DYED PRODUCT, AND MANUFACTURING METHOD THEREOF - There is provided an ink jet ink set for sublimation transfer, including: a first ink composition; and a second ink composition, in which the first ink composition contains a first disperse dye having a molecular weight of 340 or less, and the second ink composition contains a second disperse dye having a molecular weight of 360 or more. | 11-26-2015 |
20150337153 | INK JET INK SET FOR SUBLIMATION TRANSFER, DYED PRODUCT, AND MANUFACTURING METHOD THEREOF - There is provided an ink jet ink set for sublimation transfer, including: a first ink composition containing a first disperse dye and a first dispersant; and a second ink composition containing a second disperse dye and a second dispersant, in which the first disperse dye is the same as the second disperse dye, the content of the first disperse dye in the first ink composition is equal to or greater than the content of the second disperse dye in the second ink composition, the ratio A of the content of the first disperse dye in the first ink composition to the content of the first dispersant in the first ink composition is equal to or greater than the ratio B of the content of the second disperse dye in the second ink composition to the content of the second dispersant in the second ink composition. | 11-26-2015 |
20150337495 | WEB SUBSTRATES - A web substrate having at least one ply is disclosed. At least one outer surface of the web substrate has indicia comprising at least one ink disposed thereon and substantially affixed thereto. The indicia comprise a first distribution of indicium having a halftone of a first value and a second distribution of indicium having a halftone of a second value. The first and second values are different. | 11-26-2015 |
20150343838 | FORMED LIGNEOUS BODY AND METHOD OF PRODUCING THE SAME - A formed ligneous body which has highly original and excellent design and exhibiting a novel handsome appearance, owing to properties of ligneous materials which can be given desired degrees of translucency by impregnation with resin materials and which are subjected to a flow molding process. Also disclosed is a method of producing the formed ligneous body. The formed ligneous body includes a translucent base portion, and decorative spot portions whose degree of translucency is different from that of the base portion and which are integrated with the base portion, the base portion and the decorative spot portion being formed of respective resin-impregnated ligneous materials having respective different color tones, the base portion being formed with a predetermined thickness, while the decorative spot portions being integrally embedded within and/or exposed on at least one of front and back surfaces of the base portion in a predetermined pattern of local arrangement. | 12-03-2015 |
20150344652 | Reactive Superhydrophobic Surfaces, Patterned Superhydrophobic Surfaces, Methods For Producing The Same And Use Of The Patterned Superhydrophobic Surfaces - The present invention generally relates to methods for modifying and/or producing superhydrophobic surfaces. In particular, the present invention relates to reactive superhydrophobic surfaces which can be modified so as to produce superhydrophobic surfaces having patterns of different chemical functionalities or physical properties such as superhydrophilic-superhydrophobic micropatterns, and uses of these patterned superhydrophobic surfaces as self-cleaning coatings, anti-fouling coatings, or slippery coatings, or for chemical, biological and diagnostic applications, as well as methods for producing the same. | 12-03-2015 |
20150344709 | RADIATION-CURABLE INK COMPOSITION, INK SET, INKJET RECORDING METHOD, DECORATIVE SHEET, DECORATIVE SHEET MOLDED PRODUCT, PROCESS FOR PRODUCING IN-MOLD MOLDED ARTICLE, AND IN-MOLD MOLDED ARTICLE - A radiation-curable ink composition comprises a polymerizable compound as Component A; a photopolymerization initiator as Component B; and two or more types of surfactants as Component C, wherein Component C comprises at least a surfactant that has a polymerizable group and a surfactant that does not have a polymerizable group, and the mass ratio of a content C | 12-03-2015 |
20150344754 | THERMAL LAMINATION ADHESIVE COATINGS FOR USE ON SUBSTRATES - Lamination adhesives including an aqueous dispersion having a mixture of a polyamide and an ethylene acrylic acid copolymer are provided for use on a variety of substrates. In various embodiments, the aqueous dispersion is substantially free of plasticizers and non-aqueous organic solvents. Also provided is a method of forming a laminate adhesion between two substrates. The disclosure also describes a laminate structure comprising first and second substrates laminated together by the lamination adhesive, the lamination adhesive including a mixture of a polyamide and a copolymer of ethylene and acrylic acid. | 12-03-2015 |
20150345911 | GARMENTS AND EQUIPMENT HAVING TEMPERATURE-INDUCED LOCKING CAMOUFLAGE PATTERNS - Disclosed is a camouflage garment and camouflage equipment carrying a thermochromic ink composition having a first colour stale and a second colour state, wherein the first colour state switches to the second colour state at a first set temperature and the second colour state switches to the first colour state at a second set temperature. Each of the first colour state and second colour state are stable between the first set temperature and second set temperature. Also disclosed is a method for producing the camouflage garment and camouflage equipment. | 12-03-2015 |
20150346116 | Metrology Method and Apparatus, Lithographic System and Device Manufacturing Method - A lithographic process is used to form a plurality of target structures (T) on a substrate (W). Each target structure comprises overlaid gratings each having a specific overlay bias. Asymmetry (A) of each grating, measured by scatterometry, includes contributions due to (i) the overlay bias, (ii) an overlay error (OV) in the lithographic process and (iii) bottom grating asymmetry within the overlaid gratings. Asymmetry measurements are obtained for three or more target structures having three or more different values of overlay bias (e.g., −d, | 12-03-2015 |
20150352779 | IN-MOULD LABELLING - The invention concerns a process for in-mould labelling of an article with a film, wherein at least a first surface of the film is plasma treated. The invention also concerns in-mould labelled articles obtainable by the process of the invention. | 12-10-2015 |
20150353746 | PRINTING INK VARNISH, AND PRINTING INK COMPOSITION USING SAME - The present invention relates to an oil-based printing ink varnish comprising a polyurethane prepared using a polymeric polyol and a polyisocyanate as the main structural components, wherein the polyurethane is synthesized using, as a chain extender, a polyfunctional amine which contains at least one secondary amino group in each molecule and in which the total of the number of primary amino groups and the number of secondary amino groups is 3 or more per molecule. The present invention is able to provide a printing ink varnish containing a non-aromatic organic solvent and having excellent laminate strength, blocking resistance, dot reproducibility and plate clogging resistance, as well as a laminate ink containing the varnish. | 12-10-2015 |
20150354209 | Removable, Plastic Foam Insulation for Architectural Glass - A plastic cellular foam ( | 12-10-2015 |
20150355379 | LAYERS OR THREE-DIMENSIONAL SHAPED BODIES HAVING TWO REGIONS OF DIFFERENT PRIMARY AND/OR SECONDARY STRUCTURE AND METHOD FOR PRODUCTION THEREOF - The invention relates to a layer or three-dimensional molded articles comprised of or composing an organically modified polysiloxane or a derivative thereof, the silicon atoms of which are completely or partially replaced with other metal atoms, wherein the organic share of the polysiloxane or derivative thereof has an organic cross-link with thiol-ene addition products bonded to silicon and/or to other metal atoms via carbon and/or oxygen, which are obtainable via a two-photon or multi-photon polymerization reaction, wherein the article has two areas with differing primary and/or secondary structures, available through the following process:
| 12-10-2015 |
20150359094 | SURFACE PRETREATMENT AND DROP SPREADING CONTROL ON MULTI COMPONENT SURFACES - Methods, systems and produced printed substrates are provided, which include substrates composed of one or more materials which are treated by an intermediate layer for normalizing surface energies and a digitally printed formulation adapted to the normalized surface energies. Surface energy normalization may be carried out by physical processes or by selective chemical processes. In an example, a self-assembled monolayer is applied to the surface of a printed circuit board to control ink jet dots by reducing copper surface energy and to improve ink adhesion. The self-assembled monolayer binds via an α group selectively and covalently to the copper on the board and binds via a hydrophobic co group to solder mask ink that is applied to the board. The co group participates in the solidification process of the ink. | 12-10-2015 |
20150360481 | PRINTER AND IMAGE PROCESSING - According to one example, there is provided a method of processing an image. The method comprises obtaining image data representing an image to be printed, determining, from the image data, pixel locations on a substrate where ink is intended to be deposited and pixel locations where no ink is intended to be deposited, and modifying the image data to define varnish to be deposited at at least some of those substrate pixel locations determined as where no ink is intended to be deposited. | 12-17-2015 |
20150361289 | AQUEOUS LASER-SENSITIVE COMPOSITION FOR MARKING SUBSTRATES - Aqueous composition comprising a colour former, a developer, and a binder, wherein (a) the weight ratio between the developer and the colour former is in the range of from 1 to 5; (b) the binder comprises an acrylate binder, comprising acrylic acid and styrene, and at least one additional monomer selected from the group consisting of α-methyl styrene, ethyl acrylate and 2-ethylhexyl acrylate; and (c) the colour former and/or the developer are present in an un-encapsulated form; a process for the preparation of these compositions, substrates coated with this composition and a process for its preparation, and a process for preparing a marked substrate using this composition. | 12-17-2015 |
20150361305 | HIGH TACK PRESSURE-SENSITIVE ADHESIVE - A high-tack pressure sensitive adhesive is provided. A high-tack adhesive is mixed with a low-tack adhesive to form an adhesive mixture. The adhesive mixture applied to a portion of a substrate as a high-tack pressure sensitive adhesive patch. In an embodiment, the adhesive mixture includes a thickening agent. | 12-17-2015 |
20150367557 | METHOD FOR MAKING PATTERNED CARBON NANOTUBE ARRAY AND CARBON NANOTUBE DEVICE - A method for patterning a carbon nanotube array is disclosed. A carbon nanotube array is transferred onto a surface of a substitute substrate. The carbon nanotube array has a second surface adjacent to the substitute substrate and a first surface away from the substitute substrate. The carbon nanotube array is laser etched from the first surface to divide the carbon nanotube array into two areas which are a preserving area and a removing area. A carbon nanotube structure is drawn from the removing area. | 12-24-2015 |
20150367667 | PROCESSING FLUID, IMAGE FORMING METHOD, RECORDED MATTER, AND INKJET RECORDING DEVICE - A processing fluid contains a water soluble cation polymer having a quaternary ammonium cation in the main chain, either of one of phosphoric acid-based inorganic salt and p-tert-butyl benzoate, and water, wherein the phosphoric acid-based inorganic salt is either of one of disodium monohydrogen phosphate, sodium dihydrogen phosphate, sodium polyphosphate, dipotassium monohydrogen phosphate, and potassium dihydrogen phosphate, wherein the water soluble cation polymer accounts for 40% by weight to 60% by weight. | 12-24-2015 |
20150368280 | HIGH REFRACTIVE INDEX ACRYLIC COMPOUND AND METHOD FOR PREPARING THE SAME - An acrylic compound is represented by Formula 1. | 12-24-2015 |
20150375260 | MATERIALS FOR MASKING SUBSTRATES AND ASSOCIATED METHODS - A mask material is readily discernible from a substrate to which the mask material is applied. The mask material may have a discernible characteristic, such as its color, luminescence or the like, which may render it visibly distinct from the substrate or detectable using automated inspection equipment. The discernible characteristic of the mask material may render it detectable through a protective coating. | 12-31-2015 |
20150375493 | Rear Projected Screen Materials and Processes - Disclosed herein are materials and methods used to form a three dimensional (3D) article having a printed layer thereon. The 3D articles are capable of displaying rear projected images, effectively as a 3D “screen” for displaying an image or a movie. The 3D articles are used as standalone articles or as a portion of an animatronic figure. The articles are made by forming a substantially planar thermoformable laminate including a rear projection screen material, printing and curing a radiation curable ink onto one or both sides of the laminate, and thermoforming to impart a shape to the laminate. | 12-31-2015 |
20150375552 | IMPROVED TRANSFER MEDIUM - The present invention relates to a method for producing a transfer medium, to the transfer media produced by this method and to transfer printing methods. | 12-31-2015 |
20150376338 | METHOD OF CURING THERMOSETTING RESIN COMPOSITION, THERMOSETTING RESIN COMPOSITION, AND PREPREG, METAL-CLAD LAMINATE, RESIN SHEET, PRINTED-WIRING BOARD, AND SEALING MATERIAL IN WHICH THERMOSETTING RESIN COMPOSITION IS USED - A method of curing a thermosetting resin composition according to the present invention includes mixing a thermosetting resin containing a benzoxazine compound and a curing accelerator containing a triazine thiol compound to prepare a thermosetting resin composition, and heating this thermosetting resin composition to be cured. | 12-31-2015 |
20160002424 | PRINTABLE FILM - This invention concerns a process for producing a food contact approvable, printable film comprising: providing a web of film having a width of at least about 1 cm and/or a length of at least 1 m and/or a weight of at least about 1 g and having a food-contactable surface; at a first location subjecting at least a first surface of the film web to a modified atmosphere dielectric barrier discharge (MADBD) treatment; winding the film web onto a reel; transporting the wound film web to a second location; unwinding the film web from the reel; and subjecting the first surface of the film to corona treatment. The invention also concerns printed films obtainable by the process of the invention, and articles of packaging and/or labelling made from such films. | 01-07-2016 |
20160009059 | MULTI-LAYER FILMS AND METHODS OF FORMING SAME | 01-14-2016 |
20160009562 | ALTERATION OF GRAPHENE DEFECTS | 01-14-2016 |
20160012936 | Graphene Printed Pattern Circuit Structure | 01-14-2016 |
20160016191 | ACTIVATOR APPLYING DEVICE, HYDRAULIC TRANSFER APPARATUS INCORPORATING THE SAME ACTIVATOR APPLYING DEVICE, AND ARTICLE WITH HYDRAULICALLY TRANSFERRED PATTERN MANUFACTURED WITH THE SAME HYDRAULIC TRANSFER APPARATUS - Activator applying device including a receiving pan that stores an activator for activation of a transfer film, and a spreading roller that rotates while being dipped in the activator in the receiving pan and applies the activator that has adhered to the surface thereof in a dip section of the activator applying device to the transfer pattern in a different section of the activator applying device. The activator is fed to the receiving pan in an amount greater than the amount of the activator applied to the transfer pattern, and an excessive activator is discharged through a discharge port of the receiving pan. The activator is applied to the transfer pattern with the discharge port being located on the side where the activator is drawn up from the dip section, and the discharge port being located at the position of a streak caused by uneven dispersion of the additive pigment. | 01-21-2016 |
20160016391 | METHOD TO PRODUCE A THERMOPLASTIC WEAR RESISTANT FOIL - A method to produce a wear resistant foil, including providing a first foil including a first thermoplastic material, applying wear resistant particles and a second thermoplastic material form on the first foil, and adhering the first foil to the second thermoplastic binder and the wear resistant particles to form a wear resistant foil. | 01-21-2016 |
20160017157 | COATING, METHOD FOR THE PRODUCTION THEREOF AND USE THEREOF - The invention relates to a coating which has special absorption properties for electromagnetic radiation from the wavelength spectrum of sunlight and to a method for producing the coating and to its use. The coating is formed by a layer which is formed on the surface of a substrate or on a reflective layer formed on the surface of the substrate. Carbon nanotubes are contained in the layer. The proportion of carbon nanotubes contained per unit of area or unit of volume and/or the layer thickness of the layer is selected such that it absorbs electromagnetic radiation from the wavelength spectrum of sunlight at predefinable proportions and the proportion of electromagnetic radiation from the wavelength spectrum of a black radiator at a temperature greater than 50° C. which is emitted is very small. | 01-21-2016 |
20160021739 | LOW DIALECTRIC COMPOSITE MATERIAL AND LAMINATE AND PRINTED CIRCUIT BOARD THEREOF - The present invention belongs to the technical field of resin composite materials, in particular relates to a low dielectric composite material and a laminate and printed circuit board prepared therefrom. The composite material is obtained by adhering a low dielectric resin composition with phosphorus-containing flame retardant onto a substrate; the composition comprises the following components: (A) phosphorus-containing flame retardant; (B) vinyl compound. The phosphorus-containing flame retardant has a structure as shown in formula (I). | 01-21-2016 |
20160021740 | LOW DIELECTRIC RESIN COMPOSITION WITH PHOSPHORUS-CONTAINING FLAME RETARDANT AND PREPARATION METHOD AND APPLICATION THEREOF - The invention belongs to the technical field of low dielectric resin compositions, and discloses a low dielectric resin composition with phosphorus-containing flame retardant and a prepreg, resin film, laminate and printed circuit board prepared therefrom. The composition comprises the following components: (A) phosphorus-containing flame retardant; (B) vinyl compound. The phosphorus-containing flame retardant has a structure as shown in formula (I). | 01-21-2016 |
20160023390 | THIN WALL APPLICATION WITH INJECTION COMPRESSION MOLDING AND IN-MOLD ROLLER - A method for making a thin wall component comprise: locating a film ( | 01-28-2016 |
20160033862 | ACTIVE LIGHT-SENSITIVE, OR RADIATION-SENSITIVE RESIN COMPOSITION, AND PATTERN-FORMING METHOD USING SAME - Provided is an active light-sensitive or radiation-sensitive resin composition with excellent exposure latitude and pattern roughness such as line width roughness, and a pattern-forming method using the same. The active light-sensitive or radiation-sensitive resin composition of the present invention contains
| 02-04-2016 |
20160039194 | ADDITIVE MANUFACTURING USING MISCIBLE MATERIALS - An object can be formed in an additive manufacturing process, such as FDM, by providing a substrate having at least a surface that is made of a first material, and forming one or more layers of a second material on the surface of the substrate, wherein a Hildebrand solubility parameter of the second material is within about 5% of a Hildebrand solubility parameter of the first material. In this manner, the object part formed by the one or more layers of the second material may be incorporated into the object. In an example, the object includes a first portion comprised of the one or more layers of the second material and a second portion comprised of the substrate, the first portion having a first haze value and the second portion having a second haze value, wherein a percent difference between the first haze value and the second haze value is equal to or greater than about 165%. | 02-11-2016 |
20160040033 | METHOD AND COMPOSITION FOR DEPOSITING AN IMPRINT ONTO A SUBSTRATE - A composition for depositing an imprint onto an elastomeric substrate, includes an aqueous film-forming polymeric emulsion suspended in an aqueous medium. The present invention is further directed to methods of depositing the same as an imprint onto the elastomeric substrate, and articles formed therefrom. | 02-11-2016 |
20160041465 | PATTERN FORMING METHOD, ACTIVE LIGHT SENSITIVE OR RADIATION SENSITIVE RESIN COMPOSITION, RESIST FILM, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE - The pattern forming method includes (1) forming a film using an active light sensitive or radiation sensitive resin composition, (2) exposing the film to active light or radiation, and (3) developing the exposed film using a developer including an organic solvent, in which the active light sensitive or radiation sensitive resin composition contains a resin (A) having a group which generates a polar group by being decomposed due to the action of an acid, the resin (A) has a phenolic hydroxyl group and/or a phenolic hydroxyl group protected with a group leaving due to the action of an acid, and the developer including the organic solvent contains an additive which forms at least one interaction of an ionic bond, a hydrogen bond, a chemical bond, and a dipole interaction, with the polar group. | 02-11-2016 |
20160046521 | METHOD FOR PRODUCING A CONTROL ELEMENT AND CONTROL ELEMENT - A method produces a control element having a touch-enabled surface, in which a paste-like material is applied on a carrier plate. At least one raised structure element is formed by the paste-like material on the carrier plate. For this purpose, a stencil having inherent stiffness is arranged above the carrier plate and the paste-like material is pushed through at least one recess in the stencil. | 02-18-2016 |
20160046741 | CHLORINATED POLYOLEFIN RESIN - It is an object of the present invention to provide a chlorinated polyolefin resin having favorable adhesion to a polyolefin resin and high solubility in a composition containing an alcohol-based solvent. The present invention provides a chlorinated polyolefin resin that exhibits, in infrared spectroscopy spectrum measurement, a peak area ratio A/B×100 of 10% or higher, a peak area ratio C/B×100 of 30 to 80%, and a peak area ratio A/C×100 of 30% or higher (wherein “A” is the area of a peak resulting from hydroxyl groups, “B” is the area of a peak resulting from methylene groups, and “C” is the area of a peak resulting from carbonyl groups). The present invention also provides an ink composition for gravure printing or flexography that contains the chlorinated polyolefin resin, a printed material obtained using the above composition, and a printing method using the above composition. | 02-18-2016 |
20160046777 | DECORATIVE FILM FOR INSERT MOLDING AND DECORATIVE INSERT MOLDED ARTICLE - To obtain a decorative film for insert molding, which is decorated by an ultraviolet curing ink-jet ink, and which exhibits excellent flexibility upon insert molding. A decorative film for insert molding, having on a film substrate a decorative layer formed from an ultraviolet curing ink-jet ink, wherein the elongation of the decorative film for insert molding is 150% or more under conditions at 180° C. and 50% or more under conditions at 25° C. | 02-18-2016 |
20160048075 | PATTERN FORMING METHOD, COMPOSITION KIT AND RESIST FILM, MANUFACTURING METHOD OF ELECTRONIC DEVICE USING THESE, AND ELECTRONIC DEVICE - There is provided a pattern forming method comprising (i) forming a film on a substrate using an actinic ray-sensitive or radiation-sensitive resin composition which contains (A) a resin which decomposes due to an action of an acid to change its solubility with respect to a developer and (C) a specific resin, (ii) forming a top coat layer using a top coat composition which contains a resin (T) on the film, (iii) exposing the film which has the top coat layer to actinic rays or radiation, and (iv) forming a pattern by developing the film which has the top coat layer after the exposing. | 02-18-2016 |
20160053495 | TILE WITH DISTANCE MEANS FOR SHIFTING AND ADJUSTING TILE POSITION BEFORE ADHESION - The present invention relates to a tile ( | 02-25-2016 |
20160060408 | DRY FILM AND PRINTED WIRING BOARD - An object of the present invention is to provide: a dry film comprising a resin layer which has excellent detachability from a carrier film and in which cracking and powdering are inhibited; and a printed wiring board comprising a cured article obtained by curing the dry film. The dry film comprises a resin layer containing a thermosetting resin component, a filler and at least two solvents, wherein the at least two solvents both have a boiling point of 100° C. or higher and the boiling points of the at least two solvents are different by not less than 5° C. | 03-03-2016 |
20160062230 | METHOD OF AEROSOL PRINTING A SOLDER MASK INK COMPOSITION - A method of digitally printing a solder mask. The method includes providing a solder mask ink composition including: 1) a resin and 2) a solvent in an amount of at least 20% by weight relative to the total weight of the solder mask ink composition. The composition has a viscosity that is less than 1000 cps at a shear rate of 10 s | 03-03-2016 |
20160068619 | ACETALIZED POLYVINYL ALCOHOL BARRIER COATINGS - An in situ method of preparing modified polyvinyl acetals is described with the aim of improving the alcohol tolerance while retaining barrier properties of the base polymer. | 03-10-2016 |
20160069929 | CALIBRATION STANDARD WITH PRE-DETERMINED FEATURES - Provided herein in an apparatus, including a substrate; a functional layer, wherein the functional layer has a composition characteristic of a workpiece of an analytical apparatus; and pre-determined features configured to calibrate the analytical apparatus. Also provided herein is an apparatus, including a functional layer overlying a substrate; and pre-determined features for calibration of an analytical apparatus configured to measure the surface of a workpiece, wherein the functional layer has a composition similar to the workpiece. Also provided herein is a method, including providing a lithographic calibration standard having a functional layer to an analytical apparatus, wherein the functional layer has a composition characteristic of a workpiece of the analytical apparatus; providing calibration standard specifications to a computer interfaced with the analytical apparatus; and calibrating the analytical apparatus in accordance with calibration standard readings and the calibration standard specifications. | 03-10-2016 |
20160070167 | PATTERN FORMING METHOD, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, MANUFACTURING METHOD OF ELECTRONIC DEVICE, ELECTRONIC DEVICE AND COMPOUND - There is provided a pattern forming method comprising (i) a step of forming a film containing an actinic ray-sensitive or radiation-sensitive resin composition containing (A) a compound represented by the specific formula, (B) a compound different from the compound (A) and capable of generating an acid upon irradiation with an actinic ray or radiation, and (P) a resin that does not react with the acid generated from the compound (A) and is capable of decreasing the solubility for an organic solvent-containing developer by the action of the acid generated from the compound (B), (ii) a step of exposing the film, and (iii) a step of developing the exposed film by using an organic solvent-containing developer to form a negative pattern; the actinic ray-sensitive or radiation-sensitive resin composition above; a resist film using the composition. | 03-10-2016 |
20160070174 | PATTERN FORMING METHOD, ACTIVE LIGHT SENSITIVE OR RADIATION SENSITIVE RESIN COMPOSITION, ACTIVE LIGHT SENSITIVE OR RADIATION SENSITIVE FILM, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE - Disclosed is a pattern forming method including forming an active light sensitive or radiation sensitive film by coating a substrate with an active light sensitive or radiation sensitive resin composition; exposing the active light sensitive or radiation sensitive film; and forming a negative type pattern by developing the exposed active light sensitive or radiation sensitive film using a developer which includes an organic solvent, in which the active light sensitive or radiation sensitive resin composition contains a resin (A) which includes a repeating unit (a) which has an acidic group and a lactone structure and of which, due to a polarity thereof being increased by an action of an acid, a solubility decreases with respect to a developer which includes an organic solvent. | 03-10-2016 |
20160077434 | PHOTOSENSITIVE ELEMENT, PHOTOSENSITIVE ELEMENT ROLL, METHOD FOR PRODUCING RESIST PATTERN, AND ELECTRONIC COMPONENT - A photosensitive element | 03-17-2016 |
20160081441 | ARTICLES OF MANUFACTURE WITH DECORATIVE ORNAMENTATION RELATING TO CONSTELLATIONS - An article of manufacture includes decorative ornamentation relating to constellations. More specifically, the article of manufacture bears at least a first and a second pattern of first and second elements, respectively. In the first pattern, the first elements are arranged in relative positions that correspond to relative positions of stars in a first constellation. In the second pattern, the second elements are arranged in relative positions that correspond to relative positions of stars in a second constellation. The first and second patterns are superimposed on each other, forming a decorative ornamentation that represents a composite of the first and second constellations. The patterns can be configured so that a relative size of each element corresponds to a relative brightness of the constellation star corresponding to that element. | 03-24-2016 |
20160083601 | Ink Compositions - This disclosure relates to an ink composition (e.g., a hot melt ink composition or a radiation curable ink composition) that has a relatively low conductivity. This disclosure also relates to a continuous printing process using such a composition and a product containing such a composition. | 03-24-2016 |
20160083602 | Ink Compositions - This disclosure relates to an ink composition (e.g., a hot melt ink composition or a radiation curable ink composition) that has a relatively low conductivity. This disclosure also relates to a continuous printing process using such a composition and a product containing such a composition. | 03-24-2016 |
20160085010 | OPTICAL ALIGNMENT METHOD AND PATTERNED RETARDER MANUFACTURING METHOD USING POLARIZED PULSE UV - The present invention relates to a method for manufacturing a patterned retarder including an optical alignment layer or a first domain optically aligned in a first direction and a second domain optically aligned in a second direction. According to the invention, it is possible to improve productivity and to maximize optical alignment efficiency by reducing an optical alignment processing time using polarized pulse UV. | 03-24-2016 |
20160085104 | MASK, SPACER PRODUCED BY USING THE MASK AND METHOD FOR PRODUCING SPACER USING THE MASK - Embodiments of the present invention provide a mask, a spacer produced by the mask and a method for producing a spacer using the mask. They may reduce the bottom size of a cylinder-shaped spacer while keeping the top size of it to meet the design requirements for high resolution TFT-LCD. The mask provided by the embodiments includes a mask substrate on which a light transmission region and a light shielding region are provided, wherein a Fresnel zone plate is arranged in the light transmission region and configured to form a spacer on a glass substrate. | 03-24-2016 |
20160085183 | ELECTROSTATIC PRINTING - Disclosed herein is a method for electrostatic printing, wherein the method comprises
| 03-24-2016 |
20160089857 | BASE FILM FOR PRODUCING A GRAPHIC FILM - The present invention provides a method of reducing lifting of a graphics film from a substrate, the graphic film having a polymeric film layer having on one major side thereof an adhesive layer, wherein lifting is reduced by applying the graphic film to the substrate with an intermediary base film, the base film comprising a backing layer having opposite first and second major sides, the first major side having an adhesive layer and the graphic film being adhered to the second major side of the base film. | 03-31-2016 |
20160090494 | POLYMERIZABLE COMPOSITION, INK COMPOSITION FOR INK-JET RECORDING, METHOD OF INK-JET RECORDING, AND PRINTED ARTICLE - A polymerizable composition includes: a polymer compound; a polymerization initiator; and a polymerizable compound. The polymer compound contains at least one of a repeating unit represented by the following Formula (1) or a repeating unit represented by the following Formula (2). An ink composition for ink-jet recording includes the polymerizable composition. A method of ink jet recording and a printed article use the ink composition. | 03-31-2016 |
20160090495 | INK COMPOSITION FOR INKJET RECORDING, INKJET RECORDING METHOD, AND PRINTED MATTER - An ink composition for inkjet recording includes a (meth)acrylic resin, a polymerization initiator, and a polymerizable compound. The (meth)acrylic resin includes a skeleton structure derived from a multifunctional thiol that is trifunctional to hexafunctional, and plural polymer chains connected to the skeleton structure by a sulfide bond, each of the plural polymer chains including at least two kinds of (meth)acrylic repeating units selected from the group consisting of a repeating unit derived from a (meth)acrylate having a C | 03-31-2016 |
20160091792 | CONDUCTIVE POLYMER COMPOSITION, COATED ARTICLE, PATTERNING PROCESS, AND SUBSTRATE - The present invention provides a conductive polymer composition which contains (A) a polyaniline-based conductive polymer having a repeating unit represented by the general formula (1), (B) a polyanion, and (C) an amino acid, | 03-31-2016 |
20160096387 | ELEMENT MARKING DEVICE - A marking device for marking a truss element of a truss may include a print mechanism arranged to print selected truss information on lumber elements moving on a conveyance system passed the print mechanism, the selected truss information including one of an element identifying truss diagram, a truss plate location, and an intersecting member identifier, a position sensing device configured to sense the position of the lumber relative to the print mechanism, and a computing component, the computing component including a geometry extraction module for extracting the geometry of the element to be marked, an element marking generator for generating print instructions for the selected truss information, a position sensing module for interfacing with the position sensing device to ascertain the position of the lumber element, and a print control module for controlling the print mechanism to print the selected truss information. | 04-07-2016 |
20160097606 | Heterogeneous Surfaces - Condensation can be an important process in both emerging and traditional power generation and water desalination technologies. Superhydrophobic nanostructures can promise enhanced condensation heat transfer by reducing the characteristic size of departing droplets via a surface-tension-driven mechanism. A superhydrophobic surface can include a heterogeneous surface. | 04-07-2016 |
20160097974 | CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION, PHOTO-CURABLE DRY FILM, MAKING METHOD, PATTERNING PROCESS, AND ELECTRIC/ELECTRONIC PART-PROTECTING FILM - A chemically amplified negative resist composition comprising a silicone structure-bearing polymer forms a film which can be readily patterned. The patterned film is tightly adherent to various substrates and suited as protective film on electric/electronic parts because of improved alkali resistance and reliability. | 04-07-2016 |
20160102208 | WATER-SOLUBLE AZO COMPOUND OR SALT THEREOF, INK COMPOSITION, AND COLORED ARTICLE - A water-soluble azo compound represented by formula (1) or a salt thereof. The water-soluble yellow dye (compound) has high solubility in water, and excellent fastness properties including water resistance, moisture resistance, ozone gas resistance, scratch resistance and light resistance, and has superior color-developing properties (printing density) and color saturation. A yellow ink composition which contains the yellow dye is used for various recording purposes, particularly inkjet recording purposes. In formula (1), Q represents a halogen atom; x represents an integer of 2 to 4; and Y represents a group represented by formula (A) or (B). In formula (B), n represents an integer of 1 to 4; and R represents a hydrogen atom, a sulfo group or a carboxy group. | 04-14-2016 |
20160102220 | INKJET INK, INKJET RECORDING METHOD USING THE INKJET INK, AND PRINT FORMED BY THE INKJET INK - An inkjet ink set is provided. The inkjet ink set includes a first ink including a resin A and an organic solvent A; and a second ink including a resin B and an organic solvent which is the same as or different from the organic solvent A. The minimum film forming temperature (MFT) of the resin A is lower than that of the resin B. | 04-14-2016 |
20160107427 | FILM FOR PRINTED HYDROGRAPHICS AND METHODS OF MAKING AND USING THE SAME - A multilayer film comprising a printed hydrographic design, the multilayer film comprising a support liner, a water-soluble polymer film fixedly attached to the support liner and a hydrograph design printed thereon, the hydrographic design comprising a solvent based ink, and methods of making and using the same. | 04-21-2016 |
20160107888 | METHOD FOR PRODUCING ORGANIC HELICAL STRUCTURE, AND ORGANIC HELICAL STRUCTURE PRODUCED USING SAID METHOD - Provided is the possibility for new application of optical vortices. In order to do so, the method for producing an organic helical structure according to the present invention entails irradiating the surface of macromolecules that exhibit a photoisomerization reaction with an optical vortex, thereby forming a nanoscale helical structure on the surface of the macromolecules. In this case, it is preferable that the macromolecules exhibiting a photoisomerization reaction are azo polymer and/or spiropyran-polymer macromolecules. Moreover, it is preferable that the step for forming a nanoscale helical structure is repeated, and that a plurality of nanoscale helical structures are formed in two dimensions on the surface of the macromolecules. It is also preferable that the optical vortex is circularly polarized light, and that the total angular momentum (J) of the optical vortex is not 0. | 04-21-2016 |
20160108263 | UV CURABLE INK FOR INKJET, METHOD FOR MANUFACTURING A BEZEL USING THE SAME, A BEZEL PATTERN USING THE SAME METHOD AND DISPLAY PANEL COMPRISING THE BEZEL PATTERN - Disclosed is a method of manufacturing a bezel using UV-curable inkjet ink, including: a) subjecting a substrate to inkjet printing using UV-curable inkjet ink, thus forming a substrate having a bezel; b) thermally treating the substrate having the bezel in an oven or on a hot plate, thus eliminating cure shrinkage; and c) irradiating the bezel with UV light, thus forming a cured bezel. | 04-21-2016 |
20160108537 | CELLULOSE NANOCRYSTAL (CNC) FILMS AND CONDUCTIVE CNC-BASED POLYMER FILMS PRODUCED USING ELECTROCHEMICAL TECHNIQUES - The present describes a chiral nematic cellulose nanocrystal (CNC) film comprising: cellulose nanocrystals that self-assemble to form an iridescent CNC structure, wherein the self-assembled structure comprises a finger-print pattern of repeating bright and dark regions, defining a pitch of the iridescent film, where the pitch variable. Also described are conductive polymer nanocomposite based on the CNC film. Further described is the electrophoretic method of producing the chiral nematic cellulose nanocrystal film as well as the polymer nanocomposites and the apparatus used. | 04-21-2016 |
20160109794 | PHOTOMASK AND METHOD OF FORMING THE SAME AND METHODS OF MANUFACTURING ELECTRONIC DEVICE AND DISPLAY DEVICE USING THE PHOTOMASK - A phase shift mask includes a substrate, a second phase shift pattern on the substrate, the second phase shift pattern extending to an outermost perimeter of the substrate, the second phase shift pattern being formed of a material that is semi-transmissive to light of a first wavelength and the substrate being substantially transparent to the light of the first wavelength such that the mask transmits about 2 to about 10% of the light of the first wavelength at the second phase shift pattern, and a first phase shift pattern on the substrate, the second phase shift pattern being disposed between the outermost perimeter of the substrate and the first phase shift pattern. | 04-21-2016 |
20160114503 | SEAMLESS MOLD MANUFACTURING METHOD - A seamless mold manufacturing method of the invention is a seamless mold manufacturing method having the steps of forming a thermal reaction type resist layer on a sleeve-shaped mold, and exposing using a laser and developing the thermal reaction type resist layer and thereby forming a fine mold pattern, and is characterized in that the thermal reaction type resist layer is comprised of a thermal reaction type resist having a property of reacting in predetermined light intensity or more in a light intensity distribution in a spot diameter of the laser. | 04-28-2016 |
20160114572 | FLEXOGRAPHIC INK RECIRCULATION WITH ANTI-AIR-ENTRAINMENT FEATURES - A flexographic printing system uses a flexographic printing plate to produce printed patterns on a substrate. A fountain roller partially submerged in ink in an ink pan is used to transfer ink to an anilox roller, which transfers a controlled amount of ink to the flexographic printing plate. An ink recirculation system is used to reduce variability in system performance resulting from ink viscosity changes. A recirculation pump moves ink through an ink recirculation line connected to an ink recirculation port in an ink pan. The design of the ink pan and ink recirculation port is arranged so that an opening of the ink recirculation port is fully covered by the ink during printing by the flexographic printing system. | 04-28-2016 |
20160115322 | RADIATION-CURABLE COATING COMPOSITION - The present invention relates to a coating composition comprising (a) at least one thermoplastic polymer having a mean molar mass Mw of at least 100 000 g/mol in a content of at least 30% by weight of the solids content of the coating composition, (b) at least one UV-curable reactive diluent in a content of at least 30% by weight of the solids content of the coating composition, (c) at least one photoinitiator in a content of ≧0.1 to ≦10 parts by weight of the solids content of the coating composition, and (d) at least one organic solvent, where the proportion of ethylenically unsaturated groups is at least 3 mol per kg of the solids content of the coating composition. It further relates to a process for producing such coating compositions, to films coated therewith, to the use of such films for production of shaped bodies, to a process for producing shaped bodies having a radiation-cured coating, and to shaped bodies producible by this process. The inventive coatings have excellent solvent stability, and good scratch resistance and pencil hardness. | 04-28-2016 |
20160115329 | IMAGE FORMING METHOD AND IMAGE RECORDED MATERIAL - Provided is an image forming method including forming an image by jetting, onto a recording medium, an ink composition as liquid droplets each having a volume of from 60 pL to 120 pL, by using an inkjet head, the ink composition including a water-soluble polymer having a number average molecular weight of 1,000 or more, a water-soluble organic solvent, and a surfactant having a HLB in a range of from 3 to 12 and a number average molecular weight of less than 1,000, and the ink composition having a viscosity at 30° C. in a range of from 10 mPa·s to 14 mPa·s. | 04-28-2016 |
20160121620 | IMAGE FORMATION APPARATUS AND IMAGE FORMATION METHOD - An object is to reduce coloring of specular reflection while improving gloss uniformity (particularly, gloss clarity). Recording is performed at least part of a surface of a recording medium by using a first color material with relatively high transmittance. In this case, control is performed such that thickness variation in the applied first color material is set to have an amplitude that generates interference fringes and to have a desired cycle within a range of 40 μm or more and 320 μm or less. | 05-05-2016 |
20160121622 | ELECTROSTATIC PRINTING - Herein is disclosed a method of printing comprising the steps of:
| 05-05-2016 |
20160122100 | PACKAGING MATERIALS AND METHODS FOR THEIR PREPARATION AND USE - Packaging materials including at least one copolymer sheet containing a first polymer having a high coefficient of thermal expansion, and a second polymer having a low coefficient of thermal expansion are described. In some configurations, the copolymer sheet includes one or more sections of a bimorph structure having a first layer of the first polymer and a second layer of the second polymer. Methods of making a packaging material by bonding the first layer and the second layer to form a copolymer sheet; and heating the copolymer sheet at discrete sections to destroy the layer definition forming discrete sections having a bimorph structure of the first layer and the second layer are also described, as are kits useful for preparing the packaging material. | 05-05-2016 |
20160122490 | Composition and Method for Making a Cavitated Bio-Based Film - Composition and method for making a multi-layer bio-based film having one or more cavitated layers. In one aspect, the multilayer flexible film has polylactic acid, an inorganic filler, and a cavitation stabilizer making up at least one film layer. In one aspect, the barrier web has a cavitated bio-based film layer. In another aspect, the print web has a cavitated bio-based film layer. | 05-05-2016 |
20160122563 | Inkjet discharge method, pattern formation method, and pattern - The present invention provides a discharge method which makes it possible to appropriately perform discharge even when a head for discharging microdroplets having a size of equal to or less than 6 pL that is necessary for controlling a residual film (forming a thin film and achieving uniformity) is used, and makes it possible to obtain an excellent pattern having excellent release properties. The discharge method is an inkjet discharge method including discharging a photocurable composition in the form of liquid droplets having a size of equal to or less than 6 pL, in which the composition satisfies the following (a) to (c), (a) containing a fluorine-containing material in a proportion of equal to or less than 4% by mass of the composition; (b) having a surface tension of 25 mN/m to 35 mN/m; and (c) containing a solvent having a boiling point of equal to or less than 200° C. in an amount of 5% by mass of the composition. | 05-05-2016 |
20160122566 | INK COMPOSITION FOR INKJET PRINTING - The composition of the invention comprises: (a) polyethylene glycol with a molecular weight comprised between 20000 (20 K) and 50000 (50 K), at a weight/volume concentration comprised between 0.5 and 15%; (b) a non-ionic surfactant of general formula (I) where n is comprised between 5 and 40, and said surfactant being at a volume/volume concentration comprised between 0.1% and 2.0%; and (c) one or more ingredients selected from a liquid carrier, a binder and an additive suitable for printing. | 05-05-2016 |
20160122578 | CURABLE COMPOSITION FOR IMPRINTING - There is provided a curable composition for imprinting containing a polymerizable compound, in which (a) the concentration of a polymerizable group in the polymerizable compound is in the range of 4.3 mmol/g to 7.5 mmol/g, (b) a polymerizable compound X whose Ohnishi parameter is 3.5 or less and ring parameter is 0.35 or greater is contained in the range of 40% by mass to 95% by mass with respect to all the polymerizable compounds, (c) a polymerizable compound C having three or more polymerizable groups is further contained in the range of 5% by mass to 20% by mass with respect to all the polymerizable compounds, and (d) the viscosity of the composition being at 25° C. and in a state of not containing a solvent is in the range of 3 mPa·s to 8,000 mPa·s. | 05-05-2016 |
20160137850 | A GLAZING - The invention provides a glazing for minimising or preventing bird collisions with windows or other glazings. The glazing comprises at least one substrate, an antireflection coating, and a UV reflectance coating. The antireflection coating is between the UV reflectance coating and the substrate. The UV reflectance coating is provided in a patterned arrangement comprising a plurality of separate elements, each element being spaced apart from a neighbouring element. | 05-19-2016 |
20160137858 | MATRIX - A matrix for dissolving including a functional compound, method of preparing and using such a matrix, and a method of preparing a product including a functional compound as well as the use of such a product are described. The matrix has been provided with at least one functional compound, and at least one solvent dissolving the functional compound, and at least one film-forming agent, wherein the solvent dissolving the functional compound also homogenizes the film-forming agent into the matrix. | 05-19-2016 |
20160140992 | Magnetic Graphene - A method of making magnetic graphene comprising transferring or growing a graphene film on a substrate, functionalizing the graphene film, hydrogenating the graphene film and forming fully hydrogenated graphene, manipulating the extent of the hydrogen content, and forming areas of magnetic graphene and non-magnetic graphene. A ferromagnetic graphene film comprising film that has a thickness of less than two atom layers thick. | 05-19-2016 |
20160141149 | METHOD OF MAKING A NANOSTRUCTURE AND NANOSTRUCTURED ARTICLES - A method and apparatus for enhancing a cognitive ability of a user may comprise: conducting, via a user interface display of a user computing device, a training session which may comprise: presenting a transportation routing network having a source of travelers and a respective unique destination for each traveler and a path from the source to the respective unique destination, each path comprising at least one direction modification element operable by the user to correctly direct the traveler from the source to the respective unique destination; displaying to the user a traveler moving along a path from the source to the at least one direction modification element; allowing the user to control the position of the at least one direction modification element so as to direct the traveler from the source to the respective unique destination. | 05-19-2016 |
20160144620 | INKJET RECORDING METHOD AND INKJET RECORDING DEVICE - An inkjet recording method performed by inkjet recording device including nozzle plate with nozzle to eject droplets of ink; recording head including liquid chamber with which the nozzle is in communication, and pressure-generating unit configured to generate pressure in the liquid chamber; and signal-generating unit configured to generate signal applied to the pressure-generating unit, and allowing the droplets of the ink to eject by the pressure generated by the pressure-generating unit according to the signal, wherein the ink has static surface tension of 18.0 mN/m to 27.0 mN/m at 25° C., the ink has receding contact angle on the nozzle plate of less than 50°, the signal has two-step pull pulse for pulling the ink into the nozzle in two-step manner within one printing unit cycle, and the method includes pulling the ink located in proximity to nozzle outlet into the nozzle of the two-step pull pulse, to form meniscus at predetermined position. | 05-26-2016 |
20160145371 | BLOCK COPOLYMERS IN LAMINATE MANUFACTURING - An AB-type block copolymer for use in printed circuit board (PCB) fabrication is provided having a structure represented by the following formula: | 05-26-2016 |
20160147154 | PATTERN FORMATION METHOD, ACTIVE LIGHT-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, PRODUCTION METHOD FOR ELECTRONIC DEVICE USING SAME, AND ELECTRONIC DEVICE - There are provided a pattern formation method, including:
| 05-26-2016 |
20160147155 | PATTERN FORMATION METHOD, ACTIVE LIGHT-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, PRODUCTION METHOD FOR ELECTRONIC DEVICE USING SAME, AND ELECTRONIC DEVICE - There are provided A pattern formation method, including:
| 05-26-2016 |
20160147156 | PATTERN FORMATION METHOD, ACTIVE-LIGHT-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, METHOD FOR MANUFACTURING ELECTRONIC DEVICE, AND ELECTRONIC DEVICE - The pattern formation method includes the following steps (i) to (iii): (i) a step in which an active-light-sensitive or radiation-sensitive resin composition is used to form a film whose solubility in a developer increases as the exposure dose increases from an unexposed state but then decreases once a predetermined exposure dose has been reached; (ii) a step in which the film is exposed; and (iii) a step in which a developer containing an organic solvent in the amount of 80% by mass or more with respect to the total amount of the developer is used to develop the exposed film. | 05-26-2016 |
20160147157 | PATTERN FORMATION METHOD, PATTERN, AND ETCHING METHOD, ELECTRONIC DEVICE MANUFACTURING METHOD, AND ELECTRONIC DEVICE USING SAME - There is provided a pattern formation method comprising: a step (i) for forming a first negative type pattern by performing the specific steps on a substrate; a step (iii) for forming a lower layer by embedding the specific resin composition (2) which contains a second resin in a region of the substrate in which no film part with the first negative type pattern is formed; a step (iv) for forming an upper layer on the lower layer using the specific actinic ray-sensitive or radiation-sensitive resin composition (3); a step (v) for exposing the upper layer to light; a step (vi) for developing the upper layer using a developer which includes an organic solvent and forming a second negative type pattern on the lower layer; and a step (vii) for removing a portion of the lower layer, in the stated order. | 05-26-2016 |
20160152774 | CURABLE COMPOSITION | 06-02-2016 |
20160152847 | ENERGY CURABLE LITHOGRAPHIC INKS CONTAINING LACTIC ACID RESINS | 06-02-2016 |
20160152857 | ACRYLIC-URETHANE IPN PLASTISOL | 06-02-2016 |
20160152869 | Pressure-Sensitive Adhesive Layers with Surface-Enriched Stripes and Methods of Making | 06-02-2016 |
20160153606 | MULTI-LAYER PHONONIC CRYSTAL THERMAL INSULATORS | 06-02-2016 |
20160159042 | RESIN SHEETS EXHIBITING ENHANCED ADHESION TO INORGANIC SURFACES - Resin layers and interlayers exhibiting enhanced adhesion to inorganic surfaces, such as glass, are provided. In some cases, the layers and interlayers may comprise at least one adhesion stabilizing agent for improving adhesion to various surfaces, even in the presence of moisture. Such layers and interlayers may be useful, for example, in multiple layer panels, such as, for example, safety glass used in automotive and architectural applications. | 06-09-2016 |
20160159946 | POLY(VINYL ACETAL) SHEETS EXHIBITING ENHANCED ADHESION TO INORGANIC SURFACES - Resin layers and interlayers exhibiting enhanced adhesion to inorganic surfaces, such as glass, are provided. In some cases, the layers and interlayers may comprise at least one adhesion stabilizing agent for improving adhesion to various surfaces, even in the presence of moisture. Such layers and interlayers may be useful, for example, in multiple layer panels, such as, for example, safety glass used in automotive and architectural applications. | 06-09-2016 |
20160160003 | CURABLE COMPOSITION, FILM, AND METHOD OF PRODUCING FILM - Provided is a curable composition, including a polymerization initiator; a polymerizable compound; and an internal addition type release agent having a hydrophilic functional group, in which the internal addition type release agent is prevented from being unevenly distributed in a gas-liquid interface of the curable composition. | 06-09-2016 |
20160160061 | OLIGOMERIC AMINOKETONES AND THEIR USE AS PHOTOINITIATORS - The invention relates to photoactive oligomeric aminoketones of general Formulae I and II, for compositions and inks curable with ultraviolet (UV) light. The oligomeric aminoketones of the general Formula I are made by condensation of aminoketones with aldehydes, and aminoketones of the general Formula II are made by acylation of aromatic amines with phthalic anhydride, followed by esterification with a polyol. The aminoketones provide good curability of energy curable coatings and inks with UV-A light, and are proposed for photoinitiator systems for low migration inks. | 06-09-2016 |
20160160063 | WATER-BASED COATING AGENT FOR GRAVURE PRINTING AND GAS BARRIER FILM - A water-based coating agent for gravure printing, including an aqueous polyurethane resin (A) which contains a polyurethane resin having an acid group and a polyamine compound, a water-soluble polymer (B), and an inorganic layered mineral (C) as a main constituent component, in which the aqueous polyurethane resin (A) is 5 to 60 mass %, the water-soluble polymer (B) is 25 to 80 mass %, and the inorganic layered mineral (C) is 8 to 20 mass % in a solid compounding ratio of a total solid, a total solid concentration is greater than or equal to 5 mass %, and viscosity at 23° C. is less than or equal to 50 mPa·s, and the water-soluble polymer (B) is a polyvinyl alcohol resin having a degree of saponification of greater than or equal to 95% and a degree of polymerization of 300 to 1700. | 06-09-2016 |
20160160064 | PRINTING INK - This invention provides an inkjet ink comprising: an α,β-unsaturated ether monomer in which the only radiation-curable functional groups present in the monomer are α,β-unsaturated ether groups; a tri- or higher functionality (meth)acrylate monomer in which the only radiation-curable functional groups present in the monomer are acrylate groups; and a colouring agent; wherein the ink contains less than 5% by weight of water and volatile organic solvents in total, and less than 10% by weight of mono-and di-functional monomers in total, other than the α,β-unsaturated ether monomer, where the amounts by weight are based on the total weight of the ink, and wherein the ink has a viscosity of less than 100 mPas at 25° C. The ink is particularly suitable for printing onto food packaging. | 06-09-2016 |
20160160068 | AQUEOUS COLORING AGENT DISPERSION FOR INKJET, INK COMPOSITION, INKJET RECORDING METHOD, AND COLORED BODY - An inkjet aqueous pigment dispersion which achieves high pigment concentration, exhibits favorable redispersion properties after drying, and does not exhibit changes in ink properties even when stored for a long time; and an ink composition using the aqueous pigment dispersion. The inkjet aqueous pigment dispersion contains a pigment, a liquid medium, and a polymer dispersion agent which is an A-B block polymer obtained by copolymerization using a mixture of an organic tellurium compound represented by formula (1) and an organic ditellurium compound represented by formula (2) as a polymerization initiator. The monomer for configuring the A block is at least one type of monomer represented by formula (3), and the monomer for configuring the B block is benzyl methacrylate and/or benzyl acrylate. | 06-09-2016 |
20160168424 | LINERLESS SHEETING ARTICLE | 06-16-2016 |
20160176113 | SYSTEM AND METHOD FOR REDUCING OR ELIMINATING HUE SHIFT IN THREE-DIMENSIONAL PRINTED OBJECTS | 06-23-2016 |
20160177100 | METHOD FOR PRODUCING HALOGENATED ORGANIC PIGMENT, HALOGENATED ORGANIC PIGMENT OBTAINED BY THE PRODUCTION METHOD, AND COLORED COMPOSITION COMPRISING THE SAME | 06-23-2016 |
20160177118 | Industrial Deinking of Ink Compositions | 06-23-2016 |
20160185100 | CONTROL METHOD FOR INTAGLIO PRINTING AND CONTROL STRIP FOR THAT PURPOSE - It is especially described a control process for intaglio printing, in particular for printing paper securities, such as banknotes. This control process includes defining on an intaglio printing plate ( | 06-30-2016 |
20160185101 | METHOD OF FORMING PATTERN PRINTING LAYER ON NON-ACTIVE AREA OF DISPLAY DEVICE AND DISPLAY DEVICE HAVING THE SAME - Provided is a method of printing a pattern on a non-active area of a display device. The method includes (a) performing an inkjet printing process on a foreground color layer and (b) performing a screen-printing process on a background color layer. In the method of printing the decoration pattern on the not-active area of the display device according to the present invention, the foreground color layer with respect to the decoration pattern of the display device may be printed in the inkjet manner to simply easily uniformly realize the high resolution image having the high quality of color. | 06-30-2016 |
20160185987 | LAMINATE BODY AND ACTIVE-ENERGY-RAY-CURABLE INK COMPOSITION USING SAME - Provided is a laminate body having a decorative layer formed on the surface thereof, having excellent cracking resistance and external appearance retention even in an environment such as one in which the base material undergoes repeated expansion and contraction. A laminate body on which a decorative layer, which is a cured film made from an active-energy-ray-curable ink composition, is formed on a stretchable base material, wherein the cured film contains a cured product comprising: a monofunctional monomer (monomer (A)) having a glass transition point at or below −30° C.; and an alkylene-oxide-modified tri- or higher-functional monomer (monomer (B)) in which the number of alkylene oxide modifications/number of functional groups, which is the ratio of the number of alkylene oxide modifications and the number of functional groups, is 3 or greater, the number of cracks in a test piece of the cured film after prescribed repeated tensile testing being 3 or less. | 06-30-2016 |
20160185988 | ULTRAVIOLET RAY CURABLE INK COMPOSITION FOR USE IN INK JET METHOD AND RECORDED OBJECT - An ultraviolet ray curable ink composition is provided. The ultraviolet ray curable ink composition contains a polymerizable compound; and an aluminum pigment subjected to a surface treatment with a phosphate compound. The aluminum pigment subjected to the surface treatment with the phosphate compound is subjected to an X-ray photoelectron spectrometer to obtain an X-ray photoelectron spectrum having a wave form. Thereafter, when a waveform separation was performed by using a Voigt function in such an X-ray photoelectron spectrum, the X-ray photoelectron spectrum has peaks in the rage of 190 eV or more but 192 eV or less. A printed object is also provided. The printed object is produced by applying the ultraviolet ray curable ink composition onto a recording medium, and then irradiating the ultraviolet ray curable ink composition with an ultraviolet ray. | 06-30-2016 |
20160186323 | RESIN ARTICLE HAVING PLATING LAYER AND MANUFACTURING METHOD THEREOF - There is provided with a method for manufacturing a resin article having a plating layer, obtained by forming a plating layer on a portion of the surface of a resin article. The surface of the resin article is treated with a mask material solution. A portion of the surface of the resin article is irradiated selectively with ultraviolet rays such that it is possible to apply an electroless plating catalyst to the portion of the surface of the resin article. An electroless plating catalyst is applied to the portion of the surface of the resin article irradiated with ultraviolet rays. A plating layer is formed on the portion of the surface of the resin article irradiated with ultraviolet rays, using electroless plating. | 06-30-2016 |
20160186396 | Durable Debris and Alkaline Resistant Preformed Thermoplastic Runway Pavement Marking Compositions - Disclosed are PP/PE copolymer backbone based pre-manufactured thermoplastic airport signage compositions primarily applied in relatively large sections onto airport runways, taxiways, and additional paved surfaces. The composition provides alkali resistant formulations comprising at least 6 weight percent PE/PP, 20 weight percent binder resin with between 5 and 15 weight percent of a tackifier resin, between 2 and 12 weight percent titanium dioxide with an optional organic dye, wherein the intermix is at least 30 weight percent of the composition and wherein the intermix is an inorganic filler that greatly reduce or eliminate debris resistance when compared to other polymer backbone compositions. | 06-30-2016 |
20160194514 | Inherently Printable Polymeric Material and Related Methods | 07-07-2016 |
20160200098 | ARTICLE AND METHOD OF MAKING AND USING THE SAME | 07-14-2016 |
20160200923 | RADIATION CURABLE COMPOSITIONS FOR FOOD PACKAGING | 07-14-2016 |
20160200924 | RADIATION CURABLE COMPOSITIONS FOR FOOD PACKAGING | 07-14-2016 |
20160200942 | SHRINK WRAP LABEL COATING TO FACILITATE RECYCLING | 07-14-2016 |
20160201182 | METHOD FOR PREPARING ORGANIC FILM AND ORGANIC DEVICE INCLUDING THE SAME | 07-14-2016 |
20160201324 | METHOD TO PRODUCE A WEAR RESISTANT LAYER WITH DIFFERENT GLOSS LEVELS | 07-14-2016 |
20160251469 | IMPRINT MATERIAL CONTAINING SILSESQUIOXANE COMPOUND AND MODIFIED SILICONE COMPOUND | 09-01-2016 |
20160251520 | CURABLE COMPOSITION FOR PRINTED WIRING BOARD, AND CURED COATING AND PRINTED WIRING BOARD USING SAME | 09-01-2016 |
20160376451 | PHOTOCURABLE INKJET INK - Shown are: a photocurable inkjet ink containing 3 to 60 wt % of a compound (A1) represented by either of the following formulae (15) and (16), and 0.1 to 1 wt % of a surfactant (F); a liquid-repellent cured film obtained by photocuring the photocurable inkjet ink; a laminate which has the liquid-repellent cured film formed on a substrate having a refractive index of 1.55 or more with respect to light of a wavelength of 589 nm; a laminate which has the liquid-repellent cured film formed on a substrate having a refractive index of 1.55 or more with respect to light of a wavelength of 589 nm, and also has a microlens formed on the liquid-repellent cured film; an optical component which has a laminate having the microlens; and an image display device which has the optical component. | 12-29-2016 |
20160376455 | INK, INK STORED CONTAINER, INKJET RECORDING APPARATUS, AND PRINTED MATTER - Provided is an ink containing a wax, a plurality of organic solvents, and water, wherein the plurality of organic solvents include a compound having a solubility parameter of 9 or greater but 11 or less and a compound having a saturated vapor pressure at 100° C. of 20 mmHg or greater but 400 mmHg or less. | 12-29-2016 |
20190143630 | LASER ENGRAVED COMPOSITE PANEL WITH NATURAL FIBERS | 05-16-2019 |
20190143742 | DECORATIVE DECAL ASSEMBLY FOR A WEIGHT PLATE | 05-16-2019 |
20190144686 | SURFACE TREATMENT COMPOSITIONS AND COATED ARTICLES PREPARED THEREFROM | 05-16-2019 |
20190144699 | INKJET INK IMMOBILIZATION COMPOSITION | 05-16-2019 |
20190146063 | LIDAR COVER | 05-16-2019 |
20220136258 | MULTILAYER STRUCTURE FOR PRODUCING A PRINTED AND LINOLEUM-BASED FLOOR OR WALL COVERING - The invention relates to a multilayer structure ( | 05-05-2022 |