Entries |
Document | Title | Date |
20080198353 | Projection Objective, Projection Exposure Apparatus and Reflective Reticle For Microlithography - A projection objective for microlithography serves for imaging a pattern of a mask arranged in its object surface into an image field arranged in its image surface with a demagnifying imaging scale. It has a multiplicity of optical elements arranged along the optical axis of the projection objective, the optical elements being designed and arranged in such a way that the projection objective has an imageside numerical aperture NA>0.85 and a demagnifying imaging scale where |b|<0.05, and the planar image field having a minimum image field diameter suitable for microlithography of more than 1 mm. | 08-21-2008 |
20080198354 | Optical system and method for illuimination of reflective spatial light modulators in maskless lithography - An illuminator for a lithography system is provided. The illuminator includes a mask positioned along an optical axis and first and second refractive groupings positioned along the axis in cooperative arrangement with the mask. Also included are first and second reflecting devices for reflecting an image output from the first and second refractive groupings and a spatial light modulator (SLM) positioned along the axis in cooperative arrangement with the first and second reflecting devices. The active areas of the mask and the SLM are positioned off-axis. | 08-21-2008 |
20080204688 | Methodology For Implementing Enhanced Optical Lithography For Hole Patterning In Semiconductor Fabrication - System and method for enhancing optical lithography methodology for hole patterning in semiconductor fabrication are described. In one embodiment, a photolithography system comprises an illumination system for conditioning light from a light source, the illumination system producing a three-pore illumination pattern; a reticle comprising at least a portion of a pattern to be imaged onto a substrate, wherein the three-pore illumination pattern produced by the illumination system is projected through the reticle; and a projection lens disposed between the reticle and the substrate. | 08-28-2008 |
20080204689 | Optical module with minimized overrun of the optical element - There is provided an optical module for microlithography. The optical module includes an optical element and a retaining device for holding the optical element. The optical element has (a) a main extension plane, in which it defines a radial direction R and a circumferential direction U, and (b) a free optical diameter and an overrun in the region of its outer periphery. The retaining device contacts the optical element in the region of the overrun, and is formed and/or contacts the optical element in such a manner that the overrun ratio, calculated from the overrun related to a minimum overrun necessary for the production of the optical element, is at most 1.5. | 08-28-2008 |
20080204690 | Method, program product and apparatus for generating a calibrated pupil kernel and method of using the same in a lithography simulation process - A method of generating a model for simulating the imaging performance of an optical imaging system having a pupil. The method includes the steps of defining the optical imaging system and a process to be utilized by the optical imaging system; and defining a model equation representing the imaging performance of the optical imaging system and the process, where the model equation including a calibrated pupil kernel. The calibrated pupil kernel representing a linear model of the pupil performance. | 08-28-2008 |
20080204691 | EXPOSURE APPARATUS, MANUFACTURING SYSTEM, AND DEVICE MANUFACTURING METHOD - An exposure apparatus of the present invention includes: an exposure unit configured to expose photoresist coated on a substrate to light to transfer a pattern of a mask to the photoresist with respect to each of shot regions; and a controller configured to obtain a dose of light for each of the shot regions based on a lithography schedule for each of the shot regions, and to cause the exposure unit to expose each of the shot regions to light in accordance with the obtained dose of light, | 08-28-2008 |
20080212058 | PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD - The present invention provides a projection optical system which projects an image on a first object plane onto a second object plane, comprising a plurality of optical members inserted in turn from a side of the second object plane, said plurality of optical members being made of an isotropic crystal and including a first optical member and second optical member in each of which <1 1 1> crystal axes are oriented in a direction of an optical axis and a third optical member in which <1 0 0> crystal axes are oriented in the direction of the optical axis, wherein maximum angles | 09-04-2008 |
20080218719 | EXPOSURE APPARATUS - An exposure apparatus includes an illumination optical system that includes an optical integrator for forming a secondary light source from the light, and a variable stop arranged at or near a position where the secondary light source is formed, the diameter variable stop that defines a NA of the illumination optical system, a projection optical system that includes an aperture stop arranged at a position substantially optically conjugate with the variable stop, the aperture stop defining a numerical aperture of the projection optical system, and a controller for controlling the aperture diameter of the variable stop as the aperture diameter varies so that an image of the secondary light source can fall within the aperture diameter of the aperture stop. | 09-11-2008 |
20080218720 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus for exposing a substrate to light includes a projection optical system which includes an optical element and a driving unit configured to move the optical element, and is configured to project light from an original to the substrate, a support mechanism which includes a gas spring and is configured to support the projection optical system via the gas spring, a control unit configured to generate a driving signal for the driving unit, and an actuator configured to apply a force, in accordance with the driving signal, to the projection optical system in a direction opposite to the direction of the reaction force accompanied by the action force from the driving unit to the optical. | 09-11-2008 |
20080218721 | OPTICAL ELEMENT UNIT - An optical element unit including an optical element and an optical element holder is disclosed. The optical element holder includes a holding element and coupling elements. The holding element holds the optical element and is made of a ceramic material. The elastic coupling elements are attached to the holding element and contact the optical element. The elastic coupling elements provide deformation decoupling between the holding element and the optical element. | 09-11-2008 |
20080218722 | Lithographic apparatus and device manufacturing method - A lithographic apparatus is disclosed having a deformable lens element through which a patterned radiation beam is arranged to pass before reaching a substrate and having a deformable lens actuator configured to transmit a combination of a force substantially parallel to the optical axis of the projection system and a localized torque about an axis substantially perpendicular to the optical axis independently at a plurality of sub-regions on the deformable lens element. | 09-11-2008 |
20080225255 | CONFORMING SEATS FOR CLAMPS USED IN MOUNTING AN OPTICAL ELEMENT, AND OPTICAL SYSTEMS COMPRISING SAME - Clamps are disclosed for holding an optical element relative to a support. An exemplary clamp includes first and second arms and a member connecting the arms such that a portion of a mounting feature of the optical element is between the first and second arms. The first arm applies a clamping force toward a respective portion of the mounting feature, and the second arm includes a seat. The seat has at least upper and intermediate portions. The upper portion engages the respective location on the mounting feature. The intermediate portion is situated between the upper portion and the second arm and has a lateral thickness less than the lateral thickness of the upper portion. The intermediate portion exhibits elastic and plastic deformability sufficient for any moment applied by the clamp to the mounting feature to be limited to less than a designated peak moment, while maintaining substantially full engagement of the upper portion with the respective location. | 09-18-2008 |
20080225256 | Optical integrator system, illumination optical apparatus, exposure apparatus, and device manufacturing method - An optical integrator system comprises a first optical integrator including a plurality of first wavefront dividing elements two-dimensionally juxtaposed, and a second optical integrator including a plurality of second wavefront dividing elements two-dimensionally juxtaposed. Each of the first wavefront dividing elements is so constructed that rays obliquely incident to a center on an optical axis of an entrance surface are emitted in parallel with the optical axis. Each of the second wavefront dividing elements is also so constructed that rays obliquely incident to a center on an optical axis of an entrance surface are emitted in parallel with the optical axis. The system satisfies the condition of P2/(2×tan θ)09-18-2008 | |
20080225257 | Optical integrator system, illumination optical apparatus, exposure apparatus, and device manufacturing method - An optical integrator system comprises a first optical integrator including a plurality of first wavefront dividing elements arranged in juxtaposition along a predetermined direction, and a second optical integrator including a plurality of second wavefront dividing elements arranged in juxtaposition along the predetermined direction, which are arranged in order from the entrance side of light. The first wavefront dividing elements are so constructed that rays obliquely incident to a center on the optical axis of an entrance surface are emitted in parallel with the optical axis. The second wavefront dividing elements are so constructed that rays obliquely incident to a center on the optical axis of an entrance surface are emitted obliquely to the optical axis. | 09-18-2008 |
20080225258 | EUV illumination system having a folding geometry - There is provided an illumination system. The illumination system includes a source of light having a wavelength of less than or equal to about 193 nm, a first facet, a second facet, and a reflective element. The light is incident on the first facet via a first path, propagates from the first facet to the second facet via a second path, and propagates from the second facet to the reflective element via a third path. The second path and the third path are in substantially opposite directions from one another and substantially parallel to each other. | 09-18-2008 |
20080225259 | ILLUMINATION SYSTEM WITH VARIABLE ADJUSTMENT OF THE ILLUMINATION - An illumination system comprises (a) a first optical element upon which a light beam impinges, where the first optical element has first raster elements that partition said light beam into light channels; (b) a second optical element that receives said light channels, where the second optical element has a second raster elements; (c) an object plane that receives said light channels via said second optical element; and (d) an exit pupil that is provided with an illumination via said object plane. The system is characterized by an assignment of a member of said first raster elements and a member of said second raster elements to each of said light channels to provide a continuous beam path from said first optical element to said object plane for each of said plurality of light channels. The assignment is changeable to provide an adjustment of said illumination in said exit pupil. | 09-18-2008 |
20080239268 | Lithographic apparatus and method - A device manufacturing method includes conditioning a beam of radiation using an illumination system. The conditioning includes controlling an array of individually controllable elements and associated optical components of the illumination system to convert the radiation beam into a desired illumination mode, the controlling including allocating different individually controllable elements to different parts of the illumination mode in accordance with an allocation scheme, the allocation scheme selected to provide a desired modification of one or more properties of the illumination mode, the radiation beam or both. The method also includes patterning the radiation beam with a pattern in its cross-section to form a patterned beam of radiation, and projecting the patterned radiation beam onto a target portion of a substrate. | 10-02-2008 |
20080239269 | Laser beam formatting module and method for fabricating semiconductor dies using same - According to one exemplary embodiment, a laser beam formatting module for use in a lithographic system to fabricate a semiconductor wafer comprises an aperture plate having, for example, a circular aperture and capable of being situated between a laser source and a target, and a lens assembly, in a light path between the aperture plate and the target. The laser beam formatting module can produce a substantially uniform laser beam intensity across a target during fabrication of a semiconductor wafer in a laser-produced plasma (LPP) lithographic process using, for example, extreme ultraviolet light (EUV). In one embodiment, a laser beam formatting module improves energy conversion efficiency, reduces out-of-band radiation emission, avoids heating of reflective optics, and eliminates the need for an out-of-band radiation filter. | 10-02-2008 |
20080239270 | OPTICAL ELEMENT MODULE - An optical element module comprising a plurality of module components is provided. The module components comprise an optical element, an optical element holder and a contact element. The optical element has a first coefficient of thermal expansion. The optical element holder holds the optical element via the first contact element and has a second coefficient of thermal expansion, the second coefficient of thermal expansion being different from the first coefficient of thermal expansion. At least one of the module components is adapted to provide at least a reduction of forces introduced into the optical element upon a thermally induced position change in the relative position between the optical element and the optical element holder, the position change resulting from a temperature situation variation in a temperature situation of the plurality of module components. | 10-02-2008 |
20080239271 | ABERRATION MEASUREMENT METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A method for measuring a spherical aberration or a coma aberration of a projection optical system of an exposure apparatus configured to transfer an image of a pattern formed on an original plate onto a substrate through the projection optical system. The method for measuring the spherical aberration includes obtaining a focal position of the projection optical system under a first measurement condition, obtaining a focal position of the projection optical system under a second measurement condition different from the first measurement condition, and measuring the spherical aberration of the projection optical system based on a difference of the focal position obtained under the first and the second measurement conditions. | 10-02-2008 |
20080246940 | Illumination system for illuminating a pattering device and method for manufacturing an illumination system - An illumination system includes a housing, and an optical system located within the housing. The optical system includes at least one optical element. The optical system is constructed and arranged to illuminate a patterning device with a radiation beam diverging from an intermediate focus. The intermediate focus is located at a position substantially at the same level or below a bottom portion of the illumination system. | 10-09-2008 |
20080246941 | Wavefront aberration measuring device, projection exposure apparatus, method for manufacturing projection optical system, and method for manufacturing device - A wavefront aberration measuring device includes a mask which arranges a group of minute apertures for generating a group of point light sources at an object point as a measurement objective of an inspection-objective optical system, an illumination system which illuminates the mask with an illumination light, a diffraction grating which shears, into a plurality of light fluxes, a light flux exiting from the group of minute apertures and passing via the inspection-objective optical system, and a detecting portion which detects an interference fringe formed mutually by the plurality of sheared light fluxes, wherein a center spacing distance L between adjacent minute apertures which are adjacent in a shear direction in the group of minute apertures is defined to minimize the coherence degree. | 10-09-2008 |
20080259304 | Lithographic apparatus and method - A lithographic apparatus includes an illumination system to provide a beam of radiation; a support structure to support a patterning device, the patterning device serving to impart the radiation beam with a pattern in its cross-section; a substrate table to hold a substrate; and a projection system to project the patterned radiation beam onto a target portion of the substrate. The illumination system includes a first spatial light modulator including a first array of individually controllable elements controllable to control the field size of the radiation beam, the field position of the radiation beam or the uniformity of the radiation beam, and a second spatial light modulator arranged to apply a desired angular distribution to the radiation beam. | 10-23-2008 |
20080259305 | EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD - The present invention provides an exposure apparatus comprising an illumination optical system configured to illuminate a reticle with a light beam from a light source, and a projection optical system which is configured to project a pattern of the reticle onto a substrate, and has a numerical aperture of not less than 0.7, the projection optical system including an optical member on which an antireflection coating configured to suppress reflection of the light beam is formed and which is arranged closest to the substrate, wherein a reflectance of the antireflection coating with respect to the light beam is minimum at an incident angle of not less than 30°. | 10-23-2008 |
20080259306 | APPARATUS AND A METHOD FOR ILLUMINATING A LIGHT-SENSITIVE MEDIUM - The invention relates to an illumination unit for point illumination of a medium comprising a plurality of light emitters in the form of light guides, which are arranged to illuminate at least one illumination face via a light valve arrangement, said light valve arrangement comprising a plurality of electrically controlled light valves, at least one of the light emitters ( | 10-23-2008 |
20080266540 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - The disclosure relates an illumination system that has an optical axis and a polarization-influencing arrangement. The arrangement can include a first wedge plate with a first wedge direction which extends perpendicularly to the optical axis in the direction of a maximum change in thickness of the first wedge plate, and a second wedge plate with a second wedge direction which extends perpendicularly to the optical axis in the direction of a maximum change in thickness of the second wedge plate. The first wedge plate and the second wedge plate can be arranged rotatably about the optical axis. The first wedge plate and the second wedge plate can be respectively made from birefringent crystal material having a respective optical crystal axis. In a starting position of the arrangement in which the first wedge direction and the second wedge direction extend in mutually parallel relationship, the optical crystal axis of the first wedge plate and the optical crystal axis of the second wedge plate can be oriented at an angle of 45°±3° relative to each other. One of the two crystal axes can be oriented perpendicularly or parallel to the preferred polarization direction of the light impinging on the arrangement. | 10-30-2008 |
20080273185 | Optical System, Exposing Apparatus and Exposing Method - An optical system includes a reflecting mirror to which rays are incident across a relatively wide incidence angle range, while well suppressing a change in a polarization state in an optical path of a beam incident substantially as linearly polarized light. The optical system has a first deflecting plane mirror and a second deflecting plane mirror and a substantially linearly polarized beam is incident to the optical system. Each of the first deflecting plane mirror and the second deflecting plane mirror is so arranged that a change from a phase difference between p-polarized incident light to a reflecting surface and s-polarized incident light to the reflecting surface, to a phase difference between reflected light of the p-polarized incident light to the reflecting surface and reflected light of the s-polarized incident light to the reflecting surface is within 30° for all rays incident to the reflecting surface. | 11-06-2008 |
20080273186 | Illumination System, In Particular For A Projection Exposure Machine In Semiconductor Lithography - An illumination system is provided with a light produced by a light source, with an optical axis and with optical elements, in particular for a projection exposure machine in semiconductor lithography, having at least one optical element for producing a pupil distribution of the light beam, and having a homogenizing element for homogenizing the intensity of the light. For an asymmetric pupil distribution at least the optical elements that produce non-rotationally symmetrical light distributions, and/or the homogenizing element are supported rotatably about the optical axis that forms a z-axis of an x-/y-coordinate system, it being possible to set at least one rotational angle a in such a way that the pupil distribution is located on an axis or symmetrically in relation to an axis of an x′-/y′-coordinate system newly formed by the rotational angle a by means of rotating the x-/y-coordinate system by the angle a. | 11-06-2008 |
20080273187 | Lens structure, optical system having the same, and lithography method using the optical system - Disclosed are a lens structure, an optical system including the same, and a lithography method using the optical system. The disclosed lens structure includes: a lens that has a substantially semispherical shape and includes a protruding portion having a truncated cone shape that is provided on its aspherical surface; a thin metal film that is formed on the surface of the protruding portion, and has an aperture formed at a position corresponding to the center of an upper surface of the protruding portion; and an immersion layer that is formed with a constant thickness on the upper surface of the protruding portion. | 11-06-2008 |
20080278704 | ILLUMINATION SYSTEM FOR A PROJECTION EXPOSURE APPARATUS WITH WAVELENGTHS LESS THAN OR EQUAL TO 193 nm - The disclosure relates to illumination systems for projection exposure apparatuses, projection exposure apparatus, and related components, systems and methods. The illumination systems can be configured to be used with wavelengths less than 193 nm. | 11-13-2008 |
20080285000 | Lithographic apparatus and device manufacturing method - A lithographic apparatus includes a projection system configured to project a patterned radiation beam onto a target portion of a substrate. The apparatus is configured to provide the radiation beam with a radiation distribution in a pupil plane of an illumination system of the apparatus, the intensity of the radiation contained substantially within a plurality of discrete areas across the radiation beam and the radiation beam having one or more first regions of a first polarization having a spatial distribution across the pupil plane which overlap partial portions of the discrete areas and one or more second regions of a second polarization having a spatial distribution in areas across the pupil plane which overlap the rest of the discrete areas other than the partial portions. The apparatus further includes a polarization filter, in the radiation beam path between at least part of the projection system and the substrate, configured to selectively transmit parts of the radiation beam having a polarization of only one of said first and second polarizations, such that only radiation corresponding to either said partial portions or said rest of the discrete areas is incident on the target portion of the substrate. | 11-20-2008 |
20080285001 | Lithography aperture lenses, illumination systems, and methods - Lithography aperture lenses, illumination systems, and methods are disclosed. In a preferred embodiment, a lens includes a substantially transparent material and an electro-optical material disposed proximate the substantially transparent material, wherein the lens is a lens for an illuminator of a lithography system. | 11-20-2008 |
20080285002 | PROJECTION OBJECTIVE FOR SEMICONDUCTOR LITHOGRAPHY - Objectives, such as projection objectives for semiconductor lithography, are disclosed. An objective generally has an optical axis and optical elements mounted in an objective housing. Projection exposure apparatuses having an objective are also disclosed. In addition, guides and adjusting systems for an optical element in an objective are disclosed. Further, related components and methods are disclosed. | 11-20-2008 |
20080291417 | Laser Beam Conditioning System Comprising Multiple Optical Paths Allowing for Dose Control - A radiation beam conditioning system comprising at least three optical paths in which the radiation is conditioned. | 11-27-2008 |
20080291419 | PROJECTION OBJECTIVE FOR IMMERSION LITHOGRAPHY - In a projection objective provided for imaging a pattern arranged in an object plane of the projection objective into an image plane of the projection objective with the aid of an immersion medium arranged between a last optical element of the projection objective in the light path and the image plane, the last optical element has a transparent substrate and a protective layer system that is fitted to the substrate, is provided for contact with the immersion medium and serves for increasing the resistance of the last optical element to degradation caused by the immersion medium. | 11-27-2008 |
20080297753 | APPARATUS AND METHOD FOR DEFECT-FREE MICROLITHOGRAPHY - An illumination source pupil for microlithography includes a substrate of substantially opaque material having an x-axis and a y-axis defined with respect to the substrate. The substrate has a first arcuate opening therein, and a second arcuate opening therein. The substrate also includes a third opening therein positioned at the intersection of the x-axis and the y-axis. | 12-04-2008 |
20080297754 | Microlithographic projection exposure apparatus - The disclosure relates to a microlithographic projection exposure apparatus, such as are used for the production of large-scale integrated electrical circuits and other microstructured components. The disclosure relates in particular to coatings of optical elements in order to increase or reduce the reflectivity. | 12-04-2008 |
20080297755 | Focusing-device for the radiation from a light source - A focusing-device for the radiation from a light source ( | 12-04-2008 |
20080297756 | Transverse electric-field type liquid crystal display device, process of manufacturing the same, and scan-exposing device - A process of manufacturing a liquid crystal display device of transverse electric-field type, wherein a halftone photomask which is used to form a photoresist pattern has a fully light-shielding area preventing UV irradiation of a portion of an active matrix substrate in which a thin-film transistor element is to be formed, so that the photoresist pattern includes a positive resist portion which has a first thickness and which is formed on the above-indicated portion of the substrate. The halftone mask further has a fully light-transmitting area which permits fully UV transmission therethrough to provide the photoresist pattern with a resist-free area which corresponds to a portion of the substrate in which a contact hole serving as a third connection portion connecting an external scanning-line driver circuit and a scanning-line terminal portion through a junction electrode is to be formed. The photoresist pattern also has a positive resist portion which is formed in the other portion of the substrate and which has a second thickness smaller than the first thickness. Also disclosed in a scan-exposing device used in the process is also disclosed. | 12-04-2008 |
20080304034 | DOSE CONTROL FOR OPTICAL MASKLESS LITHOGRAPHY - A lithographic apparatus comprises a patterning device, a projection system, and a controller. The patterning device is configured to pattern a beam of radiation. The radiation beam comprises a plurality of pulses of radiation. The projection system is configured to project the patterned beam of radiation onto a substrate coated with a layer of radiation sensitive material. The controller is arranged to control a total energy of a respective pulse of the plurality of pulses of the radiation beam. The controller is configured to take into account information indicative of properties of the layer of radiation sensitive material on a part of the substrate onto which the radiation beam is to be projected. | 12-11-2008 |
20080304035 | OPTICAL ELEMENT, PROJECTION LENS AND ASSOCIATED PROJECTION EXPOSURE APPARATUS - An optical element ( | 12-11-2008 |
20080304036 | CATADIOPTRIC IMAGING SYSTEM, EXPOSURE DEVICE, AND DEVICE MANUFACTURING METHOD - A catadioptric imaging optical system with a high numerical aperture and including an effective imaging region shaped optimally for use in a batch type exposure apparatus and having an imaging magnification with a small absolute value. The catadioptric imaging optical system includes a dioptric first imaging system, which forms a first intermediate image based on light from the first plane, a second imaging system, which forms a second intermediate image based on light from the first intermediate image, a third dioptric imaging system, which forms a reduced image on the second plane based on light from the second intermediate image, and a deflecting mirror arranged in an optical path extending from the first imaging system to the second imaging system and an optical path extending from the second imaging system to the third imaging system. The first imaging system and the second imaging system provide a composite imaging magnification having an absolute value β | 12-11-2008 |
20080309905 | METHOD OF PROCESSING AN OPTICAL ELEMENT AND AN OPTICAL ELEMENT, IN PARTICULAR FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A method of processing an optical element which has a substrate ( | 12-18-2008 |
20080316455 | PROJECTION OBJECTIVE OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - The disclosure relates a projection objective of a microlithographic projection exposure apparatus. In some embodiments, the apparatus is configured to project a mask which can positioned in an object plane onto a light-sensitive layer which can be positioned in an image plane. The projection objective can include a last optical element at the image plane side having a light entrance surface and a light exit surface. The projection objective can also include an immersion liquid is arranged in a region between the light exit surface and the image plane. At a working wavelength of the projection objective, the immersion liquid can have a refractive index of at least 1.5. At least one interface between the light entrance surface of the last optical element at the image plane side and the immersion liquid can have at least region-wise a microstructuring. | 12-25-2008 |
20080316456 | CATADIOPTRIC PROJECTION OBJECTIVE WITH GEOMETRIC BEAM SPLITTING - A projection exposure lens has an object plane, optical elements for separating beams, a concave mirror, an image plane, a first lens system arranged between the object plane and the optical elements for separating beams, a second double pass lens system arranged between the optical elements for separating beams and the concave mirror, a third lens system arranged between the optical elements for separating beams and the image plane. The second lens system has a maximum of five lenses. | 12-25-2008 |
20090002662 | Lighting Apparatus, Exposure Apparatus And Microdevice Manufacturing Method - An illumination apparatus for illuminating a surface (M) to be irradiated with illumination light emitted from a light source ( | 01-01-2009 |
20090002663 | PROJECTION ILLUMINATION SYSTEM - A projection illumination system with a plurality of optical components ( | 01-01-2009 |
20090002664 | OPTICAL INTEGRATOR, ILLUMINATION OPTICAL DEVICE, ALIGNER, AND METHOD FOR FABRICATING DEVICE - An optical integrator is able to keep down a light-quantity loss in modified illumination with an illumination optical apparatus. An optical integrator of a wavefront division type according to the present invention has a plurality of refracting surface regions which refract incident light, and a plurality of deflecting surface regions provided corresponding to the plurality of refracting surface regions and adapted for changing a traveling direction of the incident light. The plurality of refracting surface regions include a plurality of first refracting surface regions includes an arcuate contour with the center projecting in a first direction, and a plurality of second refracting surface regions includes an arcuate contour with the center projecting in a second direction. | 01-01-2009 |
20090002665 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes a measurement system which performs exposure control measurement using a first mark arranged on an original stage and a second mark arranged on a substrate stage, and a control unit which can set, when the measurement system performs the measurement in order to expose a substrate to light under a certain illumination condition, an illumination condition different from the certain illumination condition. | 01-01-2009 |
20090002666 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus which exposes a substrate to pulsed light supplied from a light source, comprises an input device, and a controller configured to periodically change a wavelength of the pulsed light emitted by the light source, wherein the controller is configured to calculate number of pulsed light required to expose one shot region on the substrate based on a parameter input from the input device, and configured to determine a changing period of the wavelength based on the calculated number. | 01-01-2009 |
20090002667 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus which exposes a substrate with exposure light, comprises a projection optical system which projects a pattern image of an original onto the substrate; a first illumination unit which illuminates the original with the exposure light; and a second illumination unit which guides illumination light that is different from the exposure light for exposing the substrate to the projection optical system, the second illumination unit includes a modifier which modifies an illumination distribution of the illumination light entering an optical element near a pupil of the projection optical system and an optical element which is the closest to the original in the projection optical system. | 01-01-2009 |
20090002668 | Method and Device for Controlling a Plurality of Actuators and an Illumination Device for Lithography - The present invention relates to a method and a device for controlling multiple actuators with a controller and multiple actuators controllable by the controller. At least several groups of actuators are individually controllable by the controller and to each actuator or each group of actuators is assigned at least one memory storage unit, which can store at least one parameter for controlling one or more actuators. At least two parameters are assigned to each actuator or each group of actuators, with one position of the actuator(s) being assigned to each of the parameters. | 01-01-2009 |
20090002669 | ULTRAVIOLET LIGHT-EMITTING DIODE EXPOSURE APPARATUS FOR MICROFABRICATION - An exposure apparatus for use in optical lithography can include a holder and a plurality of UV-LED modules carried by the holder and disposed in an array. A respective plurality of collimating lenses can be disposed in an array corresponding to the array of UV-LED modules. The plurality of UV-LED modules and the respective plurality of collimating lenses can provide a respective plurality of distinct beams of UV light. The plurality of collimating lenses may be spaced from the plurality of UV-LED modules and spaced from the exposure plane and have an optical configuration providing a composite beam of UV light formed from the plurality of distinct beams of UV light in which each beam inside the periphery of the array overlaps each adjacent beam by at least 70% at the exposure plane. A method for directing light onto an exposure plane in an optical lithography procedure is provided. | 01-01-2009 |
20090002670 | Apparatus for the manipulation and/or adjustment of an optical element - The invention relates to an apparatus for the manipulation and/or adjustment of an optical element with respect to a structure, the optical element being connected to the structure by means of a number of setting members, and the setting members having as active adjusting elements screw elements or piezoceramic elements, which in each case produce an active force along one degree of freedom and by means of which the optical element is connected to the structure in such a way that it can be set in up to six degrees of freedom. | 01-01-2009 |
20090002671 | Illumination optical apparatus, exposure apparatus, and device manufacturing method - An illumination optical apparatus that substantially maintains a desirable profile even when changing the outer shape of light intensity distribution formed on an illumination pupil plane with an axicon system. The illumination optical system illuminates an irradiated surface based on light from an optical source and includes a prism system, which changes the interval along an optical axis between a pair of prisms to change the light intensity distribution on an illumination pupil plane. | 01-01-2009 |
20090009743 | METHOD OF DEFINING PATTERNS IN SMALL PITCH AND CORRESPONDING EXPOSURE SYSTEM - A method of defining patterns in a small pitch is described. A substrate having a target layer thereon is provided, and two laterally separate reflective structures with two opposite sidewalls are formed over the target layer. A photoresist layer is formed over the target layer between the two opposite sidewalls. An exposure step is performed allowing light to be reflected by the two opposite sidewalls in the lateral direction, wherein the two opposite sidewalls are spaced by a distance to cause the reflected light to produce a periodical intensity distribution in the photoresist layer in the lateral direction. | 01-08-2009 |
20090009744 | ILLUMINATION SYSTEM FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system for a microlithographic projection exposure apparatus ( | 01-08-2009 |
20090009745 | Exposure method, exposure apparatus, and method for producing device - A method for forming a liquid immersion area with a liquid on an object, includes determining a condition for forming the liquid immersion area based on affinity between the liquid and a liquid contact surface of the object, and forming the liquid immersion area under the determined condition. | 01-08-2009 |
20090015811 | EXPOSURE APPARATUS, METHOD FOR SELECTING OPTICAL ELEMENT, AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes a light source configured to generate light having a wavelength of 250 nm or less, an illumination optical system comprising an optical element having synthetic quartz as a lens material and configured to illuminate an original plate using the light generated by the light source, and a projection optical system configured to project a pattern of the original plate onto a substrate. A value of an absorption coefficient of a hydroxyl group of the optical element having an infrared absorption band at 3585 cm | 01-15-2009 |
20090015812 | ILLUMINATION SYSTEM PARTICULARLY FOR MICROLITHOGRAPHY - There is provided an illumination system for scannertype microlithography along a scanning direction with a light source emitting a wavelength ≦193 nm. The illumination system includes a plurality of raster elements. The plurality of raster elements is imaged into an image plane of the illumination system to produce a plurality of images being partially superimposed on a field in the image plane. The field defines a non-rectangular intensity profile in the scanning direction. | 01-15-2009 |
20090021713 | ILLUMINATION SYSTEM AND MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS INCLUDING SAME - The disclosure relates an illumination system configured to guide illumination light from a radiation source to an object plane and to provide defined illumination of an object field in the object plane, wherein illumination light is supplied to the object field by a bundle-guiding optical pupil component which is disposed in a pupil plane of the projection objective, and wherein at least another bundle-guiding component is disposed upstream of the pupil component in the beam path of the illumination light. The disclosure further concerns a projection exposure apparatus that includes such an illumination system of this type, a method of fabricating a microstructured component using such a projection exposure apparatus, and a microstructured component fabricated using such a method. | 01-22-2009 |
20090021714 | COMBINATION STOP FOR CATOPTRIC PROJECTION ARRANGEMENT - The disclosure relates to an optical projection arrangement that can be used to image a reticle onto a substrate. The projection arrangement includes reflective elements, by which a ray path is defined. A combination stop is in a pupil of the ray path. The combination stop has a first opening (aperture opening) for use as an aperture stop. The combination stop also has a second opening for allowing passage of a ray bundle of the ray path, such that the combination stop acts as a combined aperture stop and stray light stop. In addition, the disclosure relates to a corresponding combination stop for optical arrangements, as well as related systems, components and methods. | 01-22-2009 |
20090021715 | MICROLITHOGRAPHIC ILLUMINATION SYSTEM - A microlithographic illumination system can include a light distribution device that can generate a two-dimensional intensity distribution in a first illumination plane. A first raster array of optical raster elements can generates a raster array of secondary light sources. A device with an additional optical effect can be disposed spatially adjacent to the two raster arrays. The device can be configured as an illumination angle variation device. The device can influence the intensity and/or the phase and/or the beam direction of the illumination light. The influence can be such that an intensity contribution of raster elements to the total illumination intensity can vary across the illumination field. This can enable the illumination intensity to be influenced across the illumination field in a defined manner with respect to the total illumination intensity and/or with respect to the intensity contributions from different directions of illumination. | 01-22-2009 |
20090021716 | ILLUMINATION SYSTEM FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - Illumination systems for microlithographic projection exposure apparatus, as well as related systems, components and methods are disclosed. In some embodiments, an illumination system includes one or more scattering structures and an optical integrator that produces a plurality of secondary light sources. | 01-22-2009 |
20090027644 | PROJECTION OBJECTIVE - The disclosure relates a projection objective for imaging an object field in an object plane into an image field in an image plane. The disclosure also relates to a microlithographic projection exposure apparatus including such a projection objective. The disclosure further relates to methods of using such a projection exposure apparatus to fabricate microstructured or nanostructured components, such as highly integrated semiconductor components. In addition, the disclosure relates to components fabricated by such methods. | 01-29-2009 |
20090027645 | EXPOSURE APPARATUS AND METHOD THEREOF - Disclosed are an exposure apparatus and a method thereof. The exposure apparatus includes a laser oscillating part which generates an incident light. A polarization part includes at least one medium, and generates a polarized light by reflecting the incident light from the medium. A light condensing part condenses the polarized light. An exposure part exposes a wafer using the polarized light condensed by the light condensing part. | 01-29-2009 |
20090027646 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - The disclosure provides an illumination system of a microlithographic projection exposure apparatus, as well as related methods and components. In some embodiments, the illumination system includes an optical element configured so that, when a linearly polarised entry beam which has an angle spectrum is incident on the first optical element, a maximum aperture angle of the entry beam at the first optical element is not more than 35 mrad. A component, which is rotationally symmetric about an optical axis of the system, of a birefringence present in the illumination system can be at least partially compensated by the first optical element. | 01-29-2009 |
20090033904 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - This invention provides an exposure apparatus which exposes a substrate ( | 02-05-2009 |
20090040491 | Lithographic apparatus and device manufacturing method - A lithographic system includes a source configured to generate a radiation, the source including a cathode and an anode, the cathode and the anode configured to create a discharge in a fuel located in a discharge space so as to generate a plasma, the discharge space including, in use, a substance configured to adjust radiation emission by the plasma so as to control a volume defined by the plasma; a pattern support configured to hold a patterning device, the patterning device configured to pattern the radiation to form a patterned beam of radiation; a substrate support configured to support a substrate; and a projection system configured to project the patterned beam of radiation onto the substrate. | 02-12-2009 |
20090040492 | Lithographic apparatus and device manufacturing method - A source configured to generate radiation for a lithographic apparatus is disclosed. The source includes an anode, and a cathode. The cathode and the anode are configured to create a discharge in a fuel in a discharge space between the anode and the cathode so as to generate a plasma, the cathode and the anode positioned relative to each other so that, in use, current lines extending between the anode and the cathode are substantially curved so as to create a force that substantially radially compresses the plasma only in a region proximate an upper surface of the cathode or of the anode. | 02-12-2009 |
20090040493 | ILLUMINATION OPTICAL SYSTEM, ILLUMINATION OPTICAL APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An illumination optical apparatus includes a light source, which supplies illumination light including a wavelength of 5 nm to 50 nm, and an illumination optical system, which guides the illumination light to an illuminated surface. The illumination optical system includes an aperture angle restriction member and a condenser optical system, which is arranged in an optical path between the aperture restriction member and the illuminated surface to guide light beam from the aperture angle restriction member to the illuminated surface. A rotation axis of an arcuate-shape of an illumination region formed on the illuminated surface is located outside an opening of the aperture angle restriction member. The condenser optical system includes a plurality of reflection surfaces. Among the plurality of reflection surfaces, the reflection surface closest to the illuminated surface along the optical path includes a concave shape. When, for example, applied to an EUVL exposure apparatus, the illumination optical apparatus illuminates a reflective mask, serving as the illumination plane, without a plane mirror in the optical path between the illumination optical system and the mask. | 02-12-2009 |
20090040494 | METHODS AND APPARATUSES FOR CONFIGURING RADIATION IN MICROLITHOGRAPHIC PROCESSING OF WORKPIECES - Methods and apparatuses for configuring radiation used in microlithographic processing of workpieces are disclosed herein. One particular embodiment of such a method comprises directing a radiation beam along a radiation path from a reticle to an adjustment structure. The radiation beam has a wavefront with a first configuration in an image plane generally transverse to the radiation path. The method continues by changing at least one independently controllable parameter of the adjustment structure to change the wavefront of the radiation beam from the first configuration to a second configuration. After changing the shape of the wavefront from the first configuration to the second configuration, the method continues by impinging the radiation beam on the workpiece. | 02-12-2009 |
20090046268 | Projection optical system, exposure apparatus, and exposure method - An immersion projection optical system having, for example, a catadioptric and off-axis structure, reduces the portion of an image space filled with liquid (immersion liquid). The projection optical system, which projects a reduced image of a first plane onto a second plane through the liquid, includes a refractive optical element (Lp) arranged nearest to the second plane. The refractive optical element includes a light emitting surface (Lpb) shaped to be substantially symmetric with respect to two axial directions (XY-axes) perpendicular to each other on the second plane. The light emitting surface has a central axis (Lpba) that substantially coincides with a central axis ( | 02-19-2009 |
20090051890 | MICROLITHOGRAPHY PROJECTION OPTICAL SYSTEM, TOOL AND METHOD OF PRODUCTION - A microlithography projection optical system is disclosed. The system can include a plurality of optical elements arranged to image radiation having a wavelength λ from an object field in an object plane to an image field in an image plane. The plurality of optical elements can have an entrance pupil located more than 2.8 m from the object plane. A path of radiation through the optical system can be characterized by chief rays having an angle of 3° or more with respect to the normal to the object plane. This can allow the use of face shifting masks as objects to be imaged, in particular for EUV wavelengths. | 02-26-2009 |
20090059196 | Systems and Methods for In-Situ Reflectivity Degradation Monitoring of Optical Collectors Used in Extreme Ultraviolet (EUV) Lithography Processes - Systems and methods for in-situ reflectivity degradation monitoring of optical collectors used in extreme ultraviolet (EUV) lithography processes are described. In one embodiment, a method comprises providing a semiconductor lithography tool employing an EUV source optically coupled to a collector within a vacuum chamber, the collector providing an intermediate focus area, measuring a first signal at the EUV source, measuring a second signal at the intermediate focus area, comparing the first and second signals, and monitoring a reflectivity parameter of the collector based upon the comparison. In another embodiment, a method comprises emitting a signal from a non-EUV light source optically coupled to the collector, measuring a signal reflected by the collector, and monitoring a reflectivity parameter of the collector based upon a comparison between the emitted and measured signals. | 03-05-2009 |
20090066927 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus comprises an illumination optical system configured to illuminate an original with exposure light from an exposure light source, a projection optical system configured to project a pattern of the original onto a substrate, and a measuring unit configured to measure a relative position between the original and the substrate via the projection optical system using the exposure light as measurement light. The exposure apparatus exposes the substrate using the exposure light with a plurality of wavelengths or a broadband wavelength upon aligning the original and the substrate based on the measurement result obtained by the measuring unit, and the measuring unit switches a wavelength of the exposure light as the measurement light to a specific wavelength or a narrow-band wavelength in measuring the relative position between the original and the substrate. | 03-12-2009 |
20090073411 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, OPTICAL ELEMENT AND MANUFACTURING METHOD THEREOF, AND DEVICE MANUFACTURING METHOD - An illumination optical system which illuminates a surface to be illuminated on the basis of light from a light source has a first optical path in which a diffractive optical element can be arranged at a first position thereof; a second optical path in which a spatial light modulator with a plurality of optical elements arrayed two-dimensionally and controlled individually can be arranged at a second position thereof; and a third optical path which is an optical path of light having passed via at least one of the first optical path and the second optical path and in which a distribution forming optical system is arranged. The distribution forming optical system forms a predetermined light intensity distribution on an illumination pupil located in the third optical path, based on the light having passed via at least one of the first and second optical paths. | 03-19-2009 |
20090073412 | OFF-AXIS OBJECTIVES WITH ROTATABLE OPTICAL ELEMENT - An objective and a method for operating an objective, in particular a projection objective or an illumination objective for microlithography for imaging a reticle onto a wafer, with a plurality of optical elements that are arranged along a ray path, wherein at least one optical element of a first kind ( | 03-19-2009 |
20090079952 | SIX-MIRROR EUV PROJECTION SYSTEM WITH LOW INCIDENCE ANGLES - The invention relates to a projection system for guiding light with wavelengths ≦193 nm from an object plane to an image plane, comprising at least a first mirror (M | 03-26-2009 |
20090086182 | APPARATUS FOR SLM-BASED OPTICAL LITHOGRAPHY WITH GRAY LEVEL CAPABILITY - An optical lithography system comprises a light source, a spatial light modulator, imaging optics and means for continuously moving a photosensitive substrate relative to the spatial light modulator. The spatial light modulator comprises at least one array of individually switchable elements. The spatial light modulator is continuously illuminated and an image of the spatial light modulator is continuously projected on the substrate; consequently, the image is constantly moving across the surface of the substrate. While the image is moving across the surface, elements of the spatial light modulator are switched such that a pixel on the surface of the substrate receives, in serial, doses of energy from multiple elements of the spatial light modulator, thus forming a latent image on the substrate surface. The imaging optics is configured to project a blurred image of the spatial light modulator on the substrate, enabling sub-pixel resolution feature edge placement. | 04-02-2009 |
20090086183 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes a calculating unit which calculates information representing the optical characteristic of the projection optical system, based on the relationship between the amount of defocus from the image plane of the projection optical system and the position of an image formed by the projection optical system. | 04-02-2009 |
20090086184 | Controlling Fluctuations in Pointing, Positioning, Size or Divergence Errors of a Beam of Light for Optical Apparatus - A system and method are used for controlling fluctuations in one or more of a beam pointing error, a beam positioning error, a beam size error or a beam divergence error of a beam of light in a lithography system. An optical apparatus may comprise a first beam control module having a first optics in an optical axis for optically isolating a laser pulse from a light source associated with an illuminator to provide the beam of light. These beam related errors may be selectively stabilized by either homogenizing selectively the spatial field and/or angular information of a given illumination profile for the beam of light and symmetrizing other one of the spatial field or angular information which is not being homogenized based on a first arrangement of the first optics or homogenizing and symmetrizing both of the spatial field and angular information based on a second arrangement of the first optics. | 04-02-2009 |
20090091728 | Compact High Aperture Folded Catadioptric Projection Objective - A catadioptric projection objective has a plurality of optical elements arranged along an optical axis to image a pattern from an object field in an object surface of the objective to an image field in an image surface region of the objective at an image-side numerical aperture NA≧1.35 with electromagnetic radiation defining an operating wavelength λ. The optical elements form a first objective part configured to image the pattern from the object surface into a first intermediate image, a second objective part configured to image the first intermediate image into a second intermediate image, the second objective part including a concave mirror having a reflective mirror surface positioned at or close to a pupil surface; and a third objective part configured to image the second intermediate image into the image surface. A first deflecting mirror is arranged to deflect radiation from the object surface towards the concave mirror, and a second deflecting mirror is arranged to deflect radiation from the concave mirror towards the image surface such that the image surface is parallel to the object surface. A geometrical distance L between the object surface and the image surface is smaller than or equal to 1950 mm. | 04-09-2009 |
20090091729 | Lithography Systems and Methods of Manufacturing Using Thereof - Lithography systems and methods of manufacturing semiconductor devices are disclosed. For example, a lithography system includes at least two reticle stages and a common projection lens system disposed between the reticle stages and a wafer stage, and at least one alignment system for aligning the reticle stages. | 04-09-2009 |
20090091730 | SPATIAL LIGHT MODULATION UNIT, ILLUMINATION APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A spatial light modulation unit can be arranged in an optical system and can be arranged along an optical axis of the optical system. The spatial light modulation unit includes a first folding surface which folds light incident in parallel with the optical axis of the optical system; a reflective spatial light modulator which folds the light folded on the first folding surface; and a second folding surface which folds the light folded on the spatial light modulator, to emit the light into the optical system. The spatial light modulator applies spatial modulation to the light, according to a position where the light folded on the first folding surface is incident to the spatial light modulator. | 04-09-2009 |
20090091731 | ILLUMINATION OPTICAL SYSTEM FOR MICROLITHOGRAPHY - The disclosure relates to illumination optical systems for microlithography, such as EUV-microlithography, as well as related systems, components and methods. | 04-09-2009 |
20090091732 | SCANNING OPTICAL DEVICE, IMAGE FORMING APPARATUS USING THE SAME, AND METHOD OF ADJUSTING SCANNING OPTICAL DEVICE - A scanning optical device including a plurality of light source devices | 04-09-2009 |
20090091733 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - This invention provides an exposure apparatus configured to expose a pattern of an original on a substrate through a projection optical system. The apparatus comprises a holding unit configured to hold the projection optical system, at least three anti-vibration mounts configured to support the holding unit, and at least three supporting members configured to support the at least three anti-vibration mounts respectively. The at least three supporting members are independent of each other without connecting to each other. | 04-09-2009 |
20090091734 | Illumination System - A coherence remover is provided. In an embodiment the coherence remover includes a first mirror and a second mirror coupled to the first mirror. The coherence remover is configured to receive an input beam. Each of the first and second mirrors is configured to reflect a respective portion of the input beam to produce respective one or more intermediate beams. The intermediate beams collectively form an output beam that has a reduced coherence compared to the input beam. | 04-09-2009 |
20090091735 | ILLUMINATION OPTICAL SYSTEM AND EXPOSURE APPARATUS HAVING THE SAME - An illumination optical system for illuminating an object surface using light from a light source, the illumination optical system includes, a first optical system that includes a movable unit that is movable along an optical axis, said first optical system guiding the light to the object surface and varying an irradiation area on a certain plane, and a second optical system that can be located on and removed from an optical path of said first optical system, the second optical system varies, when located on the optical path of said first optical system, the irradiation area on the certain plane in cooperation with said first optical system, while maintaining a shape of a light intensity distribution on the certain plane, relative to the irradiation area irradiated only by said first optical system. | 04-09-2009 |
20090097004 | Lithography Apparatus, Masks for Non-Telecentric Exposure and Methods of Manufacturing Integrated Circuits - A lithography apparatus includes a first optical system configured to irradiate a mask with a non-telecentric illumination and a second optical system configured to guide radiation reflected off or transmitted through the mask to a substrate. The mask includes an absorber structure arranged over a non-absorbing surface, wherein the absorber structure includes sidewalls extending in a first direction intersecting a main plane of incidence of the non-telecentric illumination. The sidewall angle of the sidewalls may be at most equal to 90° minus the angle of incidence of the non-telecentric illumination and at least equal to 90° minus the sum of the angle of incidence and a half acceptance angle of the second optical system. | 04-16-2009 |
20090097005 | EXPOSURE APPARATUS AND CORRECTION APPARATUS - An exposure apparatus is configured to expose a pattern of an original on a substrate by using light from a light source. The exposure apparatus includes an illumination optical system configured to illuminate the original by polarized light by using the light from the light source, and a correction unit configured to correct misalignment of the optical axis of the light from the light source and the optical axis of the illumination optical system. The correction unit includes a first lens and a deflecting member, the first lens can move in a direction perpendicular to an optical axis of the lens, and a deflecting direction of the light deflected by the deflecting member is variable. | 04-16-2009 |
20090097006 | Apparatus and Method for Obtaining Information Indicative of the Uniformity of a Projection System of a Lithographic Apparatus - Apparatus and methods are used to obtain information indicative of the uniformity of a projection system of a lithographic apparatus. An electromagnetic radiation beam is directed toward a projection system such that the radiation beam passes from a first end of the projection system to a second end of the projection system. The electromagnetic radiation beam is subsequently directed back toward the projection system such that the electromagnetic radiation beam passes from the second end of the projection system to the first end of the projection system. At least a part of the electromagnetic radiation beam is detected after the electromagnetic radiation beam has passed back through the projection system to obtain information indicative of the uniformity of the projection system. | 04-16-2009 |
20090097007 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An illumination optical system for illuminating an irradiated plane M with illumination light provided from a light source includes a spatial light modulator, which is arranged in an optical path of the illumination optical system and forms a desired light intensity distribution at a pupil position of the illumination optical system or a position optically conjugated with the pupil position, and a diffuser, which is arranged at an incidence side of the spatial light modulator through which the illumination light enters. | 04-16-2009 |
20090103069 | DETECTION OF CONTAMINATION IN EUV SYSTEMS - A sensor for sensing contamination in an application system is disclosed. In one aspect, the sensor comprises a capping layer. The sensor is adapted to cause a first reflectivity change upon initial formation of a first contamination layer on the capping layer when the sensor is provided in the system. The first reflectivity change is larger than an average reflectivity change upon formation of a thicker contamination layer on the capping layer and larger than an average reflectivity change upon formation of an equal contamination on the actual mirrors of the optics of the system. | 04-23-2009 |
20090103070 | Optical element and exposure apparatus - An optical element is used for an exposure apparatus which is configured to illuminate a mask with an exposure light beam for transferring a pattern on the mask onto a substrate through a projection optical system and to interpose a given liquid in a space between a surface of the substrate and the projection optical system. The optical element includes a first anti-dissolution member provided on a surface of a transmissive optical element on the substrate's side of the projection optical system. | 04-23-2009 |
20090109416 | DISPERSING IMMERSION LIQUID FOR HIGH RESOLUTION IMAGING AND LITHOGRAPHY - Methods and apparatus are described for delivering index-matching immersion liquid in high numerical-aperture optical microscopy and lithography. An array of immersion liquid droplets is delivered to a specimen substrate or specimen substrate cover by an immersion liquid printing apparatus. An immersion liquid reservoir provides immersion liquid to the printer by a precision pump. The printer delivers immersion liquid to the substrate or substrate cover in arrays of immersion liquid droplets of defined volumes and array patterns. The volumes and patterns of array droplets delivered to the substrate or substrate cover are optimized to maintain adequate immersion liquid between the substrate or substrate cover and an immersion objective while avoiding the formation of air bubbles in the immersion liquid and the accumulation of excess volumes of immersion liquid. | 04-30-2009 |
20090109417 | OPTICAL UNIT, ILLUMINATION OPTICAL APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An illumination optical apparatus has an optical unit. The optical unit has a light splitter to split an incident beam into two beams; a first spatial light modulator which can be arranged in an optical path of a first beam; a second spatial light modulator which can be arranged in an optical path of a second beam; and a light combiner which combines a beam having passed via the first spatial light modulator, with a beam having passed via the second spatial light modulator; each of the first spatial light modulator and the second spatial light modulator has a plurality of optical elements arranged two-dimensionally and controlled individually. | 04-30-2009 |
20090115987 | POSITION MEASUREMENT SYSTEM AND LITHOGRAPHIC APPARATUS - A measurement system includes a sensor arranged to co-operate with a first pattern arranged on a structure of the measurement system to determine a first position quantity of the sensor relative to the structure, and arranged to co-operate with a second pattern arranged on the structure to determine a second position quantity of the sensor relative to the structure, wherein the first and second patterns are arranged on different surfaces of the structure. | 05-07-2009 |
20090115988 | EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD - The present invention provides an exposure apparatus comprising a projection optical system configured to project a pattern of a reticle onto a substrate, a driving unit configured to drive a plurality of optical elements which form the projection optical system so as to adjust an imaging state of light which passes through the projection optical system, a detecting unit configured to detect a driving error when the driving unit drives a first optical element of the plurality of optical elements, and a control unit configured to control the driving unit to drive a second optical element different from the first optical element of the plurality of optical elements so as to reduce a change in the imaging state of the light which passes through the projection optical system due to the driving error. | 05-07-2009 |
20090122289 | Thin Film Continuous Spatially Modulated Grey Attenuators and Filters - A system and method for use of a lithography apparatus having a substrate and an absorbing film formed on the substrate. A thickness of the absorbing film is spatially modulated across at least a part of the substrate to reduce a non-uniform intensity of a radiation beam transmitted through the substrate. | 05-14-2009 |
20090122290 | Device manufacturing method and lithographic apparatus,and computer program product - In a lithographic printing process a substrate is moved, in the scanning direction, relative to a patterned beam of radiation being projected onto it during a scanning exposure of a pattern feature. An image of the pattern feature is blurred in the scanning direction. The effect of the blurring is used to reduce a difference of critical dimension between similar horizontal and vertical features. The effect on critical dimension may obtained by providing an amount of anamorphic magnification to the projection system. | 05-14-2009 |
20090128794 | INTERRUPTER - An interrupter includes: a light emitting device; a light receiving device placed at a position on which light emitted from the light emitting device can be incident; and a mold member made of a material that transmits part of the light and absorbs the rest, including a section enclosing the light emitting device and a section enclosing the light receiving device, and having a gap between the light emitting device and the light receiving device. At least one of the thickness of the section of the mold member enclosing the light emitting device and the thickness of the section of the mold member enclosing the light receiving device is relatively thin in a region intervening in a linear light path from the light emitting device to the light receiving device, and is relatively thick in a surrounding region around said region. | 05-21-2009 |
20090135390 | LITHOGRAPHIC ALIGNMENT MARKS - Precise and repeatable alignment performance using asymmetric illumination is achieved by properly structuring, as by segmenting, an alignment mark on a reticle of a photolithographic exposure apparatus as a function of the type of asymmetric illumination, thereby improving resolution and repeatability of an alignment mark formed on a target substrate. Embodiments include double exposure techniques using dipole illumination with an angularly segmented alignment mark, e.g., at 45°, such that the first-order diffracted light is sent at 45° from the initial position of the dipole illumination. | 05-28-2009 |
20090135391 | METHOD OF FORMING A LOCALLY PERIODIC 3D STRUCTURE WITH LARGER-SCALE VARIATION IN PERIODIC PROPERTIES AND APPLICAITONS THEREOF - An optical structure is provided. The optical structure includes a substrate structure. A photosensitive material layer is positioned on said substrate structure. The photosensitive material layer having uniform periodic geometry and a period length throughout associated with a 2D periodic pattern. The 2D periodic pattern includes a period length greater than the exposing light wavelength and spatial variation in the duty cycle of the features of a mask layer used in the formation of said 2D periodic pattern. | 05-28-2009 |
20090135392 | SPATIAL LIGHT MODULATION UNIT, ILLUMINATION OPTICAL APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - This invention relates to an illumination optical apparatus capable of forming a pupil intensity distribution of a desired shape and desired illuminance and, in turn, capable of realizing illumination conditions of great variety. The illumination optical apparatus has a spatial light modulation unit composed of a first spatial light modulator and a second spatial light modulator arranged in an order of incidence of light, and a distribution forming optical system to form a predetermined light intensity distribution on an illumination pupil, based on a beam having traveled via the first spatial light modulator and the second spatial light modulator. The first spatial light modulator has a plurality of first optical elements which are two-dimensionally arranged and postures of which each are individually controlled. The second spatial light modulator has a plurality of second optical elements which are two-dimensionally arranged in correspondence to the first optical elements and postures of which each are individually controlled. | 05-28-2009 |
20090135393 | ILLUMINATION OPTICAL APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An illumination optical apparatus is configured to irradiate a light beam from a light source onto a surface to be irradiated. The illumination optical apparatus includes a first group of prisms which has a plurality of prisms, a second group of prisms which has a plurality of prisms, and a switch of a group of prisms which is capable of switching the first and the second groups of prisms so as to position one of the first and the second groups of prisms in an optical path. An annular ratio of the light beam emitted from one of the first and the second groups of prisms is changed by moving at least one of a plurality of prisms included in one of the first and the second groups of prisms positioned in the optical path in an optical axis direction, and an upper limit of the annular ratio of the light beam emitted from the first group of prisms is equal to or higher than a lower limit of the annular ratio of the light beam emitted from the second group of prisms and is lower than the upper limit of the annular ratio of the light beam emitted from the second group of prisms. | 05-28-2009 |
20090135394 | Lithographic apparatus and method - A lithographic apparatus is disclosed that is configured to project a patterned beam of radiation onto a target portion of a substrate, the lithographic apparatus including an illumination system configured to condition a beam of radiation, the illumination system having a uniformity correction system located in a plane which, in use, is illuminated with a substantially constant pupil by the illumination system. | 05-28-2009 |
20090135395 | OPTICAL SYSTEM FOR SEMICONDUCTOR LITHOGRAPHY - An optical system for semiconductor lithography including a plurality of optical components, as well as related components and methods, are disclosed. The apparatus can include an optical component that can be moved by a distance along a straight line within a time of between 5 ms and 500 ms. The straight line can have a polar and azimuth angle of between 0° and 90°, and a distance between the straight line and an optical axis of the apparatus being less than a cross-sectional dimension of a projection exposure beam bundle of the projection exposure apparatus. The apparatus can also include a guide unit configured to guide the optical component. The apparatus can further include a drive unit configured to drive the optical component via drive forces so that torques generated by inertial forces of the optical component and of optional components concomitantly moved with the optical component, and the torques generated by the drive forces, which act on the guide unit, compensate for one another to less than 10%. | 05-28-2009 |
20090141256 | Image Recording Method and Device - A laser beam is detected by a photosensor and a changing quantity of the light quantity is calculated to correct the fluctuation of the status of an exposure apparatus due to lapse of time. The laser beam is also detected by a photosensor to calculate a changing quantity of a beam diameter, and based on the changing quantities, mask data is modified. Then exposure recording of an image by exposure heads is continued by using the modified mask data. | 06-04-2009 |
20090141257 | Illumination optical apparatus, exposure apparatus, and method for producing device - A reflection type illumination optical apparatus, which guides an exposure light to a reticle surface via a curved mirror, a concave mirror, etc. includes a vacuum chamber which accommodates the curved mirror, the concave mirror, etc; and a subchamber which is arranged in the vacuum chamber and which accommodates the curved mirror. The subchamber has openings through which the exposure light coming into the curved mirror and the exposure light exiting from the curved mirror pass, respectively. Each of the openings is arranged in the vicinity of a position at which the cross-sectional area of the light flux is smallest. It is possible to decrease the amount of adhesion of minute particles such as debris to the reflecting optical element, without unnecessarily enhancing the ability of the vacuum gas discharge mechanism. | 06-04-2009 |
20090141258 | Imaging Device in a Projection Exposure Machine - An imaging device in a projection exposure machine for microlithography includes at least one optical element and at least one manipulator, a linear drive for manipulating the position of the optical element. The linear drive has at least one moving element, the moving element having a shearing part and a lifting part. The shearing part is arranged to move the optical element and the lifting part is arranged to move the shearing part. The linear drive has a supporting element which is in contact with and prevents movement of the optical element while the shearing part is moved by the lifting part. | 06-04-2009 |
20090147229 | Optical element unit and method of supporting an optical element - There is provided an optical element unit comprising an optical element, a connector element, and an optical element holder. The optical element has a plane of main extension as well as an outer circumference and defines a radial direction. The connector element connects the optical element and the optical element holder, the connector element having a first connector part connected to the optical element at the outer circumference and a second connector part connected to the optical element holder. The first connector part and the second connector part are connected via at least one coupling part, the coupling part being compliant in the radial direction and substantially preventing rotation between the first connector part and the second connector part in a plane substantially parallel to the plane of main extension. | 06-11-2009 |
20090147230 | Lithographic Apparatus and Device Manufacturing Method - A vibration damping arrangement for a lithographic apparatus includes a sensor arranged to, in use, detect a vibration of a projection system. An actuator is arranged to, in use, exert a force on a control unit of the projection system to convert an output signal of the sensor to an actuator input signal such that, during operation, the vibration of the projection system is mitigated by controlling the actuator by the input signal to exert the force to the projection system. | 06-11-2009 |
20090147231 | SCANNING EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - A scanning exposure apparatus according to this invention has a light source which can change the central wavelength of exposure light to undergo pulsed oscillation, and scan-exposes a substrate with slit-like exposure light while periodically changing the central wavelength in synchronism with the pulsed oscillation of the exposure light. The scanning exposure apparatus includes a controller which controls the light source so that integrated values Sws and Swl obtained by integrating the intensity of the exposure light for each wavelength in the scanning direction in a short-wavelength range and long-wavelength range, respectively, assuming a target central wavelength as a reference satisfy: | 06-11-2009 |
20090147232 | MARKER STRUCTURE AND METHOD OF FORMING THE SAME - The invention relates to a marker structure for optical alignment of a substrate and provided thereon. The marker structure has a first reflecting surface at a first level and a second reflecting surface at a second level. A separation between the first level and the second level determines a phase depth condition. The marker structure further has an additional structure. The additional structure is arranged to modify the separation during manufacture of the marker structure. The invention further relates to a method of forming such a marker structure. | 06-11-2009 |
20090153824 | MULTIPLE CHUCK SCANNING STAGE - A substrate processing system and method are disclosed. The system may include a stage, first and second chucks mounted on the stage and at least one processing head proximate the stage. The stage and processing head are configured for relative movement for a sufficient distance for the processing head to process both the first and second test substrates. According to the substrate processing method first and second substrates may be disposed on chucks mounted to a stage. The stage and a processing head may move relative to each other in a first direction along a first axis for a first distance that is sufficient for a substrate processing head to scan across the substrates, then move relative to each other along a direction nonparallel to the first direction for a second distance, and then move relative each other opposite the first direction for a distance sufficient for the head to scan across the substrates. The processing head may process the first and second substrates at one or more locations along the first distance and/or third distance. | 06-18-2009 |
20090153825 | LITHOGRAPHIC APPARATUS AND METHOD - A lithographic alignment apparatus includes a radiation source arranged to generate radiation at a wavelength of 1000 nanometers or longer, and a plurality of non-imaging detectors arranged to detect the radiation after the radiation has been reflected by an alignment mark. | 06-18-2009 |
20090153826 | Lithographic method and apparatus - A multiple patterning process employs a phase change material, portions of which can be converted to an amorphous state and then a remaining portion is selectively removed to provide high resolution pattern features with a feature spacing smaller than, for example, a minimum spacing available in a conventional patterning layer employing a single exposure. A lithographic apparatus for use in the process may comprise an exposure tool having a single illuminator and single patterning device that is imaged through a single exposure slit onto a scanning substrate. Alternatively, the exposure tool may have multiple illuminators and/or multiple scanning complementary patterning devices optionally used with multiple exposure slits on the scanning substrate to facilitate double patterning in a single substrate pass. | 06-18-2009 |
20090153827 | IMAGE READING APPARATUS - An image reading apparatus includes a light source, a plurality of light receiving elements arranged in a primary scanning direction, and an optical part having either one of the function to direct light emitted from the light source toward an object to be read as linear light extending in the primary scanning direction and the function to guide reflected light of the linear light toward the light receiving elements. The light source, the light receiving elements and the optical part are accommodated in a case which is in the form of an elongated rectangle. The case is formed with an opening extending in the primary scanning direction. A glass cover is fitted in the opening, and part of the glass cover is bonded to part of the opening with resin. The case includes a pair of inner walls adjoining the opening and facing each other at a predetermined distance in a secondary scanning direction. The optical part includes a first surface facing an inner surface of the glass cover and a second surface facing one of the inner walls. The optical part is arranged along one of the paired inner walls. An inclined surface is provided between the first and the second surfaces. The inclined surface is so inclined as to become more distant from the inner surface of the glass cover as proceeding toward the second surface. | 06-18-2009 |
20090153828 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE FABRICATION METHOD - The present invention provides an exposure apparatus comprising a projection optical system configured to project a pattern of a reticle onto a substrate, a specifying unit configured to specify a first region on a pupil plane of the projection optical system based on the pattern of the reticle and a shape of an effective light source on the pupil plane of the projection optical system, and an adjusting unit configured to adjust an aberration of the projection optical system, wherein the adjusting unit adjusts the aberration of the projection optical system so that an aberration in the first region specified by the specifying unit becomes smaller than an aberration in a second region on the pupil plane of the projection optical system, which is different from the first region. | 06-18-2009 |
20090153829 | LITHOGRAPHIC PROJECTION OBJECTIVE - Projection objectives, such as projection objectives of lithography projection exposure apparatuses, as well as related systems, components and methods, such as methods of revising and/or repairing such objectives, are disclosed. | 06-18-2009 |
20090161087 | PROJECTION OPTICAL SYSTEM, ALIGNER, AND METHOD FOR FABRICATING DEVICE - A refractive projection optical system in which a large image side numerical aperture can be ensured by interposing liquid in the optical path to the image plane, and an image having good planarity can be formed while suppressing radial upsizing. The projection optical system comprising a first image forming system arranged in the optical path between a first plane (R) and a point optically conjugate to a point on the optical axis of the first plane, and a second image forming system arranged in the optical path between the conjugate point and a second plane. In the projection optical system, all optical elements having power are refractive optical elements. The optical path between the projection optical system and the second plane is fillable with liquid having a refractive index larger than 1.3. | 06-25-2009 |
20090161088 | Beam Characterization Monitor for Sensing Pointing or Angle of an Optical Beam - The divergence of an optical beam is determined. An optic is configured to provide internal reflection of at least a part of a beam of radiation scanned over varying angles of incidence on the optic. The optic has a film configured to provide a surface plasmon resonance (SPR) effect. A detector is arranged relative to the optic and configured to electronically detect radiation reflected from the optic. The divergence angle of the beam of radiation is calculated based on a change in reflectance relative to angle of incidence. | 06-25-2009 |
20090168037 | Lithographic apparatus and device manufacturing method - An immersion lithographic projection apparatus is disclosed. The apparatus includes a substrate table for holding a substrate and a liquid supply system for supply liquid to the substrate. The apparatus is constructed and arranged to allow the liquid to flow off the substrate and over at least two edges of a top surface of the substrate table. The geometry of the edge may be optimized to reduce a static thickness of a layer of liquid on the top surface. | 07-02-2009 |
20090168038 | EXPOSURE APPARATUS, DETECTION METHOD, AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus according to this invention comprises an illumination optical system which illuminates an original with exposure light, a projection optical system which projects an image of the original onto a substrate, an original stage which holds and drives the original, a substrate stage which holds and drives the substrate, and a position detection apparatus which detects the relative position between the original and the substrate. A plurality of different first marks are formed on at least one of the original and a reference plate held on the original stage. The position detection apparatus has a function of selecting a first mark in accordance with the illumination condition from a plurality of first marks, and detecting the relative position between the original and the substrate using the selected first mark and a second mark formed on the substrate stage. | 07-02-2009 |
20090168039 | Device Manufacturing Method and Lithographic Apparatus - A device manufacturing method includes a measurement phase and an exposure phase. The measurement phase includes conditioning a radiation beam with a first beam condition, forming the patterned radiation beam by imparting the radiation beam with the first beam condition with a first pattern in its cross-section, and projecting the patterned beam onto a sensor capable of providing a sensor output signal. The exposure phase includes fast switching the conditioning of the radiation beam to a second beam condition, the second beam condition being different from the first beam condition, forming the patterned radiation beam by imparting the radiation beam with the second beam condition with a second pattern in its cross-section, the second pattern being provided by a patterning device, and projecting the patterned beam onto a target portion of the substrate. | 07-02-2009 |
20090174874 | Optical Projection System - An optical projection unit comprising a first optical element module and at least one second optical element module is provided. The first optical element module comprises a first housing unit and at least a first optical element, the first optical element being received within the first housing unit and having an optically used first region defining a first optical axis. The at least one second optical element module is located adjacent to the first optical element module and comprises at least one second optical element, the second optical element defining a second optical axis of the optical projection unit. The first housing unit has a central first housing axis and an outer wall extending in a circumferential direction about the first housing axis. The first optical axis is at least one of laterally offset and inclined with respect to the first housing axis. Furthermore, the first housing axis is substantially collinear with the second optical axis. | 07-09-2009 |
20090174875 | SCANNING EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - A scanning exposure apparatus is configured to project a pattern of an original onto a substrate by a projection optical system while scanning the original and the substrate, thereby scanning-exposing the substrate, the apparatus including a barycentric position adjusting unit configured to adjust, based on a target barycentric position, a barycentric position, in a direction of a scanning axis, of exposure light that irradiates an image plane of the projection optical system. | 07-09-2009 |
20090180093 | EVALUATION METHOD, CONTROL METHOD, EXPOSURE APPARATUS, AND MEMORY MEDIUM - A method of evaluating an imaging performance of a projection optical system, comprising a step of specifying a polarization change of the projection optical system, which represents a relationship between a polarization state of light impinging on the projection optical system and the polarization state of the light exiting from the projection optical system, a first calculation step of calculating a value of a parameter having a correlation with the polarization change of the projection optical system specified in the specifying step, and a second calculation step of calculating an index value representing the imaging performance in a state that the projection optical system has the polarization change specified in the specifying step, based on an amount of change in the index value representing the imaging performance upon changing the value of the parameter by a unit amount, and the value of the parameter calculated in the first calculation step. | 07-16-2009 |
20090185153 | CATADIOPTRIC PROJECTION OBJECTIVE WITH PUPIL MIRROR, PROJECTION EXPOSURE APPARATUS AND PROJECTION EXPOSURE METHOD - In certain aspects, catadioptric projection objectives for imaging a pattern from an object field arranged in an object surface of the projection objective onto an image field arranged in an image surface of the projection objective include a first objective part configured to image the pattern from the object surface into a first intermediate image, and having a first pupil surface, a second objective part configured to image the first intermediate image into a second intermediate image, and having a second pupil surface optically conjugate to the first pupil surface, and a third objective part configured to image the second intermediate image into the image surface, and having a third pupil surface optically conjugate to the first and second pupil surface. A pupil mirror having a reflective pupil mirror surface is positioned at or close to one of the first, second and third pupil surface. A pupil mirror manipulator operatively connected to the pupil mirror and configured to vary the shape of the reflective surface of the pupil mirror allows for dynamically correcting imaging aberrations originating from lens heating, compaction and other radiation induced imaging aberrations occurring during operation of the projection objective. | 07-23-2009 |
20090190117 | Exposure apparatus, manufacturing method and supporting method thereof - An exposure apparatus includes an illumination optical system that guides illumination light to a mask; a projection optical system that projects the pattern irradiated with the illumination light, onto a substrate; and a supporting device that integrally suspendingly supports at least part of the illumination optical system and the projection optical system, with a supporting member having a flexible structure. | 07-30-2009 |
20090201478 | TRANSMITTING OPTICAL ELEMENT AND OBJECTIVE FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A transmitting optical element ( | 08-13-2009 |
20090201479 | LASER LIGHT SOURCE CONTROL METHOD, LASER LIGHT SOURCE DEVICE, AND EXPOSURE APPARATUS - An exposure apparatus includes a laser light source that emits a laser beam as an exposure beam by pulse oscillation; a detection system that detects a rotation angle of an external shape of the laser beam emitted by the pulse oscillation from a corresponding laser light source; and a control device that controls a rotation angle of the external shape of the laser beam or a rotation angle of a linearly polarized light component of a corresponding laser beam or both of them, based on the rotation angle detected by the detection system. | 08-13-2009 |
20090201480 | EVALUATION METHOD, ADJUSTMENT METHOD, EXPOSURE APPARATUS, AND MEMORY MEDIUM - A method evaluating an imaging performance of a projection optical system according to a polarization state of light in a pupil of an illumination optical system for an exposure apparatus, comprises a representation step of numerically representing the polarization state in the pupil of the illumination optical system, an assumption step of virtually dividing the pupil of the illumination optical system into a plurality of partial regions, each of which includes a light incident region and a light non-incident region, and assuming individual polarization states for the plurality of partial regions so that one polarization state is assumed for the whole of each partial region based on the polarization state numerically represented in the representation step; and a calculation step of calculating the imaging performance of the projection optical system under a condition in which the plurality of partial regions have the polarization states individually assumed in the assumption step. | 08-13-2009 |
20090201481 | OPTICAL ELEMENT AND ILLUMINATION OPTICS FOR MICROLITHOGRAPHY - The disclosure relates to an optical element and illumination optics for microlithography. The optical element can be configured to influence a nominal beam angle, preset over a beam cross-section, of a radiation beam hitting the optical element. Moreover, the disclosure relates to an illumination optics for the microlithography with at least one such optical element and an illumination system for the microlithography with such an illumination optics. | 08-13-2009 |
20090207395 | RECORDING APPARATUS, RECORDING CONTROL SIGNAL GENERATING APPARATUS, METHOD OF MANUFACTURING IMPRINT MOLD, IMPRINT MOLD, AND MAGNETIC DISC - Blanking by a blanking control unit is eliminated by making the tangential direction movement velocity of the substrate and the deflection velocity of the beam faster in the segment, in which the recording pattern is sparse, and making and slower in segments, in which it is dense. In this case, in segment in which it is desired to form a recording pattern thicker in the radial direction, a thick recording can be realized by setting the recording velocity by the recording velocity setting means to be slower, and in the case where is substantially constant, setting to be relatively slower, than in the segments. | 08-20-2009 |
20090207396 | OPTICAL SYSTEM FOR SEMICONDUCTOR LITHOGRAPHY - An optical system for semiconductor lithography including a plurality of optical components, as well as related components and methods, are disclosed. The apparatus can include an optical component that can be moved by a distance along a straight line within a time of between 5 ms and 500 ms. The straight line can have a polar and azimuth angle of between 0° and 90°, and a distance between the straight line and an optical axis of the apparatus being less than a cross-sectional dimension of a projection exposure beam bundle of the projection exposure apparatus. The apparatus can also include a guide unit configured to guide the optical component. The apparatus can further include a drive unit configured to drive the optical component via drive forces so that torques generated by inertial forces of the optical component and of optional components concomitantly moved with the optical component, and the torques generated by the drive forces, which act on the guide unit, compensate for one another to less than 10%. | 08-20-2009 |
20090207397 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic projection apparatus is disclosed in which liquid is provided between a projection system of the apparatus and a substrate. The use of both liquidphobic and liquidphilic layers on various elements of the apparatus is provided to help prevent formation of bubbles in the liquid and to help reduce residue on the elements after being in contact with the liquid. | 08-20-2009 |
20090213349 | SYSTEM AND METHOD FOR AN ADJUSTING OPTICAL PROXIMITY EFFECT FOR AN EXPOSURE APPARATUS - A method for matching a first OPE curve ( | 08-27-2009 |
20090213350 | COHERENCE-REDUCTION DEVICES AND METHODS FOR PULSED LASERS - Devices and methods are disclosed for reducing coherence, and thus speckle, of a coherent beam of light. An exemplary illumination device includes a source emitting a pulsed coherent light beam having a transverse spatial coherence length. A deflector positioned in the path spatially displaces a first portion of a beam pulse from a second portion of the beam pulse, where the second portion is later in time than the first portion. A diffuser situated in the path receives the first portion of the beam pulse on a first region of the diffuser and the second portion of the beam pulse on a second region of the diffuser, such that the first and second regions are separated by a distance at least equal to the transverse spatial coherence length. | 08-27-2009 |
20090213351 | Lithographic Method to Apply a Pattern to a Substrate and Lithographic Apparatus - A slit shaped area of a patterning device is illuminated to impart a radiation beam with a pattern in its cross-section. A projection system projects the patterned radiation beam onto a target portion of a substrate. As the radiation beam is scanned across the target portion of the substrate, a configuration of the projection system is adjusted and applies a pattern to the target portion. The adjusting may affect a magnitude of an image magnification component of the projection system, along the length of the slit shaped area, or an image distortion in a scan direction. The adjusting is arranged to compensate an effect on pattern overlay accuracy of a distortion of the patterning device. | 08-27-2009 |
20090213352 | METHOD FOR IMPROVING THE IMAGING PROPERTIES OF AN OPTICAL SYSTEM, AND SUCH AN OPTICAL SYSTEM - The disclosure relates to a method for improving the imaging properties of an optical system, such as a projection objective for microlithography. The disclosure also relates to an optical system, such as a projection objective for microlithography. | 08-27-2009 |
20090213353 | Lithographic Apparatus and Device Manufacturing Method - Provided is a method and system for facilitating use of a plurality of individually controllable elements to modulate the intensity of radiation received at each focusing element of an array of focusing elements to control the intensity of the radiation in the areas on the substrate onto which the focusing elements direct the radiation. | 08-27-2009 |
20090219498 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD - The present invention provides an illumination optical system which illuminates a surface to be illuminated with light from a light source, the illumination optical system including a plurality of illumination systems configured to form predetermined illumination regions with the light from the light source, an optical system having reflecting surfaces, which are configured to reflect the light beams from the illumination systems, respectively for the plurality of illumination systems, and a light-shielding unit configured to shield a certain light component in a composite illumination region formed by the light from the optical system to shape a shape of the composite illumination region, wherein one continuous composite illumination region is formed on the surface to be illuminated by connecting the respective illumination regions by reflecting the light beams from the plurality of illumination systems by the reflecting surfaces. | 09-03-2009 |
20090219499 | SURFACE SHAPE MEASURING APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A surface shape measuring apparatus includes an illumination system and a light receiving system. The illumination system splits wide-band light from a light source into measurement light and reference light, illuminates the measurement light to obliquely enter a surface of the film, and illuminates the reference light to obliquely enter a reference mirror. The light receiving system combines the measurement light reflected by the surface of the film and the reference light reflected by the reference mirror with each other and introduces the combined light to a photoelectric conversion element. An incident angle of the measurement light upon the surface of the film and an incident angle of the reference light upon the reference mirror are each larger than the Brewster's angle. S-polarized light and p-polarized light included in the measurement light entering a surface of the substrate have equal intensity on the photoelectric conversion element. | 09-03-2009 |
20090219500 | Lithographic Apparatus and Device Manufacturing Method with Radiation Beam Inspection - A lithographic apparatus can include the following devices: a patterning system, a projection system, and a radiation beam inspection device. The patterning system can be configured to provide a patterned radiation beam. The projection system can be configured to project the patterned radiation beam onto a target portion of a substrate. Further, the radiation beam inspection device can be configured to inspect at least a part of the patterned radiation beam. In a substrate exposure position, the projection system is configured to expose a pattern of radiation on the substrate using the patterned radiation beam and the radiation beam device is configured to move the reflecting device away from a light path of the patterned radiation beam. In a radiation beam inspection position, the radiation beam inspection device is configured to move the reflecting device into the light path of the patterned radiation beam. | 09-03-2009 |
20090231566 | Lithographic Apparatus, Stage System and Stage Control Method - In an embodiment, a lithographic apparatus includes a stage system including a movable stage, and a stage control system to control a position of the stage in response to a setpoint signal. The stage control system includes a feedback control loop to control the position in a feedback manner, the feedback control loop having a setpoint input, and an acceleration feedforward to generate a feedforward signal to be forwardly fed into the feedback control loop. The feedforward signal is derived from the setpoint signal. The stage control system is arranged to modify the position setpoint signal into a modified position setpoint signal, the setpoint input of the feedback control loop to receive the modified position setpoint signal, the modified position setpoint signal to take account of a non rigid body behavior of the stage. | 09-17-2009 |
20090231567 | LITHOGRAPHIC APPARATUS HAVING A CHUCK WITH A VISCO-ELASTIC DAMPING LAYER - A lithographic apparatus includes an illumination system configured to condition a radiation beam, a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam, a substrate table constructed to hold a substrate, a projection system configured to project the patterned radiation beam onto a target portion of the substrate, a chuck configured to hold and position an object, for example, the patterning device onto the support or the substrate onto the substrate table, the chuck including a base and a constraining layer. A damping layer including a viscoelastic material is provided between the base and the constraining layer. | 09-17-2009 |
20090231568 | METHOD OF MEASURING WAVEFRONT ERROR, METHOD OF CORRECTING WAVEFRONT ERROR, AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE - A method of measuring a wavefront error of an exposure light that occurs when the exposure light passes through an optical system that is used in an exposure apparatus is proposed. The method includes measuring the wavefront error of the exposure light by using a measurement optical element including a pellicle arranged in an optical path of the exposure light that passes through the optical system. | 09-17-2009 |
20090237634 | ENCODER-TYPE MEASUREMENT SYSTEM, LITHOGRAPHIC APPARATUS AND METHOD TO DETECT AN ERROR ON OR IN A GRID OR GRATING OF AN ENCODER-TYPE MEASUREMENT SYSTEM - An encoder-type measurement system is configured to measure a position dependent signal of a movable object. The measurement system includes a light source and a sensor. The light source and the sensor are mounted on one of the movable object or a substantially stationary frame. The measurement system also includes a reference object that includes a grating or grid mounted on the other of the movable object or the substantially stationary frame. The light source is configured to emit a light beam towards the reference object. The sensor is configured to detect light of the light source reflected by the reference object. The measurement system also includes an error detector capable of detecting errors in or on the grating or grid of the sensor target object during a continuous production process. | 09-24-2009 |
20090237635 | LITHOGRAPHIC APPARATUS AND METHOD - According to a first aspect of the invention, there is provided a lithographic method of providing an alignment mark on a layer provided on a substrate, the method including providing the alignment mark on an area of the layer which is oriented within a certain range of angles with respect to a surface of the substrate on which the layer is provided. | 09-24-2009 |
20090237636 | PROJECTION EXPOSURE METHOD AND PROJECTION EXPOSURE SYSTEM THEREFOR - In a projection exposure method, primary radiation having a center wavelength λ is generated and guided through an illumination system along an illumination path and through a projection system along a projection path. The center wavelength is varied within a wavelength variation range Δλ having a lower limit λ | 09-24-2009 |
20090244506 | SLM Calibration - Apparatus and methods are used to calibrate an array of individually controllable elements within a lithographic apparatus. A calibration unit can switch between a first state in which the modulated beam of radiation passes into a projection system for projecting the modulated beam of radiation onto a substrate and a second state in which a portion of the modulated beam of radiation is inspected by the calibration unit. The calibration unit generates calibration data, or alternatively, updates calibration data, based on the inspection of the modulated beam of radiation. An array controller uses the calibration data to provide control signals to elements of an array of individually controllable elements, which are subsequently configured in response to the control signals. | 10-01-2009 |
20090244507 | OPTICAL MEMBER, LIGHT ROUTING UNIT, AND EXPOSURE APPARATUS - Embodiment of the present invention is to provide an optical member composed of calcium fluoride (fluorite) and being capable of preventing deterioration and demonstrating a long life even in use under severe conditions. An optical member of a preferred embodiment has a base material having an entrance face into which light is incident, a total reflection face totally reflecting the incident light, and an exit face from which the totally reflected light emerges to the outside, and made of a calcium fluoride crystal; and a protecting layer to control deterioration of the total reflection face by the light, which is provided on a surface outside the total reflection face in this base material. | 10-01-2009 |
20090244508 | METHOD AND DEVICE FOR CONNECTING AN OPTICAL ELEMENT TO A FRAME - A method and a device for the material-fit connection of an optical element to a frame are disclosed. | 10-01-2009 |
20090244509 | OPTICAL SYSTEM WITH AN EXCHANGEABLE, MANIPULABLE CORRECTION ARRANGEMENT FOR REDUCING IMAGE ABERRATIONS - The disclosure relates to an optical system, such as a projection exposure apparatus for semiconductor lithography, including a manipulable correction arrangement for reducing image aberrations. In some embodiments, the system includes at least one manipulator configured to reduce image aberrations. The manipulator can include at least one optical element which can be manipulated by at least one actuator. The manipulator can be formed in changeable fashion together with an actuator. | 10-01-2009 |
20090257037 | MEASUREMENT METHOD, MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD - The present invention provides a measurement method of measuring imaging performance of a projection optics which projects a reticle pattern onto a substrate, including a measurement step of measuring the imaging performance of the projection optics, and a calculation step of calculating the imaging performance of the projection optics in a predetermined environment different from a measurement environment in which the measurement step is performed, based on information indicating a rate of change of the imaging performance of the projection optics with respect to a physical quantity which changes the imaging performance of the projection optics, a physical quantity in the measurement environment, a physical quantity in the predetermined environment, and the imaging performance of the projection optics measured in the measurement step. | 10-15-2009 |
20090262321 | POSITION MEASURING SYSTEM AND POSITION MEASURING METHOD, MOVABLE BODY APPARATUS, MOVABLE BODY DRIVE METHOD, EXPOSURE APPARATUS AND EXPOSURE METHOD, PATTERN FORMING APPARATUS, AND DEVICE MAUFACTURING METHOD - A mirror block on which moving gratings are arranged is fixed to the lower surface of a stage. Fixed gratings are placed on the upper surface of a stage platform that is opposed to the lower surface of the stage. A Y encoder that measures Y positional information of the stage is configured including the moving gratings and the fixed gratings. Similarly, an X encoder that measures X positional information of the stage is configured including the moving gratings and the fixed grating. | 10-22-2009 |
20090262322 | Optical arrangement of autofocus elements for use with immersion lithography - A lithographic projection apparatus includes an optical element through which a substrate is exposed with an exposure beam. A space between the optical element and the substrate is filled with liquid during the exposure. In addition, a gap is formed between a member and a surface, through which the exposure beam does not pass, of the optical element. A suction is provided to the gap. | 10-22-2009 |
20090268184 | System and Method for Direct Writing to a Wafer - A direct-write (DW) exposure system is provided which includes a stage for holding a substrate and configured to scan the substrate along an axis during exposure, a data processing module for processing pattering data and generating instructions associated with the patterning data, and an exposure module that includes a plurality of beams that are focused onto the substrate such that the beams cover a width that is larger than a width of a field size and a beam controller that controls the plurality of beams in accordance with the instructions as the substrate is scanned along the axis. The widths are in a direction different from the axis. | 10-29-2009 |
20090284725 | LITHOGRAPHIC APPARATUS - A zone plate includes a plurality of consecutively arranged, adjacent, and alternating first and second regions. The first regions are arranged to be substantially transparent to a first predetermined wavelength of radiation and a second predetermined wavelength of radiation that is different from the first predetermined wavelength of radiation. The second regions are arranged to be substantially opaque, diffractive, or reflective to the first predetermined wavelength of radiation and substantially transparent to the second predetermined wavelength of radiation. | 11-19-2009 |
20090290136 | MEASURING APPARATUS, EXPOSURE APPARATUS AND METHOD, AND DEVICE MANUFACTURING METHOD - A measuring apparatus includes a pinhole mask, located at an object plane of an optical system to be measured, and having a plurality of pinholes for generating a spherical wave from a measuring light beam, and a diffraction grating for splitting the measuring light beam that has passed the pinhole mask and the optical system, wherein Lg=m·Pg | 11-26-2009 |
20090296062 | METHOD OF MEASURING POSITION ERROR OF BEAM OF EXPOSURE APPARATUS AND EXPOSURE APPARATUS USING THE SAME - A method of measuring a position error of a beam of an exposure apparatus and an exposure apparatus using the same are provided. An exposure apparatus using a digital micromirror device (DMD) element instead of a mask measures a radiation amount of a beam that passes through each pinhole using a mask including a pinhole, and when the radiation amount is less than a reference value, it is determined that an exposure beam has a position error. By using the exposure apparatus and a method of measuring a position error of a beam, a measurement time period is reduced, and a position error of a beam is simply and accurately determined. | 12-03-2009 |
20090296063 | Exposure apparatus - In order that an exposure apparatus for producing exposed structures in a photosensitive layer arranged on an object, comprising an object carrier and an exposure device, wherein the object carrier and the exposure device can be moved relative to one another in an advance direction and wherein exposure spots can be produced on the photosensitive layer in a position-controlled manner by means of the exposure device transversely with respect to the advance direction, is improved in such a way that a highest possible exposure power is available, i.e. a largest possible number of exposure spots can be produced per unit time, it is proposed that the exposure device has at least one exposure unit with a series of radiation exit regions which are arranged successively in a series direction and from which exposure beams emerge, by means of each of which, passed through an imaging optical system, an exposure spot can be produced on the photosensitive layer and each of which can be deflected by a deflection unit in a deflection direction running transversely with respect to the series direction, such that each exposure beam can produce exposure spots that at least partly overlap one another in a multiplicity of successive exposure spot positions in the deflection direction. | 12-03-2009 |
20090303454 | EXPOSURE APPARATUS WITH A SCANNING ILLUMINATION BEAM - An exposure apparatus ( | 12-10-2009 |
20090303455 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A porous member is used in a liquid removal system of an immersion lithographic projection apparatus to smooth uneven flows. A pressure differential across the porous member may be maintained at below the bubble point of the porous member so that a single-phase liquid flow is obtained. Alternatively, the porous member may be used to reduce unevenness in a two-phase flow. | 12-10-2009 |
20090310111 | Pulse to Pulse Energy Equalization of Light Beam Intensity - A system for equalizing pulse to pulse energy of a light beam includes a group of optical devices including an optical device configured to exhibit third order nonlinear properties. Transmission properties of an unequalized light beam passing through the group of optical devices change such that an output intensity of a resulting light beam output from the optical devices is equalized. One example configuration includes a beam splitter, a nonlinear interference filter, a mirror and a beam combiner. A first portion of the light beam that is reflected from the nonlinear interference filter is combined with the light beam such that a resulting combined light beam has equalized output intensity. Another example includes at least first and second prisms having third order nonlinear properties and configured as a beam steering system. A lithography system and a method of equalizing pulse to pulse energy in a light beam are also presented. | 12-17-2009 |
20090310112 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus which has an illumination unit SL illuminating a reticle R and is configured to illuminate a part of the reticle R in an illumination area adjusted by the illumination unit SL to expose a wafer W while the reticle R and the wafer W are scanned, the exposure apparatus comprising a control apparatus | 12-17-2009 |
20090316129 | LITHOGRAPHIC APPARATUS HAVING A FEED FORWARD PRESSURE PULSE COMPENSATION FOR THE METROLOGY FRAME - A lithographic apparatus including a projection system configured to project a patterned radiation beam onto a target portion of a substrate; a metrology frame supported by a vibration isolation support device; an object movable with respect to the metrology frame; and a displacement determining unit to determine positions, speeds and/or accelerations of the object with respect to the metrology frame and/or the projection system. At least one actuator is provided for applying correcting forces and/or torques on the metrology frame, and a controller is provided which is configured to calculate the correcting forces and/or torques to be applied to the metrology frame based on the determined positions, speeds and/or accelerations of the object in order to compensate for pressure pulses exerted on the metrology frame due to movements of the object with respect to the metrology frame. | 12-24-2009 |
20090316130 | EUV ILLUMINATION SYSTEM - An illumination system is used to illuminate a specified illumination field of an object surface with EUV radiation. The illumination system has an EUV source and a collector to concentrate the EUV radiation in the direction of an optical axis. A first optical element is provided to generate secondary light sources, and a second optical element is provided at the location of these secondary light sources, the second optical element being part of an optical device which includes further optical elements, and which images the first optical element into an image plane into the illumination field. Between the collector and the illumination field, a maximum of five reflecting optical elements are arranged. These optical elements reflect the main beam either grazingly or steeply. The optical axis, projected onto an illumination main plane, is deflected by more than 30° between a source axis portion and a field axis portion. In a first variant of the illumination system, at least an axis portion between at least two of the reflecting optical elements is inclined relative to the illumination main plane. In a second variant of the illumination system, the optical device, in addition to the second optical element includes precisely three further optical elements, i.e. a third optical element, a fourth optical element and a fifth optical element. In this second variant, the optical axis meets the third, fourth and fifth optical elements at an angle of incidence which is greater than 70°. This construction variants make possible either an increase of the EUV throughput of the illumination system for a given size, or a reduction of the size of the illumination system and thus of the associated projection exposure system for a given EUV throughput. | 12-24-2009 |
20090323037 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A detection method for detecting a property of an extended pattern formed by at least one line generally extending in a first direction. The extended pattern is formed on a substrate or on a substrate table and preferably extends over a length of at least 50× the width of the line. The extended pattern is focus sensitive. The detection method includes moving the substrate table in a first direction and measuring along that first direction a property of the extended pattern. The property can be a result of a physical property of the extended pattern in a second direction perpendicular to the first direction. In a next step a calibration of the substrate table position can be derived from the measured position of the extended pattern. | 12-31-2009 |
20090323038 | OBJECT SUPPORT POSITIONING DEVICE AND LITHOGRAPHIC APPARATUS - An object support positioning device configured to position an object support includes first and second side-beams having respective first and second sliders mounted thereon, first and second motors configured to move the first and second sliders along each respective side beam, a cross beam mounted proximate first and second ends thereof to the first and second sliders respectively and having a third slider mounted thereto, the cross-beam and the first and second slider being mounted together, and a third motor configured to move the third slider longitudinally along the cross-beam, the third slider being adapted to support the one object support. A fluid bearing is provided in at least one of the first, second and third sliders, the fluid bearing including multiple bearing surfaces to exert reaction forces in a first direction, the first direction being perpendicular to the sliding direction of the at least one slider. | 12-31-2009 |
20090323039 | Correction Method for Non-Uniform Reticle Heating in a Lithographic Apparatus - A method that includes conditioning a radiation beam, imparting the radiation beam with a pattern to form a patterned radiation beam by a reticle having a pattern image area and a reticle mark, and projecting the patterned radiation beam onto a target portion of a substrate by a projection system. The method further includes illuminating the reticle mark by the radiation beam for generating an aerial image of the reticle, projecting the aerial image on an image sensor, collecting image data from the image sensor, obtaining from the image data positional parameters of the aerial image, and correcting any deviation of the positional parameters from a required position of the aerial image by compensating an illumination induced thermal expansion of the reticle by an estimated correction of magnification settings of the projection system, the estimated correction being calculated from a prediction of the temporal thermal expansion of the reticle. | 12-31-2009 |
20090323040 | TELECENTRICITY CORRECTOR FOR MICROLITHOGRAPHIC PROJECTION SYSTEM - A telecentricity corrector is incorporated into a microlithographic projection system to achieve telecentricity targets at the output of the microlithographic projection system. The telecentricity corrector is located between an illuminator and a projection lens of the projection system, preferably just in advance of a reticle for controlling angular distributions of light illuminating the reticle. | 12-31-2009 |
20100002216 | Optical Element, Lithographic Apparatus Including Such an Optical Element, Device Manufacturing Method, and Device Manufactured Thereby - Spectral purity of a radiation beam of a first wavelength may be improved by providing an optical element that includes a structure having at least first layer including a first material, which structure is configured to be substantially reflective for a radiation of the first wavelength and substantially transparent or absorptive for a radiation of a second wavelength, a second layer including a second material, the second layer being configured to be substantially reflective, absorptive or scattering for the radiation of the second wavelength, and vacuum between the first layer and the second layer, wherein the first layer is located upstream in the optical path of incoming radiation with respect to the second layer. | 01-07-2010 |
20100002217 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - The disclosure relates to an illumination system of a microlithographic projection exposure apparatus. The illumination system can include a depolariser which in conjunction with a light mixing system disposed downstream in the light propagation direction at least partially causes effective depolarisation of polarised light impinging on the depolariser. The illumination system can also include a microlens array which is arranged upstream of the light mixing system in the light propagation direction. The microlens array can include a plurality of microlenses arranged with a periodicity. The depolariser can be configured so that a contribution afforded by interaction of the depolariser with the periodicity of the microlens array to a residual polarisation distribution occurring in a pupil plane arranged downstream of the microlens array in the light propagation direction has a maximum degree of polarisation of not more than 5%. | 01-07-2010 |
20100002218 | EXPOSURE APPARATUS AND METHOD FOR MANUFACTURING DEVICE - An exposure apparatus for exposing a shot region on a substrate includes a stage configured to hold and move the substrate, a projection optical system configured to project light onto the substrate, a measuring unit configured to measure a position of a partial region of a surface of the substrate, and a control unit configured to cause the measuring unit to measure the position with respect to each of a plurality of measurement points of each of a plurality of shot regions on the substrate, to determine a global shape of the surface based on the measured position, to calculate a correction value with respect to each of the plurality of measurement points based on the determined global shape, and to move the stage based on a measurement value corrected using the correction value corresponding to each of the plurality of measurement points. | 01-07-2010 |
20100014063 | IMAGE EXPOSURE APPARATUS - An image exposure apparatus includes: a spatial light modulating element, constituted by a plurality of pixel portions for individually modulating light irradiated thereon; a light source, for irradiating light on the spatial light modulating element; and a focusing optical system. The focusing optical system includes: an optical system, for focusing an image borne by each of the pixel portions; and a micro lens array, in which a plurality of micro lenses into which the light beams modulated by the pixel portions enter individually are provided in an array. The micro lens array is provided in the vicinity of a focusing position of the pixel portions by the focusing optical system. Each micro lens of the micro lens array has different powers in two directions within a plane perpendicular to the optical axis, to correct aberrations caused by anisotropic distortions in the pixel portions. | 01-21-2010 |
20100014064 | OPTICAL PRINTERS - One aspect of this invention relates to an illumination device for an optical printer, the illumination device comprising: a first array of light sources ( | 01-21-2010 |
20100014065 | METHOD FOR IMPROVING IMAGING PROPERTIES OF AN OPTICAL SYSTEM, AND SUCH AN OPTICAL SYSTEM - The disclosure relates to a method for improving optical properties of an optical system. The optical system has a plurality of optical elements for imaging a pattern onto a substrate that is arranged in an image plane of the optical system. The method includes detecting at least one time-dependent, at least partially reversible aberration of the optical system that is caused by heating of at least one of the optical elements. The method also includes at least partially correcting the aberration by replacing at least one optical element from the plurality of optical elements with at least one optical compensation element. The disclosure also relates to such an optical system with improved imaging properties. | 01-21-2010 |
20100020299 | INSTRUMENTATION AND METHOD FOR MASKLESS PHOTOLITHOGRAPHY - There is disclosed a maskless photolithography apparatus and method where image patterns are determined by the user during visualization of a mounted material on a substrate with a microscope, and the image patterns are dynamically changed during visualization. The maskless photolithography system provides a means for dynamically generating a custom image pattern that depends on micron-scale landmarks in a mounted material without using a photomask. | 01-28-2010 |
20100020300 | MEASUREMENT APPARATUS AND METHOD - According to an aspect of the present invention, a method of controlling a measurement apparatus for determining a property of an individually controllable element of an array of individually controllable elements, the array of individually controllable elements being capable of controlling a distribution of a beam of radiation, is disclosed. The method includes, for a sequence of a plurality of individually controllable elements: directing a measurement beam of radiation at an individually controllable element of the plurality of individually controllable elements; and detecting the measurement beam once it has been re-directed by the individually controllable element, wherein the sequence in which the method is undertaken for the plurality of individually controllable elements is related to the orientation of the plurality of individually controllable elements when the plurality of individually controllable elements are oriented to control a distribution of a beam of radiation. | 01-28-2010 |
20100020301 | Exposure Device and Method for Producing the Same - There is provided an exposure device including an exposure head having a light-emitting member which has a plurality of light-emitting sections arranged in a row and a casing which holds the light-emitting member and which is elongated in a longitudinal direction orthogonal to an optical axis direction of a light emitted from the light-emitting sections; and an elongated frame member fixed to the casing and having a reference portion at which the frame member is positioned with respect to the light-emitting sections; wherein the frame member is fixed to the casing such that the frame member is positioned with respect to the light-emitting sections in both of the longitudinal direction and a width direction of the casing, the width direction being orthogonal to the longitudinal direction and the optical axis direction. The exposure device is capable of performing exposure precisely at a desired exposure position. | 01-28-2010 |
20100026977 | METHOD FOR MEASURING WAVEFRONT ABERRATION - A method comprises determining a first processing center position to calculate a wavefront aberration of an optical system, determining a second processing center position to calculate a wavefront aberration, correcting the first processing center position in a first direction using the second processing center position in the first direction and correcting the second processing center position in a second direction using the first processing center position in the second direction. | 02-04-2010 |
20100026978 | PROJECTION OBJECTIVE OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - The disclosure relates a projection objective of a microlithographic projection exposure apparatus, as well as a related microlithographic projection exposure apparatus and method. The projection objective can include a lens of a cubically crystalline material whose crystal orientation is oriented at an angle of at most 15° relative to the optical axis of the projection objective. The projection objective can also include a polarization correction element which has at least two subelements of birefringent, optically uniaxial material and having at least one respective aspheric surface. During use of the projection objective, the polarization correction element at least partially compensates for an intrinsic birefringence of the lens. | 02-04-2010 |
20100033700 | OPTICAL ELEMENT, OPTICAL ELEMENT HOLDING DEVICE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An optical element (LS | 02-11-2010 |
20100033701 | Superlens and lithography systems and methods using same - A superlens that includes, in one example embodiment, a positive-index material adjacent to a negative-index material, wherein the negative-index material includes aluminum. In a more specific embodiment, the positive-index material includes a dielectric layer, such as Poly(Methyl MethAcrylate) (PMMA), which is less than 50 nanometers thick. The negative-index material includes a smoothed aluminum layer less than 50 nanometers thick. The aluminum layer is disposed on the dielectric layer or vice versa, forming a superlens comprising the aluminum layer and the dielectric layer. In another embodiment, the superlens further includes plural aluminum layers separated by one or more layers of positive-index material. A mask is adjacent to the positive-index material. The mask may include one or more features that extend into a transparent substrate. The mask is positioned so that the positive-index material separates the mask from the smoothed aluminum layer. In an illustrative embodiment, the superlens is adapted for use with thermal lithography using nanoparticles. | 02-11-2010 |
20100033702 | Coated mirrors and their fabrication | 02-11-2010 |
20100039629 | METHOD AND DEVICE FOR MONITORING MULTIPLE MIRROR ARRAYS IN AN ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system of a microlithographic projection exposure apparatus has a pupil surface and an essentially flat arrangement of desirably individually drivable beam deviating elements for variable illumination of the pupil surface. Each beam deviating element allows deviation of a projection light beam incident on it to be achieved as a function of a control signal applied to the beam deviating element. A measurement illumination instrument directs a measurement light beam, independent of the projection light beams, onto a beam deviating element. A detector instrument records the measurement light beam after deviation by the beam deviating element. An evaluation unit determines the deviation of the projection light beam from measurement signals provided by the detector instrument. | 02-18-2010 |
20100039630 | OPTIMIZATION OF FOCUSED SPOTS FOR MASKLESS LITHOGRAPHY - The focused spots of an active spot array projection system, such as a maskless lithographic projection system, are optimized within a relay of the projection system. A frequency modulator is positioned proximate to the pupil of the relay for reforming the focused spots while imaging the focused spots onto a photosensitive substrate. | 02-18-2010 |
20100039631 | RADIATION SOURCES AND METHODS OF GENERATING RADIATION - A radiation source is configured to generate radiation. The radiation source includes a fuel droplet generator constructed and arranged to generate a stream of droplets of fuel that are directed to a plasma generation site; a laser constructed and arranged to generate a laser beam that is directed to the plasma generation site, an angle between the direction of movement of the stream of droplets and the direction of the laser beam being less than about 90°; and a collector constructed and arranged to collect radiation generated by a plasma formed at the plasma formation site when the beam of radiation and a droplet collide. The collector is configured to reflect the radiation substantially along an optical axis of the radiation source. The laser beam is directed to the plasma generation site through an aperture provided in the collector. | 02-18-2010 |
20100039632 | RADIATION SOURCE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a radiation source configured to produce extreme ultraviolet radiation. The source includes a chamber in which a plasma is generated, and a mirror configured to reflect radiation emitted by the plasma. The mirror includes a multi-layer structure that includes alternating Mo/Si layers. A boundary Mo layer or a boundary Si layer or a boundary diffusion barrier layer of the alternating layers forms a top layer of the mirror, the top layer facing inwardly with respect to the chamber. A hydrogen radical generator is configured to generate hydrogen radicals in the chamber. The radicals are configured to remove debris generated by the plasma from the mirror. A support is constructed and arranged to support a patterning device configured to pattern the radiation to form a patterned beam of radiation. A projection system is constructed and arranged to project the patterned beam of radiation onto a substrate. | 02-18-2010 |
20100039633 | SOURCE OPTIMIZATION FOR IMAGE FIDELITY AND THROUGHPUT - A system and method for optimizing an illumination source to print a desired pattern of features dividing a light source into pixels and determining an optimum intensity for each pixel such that when the pixels are simultaneously illuminated, the error in a printed pattern of features is minimized. In one embodiment, pixel solutions are constrained from solutions that are bright, continuous, and smooth. In another embodiment, the light source optimization and resolution enhancement technique(s) are iteratively performed to minimize errors in a printed pattern of features. | 02-18-2010 |
20100045953 | Laser irradiation device and method of manufacturing organic light emitting diode display device using the same - A laser irradiation device and a method of manufacturing an organic light emitting diode display device using the same. The laser radiation device prevents the scattering of the laser light into portions of the donor substrate that correspond to non-transmissive regions of a mask pattern. To reduce the scattering, the mask pattern is designed so that 1) non-transmissive regions of a surface of the mask pattern that faces the laser source have a reflective layer, 2) the surface of the mask pattern that faces the laser source is oriented to have a certain angle with respect to the laser beam axis, and 3) a surface of the mask pattern that faces the donor substrate has an anti-reflective layer. Each of these design aspects of the mask pattern prevents laser light from being scattered and prevents irradiating portions of the donor substrate that corresponds to a non-transmissive region of the mask pattern. | 02-25-2010 |
20100045954 | Controllable radiation lithographic apparatus and method - A lithographic arrangement allows for controlling radiation characteristics. An illumination system provides a beam of radiation from radiation provided by a radiation source. The radiation source includes an array of individually controllable elements, each individually controllable element being capable of emitting radiation. A support structure supports a patterning device. The patterning device imparts the radiation beam with a pattern. A projection system projects the patterned radiation beam onto a target portion of a substrate held by a substrate table. A radiation peak intensity detection apparatus detects a peak in the intensity of an emission spectrum of one or more of the individually controllable elements of the radiation source. | 02-25-2010 |
20100053583 | EXPOSURE APPARATUS WITH AN ILLUMINATION SYSTEM GENERATING MULTIPLE ILLUMINATION BEAMS - An exposure apparatus ( | 03-04-2010 |
20100060874 | Maskless lithographic apparatus and methods of compensation for rotational alignment error using the same - A maskless lithographic apparatus may include a light source providing an exposure beam, a light modulator modulating the exposure beam according to an exposure pattern, an exposure optical system delivering the modulated exposure beam provided by the light modulator onto a substrate in a form of a beam spot array, and a control unit switching off some rows in the beam spot array in order to make exposure energy distribution uniform across the beam spot array. A method for compensating for an alignment error using a maskless lithographic apparatus may include providing an exposure beam, modulating the exposure beam according to an exposure pattern, delivering the modulated exposure beam provided by a light modulator onto a substrate in a form of a beam spot array, and switching off some rows in the beam spot array in order to make exposure energy distribution uniform across the beam spot array. | 03-11-2010 |
20100060875 | OPTOFLUIDIC LITHOGRAPHY SYSTEM, METHOD OF MANUFACTURING TWO-LAYERED MICROFLUIDIC CHANNEL, AND METHOD OF MANUFACTURING THREE-DIMENSIONAL MICROSTRUCTURES - An optofluidic lithography system including a membrane, a microfluidic channel, and a pneumatic chamber is provided. The membrane may be positioned between a pneumatic chamber and a microfluidic channel. The microfluidic channel may have a height corresponding to a displacement of the membrane and have a fluid flowing therein, the fluid being cured by light irradiated from the bottom to form a microstructure. The pneumatic chamber may induce the displacement of the membrane depending on an internal atmospheric pressure thereof. | 03-11-2010 |
20100073657 | Nanolithography system - A nanolithography system comprising a novel optical printing head suitable for high throughput nanolithography. This optical head enables a super-resolution lithographic exposure tool that is otherwise compatible with the optical lithographic process infrastructure. The exposing light is transmitted through specially designed super-resolution apertures, of which the “C-aperture” is one example, that create small but bright images in the near-field transmission pattern. A printing head comprising an array of these apertures is held in close proximity to the wafer to be exposed. In one embodiment, an illumination source is divided into parallel channels that illuminate each of the apertures. Each of these channels can be individually modulated to provide the appropriate exposure for the particular location on the wafer corresponding to the current position of the aperture. A data processing system is provided to re-interpret the layout data into a modulation pattern used to drive the individual channels. In one embodiment of the invention, the exposure head remains stationary while the material to be exposed rotates beneath the head. Such an embodiment comprises a circular data fracturing system to process the layout data to determine the correct modulation pattern. | 03-25-2010 |
20100073658 | LASER LIGHT SOURCE DEVICE, EXPOSURE DEVICE, AND MASK INSPECTION DEVICE USING THIS LASER LIGHT SOURCE DEVICE - A laser light source device | 03-25-2010 |
20100079737 | SUPPORT FOR A COMPONENT OF AN OPTICAL DEVICE - The disclosure provides an arrangement for an optical device including a component of the optical device and a support structure supporting the component. The support structure includes at least one locking device connected to the component and including a first fixation device and an associated second fixation device. The first fixation device and the second fixation device are adapted to be, in a movable state, movable with respect to each other along a first degree of freedom and a second degree of freedom into a final position. The first fixation device and the second fixation device are further adapted to be, in a locked state, fixed in the final position by at least one locking device contacting the first fixation device and the second fixation device. In the movable state, the first fixation device and the second fixation device are movable, up to the final position, in a manner free from a mutual pre-stress resulting from a restoring force exerted by at least a part of one of the first fixation device and the second fixation device. | 04-01-2010 |
20100079738 | OPTICAL MEASUREMENT APPARATUS FOR A PROJECTION EXPOSURE SYSTEM - An optical measurement apparatus ( | 04-01-2010 |
20100079739 | PROJECTION OBJECTIVE FOR MICROLITHOGRAPHY - A projection objective for applications in microlithography, a microlithography projection exposure apparatus with a projection objective, a microlithographic manufacturing method for microstructured components, and a component manufactured using such a manufacturing method are disclosed. | 04-01-2010 |
20100085549 | EXPOSURE DEVICE - An exposure device for producing semiconductors and liquid crystals has an optical system capable of effectively using light generated without making a hole in a lamp discharge vessel when high energy laser light is supplied to it for emitting light, such as ultraviolet light. The exposure device has a light source for emitting ultraviolet light, a laser device for emitting laser light, an elliptical reflector for reflecting ultraviolet light emitted from the light source, and an optical system for directing light reflected by the elliptical reflector to an article to be treated via optical elements including a collimator lens and an integrator lens, and a beam splitter having a wavelength selecting ability provided in the optical path for light reflected by the elliptical reflector to allow laser light to be incident on the light source from and opening side of the elliptical reflector. | 04-08-2010 |
20100085550 | PROJECTION OPTICAL SYSTEM AND EXPOSURE APPARATUS - An projection optical system for an i-line projection exposure apparatus includes positive, negative, positive, negative and positive lens units which include a lens having an Abbe number equal to or smaller than 62. 0.125≦NAO, −0.251<β<−0.249, 80 mm≦|OB | 04-08-2010 |
20100091258 | SUBSTRATE MEASUREMENT METHOD AND APPARATUS - A method and apparatus for measurement of a characteristic of a substrate. A target is present on the substrate and a measurement is performed during a scanning movement of the substrate. The scanning movement of the substrate is a linear movement and the measurement includes obtaining a reflected image of the target using a pulsed light source, the duration of a single light pulse being less than 100 psec. A lithographic apparatus includes such a measurement apparatus, and a device manufacturing method includes such a measurement method. | 04-15-2010 |
20100091259 | EXPOSURE APPARATUS - An exposure apparatus is configured to expose a substrate via a liquid filled in a space between the substrate and a final optical element in a projection optical system which is closest to the substrate. The exposure apparatus includes a pressure detector configured to detect a pressure of the liquid, a holder configured to hold the final optical element, a movement unit configured to move the holder, and a controller configured to control the movement unit and move the holder based on a detection result of the pressure detector so as to reduce an aberration of the projection optical system. | 04-15-2010 |
20100091260 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A method and apparatus make use of data representing changes in wavelength of a radiation source to provide control of focal plane position or to provide correction of sensor data. In the first aspect, the wavelength variation data is provided to control systems that control focus by moving apparatus components including, for example, the mask table, the substrate table or optical elements of the projection optical system. In the second aspect, variation data is used in correcting, e.g., focal plane position data measured by an inboard sensor, such as a transmitted image sensor. The two aspects may be combined in a single apparatus or may be used separately. | 04-15-2010 |
20100097592 | HIGH TRANSMISSION, HIGH APERTURE CATADIOPTRIC PROJECTION OBJECTIVE AND PROJECTION EXPOSURE APPARATUS - The disclosure provides projection objectives which may be used in a microlithographic projection exposure apparatus to expose a radiation-sensitive substrate arranged in the region of an image surface of the projection objective with at least one image of a pattern of a mask arranged in the region of an object surface of the projection objective. The disclosure also provides projection exposure apparatus which include such projection objectives, as well as related components and methods. | 04-22-2010 |
20100097593 | EUV light source, EUV exposure apparatus, and electronic device manufacturing method - Provided is an EUV light source which brings a target into the plasma state to radiate EUV light from the generated plasma. The target is one of a plurality of discrete targets. The surface area of each target is 1.5 times or more of that of a sphere which has the same material and the same mass as those of the target. | 04-22-2010 |
20100103395 | FLY'S EYE INTEGRATOR, ILLUMINATOR, LITHOGRAPHIC APPARATUS AND METHOD - A transmissive fly's eye integrator is disclosed that includes a first array of lenses and a second array of lenses. The first array of lenses and second array of lenses together form a fly's eye integrator, and the first array of lenses and second array of lenses comprise lenses which have a diameter selected from the range of 5 μm-50 μm, and a radius of curvature selected from the range of 25 μm-2500 μm. | 04-29-2010 |
20100103396 | Exposure apparatus and device fabrication method - An exposure apparatus for forming a predetermined pattern on a substrate by using exposure light, includes a stage apparatus which is movable with respect to an optical axis of the exposure light; a light-transmissive member provided at the stage apparatus, wherein a liquid is supplied on an upper surface of the light-transmissive member; and a measurement device which is settable below the light-transmissive member when measurement using the measurement device is performed. Leakage or entrance of a liquid used for exposure into an optical measurement device such as a wavefront aberration measurement device can be prevented, thereby enabling preferable optical adjustment such as imaging performance or optical characteristics. | 04-29-2010 |
20100110405 | RADIATION SOURCE AND LITHOGRAPHIC APPARATUS - A source configured to generate EUV radiation includes a fuel droplet generator configured to deliver a droplet of fuel to an interaction point, optics configured to deliver fuel vaporizing and exciting radiation to the interaction point to generate a plasma, and a collector arranged to collect EUV radiation emitted by the plasma. The optics are arranged such that in use the fuel vaporizing and exciting radiation is incident upon more than one side of the fuel droplet at the interaction point. | 05-06-2010 |
20100110406 | EXPOSURE APPARATUS, METHOD OF CONTROLLING THE SAME, AND DEVICE MANUFACTURING METHOD - An apparatus which illuminates an original by an illumination optical system and projects a pattern of the original onto a substrate, the illumination optical system including an adjusting mechanism configured to adjust a light intensity distribution on a pupil plane of the projection optical system, comprises a measuring device configured to measure the light intensity distribution, a detector configured to detect a total light quantity on the pupil plane, and a controller configured to determine a light quantity, to be detected when a target light intensity distribution is formed on the pupil plane, as a target light quantity based on the measured light intensity distribution and the detected total light quantity, and to control the adjusting mechanism so that the detected total light quantity detected becomes the target light quantity. | 05-06-2010 |
20100123889 | SUPER-RESOLUTION LITHOGRAPHY APPARATUS AND METHOD BASED ON MULTI LIGHT EXPOSURE METHOD - Disclosed herein is a super-resolution lithography apparatus and method based on a multiple light exposure method. The super-resolution lithography apparatus comprises a photographic medium having energy levels of a first ground state, a second ground state, a first excited state, a second excited state and a quenching state; a first light source inducing energy level transition between the first ground state and the first excited state of the photographic medium; a second light source inducing energy level transition between the second ground state and the first excited state of the photographic medium; and a third light source inducing energy level transition between the second ground state and the second excited state of the photographic medium. Accordingly, the resolution of lithography can be improved simply by using a photographic medium having a simple structure and conventional laser beams and increasing the number of exposure steps. Furthermore, a multiple photon absorber that is difficult to obtain, a medium having a complicated energy level and a high-efficiency quantum optical light are unnecessary, and thus economic efficiency is improved. | 05-20-2010 |
20100128239 | EXPOSURE METHOD AND EXPOSURE APPARATUS - In an exposure apparatus, exposure light from a lamp (continuous light source) ( | 05-27-2010 |
20100128240 | CHROMATICALLY CORRECTED OBJECTIVE AND PROJECTION EXPOSURE APPARATUS INCLUDING THE SAME - An objective having a plurality of optical elements arranged to image a pattern from an object field in an object surface of the objective to an image field in an image surface region of the objective at an image-side numerical aperture NA>0.8 with electromagnetic radiation from a wavelength band around a wavelength λ, includes a number N of dioptric optical elements, each dioptric optical element i made from a transparent material having a normalized optical dispersion | 05-27-2010 |
20100134775 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An apparatus includes an original stage configured to hold an original, a substrate stage configured to hold a substrate, an illumination optical system configured to illuminate the original with light from a light source, a projection optical system configured to project light from the original to the substrate to expose the substrate, a detector configured to detect first light from a first reflecting member disposed on the original stage and second light from a second reflecting member disposed on the substrate stage produced by illumination with light, wherein either the first or second light travels forward and backward via the projection optical system, and is detected by the detector, and a processor configured to obtain intensities of the first and the second lights based on an output from the detector, and to calculate transmittance of the projection optical system based on the obtained intensities. | 06-03-2010 |
20100141919 | EXPOSING APPARATUS FOR FABRICATING PROCESS OF FLAT PANEL DISPLAY DEVICE - An exposing apparatus for a fabricating process of a flat panel display device includes a light source emitting a light; a beam edge cutter for improving an uniformity of the light from the light source; an exposing mask under the beam edge cutter and including a pattern; a gas jetting unit between the beam edge cutter and the exposing mask and jetting a gas through a space between the beam edge cutter and the exposing mask; and a stage, where a substrate for the flat panel display device is disposed, under the exposing mask. | 06-10-2010 |
20100141920 | Device and Method for Transmission Image Sensing - A device for transmission image sensing for sensing an aerial image in a lithographic exposure apparatus comprises a projection system arranged to form, at an image side of the projection system, an aerial image of an object mark. The device further comprises a detector comprising a slit pattern having features corresponding to at least a part of the aerial image. The slit pattern is arranged to be exposed to the aerial image. The detector is further being arranged to detect detection radiation transmitted by the slit pattern; wherein d<0.85 λ/NA, where d represents the dimension of the smallest feature of the slit pattern, λ represents the intended wavelength of the detection radiation, and NA, which is larger than 1, represents the numerical aperture of the image side. | 06-10-2010 |
20100149505 | EUV Mask Inspection System - Disclosed are apparatuses, methods, and lithographic systems for EUV mask inspection. An EUV mask inspection system can include an EUV illumination source, an optical system, and an image sensor. The EUV illumination source can be a standalone illumination system or integrated into the lithographic system, where the EUV illumination source can be configured to illuminate an EUV radiation beam onto a target portion of a mask. The optical system can be configured to receive at least a portion of a reflected EUV radiation beam from the target portion of the mask. Further, the image sensor can be configured to detect an aerial image corresponding to the portion of the reflected EUV radiation beam. The EUV mask inspection system can also include a data analysis device configured to analyze the aerial image for mask defects. | 06-17-2010 |
20100149506 | Actuator System, Lithographic Apparatus, Method of Controlling the Position of a Component and Device Manufacturing Method - An actuator system is provided that is configured to move a component relative to a base of the actuator system. The actuator system may include first and second actuating elements, each including two sections of material that are joined to each other and have different coefficients of thermal expansion. The two actuating elements may be configured such that if the temperature of one is increased it applies a force on the component in a direction that is opposite to the force applied by the other actuating element if its temperature is increased. The actuator system may further include at least one power supply configured to provide independently controllable heating to the first and second actuating elements. | 06-17-2010 |
20100149507 | Dedicated Metrology Stage for Lithography Applications - A system and method are used to detect parameters regarding an exposure portion or an exposure beam. The system comprising a substrate stage and a metrology stage. The substrate stage is configured to position a substrate to receive an exposure beam from an exposure portion of a lithography system. The metrology stage has a sensor system thereon that is configured to detected parameters of the exposure system or the exposure beam. In one example, the system is within a lithography system, which further comprises an illumination system, a patterning device, and a projection system. The patterning device patterns a beam of radiation from the illumination system. The projection system, which is located within the exposure portion, projects that pattered beam onto the substrate or the sensor system. | 06-17-2010 |
20100149508 | METHOD FOR A LITHOGRAPHIC APPARATUS - In an embodiment, there is provided a method of at least partially compensating for a deviation in a property of a pattern feature to be applied to a substrate using a lithographic apparatus. The method includes determining a desired phase change to be applied to at least a portion of a radiation beam that is to be used to apply the pattern feature to the substrate and which would at least partially compensate for the deviation in the property. The determination of the desired phase change includes determining a desired configuration of a phase modulation element. The method further includes implementing the desired phase change to the portion of the radiation beam when applying the pattern feature to the substrate, the implementation of the desired phase change comprising illuminating the phase modulation element with the portion of the radiation beam when the phase modulation element is in the desired configuration. | 06-17-2010 |
20100157267 | RADIATION SOURCE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A radiation source for generation of extreme ultraviolet radiation or use in high resolution lithography includes a plasma formation site where fuel is contacted by a radiation beam to form a plasma generating EUV radiation. A mirrored collector collects and reflects the EUV radiation generated at a first focus towards a second focus. A contamination barrier is positioned such the periphery of the contamination barrier does not occlude more than 50% of the solid angle subtended by the mirror at the second focus, such that EUV radiation reflected by the collector mirror is not excessively attenuated by passing through the contamination barrier. The contamination barrier serves to trap fuel material such as ions, atoms, molecules or nanodroplets from the plasma to prevent their deposition onto the collector mirror where they reduce the mirror's effective lifetime. | 06-24-2010 |
20100157268 | ILLUMINATION SYSTEM OF A MICROLOTHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system of a microlithographic projection exposure apparatus includes a beam deflection array including a number beam deflection elements, for example mirrors. Each beam deflection element is adapted to deflect an impinging light beam by a deflection angle that is variable in response to control signals. The light beams reflected from the beam deflection elements produce spots in a system pupil surface. The number of spots illuminated in the system pupil surface during an exposure process, during which a mask is imaged on a light sensitive surface, is greater than the number of beam deflection elements. This may be accomplished with the help of a beam multiplier unit that multiplies the light beams reflected from the beam deflection elements. In another embodiment the beam deflecting elements are controlled such that the irradiance distribution produced in the system pupil surface changes between two consecutive light pulses of an exposure process. | 06-24-2010 |
20100157269 | ILLUMINATION SYSTEM FOR ILLUMINATING A MASK IN A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system for illuminating a mask in a scanning microlithographic projection exposure apparatus has an objective with an object plane, at least one pupil surface and an image plane in which a mask can be arranged. A beam deflection array of reflective or transparent beam deflection elements is provided, where each beam deflection element is adapted to deflect an impinging light ray by a deflection angle that is variable in response to a control signal. The beam deflection elements are arranged in or in close proximity to the object plane of the objective. | 06-24-2010 |
20100157270 | APPARATUS FOR MANIPULATION OF AN OPTICAL ELEMENT - The invention relates to an apparatus for manipulation of an optical element ( | 06-24-2010 |
20100165314 | MEMS DEVICE WITH CONTROLLED GAS SPACE CHEMISTRY - A process for protecting a MEMS device used in a UV illuminated application from damage due to a photochemical activation between the UV flux and package gas constituents, formed from the out-gassing of various lubricants and passivants put in the device package to prevent sticking of the MEMS device's moving parts. This process coats the exposed surfaces of the MEMS device and package's optical window surfaces with a metal-halide film to eliminate this photochemical activation and therefore significantly extend the reliability and lifetime of the MEMS device. | 07-01-2010 |
20100165315 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An apparatus which performs, using light supplied from a light source, an exposure process of transferring a pattern of an original onto a substrate by exposure and a measurement process for alignment between the original and the substrate, comprises a controller configured to control the light source, wherein the light source has an oscillation frequency that varies and is a number of times of light emission per unit time, and includes a control system configured to control a spectrum width of the light, and the controller oscillates the light source at a first oscillation frequency by setting the control system to an inactive state in the measurement process, and oscillates the light source at a second oscillation frequency by setting the control system to an active state in the exposure process. | 07-01-2010 |
20100165316 | INCLINED EXPOSURE LITHOGRAPHY SYSTEM - An inclined exposure lithography system is disclosed, which comprises: a substrate; a photoresist layer, formed on the substrate; a mask, disposed over the photoresist layer with a gap therebetween; and a refraction element disposed over the mask so that a light beam from a light source is refracted by a specific angle. | 07-01-2010 |
20100177291 | FILM PRINTING SYSTEM AND METHOD UTILIZING A DIGITAL LIGHT PROCESSING IMAGER OR ORGANIC LIGHT EMITTING DIODE - A motion picture printing system having a digital micromirror device for manipulating light used to expose a film negative is disclosed. A motion picture printing system having an organic light emitting diode for emitting light to expose a film negative is disclosed. | 07-15-2010 |
20100177292 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In an immersion lithography apparatus in which immersion liquid is supplied to a localized space, the space is substantially polygonal in plan substantially parallel to the substrate. In an embodiment, two corners of the space have a radius of curvature no greater than the width of a transition zone between the space configured to contain liquid and a surrounding configured not to contain liquid. | 07-15-2010 |
20100177293 | POLARIZATION-MODULATING OPTICAL ELEMENT - A polarization-modulating optical element consisting of an optically active crystal material has a thickness profile where the thickness, as measured in the direction of the optical axis, varies over the area of the optical element. The polarization-modulating optical element has the effect that the plane of oscillation of a first linearly polarized light ray and the plane of oscillation of a second linearly polarized light ray are rotated, respectively, by a first angle of rotation and a second angle of rotation, with the first angle of rotation and the second angle of rotation being different from each other. | 07-15-2010 |
20100182580 | PHOTOLITHOGRAPHY SYSTEMS WITH LOCAL EXPOSURE CORRECTION AND ASSOCIATED METHODS - Photolithography systems with local exposure correction and associated methods are disclosed. In one embodiment, a photolithography system includes an off-axis illumination source and a substrate support facing the illumination source. The substrate support is configured to carry a microelectronic substrate. The photolithography system further includes a photomask between the illumination source and the microelectronic substrate. The photomask has a substrate and a pattern layer having a trench, and the substrate includes a channel generally aligned with the trench in the pattern layer. | 07-22-2010 |
20100182581 | LENS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD | 07-22-2010 |
20100188646 | DRAWING METHOD AND DRAWING APPARATUS - A drawing method includes the steps of: moving a plurality of drawing heads relative to a drawing surface in a predetermined scanning direction, each of the drawing heads being equipped with a drawing point forming section, in which drawing elements for forming drawing points on the drawing surface are arranged two dimensionally; forming the drawing points on the drawing surface sequentially, corresponding to the movement; and performing drawing by the plurality of the drawing heads, which are arranged in a direction that intersects with the scanning direction. Reference points are formed on the drawing surface, by a reference point drawing element which is set in each of the drawing heads, and the drawing timing of each of the drawing heads is controlled such that the reference points formed thereby are arranged at predetermined positions along the scanning direction. | 07-29-2010 |
20100195072 | Optical characteristic measuring method, optical characteristic adjusting method, exposure apparatus, exposing method, and exposure apparatus manufacturing method - There is provided an optical characteristic measuring method for measuring an optical characteristic of an optical system which forms, on a second plane, an image of an object arranged on a first plane, the optical characteristic measuring method including: arranging, on the first plane, a first area through which a measuring light passes or by which the measuring light is reflected; arranging a second area, through which the measuring light passes or by which the measuring light is reflected, on the second plane at a position corresponding to the first area; and detecting, via one of the first area and the second area, a light amount of the measuring light via the optical system and the other of the first area and the second area; wherein at least one of the first area and the second area has a shape such that a light amount, of the measuring light which passes or which is reflected via the optical system, is changed depending on the optical characteristic. | 08-05-2010 |
20100195073 | SCANNED WRITING OF AN EXPOSURE PATTERN ON A SUBSTRATE - An exposure pattern is written on a substrate, by scanning a light spot along a trajectory over the substrate and switching it on and off according to a desired pattern. Respective spot sizes of the light for illuminating the substrate in respective parts of the trajectory according to a geometry of the pattern. Respective pitch values between successive ones of the parts of the trajectory are selected, in relation to the spot size selected for the respective parts. The light spot is scanned over the substrate along the trajectory, with the selected pitch values between the trajectory parts and a position dependent spot size along the trajectory. In an embodiment a helical trajectory is used. | 08-05-2010 |
20100195074 | THERMAL REGULATION OF VIBRATION-SENSITIVE OBJECTS - An exemplary thermally regulated component is an optical element or chuck for holding an optical element, or a stage for same, or combination thereof. The component has first and second heat-transfer zones. The first has a first component surface that receives a heating influence such as incident electromagnetic radiation. The second has a second component surface. A conduit circuit extends in the component serially through the first and second heat-transfer zones, back to the first heat-transfer zone, and contains an electrically conductive liquid (e.g., liquid metal). A vibration-free pump (e.g., MFD pump) coupled to the conduit circuit induces flow of the liquid through the circuit. A heat-exchanger is in thermal contact, but not actual contact, with the second component surface. Thus, heat delivered to the second heat-transfer zone by the liquid flowing in the conduit circuit flows from the second component surface to the heat-exchanger. The pump can be powered by a periodically remotely charged power source. | 08-05-2010 |
20100195075 | PROJECTION OBJECTIVE HAVING MIRROR ELEMENTS WITH REFLECTIVE COATINGS - An optical system is disclosed that includes a plurality of elements arranged to image radiation at a wavelength λ from an object field in an object surface to an image field in an image surface. The elements include mirror elements having a reflective surface formed by a reflective coating positioned at a path of radiation. At least one of the mirror elements has a rotationally asymmetrical reflective surface deviating from a best-fit rotationally symmetric reflective surface by about λ or more at one or more locations. The elements include an apodization correction element effective to correct a spatial intensity distribution in an exit pupil of the optical system relative to the optical system without the apodization correcting element. The apodization correction element can be effective to increase symmetry of the spatial intensity distribution in the exit pupil relative to the optical system without the apodization correcting element. | 08-05-2010 |
20100195076 | OPTICAL MEMBRANE ELEMENT - An optical membrane element for an optical device in lithography, especially EUV (extreme ultraviolet) lithography, includes at least one membrane layer and a frame, which at least partially surrounds the membrane layer and at which at least part of the rim of the membrane layer is mounted. At least one tautening element is provided, which facilitates tautening of the membrane layer and wherein the optical membrane element can be used in a projection exposure system, especially for EUV lithography, such that the membrane layer of the membrane element can be adjustably tautened, such that the membrane layer is flat. A method for manufacturing a corresponding optical membrane element includes generating a tautening element lithographically together with the membrane layer. | 08-05-2010 |
20100195077 | ILLUMINATION SYSTEM FOR A MICROLITHOGRAPHY PROJECTION EXPOSURE INSTALLATION - An illumination system for a microlithography projection exposure installation is used to illuminate an illumination field with the light from a primary light source ( | 08-05-2010 |
20100201963 | Inspection Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Inspection Method - For angular resolved spectrometry a radiation beam is used having an illumination profile having four quadrants is used. The first and third quadrants are illuminated whereas the second and fourth quadrants aren't illuminated. The resulting pupil plane is thus also divided into four quadrants with only the zeroth order diffraction pattern appearing in the first and third quadrants and only the first order diffraction pattern appearing in the second and third quadrants. | 08-12-2010 |
20100201964 | PROJECTION OBJECTIVE FOR MICROLITHOGRAPHY - An optical system includes an optical element having adjusting elements. The optical element is connected to a rotatable carrying ring via at least one connecting member arranged on the carrying ring directly or via one or a plurality of intermediate elements to the optical element. The rotatable carrying ring is borne in a manner freely rotatable about an axis relative to a fixed outer mount or the optical element via a rotating device. The outer mount, the rotatable carrying ring and the connecting members are constructed as rotatable kinematics in the form of parallel kinematics. | 08-12-2010 |
20100208223 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD - The present invention provides an illumination optical system which illuminates an illumination target surface with a light beam from a light source, the system including a plurality of adjustment units each having one of a reflectance distribution and a transmittance distribution to adjust an incident angle distribution of the light beam which impinges on the illumination target surface, the plurality of adjustment units including an adjustment unit which adjusts differences between light amounts, in a first direction, of incident angle distributions of light beams at a plurality of points on the illumination target surface, and light amounts, in a second direction, thereof, and an adjustment unit which adjusts at least one of light amount differences, in the first direction, of the incident angle distributions of the light beams at the plurality of points on the illumination target surface, and light amount differences, in the second direction, thereof. | 08-19-2010 |
20100208224 | LITHOGRAPHIC APPARATUS AND METHOD OF REMOVING LIQUID - A method of removing liquid from a substrate supported on a substrate table and from a gap between the substrate and the substrate table includes: providing a liquid removal device with at least one outlet connected to an under pressure source, the outlet forming an elongated extractor of a predetermined geometry; relatively moving the substrate table and the liquid removal device such that the extractor is adapted to pass over all of the substrate and gap and such that substantially at any given time any local part of the extractor at the edge of a non-dried portion of the gap has, in a plane, its local tangent orientated at an angle of between about 35° and 90° to the local tangent of the gap. | 08-19-2010 |
20100208225 | PROJECTION OBJECTIVE FOR MICRLOLITHOGRAPHY HAVING AN OBSCURATED PUPIL - A projection objective with obscurated pupil for microlithography has a first optical surface, which has a first region provided for application of useful light, and at least one second optical surface, which has a second region provided for application of useful light. A beam envelope of the useful light extends between the first region and the second region. At least one tube open on the input side and on the output side in the light propagation direction severs to screen scattered light. The at least one tube is between the first optical surface and the second optical surface. The wall of the tube is opaque in the wavelength range of the useful light. The tube extends in the propagation direction of the useful light over at least a partial length of the beam envelope and circumferentially surrounds the beam envelope. | 08-19-2010 |
20100214546 | PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A system includes a plurality of optical elements, a deformation unit configured to deform a deformable optical element satisfying a following conditional formula included in the plurality of optical elements by applying a force to the deformable optical element: | 08-26-2010 |
20100214547 | METHODS OF DETERMINING QUALITY OF A LIGHT SOURCE - Methods for determining a quality of a light source applied to a photolithographic process are provided. An image sensor array is exposed to a light from a light source. Addresses and respective intensities corresponding to a plurality of locations on a pupil map representing intensity of the light from on the image sensor array. At least one of an inner curve and an outer curve of the pupil map is defined based upon the collected addresses and respective intensities. The light source is applied to a photolithographic process if the addresses have a predetermined pattern relative to the at least one of the inner curve and the outer curve. | 08-26-2010 |
20100220305 | Optical element and exposure apparatus - An optical element is used for an exposure apparatus which is configured to illuminate a mask with an exposure light beam for transferring a pattern on the mask onto a substrate through a projection optical system and to interpose a given liquid in a space between a surface of the substrate and the projection optical system. The optical element includes a first anti-dissolution member provided on a surface of a transmissive optical element on the substrate's side of the projection optical system. | 09-02-2010 |
20100225890 | METHOD FOR EVALUATING FLARE IN EXPOSURE TOOL - A method for evaluating flare of an exposure tool has measuring a first reference integral exposure amount of illumination light emitted from the light source, and a unit reference integral exposure amount of illumination light emitted from the light source, the first reference integral exposure amount being required for the first evaluation pattern to be developed on the photosensitive film, the unit reference integral exposure amount being required for the first effective exposure region to be developed on the photosensitive film; calculating a first evaluation value by dividing the unit reference integral exposure amount by the first reference integral exposure amount; and evaluating a total flare amount of the illuminating optical system and the projecting optical system, using the first evaluation value. | 09-09-2010 |
20100225891 | ILLUMINATION OPTICAL SYSTEM AND EXPOSURE APPARATUS - An illumination optical system includes a barrel configured to house a lens having an optical axis that extends in a direction perpendicular to a gravity direction, wherein the barrel includes an inner surface that has a pair of projections each contacting an outer circumference surface of the lens, and wherein on a plane perpendicular to the optical axis, when viewed from an intersection between the optical axis and the plane perpendicular to the optical axis, an absolute value of an angular range in which each projection contacts the outer circumference surface of the lens is from 5° to 40° with respect to an axis that passes the intersection and is parallel to the gravity direction. | 09-09-2010 |
20100225892 | Lithographic Apparatus and Device Manufacturing Method - A lithographic apparatus has an array of individually controllable elements to impart a projection beam with a pattern in its cross-section. The projection system includes an array of lenses arranged in a plane and an actuator configured to change the location of at least one lens of the array of lenses such that the array of lenses is at least partially deformed where the at least partial deformation is within the plane of the array of lenses. | 09-09-2010 |
20100231878 | Systems and methods for manufacturing semiconductor device - A method of manufacturing a semiconductor device includes preparing a reticle having at least three mask registration keys on respective four sides of a key field, aligning the reticle by irradiating light, after mounting the reticle on an exposure system, and measuring a mask registration including a non-linear term of the reticle from the mask registration keys using the irradiated light. | 09-16-2010 |
20100231879 | Exposure apparatus, exposure method, and device manufacturing method - An exposure apparatus includes: an optical system having an optical element on which a first exposure light and a second exposure light are incident, the first exposure light and the second exposure light from the optical element being irradiated onto a first exposure field and a second exposure field; and a detection device that detects at least one of the first exposure light and the second exposure light, which are from the optical element and are directed towards a different direction from directions towards the first and second exposure fields. | 09-16-2010 |
20100231880 | OPTICAL INTEGRATOR, ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An optical integrator used in an illumination optical system for illuminating an illumination target surface on the basis of light from a light source has a first fly's eye optical system having a plurality of first optical elements arranged in parallel at a position optically conjugate with the illumination target surface in an optical path between the light source and the illumination target surface, and a second fly's eye optical system having a plurality of second optical elements arranged in parallel so as to correspond to the plurality of first optical elements in an optical path between the first fly's eye optical system and the illumination target surface. At least one first optical element out of the plurality of first optical elements, and another first optical element different from the at least one first optical element have their respective postures different from each other about an optical axis of the illumination optical system or about an axis parallel to the optical axis. | 09-16-2010 |
20100231881 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - The invention provides a level sensor configured to measure a height level of a substrate comprising: a projection unit to project a measurement beam on the substrate, a detection unit to receive the measurement beam after reflection on the substrate, a processing unit to calculate a height level on the basis of the reflected measurement beam received by the detection unit, wherein the level sensor further comprises a tilt measuring device, wherein the tilt measuring device is arranged to receive at least partially the reflected measurement beam, and configured to provide a tilt signal representative for a tilt of the substrate with respect to a nominal plane. | 09-16-2010 |
20100231882 | BUNDLE-GUIDING OPTICAL COLLECTOR FOR COLLECTING THE EMISSION OF A RADIATION SOURCE - A bundle-guiding optical collector collects an emission of a radiation source and forms a radiation bundle from the collected emission. A reflective surface of the collector is the first bundle-forming surface downstream of the radiation source. The reflective surface is formed such that it converts the radiation source into a family of images in a downstream plane. The family of images includes a plurality of radiation source images which are offset to each other in two dimensions (x, y) in a direction perpendicular to the beam direction of the transformed radiation bundle and are arranged relative to each other in a non-rotationally symmetric manner relative to the beam direction of the transformed radiation bundle. The transformed radiation bundle in the downstream plane has a non-rotationally symmetric bundle edge contour relative to the beam direction of the transformed radiation bundle. The result is a collector in which the radiation bundle shape generated by the collector. In other words, the illumination distribution generated by the collector in a defined manner in the plane downstream of the collector has a shape which is freely selectable to the greatest possible extent. | 09-16-2010 |
20100231883 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A microlithographic projection exposure apparatus includes a primary illumination system producing projection light, a projection objective and a correction optical system. The correction optical system includes a secondary illumination system, which produces an intensity distribution of correction light in a reference surface, and a correction element which includes a heating material and is arranged in a plane that is at least substantially optically conjugate to the reference surface such that the correction light and the projection light pass through at least one lens contained in the projection objective before they impinge on the correction element. All lenses through which both the correction light and the projection light pass are made of a lens material which has a lower coefficient of absorption for the correction light than the heating material contained in the correction element. | 09-16-2010 |
20100231884 | IMAGING OPTICAL SYSTEM AND RELATED INSTALLATION AND METHOD - An imaging optical system can image two object fields, each in the same object plane, into two corresponding image fields, each in the same image plane. The two object fields are spatially separated from each another, and the two image fields are spatially separated from each other. The imaging optical system can exhibit increased flexibility of use. | 09-16-2010 |
20100231885 | IMAGING OPTICAL SYSTEM AND PROJECTION EXPOSURE SYSTEM FOR MICROLITHOGRAPHY - An imaging optical system includes a plurality of mirrors that image an object field in an object plane into an image field in an image plane. At least one of the mirrors is obscured, and thus has a opening for imaging light to pass through. The fourth-last mirror in the light path before the image field is not obscured and provides, with an outer edge of the optically effective reflection surface thereof, a central shadowing in a pupil plane of the imaging optical system. The distance between the fourth-last mirror and the last mirror along the optical axis is at least 10% of the distance between the object field and the image field. An intermediate image, which is closest to the image plane, is arranged between the last mirror and the image plane. The imaging optical system can have a numerical aperture of 0.9. These measures, not all of which must be effected simultaneously, lead to an imaging optical system with improved imaging properties and/or reduced production costs. | 09-16-2010 |
20100231886 | IMAGING OPTICAL SYSTEM AND PROJECTION EXPOSURE APPARATUS FOR MICROLITHOGRAPHY INCLUDING AN IMAGING OPTICAL SYSTEM - In certain aspects, imaging optical systems with a plurality of mirrors image an object field in an object plane into an image field in an image plane. In the light path between non-obscured mirrors, imaging rays pass through at least one multiple pass-through region between spaced-apart planes which are arranged parallel to the object plane and/or parallel to the image plane. The imaging optical systems have at least one pupil plane. The pupil plane is arranged outside the multiple pass-through region between the non-obscured mirrors. This can provide an imaging optical system which provides for an easier correction of image errors | 09-16-2010 |
20100238422 | OPTICAL DEVICE AND METHOD OF IN SITU TREATING AN EUV OPTICAL COMPONENT TO ENHANCE A REDUCED REFLECTIVITY - The present invention relates to an optical device and a method of in situ treating an optical component ( | 09-23-2010 |
20100238423 | IMAGE FORMING APPARATUS - A measuring section that measures the position shift in the main scanning direction of a plurality of light sources, a signal generating section that generates synchronized INDEX signals that in which the writing timing of the different light sources has been adjusted according to the position shifts, a signal generating section that generates the signals for driving the light sources based on the synchronized INDEX signals and image data, and a measuring section that detects the timing shift component in the main scanning direction included in the synchronized INDEX signal generated in the condition in which adjustments have been made according to the position shift, and further shift correction is carried out in the main scanning direction based on the timing shift component, for the synchronized INDEX signal which are adjusted and generated in accordance with the position shift of a plurality of light sources. | 09-23-2010 |
20100245794 | EXPOSURE DEVICE AND IMAGE-FORMING APPARATUS - There is provided an exposure device including: plural exposure heads having plural light-emitting elements arranged in a first direction, the plurality of exposure heads also being arranged in the first direction; plural temperature detecting units for detecting temperature arranged at both ends in the first direction of each of the plural exposure heads; and a correction unit for correcting quantities of light emitted from the exposure heads based on temperature data detected by the temperature detecting units. | 09-30-2010 |
20100245795 | INTERMEDIATE VACUUM SEAL ASSEMBLY FOR SEALING A CHAMBER HOUSING TO A WORKPIECE - A chamber assembly ( | 09-30-2010 |
20100245796 | Optical Integrator, Illumination Optical Device, Aligner, and Method for Fabricating Device - An optical integrator is able to keep down a light-quantity loss in modified illumination with an illumination optical apparatus. An optical integrator of a wavefront division type according to the present invention has a plurality of refracting surface regions which refract incident light, and a plurality of deflecting surface regions provided corresponding to the plurality of refracting surface regions and adapted for changing a traveling direction of the incident light. The plurality of refracting surface regions include a plurality of first refracting surface regions includes an arcuate contour with the center projecting in a first direction, and a plurality of second refracting surface regions includes an arcuate contour with the center projecting in a second direction. | 09-30-2010 |
20100253925 | Microactuator,optical device, display apparatus, exposure apparatus, and method for producing device - A microactuator includes a base member, a plate-shaped member which is deflectively deformable, and a driving force applying device. A driving objective is connected to a predetermined portion of a deflectively deformable area of the plate-shaped member. The driving force applying device deflectively deforms the deflectively deformable area to change an inclination of the predetermined portion of the plate-shaped member between a first inclination and a second inclination; and a part of the plate-shaped member or a part of a member fixed to the plate-shaped member is brought into abutment against a member when the inclination is the first inclination, and the part of the plate-shaped member or the part of the member fixed to the plate-shaped member is brought into abutment against the base member when the inclination is the second inclination. | 10-07-2010 |
20100253926 | ILLUMINATION OPTICS FOR MICROLITHOGRAPHY - An illumination optics for microlithography includes an optical assembly for guiding illumination light to an object field to be illuminated in an object plane. The illumination optics can divide an illumination light radiation bundle into a plurality of radiation sub-bundles which are assigned to different illumination angles of the object field illumination. The illumination optics is configured so that at least some of the radiation sub-bundles are superimposed in a superposition plane which is spaced from the object plane and which is not imaged into the object plane in which superposition takes place. This superposition is such that edges of the superimposed radiation sub-bundles coincide at least partially. In some embodiments, a field intensity setting device includes a plurality of adjacent individual diaphragms which at least attenuate illumination light when exposed thereon. These individual diaphragms are insertable into an illumination light radiation bundle in a direction parallel to an object displacement direction. All individual diaphragms of the field intensity setting device are insertable into the illumination light radiation bundle from one and the same side. | 10-07-2010 |
20100253927 | SPATIAL LIGHT MODULATING UNIT, ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - There is disclosed a spatial light modulating unit comprising: | 10-07-2010 |
20100253928 | RADIATION SOURCE - A radiation source includes a chamber, a supply constructed and arranged to supply a substance to the chamber at a location that allows the substance to pass through an interaction point within the chamber, a laser constructed and arranged to provide a laser beam to the interaction point so that a radiation emitting plasma is produced when the laser beam interacts with he substance at the interaction point, and a conduit constructed and arranged to deliver unheated buffer gas into the chamber at a location adjacent to the interaction point at a rate that removes heated buffer gas from a region around the interaction point before a subsequent interaction between the laser beam and the substance at the interaction point. | 10-07-2010 |
20100259739 | EXPOSURE DEVICE AND IMAGE FORMING DEVICE - There is provided an exposure device including: a light-emitting element array at which a plurality of light-emitting elements, that emit light that passes through an optical path of diffused light, are arrayed one-dimensionally or two-dimensionally on a substrate; and a hologram element array at which a plurality of hologram elements are formed at positions, that respectively correspond to the plurality of light-emitting elements, of a hologram recording layer disposed on the substrate, so as to diffract and collect, at an outer side of illumination regions of all of the plurality of light-emitting elements, respective lights that are emitted from the plurality of light-emitting elements respectively. | 10-14-2010 |
20100259740 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A method for exposing a substrate by an exposure apparatus comprising an illumination optical system which is configured to illuminate an original and includes an adjusting mechanism that adjusts an effective light source distribution, and a projection optical system which projects a pattern of the original illuminated by the illumination optical system onto a substrate, the method comprises obtaining correlation information indicating a correlation between an effective light source distribution and a line width difference in a pattern formed on a substrate by exposure, determining an effective light source distribution corresponding to a target line width difference based on the correlation information, controlling the adjusting mechanism so as to obtain the effective light source distribution determined in the determining step, and exposing the substrate after the controlling step. | 10-14-2010 |
20100259741 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus sequentially transfers a pattern of an original to a plurality of shot regions on a substrate, wherein each shot region includes a chip region and a scribe line region surrounding the chip region. The apparatus comprises a detector configured to detect light beams from a first mark and a second mark arranged in a first scribe line region and a second scribe line region, respectively, adjacent to each other on the substrate driven in a measurement scanning direction by substantially simultaneously observing the first scribe line region and the second scribe line region, and a processor configured to process detection signals output from the detector to determine positions of the first mark and the second mark, wherein the substrate is positioned based on the positions of the first mark and the second mark and is exposed. | 10-14-2010 |
20100259742 | OBLIQUE MIRROR-TYPE NORMAL-INCIDENCE COLLECTOR SYSTEM FOR LIGHT SOURCES, PARTICULARLY EUV PLASMA DISCHARGE SOURCES - There is provided a collector system. The collector system includes a first collector mirror and a second collector mirror. The first collector mirror receives EUV light from a light source at a first aperture angle via a first beam path, and reflects the EUV light at a second aperture angle along a second beam path. The first aperture angle is larger than or substantially equal to the second aperture angle. The second mirror receives the EUV light from the first mirror at the second aperture angle. The collector is an oblique mirror type normal incidence mirror collector system. | 10-14-2010 |
20100259743 | Optical System for Increasing Illumination Efficiency of a Patterning Device - A lithography system can include a radiation source, an illumination system, a patterning device, and a projection system. The illumination system can be configured to process a beam of radiation to produce a plurality of beams of radiation. The illumination system can include a pupil defining element, a condenser lens, a field defining element, a first relay that includes first and second lens arrays, a plurality of rods, a diaphragm having transmission areas, and a second relay. The patterning device can be configured to pattern the plurality of beams of radiation. Further, the projection system can be configured to project the patterned beams onto a substrate. | 10-14-2010 |
20100265481 | IMAGING OPTICAL SYSTEM AND PROJECTION EXPOSURE INSTALLATION - An imaging optical system has a plurality of mirrors. These image an object field in an object plane into an image field in an image plane. In the imaging optical system, the ratio of a maximum angle of incidence of imaging light) on reflection surfaces of the mirrors and an image-side numerical aperture of the imaging optical system is less than 33.8°. This can result in an imaging optical system which offers good conditions for a reflective coating of the mirror, with which a low reflection loss can be achieved for imaging light when passing through the imaging optical system, in particular even at wavelengths in the EUV range of less than 10 nm. | 10-21-2010 |
20100265482 | ILLUMINATION SYSTEM FOR ILLUMINATING A MASK IN A MICROLITHOGRAPHIC EXPOSURE APPARATUS - An illumination system of a micro-lithographic projection exposure apparatus is provided, which is configured to illuminate a mask positioned in a mask plane. The system includes a pupil shaping optical subsystem and illuminator optics that illuminate a beam deflecting component. For determining a property of the beam deflecting component, an intensity distribution in a system pupil surface of the illumination system is determined. Then the property of the beam deflecting component is determined such that the intensity distribution produced by the pupil shaping subsystem in the system pupil surface approximates the intensity distribution determined before. At least one of the following aberrations are taken into account in this determination: (i) an aberration produced by the illuminator optics; (ii) an aberration produced by the pupil shaping optical subsystem; (iii) an aberration produced by an optical element arranged between the system pupil surface and the mask plane. | 10-21-2010 |
20100271609 | MARK POSITION DETECTION DEVICE AND MARK POSITION DETECTION METHOD, EXPOSURE APPARATUS USING SAME, AND DEVICE MANUFACTURING METHOD - The mark position detection device of the present invention, which detects a position of a mark provided on a substrate, includes an image sensor with changeable resolution and readout area, an optical system that directs light reflected from the mark to the image sensor, and a control unit configured to detect the position of the mark based on an output of the image sensor. The control unit performs a first position detection based on the output of the image sensor with a first resolution and a first readout area, and a second position detection based on the output of the image sensor with a second resolution, which has higher resolution than the first resolution, and a second readout area, which is determined to be narrower than the first readout area and lies within the first readout area based on the first position detection. | 10-28-2010 |
20100271610 | LITHOGRAPHIC RADIATION SOURCE, COLLECTOR, APPARATUS AND METHOD - A collector assembly for use in a laser-produced plasma extreme ultraviolet radiation source for use in lithography has a collector body having a collector mirror and a window in the collector body. The window is transmissive to excitation radiation, which may be an infrared laser beam, so that it can pass through the window to excite the plasma, and the window has an EUV minor on its surface which is also transmissive to the excitation beam but which can reflect EUV generated by the plasma to the collector location of the collector mirror. The window may improve the collection efficiency and reduce non-uniformity in the image at the collector location. Radiation sources, lithographic apparatus and device manufacturing methods may make use of the collector. | 10-28-2010 |
20100277707 | ILLUMINATION OPTICS FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - Illumination optics for a microlithographic projection exposure apparatus is used for illumination of an object field in the object plane with illumination light of a radiation source. The illumination optics has an optical beam influencing element which is divided into at least two beam influencing regions in order to generate various illumination modes for the object field which are independent of a light attenuation. The optical beam influencing element is displaceable between a first beam influencing position where a first one of the beam influencing regions is exposed to a bundle of the illumination light, and at least another beam influencing position where another one of the beam influencing regions is exposed to the bundle of the illumination light. Each of the beam influencing regions has a surface which is exposable to illumination light and has a long and a short side length, with the optical beam influencing element being displaceable perpendicular to the long side length. The result is an illumination optics which allows rapid switching between various illumination settings, preferably within fractions of a second and substantially without light loss. | 11-04-2010 |
20100283982 | High Contrast Lithographic Masks - A structure and a method for an equi-brightness optimization. The method may include projecting a plurality of bright patterns having a plurality of bright points and a plurality of dark patterns having a plurality of dark points on a substrate, generating a plurality of joint eigenvectors of the plurality of bright points and a plurality of dark points, selecting a predetermined number of joint eigenvectors to project the plurality of bright patterns, generating a plurality of natural sampling points from the plurality of bright points, wherein the plurality of natural sampling points has a substantially equal intensity, and obtaining a representation of an aperture from the plurality of natural sampling points, wherein an image of the representation of the aperture has a substantially uniform intensity. | 11-11-2010 |
20100283983 | Illumination apparatus for efficiently gathering illumination light - An illumination apparatus includes a light guide fiber receiving illumination light, which is generated by first to third light sources, with first to third entrances and emitting at least part of the illumination light received by each entrance from a common exit. A second illumination relay optical system optically relays the illumination light from the second light source to form a first light beam having a first angle of incidence and sends the first light beam to the second entrance. First and third illumination relay optical systems optically relay the illumination light from the first and third light sources to form a second light beam having a second angle of incidence differing from the first angle of incidence and send the second light beam to the first and third entrances. | 11-11-2010 |
20100283984 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A projection exposure apparatus for microlithography comprises illumination optics for illuminating object field points of an object field in an object plane is disclosed. The illumination optics have, for each object field point of the object field, an exit pupil associated with the object point, where sin(γ) is a greatest marginal angle value of the exit pupil. The illumination optics include a multi-mirror array that includes a plurality of mirrors to adjust an intensity distribution in exit pupils associated to the object field points. The illumination optics further contain at least one optical system to temporally stabilize the illumination of the multi-mirror array so that, for each object field point, the intensity distribution in the associated exit pupil deviates from a desired intensity distribution in the associated exit pupil in the case of a centroid angle value sin(β) by less than 2% expressed in terms of the greatest marginal angle value sin(γ) of the associated exit pupil and/or, in the case of ellipticity by less than 2%, and/or in the case of a pole balance by less than 2%. | 11-11-2010 |
20100283985 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A projection exposure apparatus for microlithography comprises illumination optics for illuminating object field points of an object field in an object plane is disclosed. The illumination optics have, for each object field point of the object field, an exit pupil associated with the object point, where sin(γ) is a greatest marginal angle value of the exit pupil. The illumination optics include a multi-mirror array that includes a plurality of mirrors to adjust an intensity distribution in exit pupils associated to the object field points. The illumination optics further contain at least one optical system to temporally stabilize the illumination of the multi-mirror array so that, for each object field point, the intensity distribution in the associated exit pupil deviates from a desired intensity distribution in the associated exit pupil in the case of a centroid angle value sin(β) by less than 2% expressed in terms of the greatest marginal angle value sin(γ) of the associated exit pupil and/or, in the case of ellipticity by less than 2%, and/or in the case of a pole balance by less than 2%. | 11-11-2010 |
20100290019 | EXPOSURE APPARATUS, EXPOSURE METHOD AND DEVICE FABRICATING METHOD - An exposure method for exposing a plate with an image of a pattern of a mask comprises: reciprocating the mask along X direction, synchronizing a movement of the mask and a movement of the plate to +X direction, projecting an image of a first pattern of the mask onto the plate as an erected image with respect to +X direction during a first period in which the mask that is reciprocating is moved in +X direction, and projecting an image of a second pattern of the mask onto the plate as an inverted image with respect to +X direction during a second period in which the mask that is reciprocating is moved in −X direction. | 11-18-2010 |
20100290020 | OPTICAL APPARATUS, EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE - An optical apparatus, having a lens which is irradiated with an exposure light, includes a light source which emits a non-exposing light having a wavelength region different from that of the exposure light, an irradiation unit which irradiates a part of a surface of the lens with the non-exposing light emitted by the light source, an acousto-optic modulation element which is arranged between the light source and the surface of the lens, and an AOM driving system which drives the acousto-optic modulation element to change the irradiation position of the non-exposing light with respect to the surface of the lens. The optical apparatus can change the irradiation position of the light flux with respect to the optical element, with a simple construction or without generating any vibration. | 11-18-2010 |
20100290021 | OPTICAL ELEMENT FOR REFLECTION OF UV RADIATION, METHOD FOR MANUFACTURING THE SAME AND PROJECTION EXPOSURE APPARATUS COMPRISING THE SAME - An optical element ( | 11-18-2010 |
20100302521 | Inspection Apparatus for Lithography - An illuminator configured to create a radiation beam for the metrology of a substrate surface includes an arc lamp, a parabolic reflector ( | 12-02-2010 |
20100302522 | Pulse Stretcher with Reduced Energy Density on Optical Components - A pulse stretcher includes a beam splitter configured to split an input light beam into first and second beams, a con-focal resonator including first and second con-focal mirrors, and a fold mirror. The beam splitter, con-focal resonator, and fold mirror are optically arranged such that at least a portion of the first beam is recombined with the second beam into a modified beam after an optical delay of the first beam caused by the optical arrangement. The apparatus further includes one or more optical elements in an optical path of the input light beam prior to the beam splitter such that a focal point of the first beam is foamed at a distance away from the fold mirror preventing energy density-related damage to the fold mirror. The apparatus can further include one or more additional optical elements to provide re-conditioning of the modified beam. A related method is also disclosed. | 12-02-2010 |
20100302523 | METHOD AND APPARATUS FOR MEASURING WAVEFRONT, AND EXPOSURE METHOD AND APPARATUS - A method for measuring wavefront information of a projection optical system includes arranging a first diffraction grating having a pitch P | 12-02-2010 |
20100302524 | EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - An exposure apparatus for exposing a substrate to a light comprises a projection optical system including an optical element and configured to project a light from an original onto the substrate, an adjusting device configured to adjust at least one of a position, an orientation and a shape of the optical element, and a controller configured to obtain an adjusting amount of the optical element based on a value of an objective function relating to an optical characteristic of the projection optical system, and to control the adjusting device based on the obtained adjusting amount. The objective function includes a variable which represents an upper limit of the adjusting amount. | 12-02-2010 |
20100315612 | Radiation Beam Modification Apparatus and Method - A radiation beam modification apparatus for controlling a property of a beam of radiation in a lithographic apparatus includes a flexible sheet provided with a plurality of apertures, and a positioning apparatus comprising a first rotatable member and a second rotatable member, wherein a first end portion of the flexible sheet is coupled to the first rotatable member, a second end portion of the flexible sheet is coupled to the second rotatable member and a central portion of the flexible sheet extends between the first rotatable member and the second rotatable member. The apertures may be used to control the numerical aperture of a projection system of a lithographic apparatus. | 12-16-2010 |
20100315613 | Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method - A scatterometer configured to derive a property of a substrate, includes an optical arrangement that produces a beam of radiation. An objective lens is arranged to focus the beam of radiation onto a target on the substrate. The optical arrangement is arranged to change the divergence of the beam incident on the objective lens, thereby changing spherical aberration caused by the objective lens on the beam focused on the target. A detection arrangement is arranged to detect the beam of radiation after reflection or scattering from the substrate. | 12-16-2010 |
20100315614 | SOURCE-MASK OPTIMIZATION IN LITHOGRAPHIC APPARATUS - A method for configuring an illumination source of a lithographic apparatus, the method including dividing the illumination source into pixel groups, each pixel group including one or more illumination source points; selecting an illumination shape to expose a pattern, the illumination shape formed with at least one pixel group; ranking the pixel groups according to how a change in state of a pixel group affects a lithographic metric; and for each pixel group in order of ranking, determining whether to adjust the illumination shape by changing the state of the pixel group based on a calculation of the lithographic metric as a result of a change in state of the pixel group. | 12-16-2010 |
20100315615 | Measurement Apparatus and Method - A measurement apparatus disclosed that has a radiation source configured to provide a measurement beam of radiation such that an individually controllable element of an array of individually controllable elements capable of modulating a beam of radiation, is illuminated by the measurement beam and redirects the measurement beam, and a detector arranged to receive the redirected measurement beam and determine the position at which the redirected measurement beam is incident upon the detector, the position at which the redirected measurement beam is incident upon the detector being indicative of a characteristic of the individually controllable element. | 12-16-2010 |
20100321659 | Illumination Arrangement - Illumination arrangement ( | 12-23-2010 |
20100321660 | METHOD AND APPARATUS FOR REDUCING DOWN TIME OF A LITHOGRAPHY SYSTEM - An apparatus includes a radiation source that emits a radiation beam that causes substantially all of a quantity of material to evaporate; and structure having first and second surface portions, a first operational mode wherein a greater quantity of a byproduct of the evaporation impinges on the first surface portion, and a second operational mode wherein a greater quantity of the byproduct impinges on the second surface portion. A different aspect involves emitting a radiation beam toward a quantity of material, the radiation beam causing substantially all of the quantity of material to evaporate; operating a structure having first and second surface portions in a first operational mode wherein a greater quantity of a byproduct of the evaporation impinges on the first surface portion; and thereafter operating the structure in a second operational mode wherein a greater quantity of the byproduct impinges on the second surface portion. | 12-23-2010 |
20100321661 | METHOD FOR OPERATING AN ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A method of operating an illumination system of a microlithographic projection exposure apparatus is provided. A set of illumination parameters that describe properties of a light bundle which converges at a point on a mask to be illuminated by the illumination system is first determined. Optical elements whose optical effect on the illumination parameters can be modified as a function of control commands are furthermore determined, as well as sensitivities with which the illumination parameters react to an adjustment of the optical elements, induced by the control commands. The control commands are then determined while taking the previously determined sensitivities into account, such that deviations of the illumination parameters from predetermined target illumination parameters satisfy a predetermined minimisation criterion. These control commands are applied to the optical elements, before the mask is illuminated. | 12-23-2010 |
20100321662 | Actuator System, Lithographic Apparatus, and Device Manufacturing Method - An actuator system is disclosed having a first actuator (XP | 12-23-2010 |
20100328637 | Exposure apparatus, exposing method and device fabricating method - An exposure apparatus comprises: an optical member, which has an emergent surface wherefrom exposure light emerges; a second member that: has an inner surface that opposes, via a first gap, at least one surface from the group consisting of an outer surface of the optical member, which is different from the emergent surface, and an outer surface of a first member, which holds the optical member; and is disposed at least partly around an optical path of the exposure light that emerges from the emergent surface; a first recovery port, which is disposed at least partly around an optical axis of the optical member and is capable of recovering a liquid from at least part of the first gap; and a second gap, which is formed on the outer side of the first recovery port with respect to the optical axis and is smaller than the first gap. | 12-30-2010 |
20100328638 | LED LIGHT SOURCE, ITS MANUFACTURING METHOD, AND LED-BASED PHOTOLITHOGRAPHY APPARATUS AND METHOD - This invention provides a structurally-simple LED light source that is capable of preventing temperature variations among its multiple LED elements arranged densely on its LED-mounting substrate and also improving the heat release capabilities of the substrate by comprising an LED light source with: a plurality of LED elements each of which is formed by connecting an LED chip to electrodes formed on a ceramic substrate; an LED-mounting substrate on which to mount the plurality of LED elements, the LED-mounting substrate having through holes therein; and a heat sink plate for releasing heat from the LED-mounting substrate, wherein a thermally conductive resin is present between the LED-mounting substrate and the heat sink plate and wherein part of the thermally conductive resin protrudes from the through holes of the LED-mounting substrate and covers the top surface of the LED-mounting substrate on which the plurality of LED elements are mounted, so that the part of the thermally conductive resin is in contact with the plurality of LED elements. | 12-30-2010 |
20110001945 | PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND ASSEMBLY METHOD THEREOF - According to one embodiment, an assembly method of a projection optical system, including a lower tube and an upper tube, comprises: storing a relative positional relation between the lower tube and the upper tube in a state in which an optical characteristic of the projection optical system is adjusted; disassembling the lower tube and the upper tube; and adjusting relative positions of the lower tube and the upper tube, based on the stored relative positional relation, in next fixing the lower tube and the upper tube to each other. | 01-06-2011 |
20110001946 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus includes an illumination system which illuminates an original, a projection optical system which projects a pattern of the original onto a substrate, a measurement device configured to measure optical characteristics of at least one of the illumination system and the projection optical system, and a control unit configured to correct, the measurement results obtained by said measurement device, depending on a polarization state included in illumination light from the illumination system. | 01-06-2011 |
20110001947 | FACET MIRROR FOR USE IN A PROJECTION EXPOSURE APPARATUS FOR MICROLITHOGRAPHY - A facet mirror is to be used as a bundle-guiding optical component in a projection exposure apparatus for microlithography. The facet mirror has a plurality of separate mirrors. For individual deflection of incident illumination light, the separate mirrors are in each case connected to an actuator in such a way that they are separately tiltable about at least one tilt axis. A control device, which is connected to the actuators, is configured in such a way that a given grouping of the separate mirrors can be grouped into separate mirror groups that include in each case at least two separate mirrors. The result is a facet mirror which, when installed in the projection exposure apparatus, increases the variability for setting various illumination geometries of an object field to be illuminated by the projection exposure apparatus. Various embodiments of separate mirrors for forming the facet mirrors are described. | 01-06-2011 |
20110001948 | ILLUMINATION SYSTEM FOR A MICROLITHOGRAPHY PROJECTION EXPOSURE APPARATUS - An illumination system for a microlithography projection exposure apparatus generally includes an optical element formed of a plurality of facet elements. The facet elements are arranged such that, for each facet element, a proportion of the side surfaces of the facet element is at a certain distance from the side surfaces of all the other facet elements. This gives rise to interspaces between the facet elements which are not used optically. The interspaces can be used for simpler mounting of the facet elements or for fitting mechanical components, such as actuators. A collector is used to efficiently illuminate such an optical element. The collector includes a plurality of segments that are in part non-continuous. Alternatively, however, continuous segments with a bend are also possible. | 01-06-2011 |
20110001949 | OPTICAL IMAGING ARRANGEMENT - There is provided an optical imaging arrangement comprising: a mask unit comprising a pattern, a substrate unit comprising a substrate, an optical projection unit comprising a group of optical element units, the optical projection unit being adapted to transfer an image of the pattern onto the substrate, a first imaging arrangement component, the first imaging arrangement component being a component of one of the optical element units, a second imaging arrangement component, the second imaging arrangement component being different from the first imaging arrangement component and being a component of one of the mask unit, the optical projection unit and the substrate unit, and a metrology arrangement. The metrology arrangement captures a spatial relationship between the first imaging arrangement component and the second imaging arrangement component. The metrology arrangement comprises a reference element, the reference element being mechanically connected directly to the first imaging arrangement component. | 01-06-2011 |
20110001950 | MULTI-PHOTON EXPOSURE SYSTEM - An exposure system includes a light source emitting a beam along an optical axis that is capable of inducing a multi-photon reaction in a resin. The exposure system further includes a resin undergoing multiphoton reaction, as well as an automated system including a monitor that measures at least one property of the beam selected from power, pulse length, shape, divergence, or position in a plane normal to the optical axis. The monitor generates at least one signal indicative of the property of the beam, and a sub-system adjusts the beam in response to the signal from the monitor. | 01-06-2011 |
20110001951 | LITHOGRAPHIC APPARATUS AND METHOD - A drive system for controllably driving an electric actuator includes a current sensor system to sense a current conducted by the actuator and a driver to electrically drive the actuator based on an output signal of the current sensor system. The current sensor system includes at least a first and a second %forwardcurrent sensor that have a mutually different sensitivity for the current to be sensed and the drive system includes a current sensor controller to control an extent to which each of the current sensors to determine the output signal of the current sensor system. | 01-06-2011 |
20110007291 | Exposure Apparatus and Device Manufacturing Method - Positional information of each of wafer stages during exposure and during alignment is measured directly under a projection optical system and directly under a primary alignment system, respectively, by a plurality of encoder heads, Z heads and the like, which a measurement bar placed below surface plates has, using gratings placed on the lower surfaces of fine movement stages. Since a main frame that supports the projection optical system and the measurement bar are separated, deformation of the measurement bar caused by inner stress (including thermal stress) and transmission of vibration or the like from the main frame to the measurement bar, and the like do not occur, which is different from the case where the main frame and the measurement bar are integrated. Consequently, high-precision measurement of the positional information of the wafer stages can be performed. | 01-13-2011 |
20110007292 | LITHOGRAPHIC APPARATUS, PLASMA SOURCE, AND REFLECTING METHOD - A lithographic apparatus includes a plasma source that includes a vessel configured to enclose a plasma formation site, an optical device configured to transfer optical radiation to or from the vessel, and a reflector arranged in an optical path between the optical device and the plasma formation site source. The reflector is configured to reflect the optical radiation between the optical device and the plasma formation site. The reflector is formed, in operation, as a molten metal mirror. | 01-13-2011 |
20110013166 | RADIATION SYSTEM AND LITHOGRAPHIC APPARATUS - A radiation system includes a target material supply configured to supply droplets of target material along a trajectory, and a laser system that includes an amplifier and optics. The optics are configured to establish a first beam path which passes through the amplifier and through a first location on the trajectory, and to establish a second beam path which passes through the amplifier and through a second location on the trajectory. The laser system is configured to generate a first pulse of laser radiation when photons emitted from the amplifier are reflected along the first beam path by a droplet of target material at the first location on the trajectory. The laser system is configured to generate a second pulse of laser radiation when photons emitted from the amplifier are reflected along the second beam path by the droplet of target material at the second location on the trajectory. | 01-20-2011 |
20110013167 | APPARATUS WITH PLASMA RADIATION SOURCE AND METHOD OF FORMING A BEAM OF RADIATION AND LITHOGRAPHIC APPARATUS - An apparatus for forming a beam of electromagnetic radiation. The apparatus includes a plasma radiation source, a foil trap provided with a plurality of thin foils that extend substantially parallel to the direction of radiation from the plasma source, and a grid disposed between the plasma radiation source and the foil trap. A space is located between the grid and the foil trap. An electrical potential application circuit is constructed and arranged to apply an electrical potential to the grid so that the grid repels electrons emitted by the plasma radiation source and creates a positive space charge between the grid and the foil trap to deflect ions emitted by the plasma radiation source to the foil trap. A distance between the grid and the foil trap is at least equal to one-half of a radius of the foil trap. | 01-20-2011 |
20110019171 | OPTICAL UNIT HAVING ADJUSTABLE FORCE ACTION ON AN OPTICAL MODULE - The present disclosure relates to an optical device, in particular for microlithography, having an optical module, a supporting structure and a force-generating device. The force-generating device is connected to the optical module and the supporting structure and is designed to exert a clamping force on the optical module. The force-generating device has a fluidic force-generating element having a working chamber to which a working fluid having a working pressure can be applied. The force-generating element takes the form of a muscle element which exerts a first tensile force at a first working pressure and a second tensile force which is increased with respect to the first tensile force at a second working pressure which is increased with respect to the first working pressure. | 01-27-2011 |
20110019172 | ILLUMINATION OPTICS AND PROJECTION EXPOSURE APPARATUS - An illumination optics illuminates an object field of a projection exposure apparatus for microlithography. The illumination optics include a condenser group of optical components which guide a bundle of useful light. An objective group of bundle-guiding components is arranged downstream of the condenser group. At least one component of the condenser group and at least one component of the objective group are displaceable for compensation of deviations of the object field, which is in an actual illumination state, from a desired illumination state. | 01-27-2011 |
20110019173 | Diffraction Elements for Alignment Targets - A patterning device, including alignment targets having alignment features formed from a plurality of diffractive elements, each diffractive element including an absorber stack and a multi-layered reflector stack is provided. The diffractive elements are configured to enhance a pre-determined diffraction order used for pre-alignment and to diffract light in a pre-determined direction of a pre-alignment system when illuminated with light of a wavelength used for the pre-alignment. The diffractive elements may occupy at least half of an area of each alignment feature. The diffractive elements may be configured to enhance first or higher order diffractions, while substantially reducing zero | 01-27-2011 |
20110032501 | EXPOSURE APPARATUS, EXPOSURE SYSTEM, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - In one embodiment, an exposure apparatus is configured to irradiate a mask with illumination light and to irradiate a wafer with light from the mask irradiated with the illumination light. The apparatus includes an information acquisition unit configured to acquire use history information that is information regarding a use history of the mask. The apparatus further includes a condition derivation unit configured to derive a setting value or a change amount of an optical setting condition of the exposure apparatus, based on the acquired use history information and correspondence information that indicates a correspondence between the use history of the mask and the optical setting condition of the exposure apparatus. The apparatus further includes an exposure unit configured to set the optical setting condition of the exposure apparatus to an optical setting condition specified by the derived setting value or change amount, and to expose the wafer under the set optical setting condition. | 02-10-2011 |
20110037962 | POLARIZATION CONVERTING UNIT, ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - According to one embodiment, a polarization converting unit configured to convert incident light into light in a predetermined polarization state has a first optical element and a second optical element. The first optical element has a plurality of first regions, and at least two adjacent first regions have respective different thicknesses so as to have different polarization conversion properties. Likewise, the second optical element also has a plurality of second regions, and at least two adjacent second regions have different polarization conversion properties. The first and second optical elements are arranged so that a light beam having passed through one first region is incident to two adjacent second regions, whereby the sum of thicknesses of the first and second optical elements is varied depending upon a passing position of light. | 02-17-2011 |
20110043779 | GRAZING INCIDENCE COLLECTOR OPTICAL SYSTEMS FOR EUV AND X-RAY APPLICATIONS - A collector optical system for EUV and X-ray applications is disclosed, wherein the system includes a plurality of mirrors arranged in a nested configuration that is symmetric about an optical axis. The mirrors have first and second reflective surfaces that provide successive grazing incidence reflections of radiation from a radiation source. The first and second reflective surfaces have a corrective shape that compensates for high spatial frequency variations in the far field intensity distribution of the radiation. | 02-24-2011 |
20110043780 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - Disclosed is a method of determining higher order distortions of a patterning device of a lithographic apparatus, and associated apparatus. The higher order distortions are measured using the transmission imaging device. In a main embodiment, enhanced reticles are used which may have additional alignment gratings in the perimeter, in the scribe lanes of the image field or in the image field itself. | 02-24-2011 |
20110043781 | Projection optical device and exposure apparatus - A projection optical device includes a projection optical system which projects an image of a pattern, a support member attached to the projection optical system, and a plurality of coupling members connected to the support member. The coupling members suspend and support the projection optical system through the support member from an upper direction of the support member. The projection optical device can include a frame to which one end of each of the coupling members is attached, such that the projection optical system hangs from the frame via the support member and the coupling members. A projection optical device also can include a liquid supply which supplies a temperature-controlled liquid to a side surface of a projection optical system utilizing gravity to cause the temperature-controlled liquid to flow along the side surface of the projection optical system. | 02-24-2011 |
20110051110 | LENS COMPRISING A PLURALITY OF OPTICAL ELEMENT DISPOSED IN A HOUSING - The invention relates to a lens comprising several optical elements that are disposed in a lens housing. At least one sensor array encompassing at least one capacitive sensor unit and/or at least one inductive sensor unit is provided for determining the relative position between a first optical element and a second optical element or between a load-bearing structural element of the lens and a second optical element. | 03-03-2011 |
20110051111 | POSITION DETECTION APPARATUS, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD - The present invention provides a position detection apparatus including a sensor in which a plurality of regions where light from a mark formed on a substrate held by a stage is detected are arrayed in a first direction, a driving unit configured to drive the stage, a control unit configured to control the driving unit so as to drive the stage in a second direction perpendicular to a height direction of the substrate, so that the light guided from the mark to the sensor enters the plurality of regions while moving in the first direction, and to drive the stage in the height direction of the substrate, and a processing unit configured to process the signals from the sensor, wherein the processing unit determines a position of the substrate in the height direction, which exhibits a peak in a light intensity distribution in the first direction generated by the signals. | 03-03-2011 |
20110051112 | Exposure apparatus, exposure method, and method for producing device - An exposure apparatus fills an optical path space of an exposure light beam with a liquid, and exposes a substrate by irradiating the substrate with the exposure light beam via a projection optical system and the liquid. A first optical element of the projection optical system is provided with a removing device for removing foreign matters in a space inside of the concave surface portion. Immersion exposure is performed by permitting the exposure light beam to excellently reach an image plane via the projection optical system and the liquid. | 03-03-2011 |
20110063594 | LIGHT SOURCE DEVICE AND IMAGE FORMING APPARATUS - A light source device includes a surface emitting laser, a surface emitting laser holding unit on which the surface emitting laser is mounted, a parallel plate that is arranged on a light path of a light flux from the surface emitting laser so that the light flux enters into one surface thereof, and that is made of a transparent material, and a holder having a through hole that functions as a light path of the light flux from the surface emitting laser, wherein the surface emitting laser holding unit is brought into contact with the holder, the parallel plate is fixedly bonded to the holder, and a part of the through hole of the holder is sealed with the surface emitting laser holding unit and the parallel plate. | 03-17-2011 |
20110063595 | OPTICAL APERTURE DEVICE - An optical module includes an aperture device and a support structure supporting the aperture device. The aperture device defines an aperture edge and an aperture plane. The aperture edge is adapted to define a geometry of a light beam passing the aperture device along an optical axis. The support structure is adapted to hold the aperture device in a defined manner when the aperture plane is inclined with respect to a horizontal plane. A temperature distribution prevails within the aperture device and at least one of the aperture device and the support structure is adapted to maintain at least one of a relative position of the aperture edge with respect to the optical axis and a geometry of the aperture edge substantially unaltered upon an introduction of a thermal energy into the aperture device, where the thermal energy being adapted to cause an alteration in the temperature distribution. | 03-17-2011 |
20110063596 | PROJECTION OBJECTIVE AND PROJECTION EXPOSURE APPARATUS WITH NEGATIVE BACK FOCUS OF THE ENTRY PUPIL - The disclosure concerns a projection objective, which can include an object plane in which an object field is formed, an entry pupil, a mirrored entry pupil (RE) in a mirrored entry pupil plane obtained by mirroring the entry pupil (VE) at the object plane, an image plane, an optical axis, at least a first mirror and a second mirror. The projection objective can have a negative back focus of the entry pupil, and a principal ray originating from a central point of the object field and traversing the objective from the object plane to the image plane can intersect the optical axis in at least one point of intersection, wherein the geometric locations of all points of intersection lie between the image plane and the mirrored entry pupil plane. | 03-17-2011 |
20110069292 | Metrology Method and Apparatus, Lithographic Apparatus, and Device Manufacturing Method - A metrology apparatus includes first ( | 03-24-2011 |
20110069293 | ACTUATOR, POSITIONING SYSTEM AND LITHOGRAPHIC APPARATUS - Actuator for exerting a force and a torque on an object, wherein the actuator includes a first part that is movable with respect to a second part of the actuator in at least a first degree of freedom, wherein the object is mounted to the first part, wherein one of the parts is provided with a first electrical coil that is arranged to cooperate with a magnetizable portion of the other part and wherein a controller of the actuator is arranged to generate a first electrical current through the first electrical coil for generating a force between the parts, wherein the one of the parts is provided with a second electrical coil which is arranged to cooperated with a magnetizable portion of the other part, wherein the controller is further arranged to generate a second current through the second coil and the first current through the first electrical coil for exerting the force and torque between the parts so that the actuator is arranged to exert the force and the torque on the object with respect to the second part. | 03-24-2011 |
20110069294 | APPARATUS AND METHOD FOR EXPOSING EDGE OF SUBSTRATE - An apparatus and method for exposing an edge of a substrate are disclosed, in which an exposure time period for exposing the edge of the substrate is reduced. The apparatus for exposing an edge of a substrate includes a loading unit loading the substrate, and an edge exposure unit exposing the edge of the substrate loaded by the loading unit using each of a long side exposure unit and a short side exposure unit. Therefore, since the edge of the substrate is exposed using each of the long side exposure unit and the short side exposure unit, it is possible to reduce the edge exposure time period, thereby improving productivity. In addition, since no rotation of the substrate is required, it is possible to reduce the size of the apparatus. Moreover, since the apparatus is provided in an in-line type, it is possible to easily draw the substrate using a conveyer. | 03-24-2011 |
20110069295 | OPTICAL SYSTEM FOR MICROLITHOGRAPHY - An optical system ( | 03-24-2011 |
20110080571 | RECORDING MEDIUM IMAGING APPARATUS - A recording medium imaging apparatus includes an irradiation unit configured to irradiate a recording medium with light, an imaging unit configured to capture reflected light which is irradiated by the irradiation unit and reflected by the recording medium as a surface image, and a reference plate which includes a background that reflects light irradiated by the irradiation unit, and a mark that has a different reflectance ratio to the background, wherein the imaging unit captures reflected light reflected by the reference plate as a surface image and includes a control unit that determines a type of the recording medium based on the surface image of the reference plate and the surface image of the recording medium. | 04-07-2011 |
20110085150 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A wafer is loaded on a wafer stage and unloaded from a wafer stage, using a chuck member which holds the wafer from above in a non-contact manner. Accordingly, members and the like to load/unload the wafer on/from the wafer stage do not have to be provided, which can keep the stage from increasing in size and weight. Further, by using the chuck member which holds the wafer from above in a non-contact manner, a thin, flexible wafer can be loaded onto the wafer stage as well as unloaded from the wafer stage without any problems. | 04-14-2011 |
20110085151 | ILLUMINATION OPTICAL SYSTEM FOR MICROLITHOGRAPHY AND ILLUMINATION SYSTEM AND PROJECTION EXPOSURE SYSTEM WITH AN ILLUMINATION OPTICAL SYSTEM OF THIS TYPE - An illumination optical system for microlithography is used to guide an illumination light bundle from a radiation source to an object field in an object plane. A field facet mirror has a plurality of field facets to predetermine defined illumination conditions in the object field. A following optical system is arranged downstream of the field facet mirror to transfer the illumination light into the object field. The following optical system has a pupil facet mirror with a plurality of pupil facets. Some of the field facets are divided into individual mirrors, which predetermine individual mirror illumination channels. The latter illuminate object field portions, which are smaller than the object field. At least some of the individual mirrors are configured as individual correction mirrors. The latter can be tilted between at least two tilting positions, a central region illumination taking place in a basic tilting position and a surrounding region illumination of the object field taking place in a correction tilting position. An illumination optical system is the result, with which a correction of undesired variations of illumination parameters, in particular an illumination intensity distribution over the object field, is possible without loss of light. | 04-14-2011 |
20110090477 | EXPOSURE DEVICE AND EXPOSURE METHOD - An exposure device includes a rotation driving section that rotationally drives an exposure object; a light irradiation section that irradiates an exposure surface of the exposure object with laser light; a slide moving section secured to the rotation driving section or the light irradiation section, and moving the rotation driving section or the light irradiation section along the exposure surface in a direction crossing a direction of rotation of the rotation driving section; a signal generating section that transmits an analog modulating signal to the light irradiation section in accordance with a rotation synchronization signal from the rotation driving section, the analog modulating signal causing an intensity of the laser light to be changed; and a controlling section that controls movements of the rotation driving section, the slide moving section, and the light irradiation section. | 04-21-2011 |
20110090478 | Lens barrel support device and maskless exposure apparatus having the same - Provided is a barrel support device for supporting a lens barrel. The barrel support device may include a guide frame configured to laterally support the lens barrel and tilt with the lens barrel, a rotation guide on a first end of the guide frame, the rotation guide being ring shaped and configured attach the lens barrel to the guide frame, and a ring-shaped tilting frame configured to support a second end of the guide frame and tilt the guide frame, wherein the guide frame, the rotation guide, and the tilting frame are configured to allow the lens barrel to pass therethrough. | 04-21-2011 |
20110090479 | OPTICAL COMPONENT FOR MASKLESS EXPOSURE APPARATUS - The present invention relates to an optical component for a maskless exposure apparatus, and more particularly, to a micro-prism array or a micro-mirror array which is an optical component capable of screening diffused light such that the image of a pixel of a digital micro-mirror display (DMD) formed by a first image-forming lens in the maskless exposure apparatus has no influence on the image of a neighboring pixel and of totally reflecting the light after reflection or diffraction at the same time, thus improving exposure performance by using the quantity of light being transmitted without a loss and increasing numerical apertures (NAs) at the same time. The optical component is configured such that a micro-prism array with a plurality of micro-prisms or a micro-mirror array with a plurality of micro-mirrors is arranged in place of a micro-lens array of an exposure apparatus such that a light incidence portion is formed in a wide manner and a light exit portion is formed in a narrow manner, and light being transmitted is focused to increase the quantity of light. | 04-21-2011 |
20110096313 | Constrained Optimization Of Lithographic Source Intensities Under Contingent Requirements - A method for illuminating a mask to project a desired image pattern into a photoactive material is described. The method includes receiving an image pattern. Determining a relationship between source pixels in a set of source pixels to desired intensities at one or more points in the image pattern is performed. Linear constraints are imposed on a set of intensity values based on one or more contingent intensity condition. The contingent intensity conditions include integer variables specifying contingent constraints. The method includes determining values of the set of intensity values in accordance with the linear constraints, using a constrained optimization algorithm. The set of intensity values represents intensities of a set of source pixels. The set of intensity values are output. Apparatus and computer readable storage media are also described. | 04-28-2011 |
20110096314 | OPTICAL DEVICE, EXPOSURE APPARATUS USING SAME, AND DEVICE MANUFACTURING METHOD - The optical device of the present invention includes an optical element; a first holding member that holds the optical element; and a second holding member that holds the first holding member via a plurality of connections, and has a linear expansion coefficient different from that of each one of the optical element and the first holding member. When the plurality of connections are displaced upon receiving a force depending on the difference of the linear expansion coefficients between the first holding member and the second holding member, the first holding member causes the connection to the optical element to be displaced in a predetermined direction different from the displacement. The predetermined direction is the opposite direction of a force received by the connection between the optical element and the first holding member, depending on the difference of the linear expansion coefficients between the optical element and the second holding member. | 04-28-2011 |
20110096315 | CALIBRATION METHOD AND LITHOGRAPHIC APPARATUS USING SUCH A CALIBRATION METHOD - A calibration method for calibrating a stage position includes projecting a pattern of a patterning device onto a substrate; measuring a resulting position of the projected pattern; and deriving a calibration of the stage position from the measured position, wherein, during the measuring, the substrate is rotated from a rotational starting position towards at least one other rotational position around a centre axis of the substrate, and a position of the projected pattern is measured for each of the at least two different rotational positions of the substrate, and wherein at least one of projection deviations in a position of the pattern occurring during the projecting and measurement deviations in a position of the pattern occurring during the measuring is determined by averaging the measured positions of the projected pattern for each of the different rotational positions of the substrate. | 04-28-2011 |
20110096316 | ILLUMINATION SYSTEM FOR MICROLITHOGRAPHY - An illumination system for a microlithography projection exposure apparatus for illuminating an illumination field with the light from an assigned light source includes a pupil shaping unit for receiving light from the assigned light source and for generating a predeterminable basic light distribution in a pupil plane of the illumination system, and a transmission filter assigned to the pupil shaping unit and having at least one array of individually drivable individual elements for the spatially resolving transmission filtering of the light impinging on the transmission filter in or in proximity to a pupil plane of the illumination system. The transmission filter generates a predetermined correction of the basic light distribution. An illumination system of this type can generate a multiplicity of location-dependent intensity distributions in a pupil plane of the illumination system, and ensure a high transmittance. | 04-28-2011 |
20110102757 | Lithographic Method and Apparatus - A method is disclosed. A change in position of a substrate in a direction substantially parallel to a direction of propagation of a radiation beam that is, or is to be, projected on to that substrate is determined, which change in position would result in a lithographic error in the application of a pattern to that substrate using that radiation beam. The change in position of the substrate is used to control a property of the radiation beam when, or as, the radiation beam is projected onto the substrate in order to reduce the lithographic error. | 05-05-2011 |
20110102758 | ILLUMINATION SYSTEM FOR A MICROLITHOGRAPHY PROJECTION EXPOSURE APPARATUS, MICROLITHOGRAPHY PROJECTION EXPOSURE APPARATUS COMPRISING SUCH AN ILLUMINATION SYSTEM, AND FOURIER OPTICAL SYSTEM - An illumination system for a microlithography projection exposure apparatus for illuminating an illumination field with the light from a primary light source has a variably adjustable pupil shaping unit for receiving light from the primary light source and for generating a variably adjustable two-dimensional intensity distribution in a pupil shaping surface of the illumination system. The pupil shaping unit has a Fourier optical system for converting an entrance beam bundle entering through an entrance plane of the Fourier optical system into an exit beam bundle exiting from an exit plane of the Fourier optical system. The Fourier optical system has a focal length f | 05-05-2011 |
20110102759 | Laser System - A method and apparatus may comprise a line narrowed pulsed excimer or molecular fluorine gas discharge laser system which may comprise a seed laser oscillator producing an output comprising a laser output light beam of pulses which may comprise a first gas discharge excimer or molecular fluorine laser chamber; a line narrowing module within a first oscillator cavity; a laser amplification stage containing an amplifying gain medium in a second gas discharge excimer or molecular fluorine laser chamber receiving the output of the seed laser oscillator and amplifying the output of the seed laser oscillator to form a laser system output comprising a laser output light beam of pulses, which may comprise a ring power amplification stage. | 05-05-2011 |
20110109890 | LIGHT SOURCE APPARATUS, EXPOSURE APPARATUS, AND ELECTRONIC DEVICE MANUFACTURING METHOD - There is provided a light source apparatus for emitting light having a uniform intensity distribution. Such a light source apparatus for generating a light beam to be projected toward a fly-eye optical system included in an exposure apparatus includes a light source, and a mirror that reflects the light beam emitted from the light source toward the fly-eye optical system. Here, the mirror reflects the light beam from the light source such that the light beam projected toward the fly-eye optical system has a lower intensity in the edge portion than in the center portion. The mirror may reflect the light beam such that the intensity of the light beam projected toward the fly-eye optical system monotonically decreases in the edge portion. Furthermore, the mirror may reflect the light beam such that the intensity of the light beam projected toward the fly-eye optical system decreases down to zero in the edge portion. | 05-12-2011 |
20110109891 | Apparatus for Supporting and Optical Element, and Method of Making Same - An apparatus for supporting an optical element is provided. The apparatus includes a lens cell ( | 05-12-2011 |
20110109892 | SOURCE MODULE OF AN EUV LITHOGRAPHIC APPARATUS, LITHOGRAPHIC APPARATUS, AND METHOD FOR MANUFACTURING A DEVICE - A source module for use in a lithographic apparatus is constructed to generate extreme ultra violet (EUV) and secondary radiation, and includes a buffer gas configured to cooperate with a source of the EUV radiation. The buffer gas has at least 50% transmission for the EUV radiation and at least 70% absorption for the secondary radiation. | 05-12-2011 |
20110116065 | LITHOGRAPHIC METHOD AND APPARATUS - A lithographic method includes controlling a phase adjuster of a lithographic apparatus, the phase adjuster being constructed and arranged to adjust a phase of an electric field of a radiation beam traversing an optical element of the phase adjuster, and controlling a signal provided to the phase adjuster that results in an actual time-temperature characteristic of a portion of the optical element, the control being undertaken with reference to a desired time-temperature characteristic of a portion of the optical element, the control of the signal being such that a change in the actual time-temperature characteristic precedes a related change in the desired time-temperature characteristic. | 05-19-2011 |
20110116066 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A position measurements system to measure a position of a movable object with respect to another object includes two or more one dimensional (1D) encoder heads mounted on one of the movable object and the other object and each capable of emitting a measurement beam along a measurement direction, one or more reference targets mounted on the other of the movable object and the other object, each reference target including a planar surface with a grid or grating to cooperate with the two or more one dimensional (1D) encoder heads, and a processor to calculate a position of the object on the basis of outputs of the two or more 1D encoder heads, wherein the measurement direction of each of the two or more 1D encoder heads is non-perpendicular to the planar surface of the respective reference target. | 05-19-2011 |
20110116067 | Illumination Optimization - A method of optimizing an illumination pupil shape for a lithographic process | 05-19-2011 |
20110116068 | EUV Reticle Substrates With High Thermal Conductivity - A reflective reticle substantially reduces or eliminates pattern distortion that results from the absorption of EUV radiation while maintaining a reticle thickness consistent with industry standards. The reflective reticle includes a layer of ultra-low expansion (ULE) glass and a substrate of Cordierite having a thermal conductivity substantially larger than that of ULE glass. An aluminum layer is disposed onto a first surface of the ULE glass and a second surface of the ULE glass is polished to be substantially flat and defect-free. The Cordierite substrate can be directly bonded to the aluminum layer using anodic bonding to form the reflective reticle. Alternatively, a first surface of an intermediate Zerodur layer can be bonded to the aluminum layer, and a second aluminum layer can be used to anodically bond the Cordierite substrate to a second surface of the Zerodur layer, thereby forming the reflective reticle. | 05-19-2011 |
20110122385 | Homogenizer - A two-stage homogenizer comprising a first homogenizer stage and a second homogenizer stage. The first homogenizer stage includes a pair of microlens arrays and associated focusing optics. The second homogenizer stage includes a second pair of microlens arrays and associated focusing optics. The second homogenizer stage is positioned to receive radiation which is output from the first homogenizer stage. | 05-26-2011 |
20110122386 | APPARATUS, SYSTEM, AND METHOD FOR FLASH PRINTING - An apparatus, system, and method to flash print an image. The apparatus includes an energy source that delivers energy. The apparatus includes an energy pulse width modulator coupled to the energy source. The energy pulse width modulator may receive energy from the energy source and modulate the energy received from the energy source. The energy pulse width modulator may be driven by a logic module. The apparatus also includes a plurality of imaging pixels modulated by the energy pulse width modulator and conveying modulated energy to a host material. The host material may be in close proximity to a receiving medium and the modulated energy may release the dye from the host material into the receiving medium. | 05-26-2011 |
20110122387 | SYSTEM AND METHOD FOR LIGHT SOURCE EMPLOYING LASER-PRODUCED PLASMA - A system and method of generating radiation are disclosed. In at least some embodiments, the system is suitable for use as (or as part of) an extreme ultraviolet lithography (EUVL) light source. Also, in at least some embodiments, the system includes a laser source for generating a laser pulse, a target including a solid material, and a lens device that assists in directing the laser pulse toward the target. At least a portion of the target becomes a plasma that emits radiation upon being exposed to the laser pulse. The laser pulse has a pulse duration of at least 50 nanoseconds and, in at least some such embodiments, has a pulse duration of at least 100 nanoseconds. | 05-26-2011 |
20110122388 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system of a microlithographic projection exposure apparatus includes an optical raster element configured to produce a plurality of secondary light sources located in a system pupil surface. The optical raster element has a plurality of light entrance facets, each being associated with one of the secondary light sources. A beam deflecting device includes a beam deflection array of reflective or transparent beam deflecting elements, each being configured to illuminate a spot on one of the light entrance facets at a position that is variable by changing a deflection angle produced by the beam deflecting element. A control unit is configured to control the beam deflection elements such that variable light patterns assembled from the spots can be formed on at least one of the plurality of light entrance facets. | 05-26-2011 |
20110128519 | Radiation Source - A radiation source may include a radiation emitter for emitting radiation, a collector for collecting radiation emitted by the radiation emitter, and an outlet configured, in use, to introduce a cooled gas into the radiation source. | 06-02-2011 |
20110128520 | ALIGNMENT SYSTEMS AND METHODS FOR LITHOGRAPHIC SYSTEMS - An alignment system for a lithographic apparatus has a source of alignment radiation; a detection system that has a first detector channel and a second detector channel; and a position determining unit in communication with the detection system. The position determining unit is constructed to process information from said first and second detector channels in a combination to determine a position of an alignment mark on a work piece, the combination taking into account a manufacturing process of the work piece. A lithographic apparatus has the above mentioned alignment system. Methods of alignment and manufacturing devices with a lithographic apparatus use the above alignment system and lithographic apparatus, respectively. | 06-02-2011 |
20110128521 | ACTUATORS AND MICROLITHOGRAPHY PROJECTION EXPOSURE SYSTEMS AND METHODS USING THE SAME - An actuator includes a housing and a rotor that can be moved in relation to the housing in the effective direction of the actuator, wherein the actuator includes an advancing unit that is connected to the rotor at least part of the time. The advancing unit includes at least one deformation unit and at least one deformer for deforming the deformation unit. The at least one deformer is suited to deform the deformation unit perpendicular to the effective direction of the actuator such that the total length of the deformation unit changes in the effective direction as a result of the deformation. The actuator can be used in a projection exposure system for semiconductor lithography. | 06-02-2011 |
20110128522 | METHOD FOR MANUFACTURING A PATTERNED MEMBER AND PROCESSING APPARATUS USING ELECTROMAGNETIC BEAM - To provide a manufacturing method for a patterned member, by which a dotted pattern can be readily formed without deforming the shape of pits. There is provided a method for manufacturing a patterned member on which a dotted pattern is formed. This manufacturing method comprises: a preparation step of preparing a substrate having a photoresist layer which undergoes a change in shape when it is illuminated and hence heated with an electromagnetic beam; and an exposure step of illuminating and scanning the photoresist layer with the electromagnetic beam to remove a part of the photoresist layer, wherein in the exposure step, an emission time of the electromagnetic beam is adjusted to fall within 10-40% of a scanning time corresponding to a pitch of a plurality of pits formed on the photoresist layer in a scanning direction. | 06-02-2011 |
20110134405 | RADIATION SOURCE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A radiation source is configured to generate radiation. The radiation source includes a first electrode and a second electrode configured to produce an electrical discharge during use to generate radiation-emitting plasma from a plasma fuel. The radiation source also includes a fuel supply configured to supply a plasma fuel to a fuel release area that is associated with the first electrode and the second electrode, and a fuel release configured to induce release of fuel, supplied by the fuel supply, from the fuel release area. The fuel release area is spaced-apart from the first electrode and from the second electrode. | 06-09-2011 |
20110134406 | Maskless exposure apparatus and control method thereof - Disclosed herein is a mask-less exposure apparatus to enlarge or reduce an exposure area in a scan direction and a control method thereof. The mask-less exposure apparatus includes a light source unit configured to supply light, a spatial light modulation unit configured to selectively transmit the light to a substrate, a drive pulse generation unit configured to generate a drive pulse signal and adjust an operation beginning time of the spatial light modulation unit, a substrate shape measurement unit configured to measure a scan-direction length of the substrate, and a drive pulse correction unit configured to correct a drive pulse signal interval so as to enlarge or reduce an exposure area of the substrate according to the scan-direction length of the substrate. | 06-09-2011 |
20110134407 | Maskless exposure apparatus and pattern compensation method using the same - Disclosed herein is a method of compensating for distortion of an exposure pattern due to stage yawing in a maskless exposure apparatus using digital micromirror devices (DMDs). Requirements as to control performance of the stage yawing through the adjustment of sync signals (PEGs) to switch frames of the DMDs are eliminated, thereby reducing manufacturing costs of a large-sized stage. Also, distortion of an exposure pattern, which may occur due to uncompensated yawing, is digitally compensated by controlling the stage yawing, thereby achieving high-quality exposure. | 06-09-2011 |
20110134408 | MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND DEVICE FABRICATION METHOD - The present invention provides a measurement apparatus which measures an imaging performance of an optical system to be measured, the apparatus including a first reference substrate which is placed on an object plane of the optical system to be measured, and has periodic patterns arranged in accordance with a plurality of object heights, a second reference substrate which is placed on an image plane of the optical system to be measured, and has apertures which pass light from the periodic patterns, a detection unit configured to detect an intensity of the light which comes from the periodic patterns and has passed through the apertures, a driving unit configured to drive at least one of the first reference substrate and the second reference substrate, and a processing unit configured to perform a process for obtaining the imaging performance of the optical system to be measured. | 06-09-2011 |
20110141444 | Inspection Apparatus for Lithography - A scatterometer configured to measure a property of a substrate, includes a radiation source configured to provide a radiation beam; and a detector configured to detect a spectrum of the radiation beam reflected from a target ( | 06-16-2011 |
20110141445 | ILLUMINATION OPTICAL UNIT FOR EUV MICROLITHOGRAPHY - An illumination optical unit for EUV microlithography includes a first optical element having a plurality of first reflective facet elements and a second optical element having a plurality of second reflective facet elements. Each first reflective facet element from the plurality of the first reflective facet elements has a respective maximum number of different positions which defines a set—associated with the first facet element—consisting of second reflective facet elements in that the set consists of all second facet elements onto which the first facet element directs radiation in its different positions during the operation of the illumination optical unit. The plurality of second reflective facet element forms a plurality of disjoint groups, wherein each of the groups and each of the sets contain at least two second facet elements, and there are no two second facet elements of a set which belong to the same group. This construction makes it possible to provide an illumination optical unit which can be used to provide a large number of different angle-dependent intensity distributions at the location of the object field. | 06-16-2011 |
20110141446 | PROJECTION OBJECTIVE - A projection objective, such as for EUV lithography, for imaging a pattern arranged in an object plane into an image plane with the aid of electromagnetic radiation from the extreme ultraviolet range is provided. The projection objective includes a plurality of mirrors provided with reflective coatings and arranged between the object plane and the image plane. At least one of the mirrors includes a graded reflective coating with a rotationally-asymmetric coating thickness profile in the mirror plane on a substrate with a rotationally-asymmetric or rotationally-symmetric surface profile. The projection objective can exhibit increased overall transmission. | 06-16-2011 |
20110141447 | Measurement System and Lithographic Apparatus for Measuring a Position Dependent Signal of a Movable Object - An encoder-type measurement system is configured to measure a position dependent signal of a movable object, the measurement system including at least one sensor mountable on the movable object a sensor target object mountable on a substantially stationary frame, and a mounting device configured to mount the sensor target object on the substantially stationary frame. The measurement system further includes a compensation device configured to compensate movements and/or deformations of the sensor target object with respect to the substantially stationary frame. The compensation device may include a passive or an active damping device and/or a feedback position control system. In an alternative embodiment, the compensation device includes a gripping device which fixes the position of the sensor target object during a high accuracy movement of the movable object. | 06-16-2011 |
20110149260 | Plasma as a Band Pass Filter for Photo Lithography - A band pass filter includes a first electrode, a second electrode, and a plasma generated by the first and second electrode. The plasma is confined to a region of space through which electromagnetic waves having a frequency above an intrinsic plasma frequency are transmitted, and electromagnetic waves having a frequency below the intrinsic plasma frequency are reflected. The band pass filter may be implemented in a photo lithography tool between a source module and an exposure module. The plasma of the band pass filter may be adapted to reduce IR radiation (or other out of band radiation) exposure to the exposure module by reflecting IR radiation back to the radiation source. In an extreme ultraviolet photo lithography tool, the plasma of the band pass filter may be adapted to transmit EUV radiation. | 06-23-2011 |
20110157570 | LITHOGRAPHIC APPARATUS - Liquid is supplied to a space between the projection system and the substrate by an inlet. In an embodiment, an overflow region removes liquid above a given level. The overflow region may be arranged above the inlet and thus the liquid may be constantly refreshed and the pressure in the liquid may remain substantially constant. | 06-30-2011 |
20110157571 | PROJECTION EXPOSURE SYSTEM FOR MICROLITHOGRAPHY AND METHOD OF MONITORING A LATERAL IMAGING STABILITY - A projection exposure system ( | 06-30-2011 |
20110157572 | PROJECTION OPTICS FOR MICROLITHOGRAPHY - A projection optics for microlithography, which images an object field in an object plane into an image field in an image plane, where the projection optics include at least one curved mirror and including at least one refractive subunit, as well as related systems, components, methods and products prepared by such methods, are disclosed. | 06-30-2011 |
20110157573 | SPECTRAL PURITY FILTER, LITHOGRAPHIC APPARATUS INCLUDING SUCH A SPECTRAL PURITY FILTER AND DEVICE MANUFACTURING METHOD - A spectral purity filter includes an aperture. The spectral purity filter is configured to enhance the spectral purity of a radiation beam by being configured to absorb radiation of a first wavelength and allow at least a portion of radiation of a second wavelength to transmit through the aperture. The first wavelength is larger than the second wavelength. The spectral purity filter may be used to improve the spectral purity of an Extreme Ultra-Violet (EUV) radiation beam. | 06-30-2011 |
20110164232 | OPTICAL IMAGING DEVICE WITH IMAGE DEFECT DETERMINATION - An optical imaging device, in particular for microlithography, including an imaging unit adapted to image an object point on an image point and a measurement device. The imaging unit has a first optical element group having at least one first optical element. The imaging device is adapted to participate in the imaging of the object point on the image point, and the measurement unit is adapted to determine at least one image defect occurring on the image point when the object point is imaged. The measuring device includes at least one measurement light source, one second optical element group and at least one detection unit. The measurement light source transmits at least one measurement light bundle. The second optical element group includes at least one optical reference element and one second optical element, the elements adapted to direct the at least one measurement light bundle to the at least one detection unit, to produce at least one detection signal. The second optical element has a defined spatial relationship with the first optical element. The optical reference element has an at least partially reflecting first optical surface and the second optical element has an at least partially reflecting second optical surface. The measurement device is adapted to determine the at least one image defect using the at least one detection signal. The first optical surface and the second optical surface are positioned relative to one another such that a multiple reflection of the at least one measurement light bundle occurs between them. | 07-07-2011 |
20110164233 | FIELD FACET MIRROR FOR AN ILLUMINATION OPTICS OF A PROJECTION EXPOSURE APPARATUS FOR EUV MICROLITHOGRAPHY - A field facet mirror for an illumination optics of a projection exposure apparatus for EUV microlithography transmits a structure of an object arranged in an object field into an image field. The field facet mirror has a plurality of field facets with reflection surfaces. The arrangement of the field facets next to one another spans a base plane. Projections of the reflection surfaces of at least two of the field facets onto the base plane differ with respect to at least one of the following parameters: size, shape, orientation. A field facet mirror results which can ensure a uniform object field illumination with a simultaneously high EUV throughput. | 07-07-2011 |
20110164234 | NOVEL PHOTORESIST MATERIALS AND PHOTOLITHOGRAPHY PROCESSES - A material for use in lithography processing includes a polymer that turns soluble to a base solution in response to reaction with acid and a plurality of magnetically amplified generators (MAGs) each having a magnetic element and each decomposing to form acid bonded with the magnetic element in response to radiation energy. | 07-07-2011 |
20110164235 | PROJECTION OBJECTIVE AND PROJECTION EXPOSURE APPARATUS FOR MICROLITHOGRAPHY - Projection objectives, projection exposure apparatuses and related systems and components are disclosed. | 07-07-2011 |
20110164236 | RADIATION SOURCE AND LITHOGRAPHIC APPARATUS - A radiation source is configured to generate extreme ultraviolet radiation. The radiation source includes a plasma formation site located at a position in which a fuel will be contacted by a beam of radiation to form a plasma, an outlet configured to allow gas to exit the radiation source, and a contamination trap at least partially located inside the outlet. The contamination trap is configured to trap debris particles that are generated with the formation of the plasma. | 07-07-2011 |
20110170082 | METHODS OF OPTICAL PROXIMITY CORRECTION IN MANUFACTURING SEMICONDUCTOR DEVICES - A method of optical proximity correction for a photolithographic progress in manufacturing semiconductor devices is disclosed. The method includes providing an illumination source in an optical system, dividing the illumination source into a number of segments in the form of concentric rings, and assigning a first intensity level to a first ring of a first radius and assigning a second intensity level to a second ring of a second radius, wherein the first intensity level is smaller than or equal to the second intensity level when the first radius is smaller than or equal to the second radius. | 07-14-2011 |
20110176122 | SURFACE EMITTING LASER ELEMENT, SURFACE EMITTING LASER ARRAY, OPTICAL SCANNING APPARATUS, AND IMAGE FORMING APPARATUS - A surface emitting laser element includes a p-side spacer layer; an n-side spacer layer; and an active layer disposed between the p-side spacer layer and the n-side spacer layer. The p-side spacer layer includes an undoped region adjacent to the active layer in which no p-type dopant is contained. The entire n-side spacer layer is doped with an n-type dopant. | 07-21-2011 |
20110176123 | Inspection Method and Apparatus - An inspection apparatus for measuring a property of a substrate (W), includes a base frame, a substrate table ( | 07-21-2011 |
20110181857 | OPTICAL ASSEMBLY - An optical assembly supported in an arrangement, especially in an objective or in an illuminating or exposure system, in the interior of a housing comprising at least one optical element, especially a lens, a mirror, or an aperture, wherein the at least one element is influenceable by at least one manipulator is characterized in that the at least one manipulator is arranged either outside of the housing or in a holding means that is separated entirely or to a large extent by the help of a decoupling means, and that there is provided an effective coupling between the manipulator and the element to be influenced by the manipulator in the interior of the arrangement. | 07-28-2011 |
20110181858 | VARIABLE SLIT DEVICE, ILLUMINATION DEVICE, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A variable slit device for forming illumination light having a slit shape extending in a longitudinal direction and a lateral direction. A first light intensity setting unit sets a first light intensity distribution, which is the light intensity distribution of a peripheral portion, which is one of a pair of peripheral portions extending along the longitudinal direction of the slit shape. A second light intensity setting unit sets a second light intensity distribution, which is the light intensity distribution of a peripheral portion, which is the other one of the pair of peripheral portions. Selection members select a first portion of a light beam that has the first light intensity distribution and a second portion of the light beam that has the second light intensity distribution. | 07-28-2011 |
20110181859 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic apparatus, a localized area of the substrate surface under a projection system is immersed in liquid. The height of a liquid supply system above the surface of the substrate can be varied using actuators. A control system uses feedforward or feedback control with input of the surface height of the substrate to maintain the liquid supply system at a predetermined height above the surface of the substrate. | 07-28-2011 |
20110188017 | METHODS AND DEVICES FOR DRIVING MICROMIRRORS - A micromirror of a micromirror array in an illumination system of a microlithographic projection exposure apparatus can be tilted through a respective tilt angle about two tilt axes. The micromirror is assigned three actuators which can respectively be driven by control signals in order to tilt the micromirror about the two tilt axes. Two control variables are specified, each of which is assigned to one tilt axis and which are both assigned to unperturbed tilt angles. For any desired combinations of the two control variables, as a function of the two control variables, one of the three actuators is selected and its control signal is set to a constant value, in particular zero. The control signals are determined so that, when the control signals are applied to the other two actuators, the micromirror adopts the unperturbed tilt angles as a function of the two control variables. | 08-04-2011 |
20110194087 | REFLECTIVE OPTICAL ELEMENT AND METHOD OF MANUFACTURING THE SAME - A reflective optical element e.g. for use in EUV lithography, configured for an operating wavelength in the soft X-ray or extreme ultraviolet wavelength range, includes a multilayer system ( | 08-11-2011 |
20110194088 | Projection System, Lithographic Apparatus, Method of Projecting a Beam of Radiation onto a Target and Device Manufacturing Method - A projection system (PS) is provided that includes a sensor system ( | 08-11-2011 |
20110194089 | OPTICAL SYSTEM WITH APERTURE DEVICE - An optical system has an aperture device having a multiplicity of aperture elements for the delimitation of the cross section of a ray bundle running through the optical system. The aperture device has a first aperture element, which is pivotable about a first rotation axis between an engagement position in the beam path of the optical system and a neutral position outside the beam path of the optical system and has a first aperture opening delimited by a first aperture edge. The aperture device also has at least one second aperture element, which is pivotable about a second rotation axis between an engagement position in the beam path of the optical system and a neutral position outside the beam path of the optical system and has a second aperture opening delimited by a second aperture edge. The second aperture opening is smaller than the first aperture opening. The aperture elements pivoted into the engagement position form an effective aperture opening. The aperture edges of aperture elements pivoted into the engagement position do not lie in a common plane. | 08-11-2011 |
20110194090 | OPTICAL RASTER ELEMENT, OPTICAL INTEGRATOR AND ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An optical raster element for an illumination system of a microlithographic projection exposure apparatus includes an array of refractive optical elements extending on a planar or curved surface. At least two of the optical elements are arranged side by side along a reference direction with a pitch of less than 2 mm. They have a height perpendicular to the surface of less than 50 μm and a surface profile along the reference direction which includes a central section, two transition sections adjacent the central section and two end sections adjacent the transition sections. The curvatures in the two transition sections are greater than the curvatures in the central section and the end sections. The optical raster element is intended for being used as a first channel plate in an optical integrator (honeycomb condenser) and can reduce the maximum light intensities occurring in or behind the second channel plate. | 08-11-2011 |
20110194091 | LOW-CONTAMINATION OPTICAL ARRANGEMENT - An optical arrangement has a plurality of optical elements capable of transmitting a beam. A partial housing is provided which extends from a surface of an optical element in the direction of the beam emanating from the optical element, or of the beam incident on the optical element, and whose shape is adapted to the shape of the beam. The partial housing is surrounded at least partially by a measurement structure which is mechanically decoupled therefrom. The measurement structure has at least one sensor. | 08-11-2011 |
20110194092 | Substrate, an Inspection Apparatus, and a Lithographic Apparatus - A target for measuring an overlay error or a critical dimension of a substrate comprises a grating. In one example, lines of the grating are arranged at an angle of about 45° with respect to edges of the target. As a consequence, the diffraction order of the grating reflection has its sub-maxima not aligned along the line on which the other diffraction orders are positioned, and overlap of intensity with other diffraction orders is reduced | 08-11-2011 |
20110199598 | Lithographic fabrication of general periodic structures - A lithographic method related to Talbot imaging for printing a desired pattern of features that is periodic or quasi-periodic in at least one direction onto a substrate surface, which method includes providing a mask bearing a pattern of mask features, arranging the substrate parallel and in proximity to the mask, providing an illumination source having a central wavelength and a spectral bandwidth, forming from said source an illumination beam with an angular distribution of intensity, arranging the distance of the substrate from the mask and exposing the mask pattern to said beam so that each angular component of illumination exposes the substrate to substantially the entire range of lateral intensity distributions that occur between successive Talbot image planes for the illumination wavelengths, wherein the angular distribution of the beam is designed in conjunction with the pattern of features in the mask and the distance of the substrate from the mask. | 08-18-2011 |
20110199599 | SIX-MIRROR EUV PROJECTION SYSTEM WITH LOW INCIDENCE ANGLES - The invention relates to a projection system for guiding light with wavelengths ≦193 nm from an object plane to an image plane, comprising at least a first mirror, a second mirror, a third mirror, a fourth mirror, a fifth mirror and a sixth mirror centered around an optical axis and being arranged along the optical axis, with the light traveling from the object plane to the first mirror, then from the first mirror to the second mirror, then from the second mirror to the third mirror, then from the third mirror, the fourth mirror, then from the fourth mirror to the fifth mirror and then from the fifth mirror to the sixth mirror, The invention is characterized in that the first mirror is arranged along the optical axis geometrically between the fifth mirror and the sixth mirror, and the third mirror is a convex mirror. | 08-18-2011 |
20110199600 | COLLECTOR ASSEMBLY, RADIATION SOURCE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A collector assembly includes a first collector mirror for reflecting radiation from a radiation emission point, such as an extreme ultraviolet radiation emission point, to an intermediate focus from where the radiation is used in the lithography apparatus for device manufacture. A second collector mirror, forward of the radiation emission point, collects additional radiation, reflecting it back to a third mirror and from there to the intermediate focus. The mirrors may allow radiation to be collected with high efficiency and without increase in the etendue. The collector assembly may reduce or remove non-uniformity in the collected radiation, for instance arising from obscuration of collected radiation by a laser beam stop used to prevent laser excitation radiation from entering the lithographic apparatus. | 08-18-2011 |
20110205512 | Active Spectral Control of Optical Source - A method of controlling a spectral property of a light beam includes directing a light beam to a lithography exposure apparatus configured to create a pattern on a wafer; receiving information representative of a spectral property of the light beam; receiving information representative of an optical imaging condition of the lithography exposure apparatus; estimating a characteristic value of the light beam based on the received spectral property information and the received optical imaging condition information; determining whether the estimated light beam characteristic value matches a target light beam characteristic value; and if it is determined that the estimated light beam characteristic value does not match the target light beam characteristic value, adjusting the spectral property of the light beam. | 08-25-2011 |
20110205513 | Lithographic Apparatus and Device Manufacturing Method - A method produces at least one monitor wafer for a lithographic apparatus. The monitor wafer is for use in combination with a scanning control module to periodically retrieve measurements defining a baseline from the monitor wafer thereby determining parameter drift from the baseline. In doing this, allowance and/or correction can be to be made for the drift. The baseline is determined by initially exposing the monitor wafer(s) using the lithographic apparatus, such that the initial exposure is performed while using non-standard alignment model settings optimized for accuracy, such as those used for testing the apparatus. An associated lithographic apparatus is also disclosed. | 08-25-2011 |
20110205514 | MEASURING METHOD AND MEASURING APPARATUS OF PUPIL TRANSMITTANCE DISTRIBUTION, EXPOSURE METHOD AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A measuring apparatus for measuring a pupil transmittance distribution of an optical system to be examined has a diffraction grating mounted on a first surface in an optical Fourier transform relation with a pupil of the optical system, an illumination optical system which makes a beam inclined relative to the optical axis, incident to a predetermined position on the first surface so that a +first-order diffracted beam, generated through the diffraction grating, passes through a first pupil partial region in an effective region of the pupil and so that a −first-order diffracted beam, generated through the diffraction grating, passes through a second pupil partial region, and a measuring unit which measures an intensity of the +first-order diffracted beam, and an intensity of the −first-order diffracted beam, and the measuring apparatus determines a ratio of a pupil transmittance in the first and second pupil partial regions. | 08-25-2011 |
20110205515 | Calibration of Lithographic Apparatus - System parameters are checked through self-assessment of a production wafer without using a reference or a monitor wafer. In particular, the wafer is exposed at different orientations, the data from which provides for the calibration of system parameters. | 08-25-2011 |
20110205516 | LITHOGRAPHIC APPARATUS AND METHOD - A lithographic apparatus includes an illumination system configured to condition a radiation beam and a support constructed to support a patterning device. The patterning device is configured to form a patterned radiation beam. A substrate table is constructed to hold a substrate, and a projection system is configured to project the patterned radiation beam onto a target portion of the substrate. The apparatus includes a heater arrangement that includes an electron beam generator configured to generate an electron beam, and an electron beam guide arrangement configured to guide the electron beam onto an optical element of the lithographic apparatus. The optical element forms a part of the illumination system or the projection system which, in use, is traversed by the radiation beam. The heater arrangement is controllable to provide a distribution of heat on the optical element by deflection of the electron beam. | 08-25-2011 |
20110205517 | OPTICAL ELEMENT MOUNT FOR LITHOGRAPHIC APPARATUS - A mount configured to mount an optical element in a module for a lithographic apparatus. The mount includes a plurality of resilient members constructed and arranged to circumferentially support the optical element. Each resilient member includes a plurality of resilient subsections that are configured to engage the optical element around a perimeter thereof Each resilient subsection is configured to flex independent of another resilient subsection. | 08-25-2011 |
20110205518 | Substrate, a Method of Measuring a Property, an Inspection Apparatus and a Lithographic Apparatus - Scatterometry for measuring overlay. A second set of superimposed gratings are superposed over a first set of superimposed gratings. The second set of gratings have a different periodicity from the first set of gratings or a different orientation. Consequently the first order diffraction pattern from the second set of superimposed gratings can be distinguished from the first order diffraction pattern from the first set of superimposed gratings. | 08-25-2011 |
20110211183 | Illumination optical system, aligner, and process for fabricating device - [Problem] Each of pupil intensity distributions at respective points on an illumination target surface is substantially uniformly adjusted. | 09-01-2011 |
20110211184 | Seed light generation device, light source device, adjustment method thereof, light irradiation device, exposure device, and device manufacturing method - A light source device including a seed light generation device, a light amplification unit which optically amplifies seed light generated by the seed light generation device, and a wavelength conversion unit which converts the wavelength of the light optically amplified by the light amplification unit. The seed light generation device includes a pulsed light generation unit which generates pulsed light having a single wavelength, a pulse modulation unit which selectively passes and extracts a part of the pulsed light, and a timing adjustment unit which relatively adjusts the extracting timing of the pulsed light by the pulse modulation unit during the generation period of the pulsed light by the pulse generation unit, according to an operation. | 09-01-2011 |
20110216296 | HOLOGRAM AND EXPOSURE APPARATUS - The present invention provides a hologram which forms a light intensity distribution on a predetermined plane by using incident light. The hologram includes a plurality of cells configured to control both a phase of a first polarized light component in a first polarization direction of the incident light and a phase of a second polarized light component in a second polarization direction perpendicular to the first polarization direction, to form a phase difference distribution between phase distributions for the first and second polarized light components. The plurality of cells are designed so that a number of phase difference levels of the phase difference distribution is less than a number of phase levels of the phase distribution of the first polarized light component. | 09-08-2011 |
20110216297 | Lithographic Apparatus and Method - A lithographic apparatus includes an illumination system configured to provide a first beam of radiation, which forms a first mask illumination region, and configured to substantially simultaneously provide a second beam of radiation, which forms a second mask illumination region. The first and second illumination regions being configured to substantially simultaneously illuminate a same mask. The lithographic apparatus also includes a projection system configured to project the first radiation beam such that it forms a first substrate illumination region and configured to simultaneously project the second radiation beam such that it forms a second substrate illumination region. | 09-08-2011 |
20110216298 | PROTECTION MODULE FOR EUV LITHOGRAPHY APPARATUS, AND EUV LITHOGRAPHY APPARATUS - In EUV lithography apparatuses ( | 09-08-2011 |
20110216299 | ELECTROSTATIC LENS STRUCTURE - An electrostatic lens comprising a first conductive plate with a first aperture, a second conductive plate with a second aperture, the second aperture being substantially aligned with the first aperture, a voltage supply for supplying a first voltage to the first conductive plate and a second voltage to the second conductive plate, the first voltage being lower than the second voltage, and an insulating structure for separating the first conductive plate from the second conductive plate. The insulating structure comprises a first portion in contact with the first conductive plate and a second portion in contact with the second conductive plate, the first portion having an overhanging portion and the second portion having an indented portion at an edge of the insulating structure, so that a gap is formed between the overhanging portion and the second conductive plate. | 09-08-2011 |
20110222040 | RADIATION SOURCE APPARATUS, LITHOGRAPHIC APPARATUS, METHOD OF GENERATING AND DELIVERING RADIATION AND METHOD FOR MANUFACTURING A DEVICE - A contaminant trap is used in an EUV radiation source apparatus. An EUV radiation beam is generated and focused through a low pressure gaseous atmosphere into a virtual source point. The EUV radiation creates a plasma in the low pressure hydrogen atmosphere through which it passes. A contaminant trap including electrodes is located in or around radiation beam as it approaches the virtual source point. A DC biasing source is connected to the electrodes to create an electric field oriented to deflect out of the beam path contaminant particles that have been negatively charged by the plasma. Additional RF electrodes and/or an ionizer enhance the plasma to increase the charging of the particles. The deflecting electrodes can be operated with RF bias for a short time, to ensure dissipation of the enhanced plasma. | 09-15-2011 |
20110228244 | ILLUMINATION OPTICAL SYSTEM FOR PROJECTION LITHOGRAPHY - An illumination optical system for projection lithography for the illumination of an illumination field has a facet mirror. An optical system, which follows the illumination optical system, has an object field which can be arranged in the illumination field of the illuminate optical system. The facet mirror has a plurality of facets to reflectively guide part bundles of a bundle of illumination light. Reflection faces of the facets are tiltable in each case. In a first illumination tilt position, the tiltable facets guide the part bundle impinging on them along a first object field illumination channel to the illumination field. In a different illumination tilt position, the tiltable facets guide the part bundle impinging on them along a different object field illumination channel to the illumination field. The reflection faces of the tiltable facets are configured so that the part bundle in the at least two illumination tilt positions is reflected with a degree of reflection R coinciding within a tolerance range of +/−10%. The result is an illumination optical system which avoids an undesired influence of the illumination tilt position of the tiltable facets on the illumination light throughput of the illumination optical system. | 09-22-2011 |
20110228245 | REFLECTIVE OPTICAL ELEMENT, PROJECTION SYSTEM, AND PROJECTION EXPOSURE APPARATUS - For the use in illumination systems and projection exposure apparatuses for UV or EUV lithography, a reflective optical element is provided for a operating wavelength in the ultraviolet to extreme ultraviolet wavelength ranges. The reflective optical element includes a substrate and a reflective surface on the substrate. The multilayer system has layers of at least two alternating materials having different real parts of the refractive index at the operating wavelength. Radiation in the operating wavelength of a certain incident angle bandwidth distribution can impinge on the reflective optical element. The reflective surface includes one or more first portions, in which the layers have alternating materials of a first period thickness. The reflective surface includes one or more additional portions, in which the layers of alternating materials have a first period thickness and at least one additional period thickness. The arrangement of the first and additional portions (A | 09-22-2011 |
20110228246 | PROJECTION OBJECTIVE FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - Another approach to decrease the resolution is to introduce an immersion liquid having high refractive index into the gap that remains between a final lens element on the image side of the projection objective and the photoresist or another photosensitive layer to be exposed. Projection objectives that are designed for immersion operation and are therefore also referred to as immersion objective may reach numerical apertures of more than 1, for example 1.3 or 1.4. The term “immersion liquid” shall, in the context of this application, relate also to what is commonly referred to as “solid immersion”. In the case of solid immersion, the immersion liquid is in fact a solid medium that, however, does not get in direct contact with the photoresist but is spaced apart from it by a distance that is only a fraction of the wavelength used. This ensures that the laws of geometrical optics do not apply such that no total reflection occurs. | 09-22-2011 |
20110235010 | EXPOSURE APPARATUS AND IMAGE FORMING APPARATUS - An exposure apparatus includes: a scanning optical system; a light concentrating section that concentrates lights by the scanning optical system on the photosensitive surface and adjusts a light concentrating position to a light traveling direction; a light receiving device to which lights having different light sources are guided and emitted from a part of a light movement range with scanning to receive separately the lights, including light receiving parts to detect a received light quantity, where an optical path length to the light receiving part is greater than that to the photosensitive surface for a part of the lights passing through on the light receiving parts and is smaller for another part of the lights; and a light concentrating position adjusting section that uses the function of the light concentrating section to adjust the light concentrating position by the light concentrating section based on the received light quantity. | 09-29-2011 |
20110235011 | EXPOSURE DEVICE, SIGNAL TRANSMISSION MECHANISM FOR THE EXPOSURE DEVICE, AND IMAGE FORMING APPARATUS - An exposure device includes a substrate, an optical component, and a receiving section. The substrate has exposure light-emitting elements disposed thereon in a row. The optical component is formed integrally with the substrate, is provided with graded index lenses, and causes exposure light emitted from the exposure light-emitting elements to be focused on an exposure member to be exposed. The receiving section is provided at the substrate, receives an optical signal through the graded index lenses and, converts the received optical signal into an electrical signal. The optical signal is emitted from a light-emitting section provided at a side of the exposure member to be exposed. | 09-29-2011 |
20110235012 | PROJECTION EXPOSURE APPARATUS FOR MICROLITHOGRAPHY FOR THE PRODUCTION OF SEMICONDUCTOR COMPONENTS - A projection exposure apparatus for microlithography for the production of semiconductor components includes at least one optical assembly with at least one optical element which can be actuated in a mechanically controlled manner is mounted in a structure. For carrying out the mechanical actuation, a control signal transmission device and/or an energy transmission device are/is provided, which introduce(s) no parasitic mechanical effects into the optical assembly at least during specific operating states of the projection exposure apparatus. | 09-29-2011 |
20110235013 | PROJECTION OBJECTIVE OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A projection objective of a microlithographic projection exposure apparatus has a high index refractive optical element with an index of refraction greater than 1.6. This element has a volume and a material related optical property which varies over the volume. Variations of this optical property cause an aberration of the objective. In one embodiment at least 4 optical surfaces are provided that are arranged in at least one volume which is optically conjugate with the volume of the refractive optical element. Each optical surface comprises at least one correction means, for example a surface deformation or a birefringent layer with locally varying properties, which at least partially corrects the aberration caused by the variation of the optical property. | 09-29-2011 |
20110235014 | OPTICAL ELEMENT HOLDING APPARATUS, BARREL, EXPOSURE APPARATUS, AND MANUFACTURING METHOD FOR DEVICE - An optical element holding apparatus includes an annular vibration attenuation plate, which covers a peripheral portion of a lens, and a connection member, which is formed of a damping alloy and which fixes the vibration attenuation plate to a frame body in a state of non-contact with the surface of the lens. The vibration attenuation plate and nitrogen gas in a clearance between the vibration attenuation plate and the surface of the lens form a squeeze film damper. | 09-29-2011 |
20110242514 | 1.5D SLM FOR LITHOGRAPHY - This invention relates to an improved micro lithographic writer that sweeps a modulated pattern across the surface of a workpiece. The SLM disclosed works in a diffractive mode with a continuous or quasi-continuous radiation source. It uses a long and narrow SLM and takes advantage of diffractive effects along the narrow axis of the SLM to improve writing characteristics along that axis. | 10-06-2011 |
20110242515 | EUV collector system with enhanced EUV radiation collection - A collector system for extreme ultraviolet (EUV) radiation includes a collector mirror and a radiation-collection enhancement device (RCED) arranged adjacent an aperture member of an illuminator. The collector mirror directs EUV radiation from an EUV radiation source towards the aperture member. The RCED redirects a portion of the EUV radiation that would not otherwise pass through the aperture of the aperture member or that would not have an optimum angular distribution, to pass through the aperture and to have an improved angular distribution better suited to input specifications of an illuminator. This provides the illuminator with greater amount of useable EUV radiation than would otherwise be available from the collector mirror alone, thereby enhancing the performing of an EUV lithography system that uses such a collector system with a RCED. | 10-06-2011 |
20110242516 | Lithographic Apparatus, a Radiation System, a Device Manufacturing Method and a Radiation Generating Method - A lithographic apparatus includes a radiation system for providing a beam of radiation from radiation emitted by a radiation source. The radiation system includes a contaminant trap for trapping material emanating from the radiation source. The rotation contaminant trap includes a multiple number of elements extending in a radial direction from a common rotation trap axis and being arranged for allowing contaminant material emanating from the radiation source to deposit during propagation of the radiation beam in the radiation system. The radiation system further includes a contaminant catch for receiving contaminant material particles from the rotation trap elements, the contaminant catch having a constitution, during operation of the radiation, for retaining said contaminant material particles. | 10-06-2011 |
20110255066 | Apparatus and Method for Inspecting a Substrate - An apparatus measures properties, such as overlay error, of a substrate divided into a plurality of fields. The apparatus includes a radiation source configured to direct radiation onto a first target of each field of the substrate. Each first target (T4G) has at least a first grating and a second grating having respective predetermined offsets, the predetermined offset (+d) of the first grating being in a direction opposite the predetermined offset (−d) of the second grating. A detector is configured to detect the radiation reflected from each first target and to obtain an asymmetry value for each first target from the detected radiation. Further, a module is configured to determine an overlay value for each first target based on at least the obtained asymmetry value and the predetermined offsets and determine a polynomial fit across a plurality of first targets of a corresponding plurality of fields of the substrate for a relationship between the obtained asymmetry value and determined overlay value of each first target. | 10-20-2011 |
20110261340 | Modulation device and charged particle multi-beamlet lithography system using the same - The invention relates to a charged-particle multi-beamlet lithography system for transferring a pattern onto the surface of a target using a plurality of charged particle beamlets. The system includes a beam generator, a beamlet blanker array, a shielding structure and a projection system. The beam generator is arranged for generating a plurality of charged particle beamlets. The beamlet blanker array is arranged for patterning the plurality of beamlets in accordance with a pattern. The beamlet blanker array comprises a plurality of modulators and a plurality of light sensitive elements, a light sensitive element being arranged to receive pattern data carrying light beams and to convert the light beams into electrical signals. The light sensitive elements are electrically connected to one or more modulators for providing the received pattern data. The shielding structure is of an electrically conductive material for substantially shielding electric fields generated in proximity of the light sensitive elements from the modulators, wherein the shielding structure is arranged to be set at a predetermined potential. The projection system is arranged for projecting the patterned beamlets onto the target surface. | 10-27-2011 |
20110261341 | OPTICAL MODULE WITH AN ADJUSTABLE OPTICAL ELEMENT - An optical module, in particular for microlithography, includes an optical element and a support unit. The optical element has at least one optically utilised area, which defines a rotational axis of symmetry. To support the optical element the support unit has a plurality of more than three support elements. Each of the support elements in the area of a first end is connected with the optical element and in the area of a second end is connected with the support structure. The support unit is designed such that the degree of freedom of rotation of the optical element around the rotational axis of symmetry is restricted, while the position or orientation of the optical element in the other five degrees of freedom is spatially adjustable via the support unit. | 10-27-2011 |
20110261342 | OPTICAL UNIT, ILLUMINATION OPTICAL APPARATUS, EXPOSURE APPARTUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An optical unit comprises a first optical path in which a spatial light modulator with a plurality of optical elements arranged two-dimensionally and controlled individually can be arranged; a second optical path including a mechanism for insertion of an angle distribution providing element including a predetermined fixed pattern on a surface thereof; and a third optical path being an optical path of light having traveled through both of the first optical path and the second optical path. When the angle distribution providing element is inserted in the second optical path, an angle distribution is provided to light exited based on light incident to the angle distribution providing element. | 10-27-2011 |
20110261343 | REFLECTIVE OPTICAL ELEMENT, OPTICAL SYSTEM EUV AND LITHOGRAPHY DEVICE - In order to obtain optimal reflectivity on optical elements for the EUV and the soft X-ray range, multilayers constructed of a number of layers are used. Contamination or degradation of the surface leads to imaging defects and transmission losses. In the prior art, it has been attempted to counter a negative change in the surface by providing a cover layer system on the surface of the reflective optical element that should protect the surface. The invention renders the influence of the surface degradation manageable by a targeted selection of the distribution of thickness of the cover layer system, whereby at least one layer of the cover layer system has a gradient that is not equal to zero. | 10-27-2011 |
20110267594 | Maskless exposure apparatus and stitching exposure method using the same - Disclosed herein are a maskless exposure apparatus configured to perform exposure by tilting a beam spot array with respect to a scan direction (Y-axis direction) thus preventing stitching stripes and a stitching method using the same. A step distance, in which exposure dose uniformity in a stitching area is within a tolerance range, is calculated using actual position data of beam spots constituting the beam spot array on an exposure plane, and if necessary, using beam power data and/or beam size data. As exposure is performed based on image data conforming to the step distance, the stitching area has a uniform exposure dose, enabling exposure without stitching stripes. | 11-03-2011 |
20110267595 | LITHOGRAPHIC APPARATUS AND METHOD OF MANUFACTURING ARTICLE - A lithographic apparatus includes: a light-shielding plate which includes, on an edge thereof, an arc overlapping with a circular boundary line that defines a region onto which the pattern is transferred and is located inside an outer periphery of a substrate, and blocks the light to prevent the light from being incident on an outer peripheral region located outside the circular boundary line; a first driving unit which rotates the light-shielding plate about an axis parallel to an optical axis of the irradiation system; and a second driving unit which linearly drives the light-shielding plate within a plane perpendicular to the optical axis. | 11-03-2011 |
20110267596 | GRAVITATION COMPENSATION FOR OPTICAL ELEMENTS IN PROJECTION EXPOSURE APPARATUSES - A gravitation compensator for mounting optical elements in a projection exposure apparatus and a corresponding projection exposure apparatus are disclosed. The gravitation compensator at least partly compensates for the weight force of a mounted optical element and simultaneously enables a change in the position of the optical element without the compensated weight force being altered in an impermissible manner during the change in position. This applies, in particular, to high weight forces which are to be compensated. Furthermore, the gravitation compensator enables use in different atmospheres and the compensation of corresponding aging effects. | 11-03-2011 |
20110273689 | METHOD FOR INCREASING THROUGHPUT AND REDUCING BLURRINESS DUE TO MOVEMENT - The invention relates to a method for illuminating printing plates ( | 11-10-2011 |
20110273690 | Maskless exposure apparatus and method of alignment for overlay in maskless exposure - Example embodiments are directed to a maskless exposure device and an alignment method. The alignment method performs an overlay of each layer of a plurality of layers on a substrate using a virtual mask in a maskless exposure technique. The maskless exposure device and the alignment method use a virtual mask instead of a physical mask used in a conventional mask exposure, a virtual target mark instead of an alignment mark used in the conventional mask exposure, and perform an overlay per layer, such that the deposition exposure can be achieved in the maskless exposure. | 11-10-2011 |
20110273691 | RADIATION SOURCE, METHOD OF CONTROLLING A RADIATION SOURCE, LITHOGRAPHIC APPARATUS, AND METHOD FOR MANUFACTURING A DEVICE - An EUV radiation source in the form of a plasma is focused at a virtual source point so as to pass through an exit aperture of a source collector module in an EUV lithographic apparatus. Plasma position is controlled in three directions, X, Y and Z using monitoring signals. By exploiting the photoacoustic effect, the monitoring is accomplished in a non-intrusive manner using acoustic sensors coupled to material of a cone which surrounds the exit aperture. Different angular positions of the radiation beam can be deduced by discriminating signals from the different sensors on the basis of relative arrival time or phase, and/or by comparing the amplitude/intensity of the signals. A sequencer function can be used to introduce a sequence of deliberate offsets in the beam position. This allows acoustic signals to be generated and detected for measurement purposes, when the beam would otherwise not impinge on the material. | 11-10-2011 |
20110273692 | Beam transforming element, illumination optical apparatus, exposure apparatus, and exposure method with two optical elements having different thicknesses - A beam transforming element for forming a predetermined light intensity distribution on a predetermined surface on the basis of an incident beam includes a first basic element made of an optical material with optical activity, for forming a first region distribution of the predetermined light intensity distribution on the basis of the incident beam; and a second basic element made of an optical material with optical activity, for forming a second region distribution of the predetermined light intensity distribution on the basis of the incident beam, wherein the first basic element and the second basic element have their respective thicknesses different from each other along a direction of transmission of light. | 11-10-2011 |
20110273693 | Beam transforming element, illumination optical apparatus, exposure apparatus, and exposure method with two optical elements having different thicknesses - A beam transforming element for forming a predetermined light intensity distribution on a predetermined surface on the basis of an incident beam includes a first basic element made of an optical material with optical activity, for forming a first region distribution of the predetermined light intensity distribution on the basis of the incident beam; and a second basic element made of an optical material with optical activity, for forming a second region distribution of the predetermined light intensity distribution on the basis of the incident beam, wherein the first basic element and the second basic element have their respective thicknesses different from each other along a direction of transmission of light. | 11-10-2011 |
20110273694 | INDIVIDUAL MIRROR FOR CONSTRUCTING A FACETED MIRROR, IN PARTICULAR FOR USE IN A PROJECTION EXPOSURE SYSTEM FOR MICROLITHOGRAPHY - An individual mirror is used to construct a facet mirror. A mirror body of the individual mirror is configured to be tiltable relative to a rigid carrier body about at least one tilting axis of a tilting joint. The tilting joint is configured as a solid-body joint. The solid-body joint, perpendicular to the tilting axis, has a joint thickness S and, along the tilting axis, a joint length L. The following applies: L/S>50. The result is an individual mirror to construct a facet mirror, which can be reproduced and is precisely adjustable and simultaneously ensures adequate heat removal, in particular, heat produced by residually absorbed useful radiation, which is reflected by the individual mirror, by dissipation of the heat by the mirror body. | 11-10-2011 |
20110273695 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A protrusion is provided on a barrier member which at least partly confines a liquid between a projection system and a substrate. The protrusion is closer to the projection system than other parts of the barrier member to promote a meniscus of liquid to adhere between the protrusion and the projection system. | 11-10-2011 |
20110273696 | METHOD FOR MANUFACTURING OPTICAL DISC MASTER AND METHOD FOR MANUFACTURING OPTICAL DISC - An apparatus for manufacturing an optical disc master with (a) a turntable upon which is received a disc having a resist layer composed of a resist material including an incomplete oxide of a transition metal on a substrate, the oxygen content of the incomplete oxide being smaller than the oxygen content of the stoichiometric composition corresponding to a valence of the transition metal; and (b) an exposure system operatively configured to expose the resist layer to a light beam according to a recording signal pattern, the light beam has an irradiation power that is less than an irradiation threshold power at which exposure of the resist starts. | 11-10-2011 |
20110279801 | APPARATUS AND A METHOD FOR ILLUMINATING A LIGHT-SENSITIVE MEDIUM - A system for illumination of a light sensitive medium includes means for patterning each of a plurality of beams using an illumination unit having at least one illumination head, the illumination head including at least two arrays of individually controllable light valves, means for projecting the patterned beams onto the light sensitive medium, means for displacing the light sensitive medium relative to the patterned beams, such that the patterned beams are scanned across the light sensitive medium in a scanning direction, means for directing the patterned beams towards the light sensitive medium by means of lenses arranged such that each lens directs a respective part of a respective patterned beam towards a respective target area on the light sensitive medium, means for spacing the arrays apart in the scanning direction, such that each of the arrays scans the patterned beams across the areas of the light sensitive medium as the light sensitive medium and the at least one illumination head are displaced relative to each other, the respective target areas scanned by the patterned beams are contiguous, means for individually controlling the individually controlled light valves of the illumination unit in dependency of the relative movement between the illumination head and the light sensitive medium, and a plurality of light emitters in the form of light guides arranged to illuminate the light sensitive medium via a light valve arrangement, the light valve arrangement including a plurality of electrically controlled light valves, wherein at least two of the light emitters are arranged to illuminate a plurality of light valves each. | 11-17-2011 |
20110279802 | DEVICE FOR AN OPTICAL ARRANGEMENT AND METHOD FOR POSITIONING AN OPTICAL ELEMENT OF AN OPTICAL ARRANGEMENT - A device for an optical arrangement includes an optical element and a holding structure. The optical element makes contact with the holding structure at six discrete contact points. Coupling elements are provided, by which it is possible to apply a force at the contact points. A component of the force is greater than the weight force of the optical element in terms of absolute value and/or direction. | 11-17-2011 |
20110279803 | METHOD FOR CORRECTING A LITHOGRAPHY PROJECTION OBJECTIVE, AND SUCH A PROJECTION OBJECTIVE - A method for correcting at least one image defect of a projection objective of a lithography projection exposure machine, the projection objective comprising an optical arrangement composed of a plurality of lenses and at least one mirror, the at least one mirror having an optically operative surface that can be defective and is thus responsible for the at least one image defect, comprises the steps of: at least approximately determining a ratio VM of principal ray height h | 11-17-2011 |
20110279804 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a single or multiple stage lithography apparatus, a table provides a confining surface to a liquid supply system during, for example, substrate table exchange and/or substrate loading and unloading. In an embodiment, the table has a sensor to make a measurement of the projection beam during, for example, substrate table exchange and/or substrate loading and unloading. | 11-17-2011 |
20110285978 | ILLUMINATION SYSTEM FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system for a microlithographic projection exposure step-and-scan apparatus has a light source, a first optical raster element and a second optical raster element. The first optical raster element extends in a first pupil plane of the illumination system and is designed such that the geometrical optical flux of the system is increased perpendicular to a scan direction of the projection exposure apparatus. The second optical raster element extends in a second pupil plane of the illumination system, which is not necessarily different from the first pupil plane, and is designed such that the geometrical optical flux of the system is increased in the scan direction and perpendicular thereto. This makes it possible to improve the irradiance uniformity in a reticle plane. | 11-24-2011 |
20110292365 | Calibration Method, Inspection Method and Apparatus, Lithographic Apparatus, and Lithographic Processing Cell - Disclosed are methods, apparatuses, and lithographic systems for calibrating an inspection apparatus. Radiation is projected onto a pattern in a target position of a substrate. By making a plurality of measurements of the pattern and comparing the measured first or higher diffraction orders of radiation reflected from the pattern of different measurements, a residual error indicative of the error in a scatterometer may be calculated. This error is an error in measurements of substrate parameters caused by irregularities of the scatterometer. The residual error may manifest itself as an asymmetry in the diffraction spectra. | 12-01-2011 |
20110292366 | MULTILAYER MIRROR AND LITHOGRAPHIC APPARATUS - A multilayer mirror to reflect radiation having a wavelength in the range of 2-8 nm has alternating layers. The alternating layers include a first layer and a second layer. The first and second layers are selected from the group consisting of: U and B | 12-01-2011 |
20110292367 | IMAGING OPTICAL SYSTEM - The disclosure generally relates to imaging optical systems that include a plurality of mirrors, which image an object field lying in an object plane in an image field lying in an image plane, where at least one of the mirrors has a through-hole for imaging light to pass through. The disclosure also generally relates to projection exposure installations that include such imaging optical systems, methods of using such projection exposure installations, and components made by such methods. | 12-01-2011 |
20110292368 | EXPOSURE APPARATUS - An exposure apparatus is provided with: a conveying device that conveys the subject to be exposed in a given direction; a spatial light modulating device having a plurality of light modulating elements, which are composed of an electro-optical crystalline material and arranged at least in one row in a direction intersecting a conveying direction of the subject to be exposed; an optical beam shaping device that limits the width of light emitted from each light modulating element in the conveying direction to a predetermined width; and a control device that on/off-controls light transmitted through the spatial light modulating device so as to generate a predetermined pattern. The light modulating element is formed tilted by a predetermined angle with respect to an axis parallel to the conveying direction. The control device shifts the optical beam shaping device in the conveying direction. | 12-01-2011 |
20110299053 | OPTICAL DEVICE HAVING A DEFORMABLE OPTICAL ELEMENT - The disclosure relates to an optical device, in particular for microlithography. The optical device includes an optical module and a support structure that supports the optical module. The optical module includes an optical element and a holding device that holds the optical element. The holding device includes a deformation device having a plurality of active deformation units which contact the optical element and which are designed so as to impose a pre-defined deformation on the optical element. The optical module is fixed to the support structure in a replaceable manner. | 12-08-2011 |
20110299054 | DISPLACEMENT DEVICE, LITHOGRAPHIC APPARATUS AND POSITIONING METHOD - A displacement device with a first and second part which are displaceable relative to one another, the first part being provided with a system of magnets, the second part being provided with a set of coil block units including: at least three first coil block units having current conductors oriented parallel to a second direction, at least two second coil block units having current conductors oriented parallel to a first direction, wherein the displacement device includes a controller configured to control the position of the second part relative to the first part, and wherein when the second part mainly moves in the second direction the controller is configured to levitate the second part from the first part in the third direction by using first coil block units only. | 12-08-2011 |
20110299055 | Beam transforming element, illumination optical apparatus, exposure apparatus, and exposure method with two optical elements having different thicknesses - A beam transforming element for forming a predetermined light intensity distribution on a predetermined surface on the basis of an incident beam includes a first basic element made of an optical material with optical activity, for forming a first region distribution of the predetermined light intensity distribution on the basis of the incident beam; and a second basic element made of an optical material with optical activity, for forming a second region distribution of the predetermined light intensity distribution on the basis of the incident beam, wherein the first basic element and the second basic element have their respective thicknesses different from each other along a direction of transmission of light. | 12-08-2011 |
20110304835 | SYSTEM AND METHOD FOR OPTICAL SHEARING - A method of exposing a pattern on a light sensitive surface comprises forming a spatially modulated light beam including a rectangular matrix pattern of rows and columns of image data over a surface, wherein the spatially modulated light beam is operable to expose contiguous sub-exposure areas of the surface, each sub-exposure area associated with a datum of the image data, aligning one of the rows or columns of the spatially modulated light beam with a scan direction and the other one of the rows and columns of the spatially modulated light beam with a cross-scan direction for exposing the surface, shearing at least one portion of the modulated light beam with respect to a second portion of the modulated light beam in a cross scan direction by an amount less than a center to center distance between two sub-exposure areas in a cross scan direction, exposing the surface in the scan direction with the sheared spatially modulated light beam, and overlapping exposed sub-areas in the cross scan direction as a result of the scanning. | 12-15-2011 |
20110304836 | METHOD FOR CREATING DRIVE PATTERN FOR GALVANO-SCANNER SYSTEM - A visible laser beam scanned by a galvano-scanner system is aligned at each of positioning points on the top surface of a master work by manual operation to record sensor position signals of position sensors on galvano-scanners. The sensor position signals on each positioning point are recorded to create a drive pattern in accordance with recorded sensor position signals. The drive pattern no longer has optics system error sources including focus error and attachment error as well as errors caused by scale, offset and the like, also eliminating the need for entering a distance as far as the top surface of the work. Therefore, the drive pattern with error components removed can be created with ease. | 12-15-2011 |
20110304837 | PROJECTION EXPOSURE METHOD, PROJECTION EXPOSURE APPARATUS, LASER RADIATION SOURCE AND BANDWIDTH NARROWING MODULE FOR A LASER RADIATION SOURCE - In a projection exposure method for the exposure of a radiation-sensitive substrate arranged in the region of an image surface of a projection objective with at least one image of a pattern of a mask arranged in the region of an object surface of the projection objective, laser radiation having a spectral intensity distribution I(ω) dependent on the angular frequency ω is used. The laser radiation is characterized by an aberration parameter α in accordance with: | 12-15-2011 |
20110310372 | ILLUMINATION SYSTEM AND LITHOGRAPHIC APPARATUS - An illumination system having an array of individually controllable optical elements is disclosed, wherein each element is moveable between a plurality of orientations which may be selected in order to form desired illumination modes. The illumination system includes a controller to control orientation of one or more of the elements, the controller configured to apply force to the one or more elements which at least partially compensates for force applied to the one or more elements by a burst of radiation incident upon the one or more elements. | 12-22-2011 |
20110310373 | LITHOGRAPHY APPARATUS AND DEVICE MANUFACTURING METHOD - A lithography apparatus includes a first measurement device which measures a position of a mark on a substrate with light, a second measurement device which measures a position of a reference mark on a stage with a charged-particle, a detector which detects the position of the stage in a first direction parallel to the axis of a projection system and a second direction perpendicular to this axis, and a controller. The controller determines a charged-particle beam, in which the angle, with respect to the first direction, at which it is incident on the reference mark falls within a tolerance, and obtains a baseline for the first measurement device from the position of the reference mark measured by the second measurement device using the determined charged-particle beam and the position of the reference mark measured by the first measurement device. | 12-22-2011 |
20110310374 | Lithographic fabrication of general periodic structures - A lithographic method related to Talbot imaging for printing a desired pattern of features that is periodic or quasi-periodic in at least one direction onto a substrate surface, which method includes providing a mask bearing a pattern of mask features, arranging the substrate parallel and in proximity to the mask, providing an illumination source having a central wavelength and a spectral bandwidth, forming from said source an illumination beam with an angular distribution of intensity, arranging the distance of the substrate from the mask and exposing the mask pattern to said beam so that each angular component of illumination exposes the substrate to substantially the entire range of lateral intensity distributions that occur between successive Talbot image planes for the illumination wavelengths, wherein the angular distribution of the beam is designed in conjunction with the pattern of features in the mask and the distance of the substrate from the mask. | 12-22-2011 |
20110317141 | LITHOGRAPHIC APPARATUS - A lithographic apparatus includes an illumination system configured to condition a radiation beam; a support constructed to support a patterning device, the device being capable of imparting the beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; a stage system to position the table relative to a reference structure; a projection system configured to project the patterned radiation beam onto a target portion of the substrate; an optical measurement system including a sensor part and an optical part, the optical part being configured to optically interact with the patterned radiation beam and to transmit a result from the interaction as output to the sensor part, wherein the optical part is arranged on the table, and the sensor part is arranged on the stage system or the reference structure. | 12-29-2011 |
20110317142 | MEASUREMENT SYSTEM, METHOD AND LITOGRAPHIC APPARATUS - A measurement system is configured to derive a position quantity of an object, the measurement system includes at least one position quantity sensor configured to provide respective position quantity measurement signals; a position quantity calculator configured to determine a position quantity of the object from the position quantity measurement signal, wherein the position quantity calculator includes a torsion estimator configured to estimate a torsion of the object, the position quantity calculator being configured to correct the determined position quantity of the object for the estimated torsion. | 12-29-2011 |
20110317143 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is provided that has a sensor at substrate level, the sensor including a radiation receiver, a transmissive plate supporting the radiation receiver, and a radiation detector, wherein the sensor is arranged to avoid loss of radiation between the radiation receiver and a final element of the radiation detector. | 12-29-2011 |
20120002183 | LOCAL EXPOSURE APPARATUS, LOCAL EXPOSURE METHOD AND STORAGE MEDIUM - A local exposure apparatus for performing exposure processing on a specific area of a photosensitive film formed on a substrate includes a substrate conveyor configured to define a substrate conveying path and to horizontally convey the substrate along the substrate conveying path, a chamber configured to define an exposure processing space, a light source including a plurality of light-emitting elements linearly arranged above the substrate conveying path, a light emission drive unit configured to selectively drive one or more of the light-emitting elements of the light source, a substrate detector configured to detect the substrate conveyed by the substrate conveyor, and a control unit configured to control the light emission drive unit such that, when the specific area of the photosensitive film moves below the light source, only the light-emitting elements capable of irradiating the given area are driven to emit the light. | 01-05-2012 |
20120002184 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system of a microlithographic projection exposure apparatus includes a light source configured to produce projection light that propagates along a light path. The illumination system also includes a beam deflection array of reflective or transmissive beam deflection elements. Each beam deflection element is configured to deflect an impinging light beam by a deflection angle that is variable in response to a control signal. The beam deflection array is used in a first mode of operation to determine an irradiance distribution in the system pupil surface. The system further includes an optical raster element, in particular a diffractive optical element, which is used in a second mode of operation to determine the irradiance distribution in the system pupil surface. An exchange unit is configured to hold the optical raster element in the second mode of operation such that it is inserted into the light path. | 01-05-2012 |
20120002185 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A microlithographic projection exposure apparatus includes an optical surface, which may be formed by a plurality of micro-mirrors, and a measurement device which is configured to measure a parameter related to the optical surface at a plurality of locations. The measurement device includes an illumination unit with a plurality of illumination members, each having a light exit facet. An optical imaging system establishes an imaging relationship between an object plane in which at least two light exit facets are arranged, and an image plane which at least substantially coincides with the optical surface. A detector unit measures the property of measuring light after it has interacted with the optical surface, and an evaluation unit determines the surface related parameter for each of the locations on the basis of the properties determined by the detector unit. | 01-05-2012 |
20120002186 | PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND EXPOSURE METHOD - An immersion projection optical system having, for example, a catadioptric and off-axis structure, reduces the portion of an image space filled with liquid (immersion liquid). The projection optical system, which projects a reduced image of a first plane onto a second plane through the liquid, includes a refractive optical element (Lp) arranged nearest to the second plane. The refractive optical element includes a light emitting surface (Lpb) shaped to be substantially symmetric with respect to two axial directions (XY-axes) perpendicular to each other on the second plane. The light emitting surface has a central axis (Lpba) that substantially coincides with a central axis ( | 01-05-2012 |
20120008124 | IMAGING OPTICAL SYSTEM AND PROJECTION EXPOSURE INSTALLATION FOR MICROLITHOGRAPHY WITH AN IMAGING OPTICAL SYSTEM OF THIS TYPE - An imaging optical system has a plurality of mirrors, which via a beam path for imaging light, image an object field in an object plane into an image field in an image plane. The imaging optical system has an exit pupil obscuration. At least one of the mirrors has no opening for passage of the imaging light. The fourth to last mirror in the beam path is concave, resulting in an imaging optical system having improved imaging properties without compromise in throughput. | 01-12-2012 |
20120008125 | IMAGING OPTICS AND PROJECTION EXPOSURE INSTALLATION FOR MICROLITHOGRAPHY WITH AN IMAGING OPTICS - An imaging optics has at least six mirrors, which image an object field in an object plane in an image field in an image plane. An entry pupil of the imaging optics is arranged in the imaging beam path in front of the object field. At least one of the mirrors has a through-opening for the passage of imaging light. A mechanically accessible pupil, in which an obscuration stop is arranged for the central shading of the pupil of the imaging optics, is located in a pupil plane in the imaging beam path between the object field and a first of the through-openings. A first imaging part beam directly after a second mirror in the imaging beam path after the object field and a second imaging part beam directly after a fourth mirror in the imaging beam path after the object field intersect one another in an intersection region. The result is an imaging optics, in which a handleable combination of small imaging errors, manageable production and a good throughput for the imaging light is achieved. | 01-12-2012 |
20120013876 | EXPOSURE APPARATUS AND EXPOSURE METHOD USING THE SAME - Provided are an exposure apparatus and an exposure method using the same. The exposure apparatus includes: a light source unit configured to emit light; a substrate stage supporting a substrate, the substrate comprising an exposure area and a non-exposure area; and a prism unit disposed between the light source unit and the substrate stage, the prism unit movable so as to transmit the light to the exposure area and to block the light from the non-exposure area. | 01-19-2012 |
20120013877 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - According to one embodiment, an illumination optical system comprises an optical integrator which forms a secondary light source on an illumination pupil plane in an illumination optical path of the illumination optical system with incidence of exposure light from a light source device thereinto; a first transmission filter arranged in an optical path of the exposure light emitted from a first surface illuminant of the secondary light source and having a transmittance characteristic varying according to angles of incidence of the exposure light; a second transmission filter arranged in an optical path of the exposure light emitted from a second surface illuminant of the secondary light source and having a transmittance characteristic varying according to the angles of incidence of the exposure light; and a rotation mechanism which rotates the first and second transmission filters so as to vary an angle of inclination thereof relative to the optical axis of the illumination optical system. | 01-19-2012 |
20120013878 | Projection Exposure System, Beam Delivery System and Method of Generating a Beam of Light - A beam delivery system of a projection exposure system comprises a laser generating a beam of laser light from a plurality of longitudinal laser modes in a cavity, wherein light generated by a single longitudinal laser mode has an average line width λ | 01-19-2012 |
20120013879 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - The invention provides a level sensor configured to determine a height level of a surface of a substrate supported on a movable substrate support, the level sensor including multiple projection units, multiple detection units, and a processing unit to calculate a height level for each of a plurality of measurement locations on the basis of the measurement beams from the projection units, wherein the level sensor is configured to measure height levels simultaneously at multiple measurement locations on the substrate, wherein the substrate support is configured to move the substrate in a first direction substantially parallel to the surface of the substrate to measure a height level at different locations on the substrate, and wherein at least part of the multiple measurement locations are at least spaced in a second direction that is substantially parallel to the surface of the substrate and perpendicular to the first direction. | 01-19-2012 |
20120013880 | OPTICAL DEVICE AND EXPOSURE APPARATUS INCLUDING THE SAME - An optical device for splitting a single beam to a plurality of beams and an exposure apparatus including the optical device are disclosed. The optical device includes a first DOE lens array including a plurality of first diffractive optical element (DOE) lenses that are two-dimensionally arranged on a first plane and a second lens array including a plurality of second DOE lenses arranged on a second plane parallel to the first plane so as to respectively correspond to the plurality of first DOE lenses. The first DOE lens array splits a first parallel beam into a plurality of second beams by condensing the first parallel beam and the second DOE lens array modifies the plurality of second beams into a plurality of third beams. | 01-19-2012 |
20120013881 | Method and Apparatus for Determining an Overlay Error - A method of determining an overlay error. Measuring an overlay target having process-induced asymmetry. Constructing a model of the target. Modifying the model, e.g., by moving one of the structures to compensate for the asymmetry. Calculating an asymmetry-induced overlay error using the modified model. Determining an overlay error in a production target by subtracting the asymmetry-induced overlay error from a measured overlay error. In one example, the model is modified by varying asymmetry p | 01-19-2012 |
20120013882 | ILLUMINATION SYSTEM, LITHOGRAPHIC APPARATUS AND METHOD OF FORMING AN ILLUMINATION MODE - An illumination system of a lithographic apparatus includes a plurality of reflective elements arranged to receive radiation from a radiation source, the reflective elements being movable between different orientations. In the different orientations, the reflective elements direct radiation towards different locations at a reflective component in a pupil plane of the illumination system, thereby forming different illumination modes. Each reflective element is moveable between a first orientation, which directs radiation towards a first location the pupil plane, and a second orientation, which directs radiation towards a second location in the pupil plane. The first orientation and the second orientation of the reflective element are defined by end stops. | 01-19-2012 |
20120013883 | Method of Reducing Noise in an Original Signal, and Signal Processing Device Therefor - In a method and apparatus for reducing noise in an original signal which contains a linear time varying signal and the noise, the original signal is differentiated to obtain a differentiated original signal. The differentiated original signal is Fourier transformed to obtain power spectral densities of the differentiated original signal. A noise frequency is detected in a power spectral density spectrum of the obtained power spectral densities of the differentiated original signal. For the noise frequency, a corresponding noise component is determined. The noise component is subtracted from the original signal to obtain a noise reduced original signal. | 01-19-2012 |
20120013884 | Metrology Systems and Methods for Lithography Processes - Metrology systems and methods for lithography processes are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes providing a mask having a plurality of corner rounding test patterns formed thereon. A first semiconductor device is provided, and a layer of photosensitive material of the first semiconductor device is patterned with a plurality of corner rounding test features using the mask and a lithography process. An amount of corner rounding of the lithography process is measured by analyzing the plurality of corner rounding test features relative to other of the plurality of corner rounding test features formed on the layer of photosensitive material of the semiconductor device. The lithography process or the mask is altered in response to the amount of corner rounding measured, and a second semiconductor device is provided. The second semiconductor device is affected using the altered lithography process or the altered mask. | 01-19-2012 |
20120019794 | Variable Reluctance Device, Stage Apparatus, Lithographic Apparatus and Device Manufacturing Method - A variable reluctance device includes first and second magnetic members, a coil, a measurement coil, and a control unit. The first and second magnetic members are displaceable relative to each other to provide a magnetic circuit having a variable reluctance. The coil for, in use, receiving a current for generating a magnetic flux through the magnetic circuit. The measurement coil for generating a measurement signal representative of the magnetic flux through the magnetic circuit, whereby the measurement coil is arranged to substantially enclose the magnetic flux through the magnetic circuit. The control unit arranged to receive the flux signal at an input terminal and, in response, provide a control signal based on the measurement signal at an output terminal for controlling an amplitude of the current or a force of a further device. The device can e.g., be applied in a stage apparatus or a lithographic apparatus. | 01-26-2012 |
20120019795 | LITHOGRAPHIC APPARATUS, COMPUTER PROGRAM PRODUCT AND DEVICE MANUFACTURING METHOD - Disclosed is a device manufacturing method and associated apparatus, the method comprising transferring a pattern from a patterning device onto a substrate. The method relates to the alignment of said patterning device and said substrate, and comprises imparting a radiation beam onto an alignment structure on said patterning device so as to obtain a resultant aerial image; scanning an image sensor in accordance with a scanning scheme, through a target volume containing said resultant aerial image, the relative positions of said image sensor and said substrate being known or subsequently determined; and measuring features of said image and thereby determining of the location of the alignment structure relative to the image sensor; wherein an alternative scanning scheme is used in which, for example two or more scans through the whole target volume are performed, having a total duration the same as a conventional single continuous scan. | 01-26-2012 |
20120019796 | ILLUMINATION SYSTEM FOR MICROLITHOGRAPHY - An illumination system for microlithography serves to illuminate an illumination field with illumination light of a primary light source. A first raster arrangement has bundle-forming first raster elements which are arranged in a first plane of the illumination system or adjacent to the plane. The first raster arrangement serves to generate a raster arrangement of secondary light sources. A transmission optics serves for superimposed transmission of the illumination light of the secondary light sources into the illumination field. The transmission optics has a second raster arrangement with bundle-forming second raster elements. In each case one of the raster elements of the first raster arrangement is allocated to one of the raster elements of the second raster arrangement for guiding a partial bundle of an entire bundle of illumination light. The first raster arrangement for example has at least two types (I, II, III) of the first raster elements which have different bundle-influencing effects. The raster elements of the two raster arrangements are arranged relative to one another in such a way that to each raster element type (I to III) is allocated at least one individual distance (Δ | 01-26-2012 |
20120019797 | REFLECTIVE OPTICAL ELEMENT FOR EUV LITHOGRAPHY - A stress-reduced reflective optical element for a working wavelength in the soft X-ray and extreme ultraviolet wavelength range includes a first multilayer system ( | 01-26-2012 |
20120019798 | POSITIONING UNIT AND ALIGNMENT DEVICE FOR AN OPTICAL ELEMENT - The disclosure provides a positioning unit for an optical element in a microlithographic projection exposure installation having a first connecting area for connection to the optical element, and having a second connecting area for connection to an object in the vicinity of the optical element. | 01-26-2012 |
20120019799 | OPTICAL ASSEMBLY - An optical assembly has at least one mirror with a mirror body. The latter is carried by a support body, which has a first support body portion and a second support body portion. An at least thermally separating region is arranged between the two support body portions. At least one surface portion of at least one of the support body portions or of a body thermally coupled thereto is modified in such a way that a thermal emission coefficient ε | 01-26-2012 |
20120019800 | LITHOGRAPHY PROJECTION OBJECTIVE, AND A METHOD FOR CORRECTING IMAGE DEFECTS OF THE SAME - A lithography projection objective for imaging a pattern to be arranged in an object plane of the projection objective onto a substrate to be arranged in an image plane of the projection objective comprises a multiplicity of optical elements that are arranged along an optical axis of the projection objective. The optical elements comprise a first group, following the object plane, of optical elements, and a last optical element, which follows the first group and is next to the image plane and which defines an exit surface of the projection objective and is arranged at a working distance from the image plane. The projection objective is tunable or tuned with respect to aberrations for the case that the volume between the last optical element and the image plane is filled by an immersion medium with a refractive index substantially greater than 1. The position of the last optical element is adjustable in the direction of the optical axis. A positioning device is provided that positions at least the last optical element during immersion operation such that aberrations induced by disturbance are at least partially compensated. | 01-26-2012 |
20120026480 | Image-Compensating Addressable Electrostatic Chuck System - An electrostatic chuck including a substrate, a support layer to support an object, an electrode layer comprising an electrode and being disposed between the substrate and the support layer configured to apply an electrostatic attraction force on the object upon energization of the electrode, and a plurality of actuators for deforming the support layer. | 02-02-2012 |
20120033193 | Inspection Apparatus and Method, Lithographic Apparatus and Lithographic Processing Cell - An inspection apparatus measures a property of a substrate including a periodic structure. An illumination system provides a beam of radiation with an illumination profile including a plurality of illuminated portions. A radiation projector projects the beam of radiation onto the substrate. A detector detects radiation scattered from the periodic structure and separately detects first order diffracted radiation and at least one higher order of diffracted radiation of each of the illuminated portions. A processor determines the property of the substrate from the detected radiation. The plurality of illuminated portions are arranged such that first order diffracted radiation arising from one or more of the illuminated portions are not overlapped by zeroth order or first order diffracted radiation arising from any other of the illuminated portions. Furthermore, the plurality of illuminated portions are arranged such that first order diffracted radiation arising from the one or more of the illuminated portions are overlapped by at least one of the higher orders of diffracted radiation arising from any other of the illuminated portions. | 02-09-2012 |
20120038895 | LENS HEATING COMPENSATION IN PHOTOLITHOGRAPHY - Photolithographic apparatus and methods are disclosed. One such apparatus includes an optical path configured to provide a first diffraction pattern in a portion of an optical system and to provide a second diffraction pattern to the portion of the optical system after providing the first diffraction pattern. Meanwhile, one such method includes providing a first diffraction pattern onto a portion of an optical system, wherein a semiconductor article is imaged using the first diffraction pattern. A second diffraction pattern is also provided onto the portion of the optical system, but the second diffraction pattern is not used to image the semiconductor article. | 02-16-2012 |
20120038896 | Maskless Vortex Phase Shift Optical Direct Write Lithography - The present invention provides methods and apparatus for accomplishing optical direct write phase shift lithography. A lithography system and method are provided wherein a mirror array is configured to generate vortex phase shift optical patterns that are directed onto a photosensitive layer of a substrate. The lithography methods and systems facilitate pattern transfer using such vortex phase shift exposure patterns. | 02-16-2012 |
20120038897 | Optical Element With An Antireflection Coating, Projection Objective, And Exposure Apparatus Comprising Such An Element - An optical element ( | 02-16-2012 |
20120044474 | OPTICAL MODULE FOR GUIDING A RADIATION BEAM - An optical module is used to guide an EUV radiation beam. The optical module has a chamber that can be evacuated and at least one mirror accommodated in the chamber. The mirror has a plurality of individual mirrors, the reflection faces of which complement one another to form an overall mirror reflection face. A support structure is in each case mechanically connected via a thermally conductive portion to a mirror body of the respective individual mirror. At least some of the mirror bodies have an associated actuator for the predetermined displacement of the mirror body relative to the support structure in at least one degree of freedom. The thermally conductive portions are configured to dissipate a thermal power density of at least 1 kW/m | 02-23-2012 |
20120057142 | Lithographic Apparatus and Device Manufacturing Method - A lithographic apparatus and method are used for manufacturing a device. A projection system is configured to project a patterned radiation beam onto a target portion of the substrate. A Higher Order Wafer Alignment (HOWA) model is applied so as to model higher order distortions across the substrate. The model is applied using at least one input parameter for which at least one intra-field effect has been taken into account. In an example, the intra-field effect taken into account is the ScanUp-ScanDown effect and/or the ScanLeft-ScanRight effect. | 03-08-2012 |
20120057143 | Illumination System for Use in a Stereolithography Apparatus - The invention concerns an illumination system for use in a stereolithography apparatus, comprising: a planar support; a multilens projector array mechanically supported on the planar support over the array on a plano side, and having a work surface arranged to receive a resin applying device for applying a resin layer, the projector array comprising a stack of optical elements, including a plurality of lenslets adapted to project the LEDs onto the work surface, and a two-dimensional array of individually controllable light-emitting diodes (LEDs) arranged between the planar support and the multilens projector. According to an aspect, the planar support and the plano side are supported on contact zones arranged over substantially the entire plano side; the illumination system thus forming a rigid body. | 03-08-2012 |
20120057144 | LITHOGRAPHIC APPARATUS, EXCIMER LASER AND DEVICE MANUFACTURING METHOD - A CD-pitch dependency for a lithographic pattern printing process is related to the spectral intensity distribution of radiation used for projecting the pattern. A CD-pitch dependency can vary from one system to another. This can result in an iso-dense bias mismatch between systems. The invention addresses this problem by providing a lithographic apparatus including an illumination system for providing a projection beam of radiation, a projection system for projecting a patterned beam onto a target portion of a substrate, and a substrate table for holding the substrate, with a controller to provide an adjustment of the spectral distribution of radiant intensity of the projection beam. The adjustment of the spectral intensity distribution is based on data relating to an iso dense bias, and comprises a broadening of the spectral bandwidth or a change of shape of the spectral intensity distribution. | 03-08-2012 |
20120062863 | Alignment Measurement System, Lithographic Apparatus, and a Method to Determine Alignment in a Lithographic Apparatus - An alignment measurement system measures an alignment target on an object. A measurement illuminates the target and is reflected. The reflected measurement beam is split and its parts are differently polarized. A detector receives the reflected measurement beam. A processing unit determines alignment on the basis of the measurement beam received by the detector. An alternative arrangement utilizes an optical dispersive fiber to guide a multi-wavelength measurement beam reflected from the object to a detector. | 03-15-2012 |
20120062864 | REFLECTIVE IMAGING OPTICAL SYSTEM, EXPOSURE APPARATUS, AND METHOD FOR PRODUCING DEVICE - An reflective imaging optical system of the far pupil type, which is applicable to an exposure apparatus using for example the EUV light, forms on a second plane an image of a predetermined area on a first plane and is provided with first to eighth reflecting mirrors arranged in an order of reflection from the first plane toward the second plane. An entrance pupil of reflective imaging optical system is positioned on a side opposite to the reflective imaging optical system with the first plane intervening therebetween; and the following condition is fulfilled provided that PD represents a distance along an optical axis between the entrance pupil and the first plane, TT represents a distance along the optical axis between the first plane and the second plane, and R represents an angle of incidence of a main light beam coming into the first plane: −14.3<(PD/TT)/R<−2.5. | 03-15-2012 |
20120062865 | OPTICAL IMAGING WITH REDUCED IMMERSION LIQUID EVAPORATION EFFECTS - An optical arrangement for use in an optical imaging process includes an optical element, an immersion zone and a liquid repelling device. During the optical imaging process, the immersion zone is located adjacent to the optical element and is filled with an immersion liquid. The optical element has a first surface region and a second surface region. During the optical imaging process, the first surface region is wetted by the immersion liquid. At least temporarily during the optical imaging process, the liquid repelling device generates an electrical field in the region of the second surface. The electrical field being is adapted to cause a repellent force on parts of the immersion liquid which are responsive to the electrical field and inadvertently contact the second surface region. The repellent force has a direction to drive away the parts of the immersion liquid from the second surface region. | 03-15-2012 |
20120069312 | IMAGING OPTICAL SYSTEM AND PROJECTION EXPOSURE INSTALLATION FOR MICROLITHOGRAPHY WITH AN IMAGING OPTICAL SYSTEM OF THIS TYPE - An imaging optical system has a plurality of mirrors which image an object field in an object plane in an image field in an image plane. The imaging optical system has a pupil obscuration. The last mirror in the beam path of the imaging light between the object field and the image field has a through-opening for the passage of the imaging light. A penultimate mirror of the imaging optical system in the beam path of the imaging light between the object field and the image field has no through-opening for the passage of the imaging light. The result is an imaging optical system that provides a combination of small imaging errors, manageable production and a good throughput for the imaging light. | 03-22-2012 |
20120069313 | EUV MICROLITHOGRAPHY ILLUMINATION OPTICAL SYSTEM AND EUV ATTENUATOR FOR SAME - An illumination optical system for EUV microlithography is used to direct an illumination light beam from a radiation source to an object field. At least one EUV mirror has a reflective face with a nonplanar mirror topography for forming the illumination light beam. The EUV mirror has at least one EUV attenuator arranged in front of it. The attenuator face which faces the reflective face of the EUV mirror has an attenuator topography which is designed to complement the mirror topography such that at least sections of the attenuator face are arranged at a constant interval from the reflective face. The result is an illumination optical system in which it is possible to correct unwanted variations in illumination parameters, for example an illumination intensity distribution or an illumination angle distribution, over the object field with as few unwanted radiation losses as possible. | 03-22-2012 |
20120069314 | IMAGING OPTICS AND PROJECTION EXPOSURE INSTALLATION FOR MICROLITHOGRAPHY WITH AN IMAGING OPTICS OF THIS TYPE - An imaging optics has a plurality of mirrors which image an object field in an object plane in an image field in an image plane. A pupil plane is arranged in the imaging beam path between the object field and the image field. A stop is arranged in the pupil plane. The pupil plane is tilted at an angle (α) with respect to the object plane, where α is greater than 0.1°. The imaging optics results allows for a manageable combination of small imaging errors, manageable production and good throughput. | 03-22-2012 |
20120069315 | IMAGING OPTICS AND PROJECTION EXPOSURE INSTALLATION FOR MICROLITHOGRAPHY WITH AN IMAGING OPTICS - Imaging optics includes a first mirror in the imaging beam path after the object field, a last mirror in the imaging beam path before the image field, and a fourth to last mirror in the imaging beam path before the image field. In an unfolded imaging beam path between the object plane and the image plane, an impingement point of the chief ray on a used region of each of the plurality of mirrors has a mirror spacing from the image plane. The mirror spacing of the first mirror is greater than the mirror spacing of the last mirror. The mirror spacing of the fourth to last mirror is greater than the mirror spacing of the first mirror. Chief rays that emanate from points of the object field that are spaced apart from another have a mutually diverging beam course, giving a negative back focus of the entrance pupil. | 03-22-2012 |
20120075601 | Inspection Apparatus and Method, Lithographic Apparatus and Lithographic Processing Cell - An “angle-resolved” version of FD-OCT is used to measure reflectance properties. An inspection apparatus comprises an illumination source configured to provide an illumination beam, an interferometer configured to use the illumination beam to illuminate a target on a substrate at an incidence angle and to use radiation reflected from the substrate with a reference beam derived from the illumination beam to produce an output beam, a sampling device arranged to select a portion of the output beam, a spectrometer configured to receive the selected portion of the output beam and to measure a spectrum of the received selected portion of the output beam, and a processor configured to determine from the measured spectrum reflectance properties of the target such as raw spectrometer spectral data, the Fourier transformed data, the extracted intensity components or carrier phase or the calculated complex reflectance. | 03-29-2012 |
20120075602 | OPTICAL ARRANGEMENT IN A PROJECTION OBJECTIVE OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - The disclosure relates to an optical arrangement in a projection objective of a microlithographic projection exposure apparatus which is designed for operation in EUV. The optical arrangement includes first and second mirrors that are in direct succession to each other along the projection beam direction. The second mirror is rigidly connected to the first mirror. | 03-29-2012 |
20120075603 | PROCESS TUNING WITH POLARIZATION - A method for configuring an illumination source of a lithographic apparatus, the method including dividing the illumination source into pixel groups, each pixel group including one or more illumination source points in a pupil plane of the illumination source; changing a polarization state of each pixel group and determining an incremental effect on each of the plurality of critical dimensions resulting from the change of polarization state; calculating a first plurality of sensitivity coefficients for each of the plurality of critical dimensions using the determined incremental effects; selecting an initial illumination source; iteratively calculating a lithographic metric as a result of a change of polarization state using the calculated first plurality of sensitivity coefficients, the change of the polarization state of the pixel group in the initial illumination source creating a modified illumination source; and adjusting the initial illumination source based on the iterative results of calculations. | 03-29-2012 |
20120075604 | Methods and Systems for Evaluating Extreme Ultraviolet Mask Flatness - Disclosed are methods and systems for determining a topography of a lithographic optical element and/or a holder of a lithographic optical element. In one embodiment, the method includes directing electromagnetic radiation towards a lithographic optical element, where the electromagnetic radiation comprises electromagnetic radiation in a first predetermined wavelength range and electromagnetic radiation in a second predetermined wavelength range. The method further includes using the lithographic optical element to adsorb the electromagnetic radiation in the first predetermined wavelength range, and to reflect at least a portion of the electromagnetic radiation in the second predetermined wavelength range towards a substrate comprising a photosensitive layer, thereby exposing the photosensitive layer to form an exposed photosensitive layer. The method still further includes performing an evaluation of the exposed photosensitive layer and, based on the evaluation, determining a topography of the lithographic optical element. | 03-29-2012 |
20120075605 | Source Polarization Optimization - A lithographic simulation process is described, where each source point in a preselected group of source points at a pupil plane of an illumination source is represented by one or more variable parameters, wherein at least some of the variable parameters characterize a polarization state at the source point. One or both of the preselected group of source points in the illumination source and a representation of the design layout are iteratively reconfigured based on a computed gradient of a cost function with respect to the one or more variable parameters until a desired lithographic response is obtained, wherein the cost function comprises an aerial image intensity of a representation of the design layout projected using the preselected group of source points. Physical hardware to implement the source polarization variation is also described. | 03-29-2012 |
20120075606 | Mask Inspection with Fourier Filtering and Image Compare - A mask inspection system with Fourier filtering and image compare can include a first detector, a dynamic Fourier filter, a controller, and a second detector. The first detector can be located at a Fourier plane of the inspection system and can detect a first portion of patterned light produced by an area of a mask. The dynamic Fourier filter can be controlled by the controller based on the detected first portion of the patterned light. The second detector can detect a second portion of the patterned light produced by the section of the mask and transmitted through the dynamic Fourier filter. Further, the mask inspection system can include a data analysis device to compare the second portion of patterned light with another patterned light. Consequently, the mask inspection system is able to detect any possible defects on the area of the mask more accurately and with higher resolution. | 03-29-2012 |
20120075607 | Detector Module, Cooling Arrangement And Lithographic Apparatus Comprising A Detector Module - A detector module ( | 03-29-2012 |
20120075608 | PROJECTION OBJECTIVE AND PROJECTION EXPOSURE APPARATUS WITH NEGATIVE BACK FOCUS OF THE ENTRY PUPIL - The disclosure concerns a projection objective, which can include an object plane in which an object field is formed, an entry pupil, a mirrored entry pupil (RE) in a mirrored entry pupil plane obtained by mirroring the entry pupil (VE) at the object plane, an image plane, an optical axis, at least a first mirror and a second mirror. The projection objective can have a negative back focus of the entry pupil, and a principal ray originating from a central point of the object field and traversing the objective from the object plane to the image plane can intersect the optical axis in at least one point of intersection, wherein the geometric locations of all points of intersection lie between the image plane and the mirrored entry pupil plane. | 03-29-2012 |
20120075609 | OPTICAL ARRANGEMENT OF AUTOFOCUS ELEMENTS FOR USE WITH IMMERSION LITHOGRAPHY - A lithographic projection apparatus includes a projection system having a spherical lens element from which an exposure light is projected through liquid in a space under the spherical lens element, a member disposed adjacent to a surface of the spherical lens element through which the exposure light does not pass, and a gap formed between the member and the surface of the spherical lens element. The gap communicates with the space and includes lower and upper portions. A wafer is moved below and relative to the spherical lens element and the member, and the liquid is retained between the spherical lens element and the member on one side and an upper surface of the wafer on the other side. The liquid locally covers a portion of the upper surface of the wafer to expose the wafer by projecting the exposure light onto the wafer through the liquid in the space. | 03-29-2012 |
20120075610 | LITHOGRAPHIC APPARATUS AND METHOD FOR REDUCING STRAY RADIATION - A lithographic apparatus includes an illumination system for providing a beam of extreme ultra-violet radiation, a masking device for controlling the illumination of a patterning device by the beam of radiation, a support for supporting the patterning device, the patterning device configured to impart a pattern to the beam of radiation, a substrate table for holding a substrate, and a projection system for projecting the patterned beam of radiation onto a target portion of the substrate. The masking device includes a masking blade including a masking edge configured to delimit a boundary of an illumination region on the patterning device. The masking blade is configured to reflect extreme ultra-violet radiation incident on the masking blade such that at least a portion of the reflected radiation is not captured by the projection system. | 03-29-2012 |
20120081682 | MASKLESS EXPOSURE APPARATUS AND METHOD TO DETERMINE EXPOSURE START POSITION AND ORIENTATION IN MASKLESS LITHOGRAPHY - According to an example embodiment, a method to determine an exposure start position and orientation includes loading a substrate on a moving table. The substrate includes at least one alignment mark of a first set of alignment marks of a first pattern layer patterned thereon. At least one alignment mark of a second set of alignment marks of a second pattern layer is exposed on the substrate using maskless lithography. A position of the at least one alignment mark of the first set of alignment marks and a position of the at least one alignment mark of the second set of alignment marks on the substrate is measured. A relative orientation difference between a desired exposure start orientation and an obtained exposure start orientation is acquired using the measured positions of the at least one alignment mark of the first set of alignment marks and the at least one alignment mark of the second set of alignment marks. A relative position difference between a desired exposure start position and an obtained start position is acquired using the measured positions of the at least one alignment mark of the first set of alignment marks and the at least one alignment mark of the second set of alignment marks. An exposure start position and orientation compensated using the relative position difference and the relative orientation difference is determined. | 04-05-2012 |
20120081683 | Lithographic Apparatus And Detector Apparatus - A detector including a layer of scintillation material, a layer of spacer material on the scintillation material, and a spectral purity filter layer on the spacer material. A method includes directing EUV radiation through a spectral purity filter layer, directing the EUV radiation through a spacer material layer provided beneath the spectral purity filter layer, directing the EUV onto a layer of scintillation material, and detecting scintillation radiation emitted by the scintillation material | 04-05-2012 |
20120081684 | Object Inspection Systems and Methods - Disclosed are systems and methods for object inspection, in particular for inspection of reticles used in a lithography process. The method includes interferometrically combining a reference radiation beam with a probe radiation beam, and storing their complex field images. The complex field image of one object is then compared with that of a reference object to determine the differences. The systems and methods have particular utility in the inspection of a reticle for defects. | 04-05-2012 |
20120081685 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS ILLUMINATION OPTICS - Optics, such as, for example, microlithographic projection exposure apparatus illumination optics, as well as related systems, methods, components and devices are disclosed. | 04-05-2012 |
20120081686 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS ILLUMINATION OPTICS - Optics, such as, for example, microlithographic projection exposure apparatus illumination optics, as well as related systems, methods, components and devices are disclosed. | 04-05-2012 |
20120092634 | Method and apparatus for printing periodic patterns - A method for printing a pattern of features including the steps of providing a substrate having a recording layer disposed thereon, providing a mask bearing a periodic pattern of features, arranging the substrate parallel to the mask and with a separation having an initial value, providing an illumination system for illuminating the mask with an intensity of monochromatic light to generate a transmitted light-field for exposing the recording layer, and illuminating the mask for an exposure time whilst changing the separation by a range having a predetermined value and varying at least one of the rate of change of separation and the intensity of illumination so that the mask is illuminated by an energy density per incremental change of separation that varies over said range, whereby the printed pattern has low sensitivity to a deviation of the range from said predetermined value or to the initial value of the separation. | 04-19-2012 |
20120092635 | Method and apparatus for printing periodic patterns - A method for printing a pattern of features including the steps of providing a substrate having a recording layer disposed thereon, providing a mask bearing a periodic pattern of features, arranging the substrate parallel to the mask and with a separation having an initial value, providing an illumination system for illuminating the mask with an intensity of monochromatic light to generate a transmitted light-field for exposing the recording layer, and illuminating the mask for an exposure time whilst changing the separation by a distance having a desired value and with a rate of change of separation, wherein at least one of the rate of change of separation and the intensity of light are varied during the change of separation, whereby the mask is illuminated by an energy density per incremental change of separation that varies over said distance. | 04-19-2012 |
20120092636 | Metrology Apparatus, Lithography Apparatus and Method of Measuring a Property of a Substrate - A metrology apparatus is configured to measure a property of a substrate. The metrology apparatus includes an illumination system configured to condition a radiation beam, an objective lens configured to project radiation onto the substrate, a detector configured to detect radiation reflected from a surface of the substrate, and an image field selecting device in the path of the reflected radiation constructed and arranged to select an area of an image field associated with the substrate. The selected area corresponds with a predetermined portion of the substrate. This arrangement may enable selection of different shapes and sizes of targets on the substrate and may enable in-die measurement of selected parameters. | 04-19-2012 |
20120092637 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - The disclosure relates to a microlithographic projection exposure apparatus and a microlithographic projection exposure apparatus, as well as related components, methods and articles made by the methods. The microlithographic projection exposure apparatus includes an illumination system and a projection objective. The illumination system can illuminate a mask arranged in an object plane of the projection objective. The mask can have structures which are to be imaged. The method can include illuminating a pupil plane of the illumination system with light. The method can also include modifying, in a plane of the projection objective, the phase, amplitude and/or polarization of the light passing through that plane. The modification can be effected for at least two diffraction orders in mutually different ways. A mask-induced loss in image contrast obtained in the imaging of the structures can be reduced compared to a method without the modification. | 04-19-2012 |
20120099091 | METHOD OF OPTIMIZING A LITHOGRAPHIC PROCESS, DEVICE MANUFACTURING METHOD, LITHOGRAPHIC APPARATUS, COMPUTER PROGRAM PRODUCT AND SIMULATION APPARATUS - A method of optimizing a lithographic process for imaging a pattern, including a plurality of features, onto a substrate using a lithographic apparatus, the lithographic apparatus having a controllable illumination system to illuminate a patterning device and a controllable projection system to project an image of the patterning device onto the substrate, the method including selecting a feature from the plurality of features, determining an illumination setting for the illumination system to optimize imaging of the selected feature, and determining a projection setting for the projection system to optimize imaging of the selected feature taking account of the illumination setting. | 04-26-2012 |
20120099092 | DETECTION OF CONTAMINATION IN EUV SYSTEMS - A sensor for sensing contamination in an application system is disclosed. In one aspect, the sensor comprises a capping layer. The sensor is adapted to cause a first reflectivity change upon initial formation of a first contamination layer on the capping layer when the sensor is provided in the system. The first reflectivity change is larger than an average reflectivity change upon formation of a thicker contamination layer on the capping layer and larger than an average reflectivity change upon formation of an equal contamination on the actual minors of the optics of the system. | 04-26-2012 |
20120105817 | LEAF SPRING, STAGE SYSTEM, AND LITHOGRAPHIC APPARATUS - A leaf spring to be mounted between two objects, the leaf spring configured to have a high stiffness in two orthogonal directions, and a relative low stiffness in other degrees of freedom, wherein the leaf spring has a substantially panel-shaped body, the leaf spring including a first mounting location at or near the center of the panel-shaped body to mount the leaf spring to a first of the two objects, wherein the leaf spring includes one or more second mounting locations at or near the circumference of the panel-shaped body to mount the leaf spring to the second of the two objects, and elongate grooves and/or slits in the panel shaped body between the first mounting location and the second mounting location, the grooves and/or slits running in at least two non-orthogonal directions in the plane of the two orthogonal directions. | 05-03-2012 |
20120105818 | LITHOGRAPHIC APPARATUS AND METHOD - An illumination system having a plurality of reflective elements, the reflective elements being movable between different orientations which direct radiation towards different locations in a pupil plane, thereby forming different illumination modes. Each reflective element is moveable to a first orientation in which it directs radiation to a location in an inner illumination location group, to a second orientation in which it directs radiation to a location in an intermediate illumination location group, and to a third orientation in which it directs radiation to a location in an outer illumination location group. The reflective elements are configured to be oriented to direct equal amounts of radiation towards the inner, intermediate and outer illumination location groups, and are configured to be oriented such that they can direct substantially no radiation into the outer illumination location group and direct substantially equal amounts of radiation towards the inner and intermediate illumination location groups. | 05-03-2012 |
20120113404 | Optimization Flows of Source, Mask and Projection Optics - Embodiments of the present invention provide methods for optimizing a lithographic projection apparatus including optimizing projection optics therein. The current embodiments include several flows including optimizing a source, a mask, and the projection optics and various sequential and iterative optimization steps combining any of the projection optics, mask and source. The projection optics is sometimes broadly referred to as “lens”, and therefore the optimization process may be termed source mask lens optimization (SMLO). SMLO may be desirable over existing source mask optimization process (SMO) or other optimization processes that do not include projection optics optimization, partially because including the projection optics in the optimization may lead to a larger process window by introducing a plurality of adjustable characteristics of the projection optics. The projection optics may be used to shape wavefront in the lithographic projection apparatus, enabling aberration control of the overall imaging process. | 05-10-2012 |
20120127445 | ISOLATION SYSTEM FOR AN OPTICAL ELEMENT OF AN EXPOSURE APPARATUS - An optical isolation assembly ( | 05-24-2012 |
20120127446 | LIGHT EXPOSURE METHOD, AND LIGHT EXPOSURE APPARATUS - There is provided an EUV exposure apparatus which restrains its optical systems or a mask used therein from being polluted by contaminations generated in its chamber. An energy beam generating source is arranged near a wafer stage set in the chamber of the EUV exposure apparatus to decompose an emission gas generated from a resist painted on the front surface of a wafer by an energy beam. In this manner, lightening mirrors configuring a lightening optical system as one of the optical systems, projection mirrors configuring a projection optical system as another of the optical systems, the mask, and others are protected from being polluted by contaminations. | 05-24-2012 |
20120133915 | LIGHT SOURCE OPTIMIZING METHOD, EXPOSURE METHOD, DEVICE MANUFACTURING METHOD, PROGRAM, EXPOSURE APPARATUS, LITHOGRAPHY SYSTEM, LIGHT SOURCE EVALUATION METHOD, AND LIGHT SOURCE MODULATION METHOD - Disclosed is a light source optimizing method wherein: a light source shape obtained as the result of SMO is set as a target, the SMO being an optimizing calculation method for optimizing a mask pattern and illumination light source, a spatial light modulator is controlled such that a deviation from the target is within an acceptable range, and the shape of the illumination light source is set; the image of the pattern obtained as the results of the SMO is formed on a wafer, using illumination light emitted from the illumination light source having the set light source shape, an OPE is evaluated as image-forming performance using the detection results obtained by detecting the image of the pattern thus formed; and the light source shape is optimized. | 05-31-2012 |
20120140195 | Actuation System and Lithographic Apparatus - Actuation systems and lithographic apparatus which address the issue of uncontrolled return of common mode currents are provided. In a main an embodiment such systems aim to prevent the occurrence of corona and discharge between high voltage electric cables in low pressure environments. An exemplary actuation system comprises an actuator module, a power source and power transmission cables. The actuator module includes an electrical motor and a first plurality of shielded cables configured to connect to the electrical motor at one end. The actuator module is located in a low pressure environment and each shield of the first plurality of cables is grounded. The transmission cables electrically connect the first plurality of cables with power supply, and comprise an extra cable which is configured to connect each shield of the first plurality of cables with the first extra cable, via a choke so as to provide a return path for common-mode currents. | 06-07-2012 |
20120140196 | EX-SITU REMOVAL OF DEPOSITION ON AN OPTICAL ELEMENT - A collector assembly with a radiation collector, a cover plate and a support member connecting the radiation collector to the cover plate are provided. The cover plate is designed to cover an opening in a collector chamber. The collector chamber opening may be large enough to pass the radiation collector and the support member. The removed radiation collector can be cleaned with different cleaning procedures, which may be performed in a cleaning device. Such cleaning device may for example consist of the following: a circumferential hull designed to provide an enclosure volume for circumferentially enclosing at least the radiation collector; an inlet configured to provide at least one of a cleaning gas and a cleaning liquid to the enclosure volume to clean at least said radiation collector; and an outlet configured to remove said at least one of said cleaning gas and said cleaning liquid from the enclosure volume. | 06-07-2012 |
20120140197 | OPTICAL ELEMENT AND LITHOGRAPHIC APPARATUS - An optical element includes a surface including a tilted profile having height differences, thereby providing cavities and elevations having a predetermined maximum height difference, and a transmissive layer that covers the cavities and the elevations of the optical element. A first height of the transmissive layer in the cavities is substantially equal or larger than the predetermined maximum height difference and the transmissive layer has a second height on the elevations and the second height is about 10-500 nm. The transmissive layer is enabled to optically filter incident radiation, and the optical element is a grating. | 06-07-2012 |
20120147346 | Lithographic Apparatus and Method - A lithographic apparatus comprising a source that generates a beam of radiation, a support structure supporting a patterning device, a substrate table for holding a substrate, and a projection system projecting the patterned radiation beam onto a target portion of the substrate. The lithographic apparatus further comprises a vibration measurement apparatus configured to measure relative vibration between the patterning device and the substrate during exposure of the target portion. A control apparatus adjusts power of the radiation beam to compensate for the effect of the measured relative vibration on the pattern projected onto the substrate. | 06-14-2012 |
20120147347 | IMAGING OPTICAL SYSTEM AND ILLUMINATION OPTICAL SYSTEM - An imaging optical system has a plurality of mirrors, which image an object field in an object plane into an image field in an image plane. A reflection face of at least one of the mirrors is configured as a free form face which cannot be described by a rotationally symmetrical function. The object field has an aspect ratio greater than 1. A ratio of a minimal and a maximal transverse dimension of the object field can be less than 0.9. | 06-14-2012 |
20120147348 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is arranged to project a pattern from a patterning device onto a substrate is disclosed. The lithographic apparatus includes an illumination system and an outlet connected to a pumping system to pump away gas from between an inner wall and outer wall of the illumination system or, if a radiation source is present, between the inner wall of the illumination system and an inner wall of the radiation source. | 06-14-2012 |
20120147349 | EUV RADIATION SYSTEM AND LITHOGRAPHIC APPARATUS - A lithographic projection apparatus is provided with a EUV radiation system that includes a source chamber, a supply constructed and arranged to supply a target material to a predetermined plasma formation position, an optical system formed by three or more mirrors arranged to establish a beam path extending to the target material when the target material is located at the predetermined plasma formation position, and a laser system constructed and arranged to provide a laser beam along the beam path for interaction with the target material to produce an EUV radiation-emitting plasma inside the chamber. | 06-14-2012 |
20120154775 | EUV Radiation Source and Method of Generating EUV Radiation - An EUV radiation source comprising a fuel supply configured to deliver droplets of fuel to a plasma formation location, and a collector configured to collect EUV radiation emitted by a plasma at the plasma formation location, wherein the collector has a reflective surface that is a modified ellipsoid shape, the modified ellipsoid shape providing improved intensity uniformity of collected EUV radiation in the far field compared with a perfect ellipsoid shape. | 06-21-2012 |
20120162624 | Illumination System for a Lithographic Apparatus - An illumination system for a lithographic apparatus comprises a radiation intensity filter for controlling the intensity distribution of a beam of radiation travelling along an optical axis (Z), the radiation intensity filter comprising a first member and a second member. Each of the first and second members comprise a plurality of opaque regions which are substantially opaque to the radiation beam. The first member and second member are moveable relative to one another between a first relative position and a second relative position. In the first relative position at least a portion of one of the opaque regions of the first member overlaps in the direction of the optical axis with a portion of one of the opaque regions of the second member. In the second relative position the total area of overlap in the direction of the optical axis of the opaque regions of the first member with the opaque regions of the second member is less than that in the first relative position. | 06-28-2012 |
20120162625 | CATADIOPTRIC PROJECTION OBJECTIVE WITH INTERMEDIATE IMAGES - A catadioptric projection objective has a first objective part, defining a first part of the optical axis and imaging an object field to form a first real intermediate image. It also has a second, catadioptric objective part forming a second real intermediate image using the radiation from the first objective part. The second objective part has a concave mirror and defines a second part of the optical axis. A third objective part images the second real intermediate image into the image plane and defines a third part of the optical axis. Folding mirrors deflect the radiation from the object plane towards the concave mirror; and deflect the radiation from the concave mirror towards the image plane. The first part of the optical axis defined by the first objective part is laterally offset from and aligned parallel with the third part of the optical axis. | 06-28-2012 |
20120170012 | MIRROR, METHOD OF MANUFACTURING THE SAME, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A method of manufacturing a mirror includes a first step of arranging, on a substrate, a shape adjusting layer having a layer thickness which changes by heat, a second step of arranging, on the shape adjusting layer, a reflection layer including a first layer, a second layer, and a barrier layer which is arranged between the first layer and the second layer, and prevents a diffusion of a material of the first layer and a material of the second layer, and a third step of bringing a shape of the reflection layer close to a target shape by changing a layer thickness profile of the shape adjusting layer after the second step, the third step including a process of partially annealing the shape adjusting layer. | 07-05-2012 |
20120170013 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE - An illumination optical system which illuminates an illumination surface with light from a light source, includes a divider which divides light from the light source to generate a plurality of light beams, a first reflective integrator which uniformizes light intensity distributions of the plurality of light beams generated by the divider, a condenser which condenses the light beam from the first reflective integrator, a second reflective integrator which receives the light beam from the condenser and illuminates the illumination surface, and an aperture stop arranged between the second reflective integrator and the illumination surface, wherein the divider generates the plurality of light beams so that light beams each having a cross-sectional shape different from a cross-sectional shape of the light provided from the light source to the divider enter a plane on which the aperture stop is arranged. | 07-05-2012 |
20120176590 | Exposure Method, Exposure Apparatus, Light Converging Pattern Formation Member, Mask, and Device Manufacturing Method - An exposure method includes a first exposure step of irradiating a mask, which is arranged near a plate, with exposure light and exposing a predetermined pattern formed on the mask onto a plate; and a second exposure step of irradiating a light converging pattern formation member, which is arranged near the plate and includes a plurality of light converging portions, with exposure light and exposing a light converging pattern having a predetermined shape onto the plate. At least part of the predetermined pattern exposed onto the plate in the first exposure step and at least part of the light converging pattern formed on the plate in the second exposure step overlap each other. | 07-12-2012 |
20120182534 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a phase adjuster to adjust a phase of an optical wave traversing an optical element of the phase adjuster during exposure of a pattern on a substrate. In an embodiment, the optical element is a heat controllable optical element in a projection system of the lithographic apparatus. In use, the pattern is illuminated with an illumination mode including an off-axis radiation beam. This beam is diffracted into a number of first-order diffracted beams, one associated with a first pitch in the pattern, along a first direction, another associated with a second pitch along a different, second direction in the pattern. An area is identified where the first-order diffracted beam associated with the first pitch traverses the optical element. An image characteristic of an image of the pattern is optimized by calculating a desired optical phase of this first-order diffracted beam in relation to the optical phase of the other first-order diffracted beam. The phase adjuster is controlled to apply the desired optical phase to the first order diffracted beam. | 07-19-2012 |
20120182535 | OPTICAL DEVICE, EXPOSURE APPARATUS AND LASER APPARATUS - As a spatial light modulator | 07-19-2012 |
20120182536 | SOURCE COLLECTOR, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An EUV lithographic apparatus includes a source collector apparatus in which the extreme ultraviolet radiation is generated by exciting a fuel to provide a plasma emitting the radiation. The source collector apparatus includes a chamber in fluid communication with a guide way external to the chamber. A pump for circulating buffer gas is part of the guide way, and provides a closed loop buffer gas flow. The gas flowing through the guide way traverses a gas decomposer wherein a compound of fuel material and buffer gas material is decomposed, so that decomposed buffer gas material can be fed back into the closed loop flow path. | 07-19-2012 |
20120188524 | PROJECTION EXPOSURE APPARATUS WITH OPTIMIZED ADJUSTMENT POSSIBILITY - A microlithography projection objective includes an optical element, a manipulator configured to manipulate the optical element, and a control unit configured to control the manipulator. The control unit includes a first device configured to control movement of the manipulator, a memory comprising an upper bound for a range of movement of the manipulator, and a second device configured to generate a merit function based on a square of a root mean square (RMS) of at least one error and configured to minimize the merit function subordinate to the upper bound for the range of movement of the manipulator. | 07-26-2012 |
20120188525 | CATOPTRIC OBJECTIVES AND SYSTEMS USING CATOPTRIC OBJECTIVES - In general, in a first aspect, the invention features a system that includes a microlithography projection optical system. The microlithography projection optical system includes a plurality of elements arranged so that during operation the plurality of elements image radiation at a wavelength λ from an object plane to an image plane. At least one of the elements is a reflective element that has a rotationally-asymmetric surface positioned in a path of the radiation. The rotationally-asymmetric surface deviates from a rotationally-symmetric reference surface by a distance of about λ or more at one or more locations of the rotationally-asymmetric surface. | 07-26-2012 |
20120188526 | ILLUMINATION OPTICAL APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An illumination optical apparatus includes an integrator optical device that includes a first element group ( | 07-26-2012 |
20120194793 | OPTICAL APPARATUS FOR USE IN PHOTOLITHOGRAPHY - An optical apparatus includes an interchange mechanism and an optical assembly of an illumination system or a projection objective. At least one of the plurality of optical elements of the optical assembly is selected from among a plurality of ones selectable from the interchange mechanism which facilitates exchange of one for another in the beam path. To reduce transmission of vibration from the interchange mechanism to the optical assembly, the interchange mechanism is mounted on a structure which is substantially dynamically decoupled from the housing, and a selected selectable optical element is located at an operating position at which it is separate from the interchange mechanism. | 08-02-2012 |
20120194794 | Illumination System, Lithographic Apparatus and Method - An illumination system comprising an array of controllable mirrors configured to direct radiation towards a pupil plane and an array of lenses configured to direct radiation sub-beams towards the array of controllable mirrors, wherein a first lens of the array of lenses and a controllable mirror of the array of controllable mirrors forms a first optical channel having a first optical power and a second lens of the array of lenses and a controllable mirror of the array of controllable mirrors forms a second optical channel having a second optical power, such that a radiation sub-beam formed by the first optical channel has a first cross-sectional area and shape at the pupil plane and a radiation sub-beam formed by the second optical channel has a second different cross-sectional area and/or shape at the pupil plane. | 08-02-2012 |
20120194795 | OPTICAL ELEMENT - An optical element has at least one additional element fitted thereon which dissipates the vibrational energy of the optical element by friction. | 08-02-2012 |
20120200839 | Pulse to Pulse Energy Equalization of Light Beam Intensity - A system for equalizing pulse to pulse energy of a light beam includes a group of optical devices including an optical device configured to exhibit nonlinear properties, e.g., higher order or third order nonlinear properties. Transmission properties of an unequalized light beam passing through the group of optical devices change such that an output intensity of a resulting light beam output from the optical devices is equalized. One example configuration includes at least first and second prisms having nonlinear properties, i.e., higher order or third order, and configured as a beam steering system. | 08-09-2012 |
20120206702 | COMPUTER-READABLE RECORDING MEDIUM RECORDING EXPOSING CONDITION DETERMINATION PROGRAM - Certain embodiments provide a computer-readable recording medium recording an exposing condition determination program. The program allows a computer to perform: a first step of dividing an illumination pupil into a plurality of regions; a second step of calculating, for each region, an imaging performance response indicative of relation between a brightness change from a first illumination shape and a change in an imaging performance evaluation amount for a transfer pattern; a third step of finding a brightness change amount for each region so that the imaging performance evaluation amount is maintained in a specified range; a fourth step of adding the brightness change amount to the first illumination shape to find a second illumination shape; and a step of performing the first to the fourth steps multiple times while changing a calculation condition parameter to find a second illumination shape as an illumination shape supplied to the exposure apparatus. | 08-16-2012 |
20120206703 | Inspection Apparatus and Method, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method - Asymmetry properties of a periodic target on a substrate, such as a grating on a wafer, are determined. An inspection apparatus has a broadband illumination source with illumination beams point mirrored in the pupil plane of a high numerical aperture objective lens. The substrate and target are illuminated via the objective lens from a first direction and a second direction mirror reflected with respect to the plane of the substrate. A quad wedge optical device separately redirects diffraction orders of radiation scattered from the substrate and separates diffraction orders from illumination along each of the first and second directions. For example the zeroth and first orders are separated for each incident direction. After capture in multimode fibers, spectrometers are used to measure the intensity of the separately redirected diffraction orders as a function of wavelength. | 08-16-2012 |
20120206704 | ILLUMINATION OPTICAL UNIT FOR PROJECTION LITHOGRAPHY - An illumination optical unit for projection lithography for illuminating an object field, in which an object to be imaged can be arranged, with illumination light has a field facet mirror having a plurality of field facets. A pupil facet mirror of the illumination optical unit has a plurality of pupil facets. The pupil facets serve for imaging the field facets respectively assigned individually to the pupil facets into the object field. An individual mirror array of the illumination optical unit has individual mirrors that can be tilted in driven fashion individually. The individual mirror array is arranged in an illumination light beam path upstream of the field facet mirror. This can result in flexibly configurable illumination by the illumination optical unit, this illumination being readily adaptable to predetermined values. | 08-16-2012 |
20120212718 | Optical Apparatus, Method of Scanning, Lithographic Apparatus and Device Manufacturing Method - An apparatus measures positions of marks on a lithographic substrate. A measurement optical system comprises illumination subsystem for illuminating the mark with a spot of radiation and a detecting subsystem for detecting radiation diffracted by the mark. A tilting mirror moves the spot of radiation relative to the reference frame of the measurement optical system synchronously with a scanning motion of the mark itself, to allow more time for accurate position measurements to be acquired. The mirror tilt axis is arranged along the intersection of the mirror plane with a pupil plane of the objective lens to minimize artifacts of the scanning. The same geometrical arrangement can be used for scanning in other types of apparatus, for example a confocal microscope. | 08-23-2012 |
20120212719 | THERMAL MANAGEMENT SYSTEMS, ASSEMBLIES AND METHODS FOR GRAZING INCIDENCE COLLECTORS FOR EUV LITHOGRAPHY - Systems, assemblies and methods for thermally managing a grazing incidence collector (GIC) for EUV lithography applications are disclosed. The GIC thermal management assembly includes a GIC mirror shell interfaced with a jacket to form a sealed chamber. An open cell, heat transfer (OCHT) material is disposed within the metal chamber and is thermally and mechanically bonded with the GIC mirror shell and jacket. A coolant is flowed in an azimuthally symmetric fashion through the OCHT material between input and output plenums to effectuate cooling when the GIC thermal management assembly is used in a GIC mirror system configured to receive and form collected EUV radiation from an EUV radiation source. | 08-23-2012 |
20120212720 | DEVICE FOR GUIDING ELECTROMAGNETIC RADIATION INTO A PROJECTION EXPOSURE APPARATUS - A device for guiding electromagnetic radiation into a projection exposure apparatus for semiconductor lithography includes an optical fibre and an actuator for the mechanical manipulation of a section of the fibre as a result of which a temporally averaged homogenization of an intensity profile of electromagnetic radiation emerging at an exit end of the fibre can be achieved. A projection exposure apparatus for semiconductor lithography is equipped with the abovementioned device. | 08-23-2012 |
20120212721 | SUBSTRATES AND MIRRORS FOR EUV MICROLITHOGRAPHY, AND METHODS FOR PRODUCING THEM - Mirrors having a reflecting coating for the EUV wavelength region and a substrate. A surface region of the substrate extends uniformly below the reflecting coating along this coating and, seen from the surface of the substrate, has a depth of down to 5 μm. Here, this surface region has a 2% higher density than the remaining substrate. Also disclosed are substrates that likewise have such surface regions and methods for producing such mirrors and substrates having such surface regions by irradiation using ions or electrons. | 08-23-2012 |
20120212722 | Fast Illumination Simulator Based on a Calibrated Flexible Point Spread Function - A way of predicting distribution of light in an illumination pupil, comprising:
| 08-23-2012 |
20120212723 | ELECTROMAGNETIC ACTUATOR, STAGE APPARATUS AND LITHOGRAPHIC APPARATUS - An electromagnetic actuator includes a first and second magnetic members that are displaceable relative to each other and are arranged to provide a magnetic circuit; and a coil configured to, in use, receive a current to generate a magnetic flux through the magnetic circuit, thereby generating a force between the first and second magnetic members in a first direction, the magnetic flux, in use, being transferred between the first and second magnetic members through a first surface of the first magnetic member and a second surface of the second magnetic member, the first and second surface being separated by an airgap, wherein the first surface and the second surface are arranged relative to each other such that an outer dimension of the first surface extends beyond an outer dimension of the second surface in a second direction substantially perpendicular to the first direction. | 08-23-2012 |
20120224161 | Lithographic Apparatus and Method - A lithographic apparatus comprising an illumination system for providing a beam of radiation, a support structure for supporting a patterning device, the patterning device serving to impart the radiation beam with a pattern in its cross-section, a substrate table for holding a substrate, and a projection system for projecting the patterned radiation beam onto a target portion of the substrate, wherein the projection system includes a moveable lens connected to an actuator which is configured to move the moveable lens during projection of the patterned radiation beam onto the target portion of the substrate. | 09-06-2012 |
20120224162 | IMAGE FORMING APPARATUS - Positions in a main scanning direction of points of exposure are determined by emission of light from two light-emitting points of each exposure device near predetermined endmost light-emitting points. A first exposure device of which a distance between the two light-emitting points is greatest is identified based on the determined positions of the points of exposure. A subset of usable light-emitting points of a second exposure device other than the first exposure device, located in positions corresponding to a range of exposure which coincides in a width direction with a range of exposure defined by the two light-emitting points of the first exposure device, is specified The number n of pairs of adjacent usable light-emitting points of the second exposure device each associated with one pixel is obtained by subtracting the number of usable light-emitting points of the first exposure device from that of the second exposure device. | 09-06-2012 |
20120229786 | METHOD OF CONTROLLING A LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD, LITHOGRAPHIC APPARATUS, COMPUTER PROGRAM PRODUCT AND METHOD OF IMPROVING A MATHEMATICAL MODEL OF A LITHOGRAPHIC PROCESS - A method of controlling a lithographic apparatus, the method including setting an illumination system of the lithographic apparatus to effect a selected illumination mode, measuring a value of a first parameter of the lithographic apparatus, calculating a value of a second parameter of a projected image of a feature of a test pattern having a plurality of features using a model of the lithographic apparatus and the measured value of the first parameter, and controlling the lithographic apparatus with reference to the calculated value of the second parameter. | 09-13-2012 |
20120236280 | EXPOSURE DEVICE AND IMAGE FORMING APPARATUS - An exposure device includes at least one light emitting element that emits light in a normal direction of the substrate; at least one hologram element that is recorded on a recording layer arranged on the substrate to diffract light emitted from the light emitting element and condense the diffracted light on a condensing point on a normal line of the light emitting element; and at least one light inhibiting part that is arranged on a straight line that connects the light emitting element and the condensing point such that the light diffracted by the hologram element passes through the outside of the light inhibiting part and condenses at the condensing point, to inhibit transmission of zeroth-order light that goes straight toward the condensing point from the light emitting element without being diffracted by the hologram element. | 09-20-2012 |
20120236281 | SOURCE MULTIPLEXING ILLUMINATION FOR MASK INSPECTION - Methods and systems for source multiplexing illumination for mask inspection are disclosed. Such illumination systems enable EUV sources of small brightness to be used for EUV mask defect inspection at nodes below the 22 nm. Utilizing the multiple plane or conic mirrors that are either attached to a continuously rotating base with different angles or individually rotating to position for each pulse, the reflected beams may be directed through a common optical path. The light may then be focused by a condenser to an EUV mask. The reflected and scattered light from the mask may then be imaged by some imaging optics onto some sensors. The mask image may be subsequently processed for defect information. | 09-20-2012 |
20120236282 | IMAGING OPTICAL SYSTEM - The disclosure generally relates to imaging optical systems that include a plurality of mirrors, which image an object field lying in an object plane in an image field lying in an image plane, where at least one of the mirrors has a through-hole for imaging light to pass through. The disclosure also generally relates to projection exposure installations that include such im-aging optical systems, methods of using such projection exposure installa-tions, and components made by such methods. | 09-20-2012 |
20120236283 | EXPOSURE APPARATUS - Exposure apparatus includes photomasks on which a mask pattern having the same shape as that of an exposure pattern exposed onto a surface of a TFT substrate held on a stage is formed, lens assemblies in which unit lens groups in each of which a plurality of convex lenses are arranged in a normal direction to the photomasks so that same-size erect images of mask patterns formed on the photomasks can be formed on the surface of the TFT substrate are arranged in a plane parallel with the photomasks and the surface of the TFT substrate held on the stage, and moving device that moves the lens assemblies in a plane parallel with the masks and the surface of TFT substrate held on the stage. | 09-20-2012 |
20120236284 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An illumination optical system for illuminating an irradiated plane M with illumination light provided from a light source includes a spatial light modulator, which is arranged in an optical path of the illumination optical system and forms a desired light intensity distribution at a pupil position of the illumination optical system or a position optically conjugated with the pupil position, and a diffuser, which is arranged at an incidence side of the spatial light modulator through which the illumination light enters. | 09-20-2012 |
20120242967 | Lithographic Apparatus and Method - A lithographic apparatus comprising an illumination system for providing a beam of radiation, a support structure for supporting a patterning device, the patterning device serving to impart the radiation beam with a pattern in its cross-section, a substrate table for holding a substrate, and a projection system for projecting the patterned radiation beam onto a target portion of the substrate, wherein the lithographic apparatus further comprises a patterning device masking blade provided with a hole, a diffusing material being located at the hole. | 09-27-2012 |
20120242968 | METHOD FOR ADJUSTING AN ILLUMINATION SYSTEM OF A PROJECTION EXPOSURE APPARATUS FOR PROJECTION LITHOGRAPHY - A method includes moving a correction device into a neutral position; subsequently ascertaining, for a given arrangement of imaging light channels in the illumination optical unit of the projection exposure apparatus, intensity distributions of at least some of the individual imaging light partial beams along a transverse coordinate transverse to a displacement direction of an object to be imaged; subsequently ascertaining, in dependence on the transverse coordinate, an actual variation of actual values of structure image sizes of object structures in an image field, onto which the object is imaged; and subsequently specifying a predetermined variation of the structure image sizes over the transverse coordinate and displacing correction elements of the correction device, starting from the neutral position, such that the actual variation matches the predetermined variation within a tolerance bandwidth. The method can provide improved imaging results as compared to known uniformity adjustment. | 09-27-2012 |
20120249988 | OPTICAL BEAM DEFLECTING ELEMENT, ILLUMINATION SYSTEM INCLUDING SAME, AND RELATED METHOD - An optical beam deflecting element may be used effectively as an energy distribution manipulator in an illumination system to vary the energy distribution within a given spatial intensity distribution in a pupil plane of the illumination system substantially without changing the shape and size and position of illuminated areas in the pupil plane. | 10-04-2012 |
20120257183 | Nanolithography system - A nanolithography system comprising a novel optical printing head suitable for high throughput nanolithography. This optical head enables a super-resolution lithographic exposure tool that is otherwise compatible with the optical lithographic process infrastructure. The exposing light is transmitted through specially designed super-resolution apertures, of which the “C-aperture” is one example, that create small but bright images in the near-field transmission pattern. A printing head comprising an array of these apertures is held in close proximity to the wafer to be exposed. In one embodiment, an illumination source is divided into parallel channels that illuminate each of the apertures. Each of these channels can be individually modulated to provide the appropriate exposure for the particular location on the wafer corresponding to the current position of the aperture. A data processing system is provided to re-interpret the layout data into a modulation pattern used to drive the individual channels. In one embodiment of the invention, the exposure head remains stationary while the material to be exposed rotates beneath the head. Such an embodiment comprises a circular data fracturing system to process the layout data to determine the correct modulation pattern. | 10-11-2012 |
20120257184 | LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD, AND METHOD OF CORRECTING A MASK - A lithographic apparatus includes a mask correction system configured to controllably and locally alter a property of a mask, for example transmissivity, transmissivity to a particular polarization state, birefringence and/or geometry. The mask correction system, in an embodiment, directs a beam of radiation onto a spot of the mask, the mask being scanned relative to the mask correction system. The mask correction system may include an arrangement to irradiate multiple spots on the mask substantially simultaneously. | 10-11-2012 |
20120262688 | Optical Apparatus for Conditioning a Radiation Beam for Use by an Object, Lithography Apparatus and Method of Manufacturing Devices - In an EUV (extreme ultraviolet) lithography apparatus, an illumination system includes a multifaceted field mirror and a multifaceted pupil mirror. A field facet mirror within mirror focuses EUV radiation onto a particular associated pupil facet mirror, from where it is directed to a target area. Each field facet mirror is modified to scatter unwanted DUV (deep ultraviolet) radiation into a range of directions. The majority of DUV falls onto neighboring pupil facet mirrors within the pupil mirrors, so that the amount of DUV radiation reaching target E is suppressed in comparison to the wanted EUV radiation. Because the distance between mirrors is much greater than the width of an individual pupil facet mirror, good DUV suppression can be achieved with only a narrow scattering angle. Absorption of EUV radiation in the scattering layer can be minimized. | 10-18-2012 |
20120262689 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - In a lithographic apparatus, an illumination mode is set using a field mirror that includes a plurality of movable facets to direct radiation to selectable positions on a pupil facet mirror. A base illumination mode is selected from a set of predetermined illumination modes and the movable facets are set to effect that mode. In order to adjust an imaging parameter, a fraction of the movable facets are set to different positions. The determination of which facets to set to different positions is based on summing the effects of setting each facet to a different position. | 10-18-2012 |
20120262690 | ILLUMINATION SYSTEM, LITHOGRAPHIC APPARATUS AND ILLUMINATION METHOD - An illumination system includes a field-facet mirror-device and a pupil mirror configured to condition a beam of radiation incident on the field-facet mirror-device. The field-facet mirror-device includes reflective field facets movable between first and second orientations relative to the incident beam. The field facets in their first orientations are effective to reflect the incident radiation towards respective reflective pupil facets so as to form part of a conditioned beam reflected from the pupil-facet mirror-device. The field facets in their second orientations are effective to reflect the incident radiation onto respective areas of the pupil-facet mirror-device designated as beam dump areas. The areas are arranged to prevent radiation incident on the areas from forming part of the conditioned beam and are arranged between the limits of an annular area on the pupil-facet mirror-device effective to define the inner and outer regions of the conditioned beam reflected from the pupil-facet mirror-device. | 10-18-2012 |
20120262691 | MOVABLE BODY DRIVE SYSTEM, PATTERN FORMATION APPARATUS, EXPOSURE APPARATUS AND EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A stage device is equipped with a first scale which is placed with a Y-axis direction serving as its longitudinal direction and in which a first grating whose periodic direction is in an X-axis direction is formed and a second scale which is placed with the X-axis direction serving as its longitudinal direction and in which a second grating whose periodic direction is orthogonal to the periodic direction of the first grating is formed, the first scale and the second scale being placed on a plane which a wafer stage faces. Further, on the upper surface of the wafer stage, a plurality of X heads placed at different positions in the X-axis direction and a plurality of Y heads placed at different positions in the Y-axis direction are arranged. An encoder system that has these heads measures positional information of the stage within an XY plane, based on an output of the X head facing the first scale and an output of the Y head facing the second scale. | 10-18-2012 |
20120268721 | APPARATUS FOR AND METHOD OF WAFER EDGE EXPOSURE - An apparatus for wafer edge exposure comprises a first exposure unit and a second exposure unit. The first exposure unit includes a first light source to emit first light of multiple wavelengths, and a first mask to direct the first light toward a first area at an edge portion of a wafer. The second exposure unit includes a second light source to emit second light of a single wavelength, and a second mask to direct the second light toward a second area at the edge portion of the wafer. The second area encloses a transition area that borders the first area under the first mask. | 10-25-2012 |
20120268722 | Radiation Detector, Method of Manufacturing a Radiation Detector, and Lithographic Apparatus Comprising a Radiation Detector - In one an embodiment, there is provided an assembly comprising at least one detector. Each of the at least one detector includes a substrate having a doped region of a first conduction type, a layer of dopant material of a second conduction type located on the substrate, a diffusion layer formed within the substrate and in contact with the layer of dopant material and the doped region of the substrate, wherein a doping profile, which is representative of a doping material concentration of the diffusion layer, increases from the doped region of the substrate to the layer of dopant material, a first electrode connected to the layer of dopant material, and a second electrode connected to the substrate. The diffusion layer is arranged to form a radiation sensitive surface. | 10-25-2012 |
20120268723 | EXPOSURE APPARATUS AND IMAGE FORMING APPARATUS - An exposure apparatus according to this invention uses both a first light source which outputs a light beam corresponding to a drive current corresponding to image information, and a second light source to irradiate the surface of a photosensitive drum with a plurality of light beams. The second laser light source irradiates the photosensitive drum with a laser beam in accordance with a drive current corresponding to a correction value according to which unevenness of potential characteristics due to unevenness of sensitivity of the surface of the photosensitive drum is reduced. The same region on the surface of the photosensitive drum is irradiated with laser beams which are output from the first and second laser light sources onto the photosensitive drum in superposition. | 10-25-2012 |
20120274918 | CATADIOPTRIC PROJECTION OBJECTIVE - A catadioptric projection objective for imaging a pattern onto an image plane includes: a first objective part for imaging the pattern into a first intermediate image; a second objective part for imaging the first intermediate image into a second intermediate image; and a third objective part for imaging the second intermediate image onto the image plane. A first concave mirror having a continuous mirror surface and a second concave mirror having a continuous mirror surface are upstream of the second intermediate image. A pupil surface is formed between the object plane and the first intermediate image, between the first and the second intermediate image, and between the second intermediate image and the image plane. A plate having essentially parallel plate surfaces is positioned in the first objective part near the pupil surface. At least one plate surface is aspherized to correct for aberrations. | 11-01-2012 |
20120274919 | CATADIOPTRIC PROJECTION OBJECTIVE - A reflection mirror assembly for use in a catadioptric imaging optical system includes two curved reflection mirrors, each including a reflection surface expressed by equation (a), where y represents height in a direction perpendicular to the optical axis, z represents distance (sag amount) along the optical axis from a tangent plane at a vertex of the reflection surface to a position on the reflection surface at height y, r represents a vertex curvature radius, and R represents a conical coefficient; | 11-01-2012 |
20120281193 | LITHOGRAPHIC APPARATUS AND METHOD - A lithographic apparatus includes a projection system that includes a plurality of reflective optics. One of the reflective optics is provided with an opening which passes through the reflective optic. The opening is closed by a covering layer that is substantially transparent to EUV radiation. The covering layer prevents contamination from entering the projection system, while allowing patterned EUV radiation to pass from the projection system onto a substrate. | 11-08-2012 |
20120281194 | POSITIONING APPARATUS, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE - A positioning apparatus controls a relative position between a first member and a second member. The apparatus includes an electromagnet fixed to the first member, an attraction target fixed to the second member so as to be attracted by the electromagnet, a magnetic flux sensor which detects a magnetic flux value generated by the electromagnet, and a driving unit which drives the electromagnet in accordance with an error between the magnetic flux value detected by the magnetic flux sensor and a corrected magnetic flux command value obtained by correcting a magnetic flux command value in accordance with a size of a gap between the electromagnet and the attraction target. | 11-08-2012 |
20120287412 | EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD - The present invention provides an exposure apparatus including a measurement unit configured to measure a position of the mark on a substrate, and a control unit configured to control an amount of light on a predetermined plane of an optical system included in the measurement unit, wherein an amount of light emitted by a light source is smaller in a non-measurement period in which the position of the mark is not measured than in a measurement period in which the position of the mark is measured, and the control unit sets a transmittance in an optical path between the light source and the predetermined plane to be higher in the non-measurement period than in the measurement period. | 11-15-2012 |
20120287413 | IMAGE-FORMING OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE PRODUCING METHOD - There is provided a reflective image-forming optical system which is applicable to an exposure apparatus using, for example, EUV light and which is capable of increasing numerical aperture while enabling optical path separation of light fluxes. In a reflective imaging optical system ( | 11-15-2012 |
20120287414 | FACET MIRROR FOR USE IN MICROLITHOGRAPHY - A facet mirror ( | 11-15-2012 |
20120293783 | LIGHT SOURCE DRIVE DEVICE, OPTICAL SCANNING DEVICE AND IMAGE FORMING APPARATUS - A light source drive device includes a first current source which provides a predetermined current to a light source corresponding to a predetermined light output, and a second current source which provides an overshoot current to the light source synchronized with the predetermined current. A processor is configured to set an overshoot time during which the overshoot current is provided to the light source. Further, an overshoot current is set which is applied to the light source. The overshoot time is set so that the overshoot time based on the rising of the predetermined current to when a light emission of the light source is detected. | 11-22-2012 |
20120293784 | METHOD AND DEVICE FOR MONITORING MULTIPLE MIRROR ARRAYS IN AN ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - Microlithographic illumination system includes individually drivable elements to variably illuminate a pupil surface of the system. Each element deviates an incident light beam based on a control signal applied to the element. The system also includes an instrument to provide a measurement signal, and a model-based state estimator configured to compute, for each element, an estimated state vector based on the measurement signal. The estimated state vector represents: a deviation of a light beam caused by the element; and a time derivative of the deviation. The illumination system further includes a regulator configured to receive, for each element: a) the estimated state vector; and b) target values for: i) the deviation of the light beam caused by the deviating element; and ii) the time derivative of the deviation. | 11-22-2012 |
20120300184 | SURFACE CORRECTION ON COATED MIRRORS | 11-29-2012 |
20120300185 | CATOPTRIC ILLUMINATION SYSTEM FOR MICROLITHOGRAPHY TOOL - In general, in one aspect, the invention features a system that includes an illumination system of a microlithography tool, the illumination system including a first component having a plurality of elements. During operation of the system, the elements direct radiation from a source along an optical path to an arc-shaped object field at an object plane of a projection objective, and at least one of the elements has a curved shape that is different from the arc-shape of the object field. | 11-29-2012 |
20120307221 | IMAGING DEVICE WITH VARYING OPTICAL SIGNAL - Example embodiments disclosed herein relate to an imaging device. The imaging device includes a multi-dimensional array of light sensitive elements, an illumination source that outputs an optical signal, an optical element that focuses the optical signal on the array, and an illumination controller. The illumination controller varies the output of the optical signal to control exposure at a location of the array. | 12-06-2012 |
20120307222 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus having an optical column capable of creating a pattern on a target portion of a substrate is disclosed. The optical column may have a self-emissive contrast device configured to emit a beam, and a projection system configured to project the beam onto the target portion. The apparatus may also have an actuator to move the optical column or a part thereof with respect to the substrate. The apparatus may be constructed to reduce the optical effect of density variation in a medium around the moving part of the optical column on the beam. | 12-06-2012 |
20120307223 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus having an optical column capable of projecting a beam on a target portion on a substrate held by the substrate support. The optical column may have a self-emissive contrast device to emit the beam. The optical column may include a projection system to project the beam onto the target portion. The target portion has a height in a scanning direction of the substrate and a tangential width mainly perpendicular to the scanning direction, wherein a scanning speed of the substrate in the scanning direction divided by the height substantially corresponds with a rotating speed of the optical column or a part thereof divided by the tangential width of the target portion. | 12-06-2012 |
20120314195 | METHOD FOR DETERMINING ILLUMINATION SOURCE WITH OPTIMIZED DEPTH OF FOCUS - A method for determining an illumination source with optimized depth of focus includes the following steps. First, a simulated optimal correlation and a simulated defocus correlation of each illumination source are provided. Second, an optimal peak is determined, a defocus peak is determined, and an optimal correlation slope and a defocus correlation slope are determined. An optimal correlation ratio and a peak variation are calculated. A correlation variation is calculated from the optimal correlation ratio and the defocus correlation ratio. Next, a weighted variation is determined from the peak variation and the correlation variation. An illumination source of a lowest weighted variation among a plurality of the illumination sources is determined to be an illumination source with optimized depth of focus. | 12-13-2012 |
20120314196 | LITHOGRAPHY WAVE-FRONT CONTROL SYSTEM AND METHOD - Some embodiments include system and methods to obtain information for adjusting variations in features formed on a substrate of a semiconductor device. Such methods can include determining a first pupil in an illumination system used to form a first feature, and determining a second pupil used to form a second feature. The methods can also include determining a pupil portion belonging to only one of the pupils, and generating a modified pupil portion from the pupil portion. Information associated with the modified pupil portion can be obtained for controlling a portion of a projection lens assembly of an illumination system. Other embodiments are described. | 12-13-2012 |
20120314197 | MASKLESS PROCESSING APPARATUS - Disclosed herein is a maskless processing apparatus including: an illumination optical system providing light illuminated to a substrate; a spatial light modulator (SLM) including a plurality of light conversion devices and controlling corresponding light conversion devices to selectively reflect or transmit the light illuminated from the illumination optical system according to a processing pattern, thereby converting a light amount; a projection optical system arranged so that the plurality of light conversion devices collect light corresponding to a single pixel of the substrate and projecting high energy light provided by the plurality of corresponding light conversion devices to a corresponding pixel when the light converted from the SLM is input; and a controller controlling the SLM to receive the processing pattern and selectively convert the light illuminated from a light source through the plurality of light conversion devices according to the received processing pattern. A digital mask is used, thereby reducing a use cost of a mask, easily taking active action against a change in scale of a product to be processed, and increasing the utilization of maskless processing apparatus. | 12-13-2012 |
20120320354 | MULTILAYER MIRROR AND LITHOGRAPHIC APPARATUS - A multilayer mirror constructed to reflect radiation having a wavelength in the range of 6.4 nm to 7.2 nm. The multilayer mirror has alternating layers, including a first layer and a second layer. The first and second layers are selected from the group consisting of: U, or a compound or nitride thereof, and B | 12-20-2012 |
20120320355 | LIGHT SOURCE APPARATUS AND IMAGE PROJECTION APPARATUS - A light source apparatus includes a light source unit that includes plural sets of a laser and a coupling lens corresponding to the laser, which are circumferentially provided to form a circle; and a reflecting unit placed within the circle and provided with plural reflecting surfaces corresponding to the lasers of the plural sets of the light source unit to be formed in a cone shape, the light irradiated from each of the lasers being injected into the corresponding reflecting surface via the corresponding coupling lens. | 12-20-2012 |
20120320356 | WAVEFRONT MODIFICATION APPARATUS, LITHOGRAPHIC APPARATUS AND METHOD - A wavefront modification apparatus that has a plurality of acoustic emitters, the acoustic emitters configured to emit acoustic waves which travel at least partially across a radiation beam conduit. The acoustic emitters may be configured to establish a standing acoustic wave which extends at least partially across the radiation beam conduit. The wavefront modification apparatus may be provided in a lithographic apparatus, and may be used to modify the wavefront of a radiation beam which is used by the lithographic apparatus to project a pattern onto a substrate. | 12-20-2012 |
20120320357 | CLAMPING DEVICE, ASSEMBLY AND LITHOGRAPHIC PROJECTION APPARATUS - A clamping device is constructed and arranged to clamp two parts together. The clamping device includes an aligner constructed and arranged to bring the two parts in an aligned position with respect to each other, a clamp constructed and arranged to maintain the two parts in the aligned position, a disconnect constructed and arranged to guide the two parts away from the aligned position to a disconnected position, and an actuator constructed and arranged to convert an electrical current to kinetic energy. The aligner, the clamp, and the disconnect are constructed and arranged to be driven by the actuator. | 12-20-2012 |
20120320358 | METHOD FOR OPERATING A PROJECTION EXPOSURE APPARATUS WITH CORRECTION OF IMAGING ABERRATIONS INDUCED BY THE MASK - The disclosure relates to a method for adapting a projection exposure apparatus for microlithography to a mask having structures with different pitches and/or different structure widths in different structure directions. Wavefront aberrations induced by the mask are reduced by a manipulator of the projection exposure apparatus for microlithography. | 12-20-2012 |
20120320359 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus having a substrate table constructed to hold a substrate and an optical column capable of creating a pattern on a target portion of the substrate. The optical column may include a programmable patterning device configured to provide a plurality of radiation beams and a projection system configured to project the plurality of beams onto the substrate. The apparatus may be provided with an actuator to move the optical column or part thereof with respect to the substrate. The optical column may be arranged to project at least two of the plurality of beams onto the target portion of the substrate via a same lens of a plurality of lenses of the projection system. | 12-20-2012 |
20120327383 | System and Method to Ensure Source and Image Stability - The present invention discloses various system and process embodiments where wafer-metrology and direct measurements of the lithography apparatus characteristics are combined to achieve temporal drift reduction in a lithography apparatus/process using a simulation model. The simulation model may have sub-components. For example, a sub-model may represent a first set of optical conditions, and another sub-model may represent a second set of optical conditions. The first set of optical conditions may be a standard set of illumination conditions, and the second set may be a custom set of illumination conditions. Using the inter-relationship of the sub-models, stability control under custom illumination condition can be achieved faster without wafer metrology. | 12-27-2012 |
20120327384 | MIRROR ELEMENTS FOR EUV LITHOGRAPHY AND PRODUCTION METHODS THEREFOR - A method for the production of a mirror element ( | 12-27-2012 |
20130003032 | Pulsed laser source with high repetition rate - Methods and systems for generating pulses of laser radiation at higher repetition rates than those of available excimer lasers are disclosed that use multiple electronic triggers for multiple laser units and arrange the timings of the different triggers with successive delays, each delay being a fraction of the interval between two successive pulses of a single laser unit. Methods and systems for exposing nanoscale patterns using such high-repetition-rate lasers are disclosed. | 01-03-2013 |
20130003033 | EXPOSURE DEVICE, PHOTO-MASK, AND METHOD FOR MANUFACTURING LIQUID CRYSTAL DISPLAY - The present invention is directed to an exposure apparatus and photo-mask, and method for manufacturing liquid crystal display using the same. An exposure apparatus according to an exemplary embodiment of the present invention provides an exposure apparatus which includes: a first photo-mask comprising a plurality of transmission parts; and a second photo-mask comprising a plurality of transmission parts, the first photo-mask and the second photo-mask comprising an overlapping region where the first photo-mask and the second photo-mask are partially overlapped, wherein at least one transmission part included in at least one of the first photo-mask and the second photo-mask in the overlapping region comprises a semi-transmission section, and a transmittance of the semi-transmission section is greater than or equal to 0% and less than 100%. | 01-03-2013 |
20130003034 | Active Shield for Capacitive Measurement System - A capacitive measurement system for generating a measurement signal representative of a measured position or distance to a target. The system has a first circuit comprising a thin film capacitive sensor ( | 01-03-2013 |
20130010274 | MASKS FOR USE IN LITHOGRAPHY INCLUDING IMAGE REVERSAL ASSIST FEATURES, LITHOGRAPHY SYSTEMS INCLUDING SUCH MASKS, AND METHODS OF FORMING SUCH MASKS - Microlithography masks are disclosed, such as those that include one or more image reversal assist features disposed between at least two primary mask features. The one or more image reversal assist features may be defined by a patterned relatively non-transparent material on a mask substrate. Microlithography systems include such masks. Methods of forming microlithography masks are also disclosed, such as those that include patterning a relatively non-transparent material on a mask substrate to form at least one image reversal assist feature located between at least two primary features. | 01-10-2013 |
20130016330 | DIGITAL EXPOSURE APPARATUS AND METHOD OF EXPOSING A SUBSTRATE USING THE SAMEAANM Yun; Sang-HyunAACI Suwon-siAACO KRAAGP Yun; Sang-Hyun Suwon-si KRAANM Kim; Cha-DongAACI Hwaseong-siAACO KRAAGP Kim; Cha-Dong Hwaseong-si KRAANM Park; Jung-InAACI SeoulAACO KRAAGP Park; Jung-In Seoul KRAANM Sim; Su-YeonAACI Changwon-siAACO KRAAGP Sim; Su-Yeon Changwon-si KRAANM Lee; Hi-KukAACI Yongin-siAACO KRAAGP Lee; Hi-Kuk Yongin-si KR - A digital exposure apparatus includes a displaceable stage, a light source part, a digital micro mirror part and a micro lens part. A substrate is disposed on the stage. The light source part generates a first light. The digital micro mirror part is disposed over the stage. The digital micro mirror part includes a plurality of digital micro mirrors. The digital micro mirror converts the first light into one or more second light beams. The micro lens part is disposed between the stage and the digital micro mirror part and includes a plurality of micro lenses. The micro lenses convert the one or more second light beams into one or more third light beams which are irradiated upon the substrate. The third light has an oval cross sectional shape. | 01-17-2013 |
20130016331 | OPTICAL SYSTEM OF MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS AND METHOD OF CORRECTING WAVEFRONT DEFORMATION IN SAME - An optical system of a microlithographic projection exposure apparatus includes a wavefront correction device which has a plurality of fluid outlet apertures. The apertures are arranged so that fluid flows emerging from the outlet apertures enter a space through which projection light propagates during operation of the apparatus. A temperature controller sets the temperature of the fluid flows individually for each fluid flow. The temperature distribution is determined such that optical path length differences caused by the temperature distribution correct wavefront deformations. | 01-17-2013 |
20130021590 | LIGHT EXPOSURE APPARATUS AND METHOD OF CONTROLLING THE SAME - The present invention relates to a method of controlling a light exposure apparatus including an exposure beam generator equipped with a prism or a bend minor and a vacuum chamber through which light generated in the exposure beam generator passes. The method includes, generating an exposure beam; measuring a deviation of a center of the exposure beam from a reference line in the vacuum chamber; and adjusting the prism or the bend minor in the exposure beam generator to adjust the center of the exposure beam when the center of the exposure beam is misaligned with the reference line. | 01-24-2013 |
20130021591 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system of a microlithographic projection exposure apparatus includes a spatial light modulator which varies an intensity distribution in a pupil surface. The modulator includes an array of mirrors that reflect impinging projection light into directions that depend on control signals applied to the mirrors. A prism, which directs the projection light towards the spatial light modulator, has a double pass surface on which the projection light impinges twice, namely a first time when leaving the prism and before it is reflected by the mirrors, and a second time when entering the prism and after it has been reflected by the mirrors. A pupil perturbation suppressing mechanism is provided that reduces reflections of projection light when it impinges the first time on the double pass surface, and/or prevents that light portions being a result of such reflections contribute to the intensity distribution in the pupil surface. | 01-24-2013 |
20130027680 | MEASUREMENT METHOD, MEASUREMENT APPARATUS, EXPOSURE METHOD, AND EXPOSURE APPARATUS - To perform high-speed and highly accurate measurement by setting desired measuring conditions for each measuring object. In an alignment sensor of exposure apparatus, in the case of performing position measurement for a plurality of sample shots, measurement is performed by changing the measuring conditions, in response to a measuring axis direction, a mark or a layer whereupon a mark to be measured exists. At that time, for the measuring objects to be measured under the same measuring conditions, for example, a position in a Y axis direction and a position in an X axis direction, measurement is continuously performed. When the measuring condition is changed, a baseline value is remeasured. The changeable measuring conditions are wavelength of measuring light, use and selection of a retarder, NA and σ of an optical system, a light quantity of measuring light, illumination shape, signal processing algorithm, etc. | 01-31-2013 |
20130027681 | EUV COLLECTOR - A collector transfers an emission of an EUV radiation source to a main intensity spot. The collector has at least one collector subunit including at least one grazing incidence mirror. The grazing incidence mirror transfers EUV radiation from the radiation source to an intensity spot. At least one ellipsoid mirror of the collector has an ellipsoidal mirror surface. The ellipsoidal mirror surface is impinged by an angle of incidence above a critical grazing incidence angle. No more than one collector subunit is arranged in the beam path of an EUV radiation source between a position of the EUV radiation source and the intensity spot. At least some of the EUV rays are only reflected in a grazing manner. | 01-31-2013 |
20130027682 | Exposure Apparatus, Exposure Method, and Method for Producing Device - A part of exposure beam through a liquid via a projection optical system enters a light-transmitting section, enters an optical member without passing through gas, and is focused. The exposure apparatus receives the exposure light from the projection optical system to perform various measurements even if the numerical aperture of the projection optical system increases. | 01-31-2013 |
20130027683 | ELECTRON-BEAM EXPOSURE METHOD AND ELECTRON-BEAM EXPOSURE APPARATUS - An effective region of the light-sensitive film to be exposed is divided in a radial direction of the substrate, into at least a first region, and a second region adjacent to the first region and provided at more outer peripheral side of the substrate than the first region, and a third region adjacent to the second region and provided at more outer peripheral side of the substrate than the second region, and the rotational speed of the substrate is varied during electron beam exposure of the second region, under a condition that the linear speed of the substrate is kept to be constant at the irradiation position of the electron beam; and the rotational speed of the substrate is varied during electron beam exposure of the first region and the third region, under a condition that the linear speed of the substrate is set to be slower respectively than the linear speed used in the second region. | 01-31-2013 |
20130027684 | EXPOSURE APPARATUS, SUBSTRATE PROCESSING APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure apparatus that transfers a pattern provided along a predetermined cylindrical surface onto a substrate while rotating the pattern in a circumferential direction of the cylindrical surface is provided, which includes a first projection optical system that projects an image of a first partial pattern of the pattern which is disposed in a first area of the cylindrical surface onto a first projection area, a second projection optical system that projects an image of a second partial pattern of the pattern which is disposed in a second area different from the first area onto a second projection area different from the first projection area, and a guide device that guides the substrate to the first projection area and the second projection area in synchronization with a rotation of the pattern in the circumferential direction. | 01-31-2013 |
20130038849 | OPTICAL COMPONENT COMPRISING RADIATION PROTECTIVE LAYER - An optical component for transmitting radiation includes a radiation protective layer, which includes at least one oxide material selected from germanium dioxide (GeO | 02-14-2013 |
20130038850 | ILLUMINATION SYSTEM AND PROJECTION OBJECTIVE OF A MASK INSPECTION APPARATUS - An illumination system and a projection objective of a mask inspection apparatus are provided. During operation of the mask inspection apparatus, the illumination system illuminates a mask with an illumination bundle of rays having a centroid ray that has a direction dependent on the location of the incidence of the illumination bundle of rays on the mask. | 02-14-2013 |
20130038851 | DISCHARGE LAMP, CONNECTING CABLE, LIGHT SOURCE APPARATUS, AND EXPOSURE APPARATUS - A light source device having a large cooling action on the base member of a discharge lamp. A connector on the sides of the power supply and the air blower and the base-side connector of a discharge lamp are connected to each other through a connection cable having a power cable in which an air blow pipe is contained. An electric power is supplied from the power supply to a base part through the power cable of the connection cable, the base-side connector and a flow passage bending member. The cool air from the air blower is supplied to the groove part of the base part through the air blow pipe of the connection cable, the base-side connector and an air blow passage in the flow passage-bending member. | 02-14-2013 |
20130044301 | Programmable illuminator for a photolithography system - A programmable illuminator for a photolithography system includes a light source, a first optical system having a light uniformizing element, a programmable micro-mirror device, and a second optical system that forms an illumination field that illuminates a reticle. The programmable micro-mirror device can be configured to perform shutter and edge-exposure-blocking functions that have previously required relatively large mechanical devices. Methods of improving illumination field uniformity using the programmable illuminator are also disclosed. | 02-21-2013 |
20130044302 | Lithographic Apparatus and Method - A lithographic apparatus includes an illumination system, a patterning device, and a projection system. The illumination system provides a radiation beam. The patterning device imparts the radiation beam with a pattern in its cross-section. The substrate holder holds a substrate. The projection system projects the patterned radiation beam onto a target portion of the substrate. The apparatus is constructed and arranged, at least in use, to image a pattern on to the substrate using radiation having: a bright field intensity distribution in a first direction; and a dark field intensity distribution in second direction, substantially perpendicular to the first direction. | 02-21-2013 |
20130044303 | METHOD FOR STRESS-ADJUSTED OPERATION OF A PROJECTION EXPOSURE SYSTEM AND CORRESPONDING PROJECTION EXPOSURE SYSTEM - A projection exposure system and a method for operating a projection exposure system for microlithography with an illumination system are disclosed. The illumination system includes at least one variably adjustable pupil-defining element. The illumination stress of at least one optical element of the projection exposure system is determined automatically in the case of an adjustment of the at least one variably adjustable pupil-defining element. From the automatically determined illumination stress, the maximum radiant power of the light source is set or determined and/or in which an illumination system is provided with which different illumination settings can be made. Usage of the projection exposure system is recorded and, from the history of the usage, at least one state parameter of at least one optical element of the projection exposure system is determined. | 02-21-2013 |
20130044304 | OPTICAL PROJECTION SYSTEM - An optical projection unit comprising a first optical element module and at least one second optical element module is provided. The first optical element module comprises a first housing unit and at least a first optical element, the first optical element being received within the first housing unit and having an optically used first region defining a first optical axis. The at least one second optical element module is located adjacent to the first optical element module and comprises at least one second optical element, the second optical element defining a second optical axis of the optical projection unit. The first housing unit has a central first housing axis and an outer wall extending in a circumferential direction about the first housing axis. The first optical axis is at least one of laterally offset and inclined with respect to the first housing axis. Furthermore, the first housing axis is substantially collinear with the second optical axis. | 02-21-2013 |
20130050669 | Single-Pass Imaging System With Anamorphic Optical System - An single-pass imaging system utilizes a light source, a spatial light modulator and an anamorphic optical system to form a substantially one-dimensional high intensity line image on an imaging surface (e.g., the surface of a drum cylinder). The light source and the spatial light modulator are used to generate a relatively low intensity two-dimensional modulated light field in accordance with an image data line such that each pixel image of the line is elongated in the process (Y-axis) direction. The anamorphic optical system utilizes a cylindrical/acylindrical optical element to anamorphically image and concentrate the modulated light field in the process direction to form the substantially one-dimensional high intensity line image. The line image is generated with sufficient energy to evaporate fountain solution from the imaging surface. The imaging system simultaneously generates all component pixel images of the line image, thus facilitating a printing apparatus capable of 1200 dpi or greater. | 02-28-2013 |
20130050670 | POSITION MEASUREMENT SYSTEM, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A position measurement system includes a first part and a second part for determining a position of a first member relative to a second member by providing a position signal representing a position of the first part relative to the second part, and a computational unit comprising an input terminal for receiving the position signal. The computational unit is configured to, in use, apply a conversion to the position signal to obtain a signal representing a position of the first member relative to the second member; and apply an adjustment to the conversion to at least partly compensate for a drift of the first part or the second part or both. The adjustment is based on a predetermined drift characteristic of the first part or the second part or both respectively. The predetermined drift characteristic includes one or more base shapes of the first part and/or the second part. | 02-28-2013 |
20130050671 | IMAGING OPTICSIIMAGING OPTICS, MICROLITHOGRAPHY PROJECTION EXPOSURE APPARATUS HAVING SAME AND RELATED METHODS - An imaging optics has a plurality of mirrors to image an object field in an object plane into an image field in an image plane. The imaging optics includes a first partial objective to image the object field onto an intermediate image, and the imaging optics includes a second partial objective to image the intermediate image onto the image field. The second partial objective includes a penultimate mirror in the beam path of imaging light between the object field and the image field, and the second partial objective includes a last mirror in the beam path. The penultimate mirror images the intermediate image onto a further intermediate image, and the last mirror images the further intermediate image onto the image field. | 02-28-2013 |
20130050672 | APPARATUS FOR MICROLITHOGRAPHIC PROJECTION EXPOSURE AND APPARATUS FOR INSPECTING A SURFACE OF A SUBSTRATE - An apparatus ( | 02-28-2013 |
20130057841 | LITHOGRAPHIC PROJECTION APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic projection apparatus is disclosed where at least part of a space between a projection system of the apparatus and a substrate is filled with a liquid by a liquid supply system. The projection system is separated into two separate physical parts. With substantially no direct connection between the two parts of the projection system, vibrations induced in a first of the two parts by coupling of forces through the liquid filling the space when the substrate moves relative to the liquid supply system affects substantially only the first part of the projection system and not the other second part. | 03-07-2013 |
20130057842 | SOLUTION TO OPTICAL CONSTRAINT ON MICROTRUSS PROCESSING - A system for fabricating a radiation-cured structure is provided. The system includes a radiation-sensitive material having a first refractive index; a mask formed from a mask material having a second refractive index; and a radiation source. The mask is disposed between the radiation source and the radiation-sensitive material, and has a plurality of substantially radiation transparent apertures. The radiation source is configured to generate radiation beams for at least one of initiating, polymerizing, and crosslinking the radiation-sensitive material. The system includes at least one of a) an at least one normalizing surface disposed between the radiation source and the mask, b) a refractive fluid having a third refractive index disposed between the radiation source and the mask, and c) the refractive fluid having the third refractive index disposed between the mask and the radiation-sensitive material. A method for fabricating the radiation-cured structure is also provided. | 03-07-2013 |
20130057843 | MASKLESS EXPOSURE APPARATUS INCLUDING SPATIAL FILTER HAVING PHASE SHIFTER PATTERN AND EXPOSURE METHOD - The present invention relates to a maskless exposure apparatus and a maskless exposure method which increase resolution of an exposure pattern and enhance efficiency of an optical system by using a phase shifter. More specifically, according to one aspect of the present invention, the maskless exposure apparatus includes: a lighting unit for outputting predetermined light; a spatial light modulator (SLM) for receiving the light from the lighting unit and outputting a light having a predetermined pattern; a beam expander for expanding the light outputted from the spatial light modulator; a micro lens array (MLA) for dividing the light expanded from the beam expander into a plurality of lights and collecting the lights; and a projection lens for adjusting the resolution of the lights collected through the micro lens array and project the adjusted lights into a target, a spatial filter having a phase shifter pattern being positioned between the micro lens array and the projection lens. | 03-07-2013 |
20130063709 | LCD EXPOSURE STAGE DEVICE AND EXPOSURE SYSTEM - An LCD exposure stage device is used for placing a substrate thereon, and comprises: a stage having an upper surface contacting with a bottom surface of the substrate; and a plurality of moving pins disposed flat on the upper surface of the stage with pin heads thereof corresponding to side surfaces of the substrate respectively so that the substrate can move horizontally by the moving pins. According to the present disclosure, only the substrate needs to be moved, so the operations are more convenient; and because the stage is always kept at a fixed position, the requirement on its strength can be appropriately lowered. Furthermore, because the substrate contacts with the stage all the time during the moving and exposure processes, and the time necessary for the exposure process is shortened, which make fabrication of the whole LCD panel more efficient. | 03-14-2013 |
20130063710 | CATOPTRIC OBJECTIVES AND SYSTEMS USING CATOPTRIC OBJECTIVES - In general, in one aspect, the invention features an objective arranged to image radiation from an object plane to an image plane, including a plurality of elements arranged to direct the radiation from the object plane to the image plane, wherein the objective has an image side numerical aperture of more than 0.55 and a maximum image side field dimension of more than 1 mm, and the objective is a catoptric objective. | 03-14-2013 |
20130070225 | GUIDANCE FOR TARGET PROCESSING TOOL - The invention relates to a target processing tool, comprising a target carrier guidance assembly, said target carrier guidance assembly comprising:
| 03-21-2013 |
20130070226 | MARKER STRUCTURE AND METHOD OF FORMING THE SAME - The invention relates to a marker structure for optical alignment of a substrate and provided thereon. The marker structure has a first reflecting surface at a first level and a second reflecting surface at a second level. A separation between the first level and the second level determines a phase depth condition. The marker structure further has an additional structure. The additional structure is arranged to modify the separation during manufacture of the marker structure. The invention further relates to a method of forming such a marker structure. | 03-21-2013 |
20130070227 | IMAGING OPTICAL SYSTEM - An imaging optical system for EUV projection lithography has a plurality of mirrors for imaging an object field in an object plane into an image field in an image plane. An image-side numerical aperture of the imaging optical system is at least 0.3. The imaging optical system has a pupil obscuration which is greater than 0.40 and an image filed size of at least 1 mm×10 mm. The imaging optical system can provide high quality imaging of the object. | 03-21-2013 |
20130077069 | Radiation Source - A radiation source is disclosed that comprises a reservoir that retains a volume of fuel, a nozzle configured to direct a stream of fuel towards a plasma formation location, a laser configured to generate a radiation generating plasma, and a fuel contamination control arrangement. The contamination control arrangement comprises a magnetic field generation element for generating a magnetic field; an electric field generation element for generating an electric field, the magnetic field generation element and the electric field generation element together configured to ensure that the magnetic field and the electric field overlap at a location of contamination within the fuel, and to ensure that lines of flux of the magnetic field and electric field are non-parallel at that location to control movement of the contamination. | 03-28-2013 |
20130077070 | Radiation Source - A radiation source comprises a reservoir, a nozzle, a laser, and a positive lens. The reservoir is configured to retain a volume of fuel. The nozzle, in fluid connection with the reservoir, is configured to direct a stream of fuel along a trajectory towards a plasma formation location. The laser configured to direct laser radiation at the stream at the plasma formation location to generate, in use, a radiation generating plasma. The positive lens arrangement configured to focus an at least potential spread of trajectories of the stream of fuel toward the plasma formation location, the lens comprising an electric field generating element and/or a magnetic field generating element. | 03-28-2013 |
20130077071 | Radiation Source - According to a first aspect of the present invention, there is provided a radiation source comprising: a reservoir configured to retain a volume of fuel; a nozzle, in fluid connection with the reservoir, and configured to direct a stream of fuel along a trajectory towards a plasma formation location; a laser configured to direct laser radiation at the stream at the plasma formation location to generate, in use, a radiation generating plasma; and a contamination filter assembly located in a fuel flow path of the radiation source, upstream of a nozzle outlet, a filter medium of that contamination filter assembly being held in place within the contamination filter assembly by a clamping force provided by one or more objects that at least partially surround the filter medium. | 03-28-2013 |
20130077072 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; a projection system configured to project the patterned radiation beam onto a target portion of the substrate, and a measurement system with a measurement radiation system to provide a measurement beam of radiation, at least two reflectors to reflect a portion of the measurement beam between the reflectors; and a detector to detect a wavelength of at least a portion of the measurement beam transmitted through one of the reflectors. | 03-28-2013 |
20130077073 | METHODS TO CONTROL EUV EXPOSURE DOSE AND EUV LITHOGRAPHIC METHODS AND APPARATUS USING SUCH METHODS - EUV exposure dose in a lithographic apparatus is controlled pulse to pulse by varying a conversion efficiency with which a pulse of EUV radiation is generated from an excitation of a fuel material by a corresponding pulse of excitation laser radiation. Conversion efficiency can be varied in several different ways, by varying the proportion of a fuel material that intersects a laser beam, and/or by varying a quality of the interaction. Mechanisms to vary the conversion efficiency can be based on variation of a laser pulse timing, variation of pre-pulse energy, and/or variable displacement of a main laser beam in one or more directions. Steps to maintain symmetry of the generated EUV radiation can be included. | 03-28-2013 |
20130077074 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A microlithographic projection exposure apparatus includes a projection light source. The apparatus also includes a heating light source for generating heating light which is at least partly absorbed by an optical element. An illumination optical unit directs the heating light onto the optical element such that the heating light has a predefined intensity distribution on an optical surface of the optical element. The illumination optical unit includes a deflection element which is a diffractive optical element or a refractive freeform element. The deflection element simultaneously directs the heating light impinging thereon in different directions. | 03-28-2013 |
20130077075 | METHOD OF ALIGNING AN EXPOSURE APPARATUS, METHOD OF EXPOSING A PHOTORESIST FILM USING THE SAME AND EXPOSURE APPARATUS FOR PERFORMING THE METHOD OF EXPOSING A PHOTORESIST FILM - An origin of a reference coordinate system is assigned to one of a plurality of center points, and center point coordinates according to the reference coordinate system are assigned to remaining center points, so that reference marks successively correspond to center points of a plurality of microscopes fixed to a base. Beam position detection marks disposed between the reference marks with exposure points of exposure heads fixed to the base are crossed to assign beam coordinates according to the reference coordinate system to the exposure points. Thus, alignment may be easily and accurately performed, and is effective for increasingly larger apparatuses. | 03-28-2013 |
20130077076 | MICROLITHOGRAPHY ILLUMINATION OPTICAL SYSTEM AND MICROLITHOGRAPHY PROJECTION EXPOSURE APPARATUS INCLUDING SAME - A microlithography illumination optical system is used to guide illumination light from a primary light source to an object field. A mirror array of the illumination optical system has a plurality of individual mirrors, which can be tilted independently of one another by actuators and are connected to associated tilting actuators. A controller is used to activate the actuators. A raster module of the illumination optical system has a plurality of raster elements to produce a spatially distributed arrangement of secondary light sources. | 03-28-2013 |
20130083306 | Inspection Apparatus, Lithographic Apparatus, and Device Manufacturing Method - An inspection apparatus includes an illumination system that receives a first beam and produces second and third beams from the first beam and a catadioptric objective that directs the second beam to reflect from a wafer. A first sensor detects a first image created by the reflected second beam. A refractive objective directs the third beam to reflect from the wafer, and a second sensor detects a second image created by the reflected third beam. The first and second images can be used for CD measurements. The second beam can have a spectral range from about 200 nm to about 425 nm, and the third beam can have a spectral range from about 425 nm to about 850 nm. A third sensor may be provide that detects a third image created by the third beam reflected from the wafer. The third image can be used for OV measurements. | 04-04-2013 |
20130088697 | COLLECTOR MIRROR ASSEMBLY AND METHOD FOR PRODUCING EXTREME ULTRAVIOLET RADIATION - A collector mirror assembly includes a collector mirror that includes a reflective surface and a hole having an edge. The hole extends through the reflective surface. The assembly also includes a tubular body having an inner surface and an outer surface. The tubular body is constructed and arranged to guide a gas flow in a direction substantially transverse to the reflective surface. The outer surface of the tubular body and the edge of the hole form an opening arranged to guide a further gas flow that diverges with respect the gas flow substantially transverse to the reflective surface. | 04-11-2013 |
20130088698 | METHODS AND DEVICES FOR DRIVING MICROMIRRORS - A micromirror of a micromirror array in an illumination system of a microlithographic projection exposure apparatus can be tilted through a respective tilt angle about two tilt axes. The micromirror is assigned three actuators which can respectively be driven by control signals in order to tilt the micromirror about the two tilt axes. Two control variables are specified, each of which is assigned to one tilt axis and which are both assigned to unperturbed tilt angles. For any desired combinations of the two control variables, as a function of the two control variables, one of the three actuators is selected and its control signal is set to a constant value, in particular zero. The control signals are determined so that, when the control signals are applied to the other two actuators, the micromirror adopts the unperturbed tilt angles as a function of the two control variables. | 04-11-2013 |
20130088699 | LITHOGRAPHIC APPARATUS AND METHOD - A lithographic apparatus includes a radiation source configured to produce a radiation beam, and a support configured to support a patterning device. The patterning device is configured to impart the radiation beam with a pattern to form a patterned radiation beam. A chamber is located between the radiation source and patterning device. The chamber contains at least one optical component configured to reflect the radiation beam, and is configured to permit radiation from the radiation source to pass therethrough. A membrane is configured to permit the passage of the radiation beam, and to prevent the passage of contamination particles through the membrane. A particle trapping structure is configured to permit gas to flow along an indirect path from inside the chamber to outside the chamber. The indirect path is configured to substantially prevent the passage of contamination particles from inside the chamber to outside the chamber. | 04-11-2013 |
20130100427 | Metrology Method and Apparatus, and Device Manufacturing Method - An approach is used to estimate and correct the overlay variation as function of offset for each measurement. A target formed on a substrate includes periodic gratings. The substrate is illuminated with a circular spot on the substrate with a size larger than each grating. Radiation scattered by each grating is detected in a dark-field scatterometer to obtain measurement signals. The measurement signals are used to calculate overlay. The dependence (slope) of the overlay as a function of position in the illumination spot is determined. An estimated value of the overlay at a nominal position such as the illumination spot's center can be calculated, correcting for variation in the overlay as a function of the target's position in the illumination spot. This compensates for the effect of the position error in the wafer stage movement, and the resulting non-centered position of the target in the illumination spot. | 04-25-2013 |
20130100428 | MASK FOR EUV LITHOGRAPHY, EUV LITHOGRAPHY SYSTEM AND METHOD FOR OPTIMISING THE IMAGING OF A MASK | 04-25-2013 |
20130100429 | OPTICAL SYSTEM AND MULTI FACET MIRROR OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An optical system of a microlithographic projection exposure apparatus includes a multi facet mirror having a support plate and a plurality of mirror facets. Each mirror facet includes a mirror substrate and a reflective coating applied thereon, and is attached to the support plate. Actuators are provided that induce a deformation of the support plate. The deformation changes the orientation and/or position, but not the shape, of at least two mirror facets. In this way aberrations can be corrected. | 04-25-2013 |
20130107238 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 05-02-2013 |
20130114058 | ILLUMINATION OPTICS APPARATUS, EXPOSURE METHOD, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING ELECTRONIC DEVICE - An illumination optical apparatus which constantly controls a plurality of polarization states with high accuracy. An illumination optical system, which illuminates a pattern surface of a mask with illumination light, includes a polarization optical system and a depolarizer. The polarization optical system includes a half wavelength plate and PBS, which varies a polarization state of the illumination light to form a linear polarization state having a predetermined polarization direction. The depolarizer is arranged toward the mask from the polarization optical system and varies the polarization state of the illumination light emitted from the polarization optical system. | 05-09-2013 |
20130114059 | Components for EUV Lithographic Apparatus, EUV Lithographic Apparatus Including Such Components and Method for Manufacturing Such Components - A metal component ( | 05-09-2013 |
20130120727 | EXPOSURE SYSTEM - An exposure system for generating exposed structures in a photosensitive layer arranged on an object is provided. The exposure system has an object carrier accommodating the object and an exposure device, which are movable relative to one another. Exposure beams exit from the exposure device, with each of which an exposure spot can be generated on the photosensitive layer by means of an imaging unit in a position controlled manner. At least one first exposure unit generating a set of first exposure beams and at least one second exposure unit generating a set of second exposure beams is associated with at least one deflecting element, first and second exposure beams of these exposure units being deflectable by the same deflecting element. Mirror surface areas for the first exposure beams and for the second exposure beams are arranged on the deflecting element offset relative to one another in a row direction. | 05-16-2013 |
20130120728 | CATADIOPTRIC PROJECTION OBJECTIVE WITH MIRROR GROUP - A catadioptric projection objective for imaging an off-axis object field arranged in an object surface of the projection objective onto an off-axis image field arranged in an image surface of the projection objective has a front lens group, a mirror group comprising four mirrors and having an object side mirror group entry, an image side mirror group exit, and a mirror group plane aligned transversely to the optical axis and arranged geometrically between the mirror group entry and the mirror group exit; and a rear lens group. | 05-16-2013 |
20130120729 | INSPECTION METHOD FOR IMPRINT LITHOGRAPHY AND APPARATUS THEREFOR - A method is disclosed for inspecting a device imprint lithography template to detect defect particles of imprintable medium remaining on the patterned imprinting surface after an earlier imprint step. The method involves illuminating the patterned surface with radiation of a first wavelength selected to induce fluorescence of the defect particles and not to induce fluorescence of anti-adhesion compound on the patterned surface. The presence of defect particles is indicated by the presence of fluorescence from the patterned surface and can be used to initiate a cleaning step when necessary, speeding processing by eliminating unnecessary cleaning. The elimination of false positives from transferred anti-adhesion compound is reduced or eliminated. Related apparatus is also disclosed. | 05-16-2013 |
20130128248 | LIGHT SOURCE APPARATUS, OPTICAL APPARATUS, EXPOSURE APPARATUS, DEVICE MANUFACTURING METHOD, ILLUMINATING METHOD, EXPOSURE METHOD, AND METHOD FOR MANUFACTURING OPTICAL APPARATUS - An optical apparatus capable of illuminating an irradiation surface under a required illumination condition capable of achieving a high light efficiency while keeping a small light loss due to, for example, the overlap error of illuminating fields. The optical apparatus, which illuminates a first area with light from a light source while the first area is longer in a second direction intersecting a first direction than in the first direction, includes a collector optical member which is arranged in an optical path between the light source and the first area, and condenses the light from the light source to form a second area in a predetermined plane, the second area being longer in a fourth direction intersecting a third direction than in the third direction; and a first fly's eye optical member which is provided within the predetermined plane including the second area, and has a plurality of first optical elements guiding the light of the collector optical member to the first area. | 05-23-2013 |
20130128249 | Fiber Delivery for Metrology Systems Used in Lithography Tools - Metrology system, apparatus and method used to implement measurements inside a lithography tool are described, such that the disclosed measurements can be performed without contributing outgassed effluent within the lithography tool. Disclosed is a system including: an objective for projecting an image of an object positioned at an object plane to an image plane; a stage to execute motions relative to the objective while supporting the wafer at the image plane; an optical sensor for producing an optical monitoring signal associated with the motions of the stage; and a glass optical fiber having a metal outer coating, the metal-coated glass optical fiber being arranged to provide light to, or collect light from, the optical sensor. | 05-23-2013 |
20130128250 | RETICLE ASSEMBLY, A LITHOGRAPHIC APPARATUS, THE USE IN A LITHOGRAPHIC PROCESS, AND A METHOD TO PROJECT TWO OR MORE IMAGE FIELDS IN A SINGLE SCANNING MOVEMENT OF A LITHOGRAPHIC PROCESS - A reticle assembly for use in a lithographic process in which a first image field and a second image field are projected onto a first target portion and a second target portion on a substrate, the reticle assembly being arranged to hold a first reticle having the first image field and a second reticle having the second image field such that a distance between the first and second image fields substantially corresponds to a distance between the first and the second target portions. Embodiments also relate to a lithographic apparatus including the reticle assembly, the use in a lithographic process in which a first image field and a second image field are projected onto a first target portion and a second portion on a substrate, of a first reticle having the first image field and a second reticle having the second image field, wherein a distance between the first and second image fields substantially corresponds to a distance between the first and second target portions. | 05-23-2013 |
20130128251 | IMAGING OPTICAL SYSTEM - An imaging optical system for a projection exposure system has at least one anamorphically imaging optical element. This allows a complete illumination of an image field in a first direction with a large object-side numerical aperture in this direction, without the extent of the reticle to be imaged having to be enlarged and without a reduction in the throughput of the projection exposure system occurring. | 05-23-2013 |
20130128252 | MULTI FACET MIRROR OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A multi facet mirror of a microlithographic projection exposure apparatus includes a plurality of mirror facet units. Each unit includes a mirror member with a body, a reflective coating provided at one end of the body and an actuating surface provided at an opposite end. The unit further includes a rest member on which the actuating surface rests while the mirror member is not moving, and an actuator that tilts the mirror member about a tilting axis. The actuator has a contact surface and a lifting member which moves the actuating surface along a lifting direction. In a first operating state of the lifting member the actuating surface rests on the rest member and in a second operating state on the contact surface. A displacement member displaces the contact surface along a lateral direction only while the lifting member is in the second operating state. | 05-23-2013 |
20130128253 | EXPOSURE APPARATUS USING MICROLENS ARRAY AND OPTICAL MEMBER - An exposure apparatus and an optical member wherein impurities can be prevented from infiltrating between microlens arrays and a substrate, and microlenses can be prevented from being scratched by the impurities and by getting abnormally close to the substrate. Microlens arrays in which pluralities of microlenses are formed are arranged above a transparent substrate, and the microlens arrays are bonded and the end surfaces to a mask. Alignment mark supports are bonded to the mask at both sides of the microlens arrays, and alignment marks are formed in the surfaces of the alignment mark supports that face the substrate. The spaces between the alignment mark supports and the substrate are smaller than the spaces between the microlens arrays and the substrate. | 05-23-2013 |
20130135600 | Inspection Method and Apparatus, and Corresponding Lithographic Apparatus - An inspection method, and corresponding apparatus, enables classification of pupil images according to a process variable. The method comprises acquiring diffraction pupil images of a plurality of structures formed on a substrate during a lithographic process. A process variable of the lithographic process varies between formation of the structures, the variation of the process variable resulting in a variation in the diffraction pupil images. The method further comprises determining at least one discriminant function for the diffraction pupil images, the discriminant function being able to classify the pupil images in terms of the process variable. | 05-30-2013 |
20130135601 | TWO-BEAM INTERFERENCE APPARATUS AND TWO-BEAM INTERFERENCE EXPOSURE SYSTEM - A two-beam interference apparatus may include a wafer stage on which a wafer may be set, a beam splitter to split first laser light into second and third laser light having a beam intensity distribution elongated in a first direction within a surface of the wafer, and an optical system to guide the second and third laser light onto the wafer. The wafer is irradiated with the second laser light from a second direction perpendicular to the first direction, and the third laser light from a third direction perpendicular to the first direction but different from the second direction, to thereby cause interference of the second and third laser light on the wafer. This apparatus increases the accuracy of the two-beam interference exposure. | 05-30-2013 |
20130135602 | SCANNING EXPOSURE APPARATUS USING MICROLENS ARRAYS - A scanning exposure apparatus using microlens arrays, includes a plurality of microlens arrays is arrayed in a direction perpendicular to a scanning direction above a substrate to be exposed, and the microlens arrays are supported on a support substrate. The microlens arrays can be supported on a support substrate so as to be capable of being inclined from a direction parallel to the exposure substrate, relative to the direction in which the microlens arrays are arranged. The inclination angles of these microlens arrays are configured so as to gradually increase or decrease along the arrangement direction. | 05-30-2013 |
20130141705 | EXPOSURE APPARATUS AND EXPOSURE METHOD - The present invention provides an exposure apparatus and an exposure method. The method comprises: utilizing an exposure light source to provide light rays to the photo-resist layer, wherein the light rays pass through the mask and the transparent substrate to reach the photo-resist layer; and utilizing a reflective plate to reflect the light rays passing through the transparent substrate and the photo-resist layer back to the photo-resist layer. The present invention can reduce a line space of a pattern of the photo-resist layer. | 06-06-2013 |
20130141706 | LITHOGRAPHIC METHOD AND APPARATUS - A method of projecting a pattern from a patterning device onto a substrate using a projection system, the method including using an optical phase adjustment apparatus in the projection system to apply a phase modification to radiation which has been diffracted from an assist feature of the pattern, the phase modification acting to reduce the size of an assist feature image exposed in resist on the substrate or prevent printing of the assist feature image in the resist on the substrate, while maintaining a contribution of the assist feature image to an image enhancement of a functional feature of the pattern. | 06-06-2013 |
20130141707 | EUV Exposure Apparatus - A projection lens of an EUV-lithographic projection exposure system with at least two reflective optical elements each comprising a body and a reflective surface for projecting an object field on a reticle onto an image field on a substrate if the projection lens is exposed with an exposure power of EUV light, wherein the bodies of at least two reflective optical elements comprise a material with a temperature dependent coefficient of thermal expansion which is zero at respective zero cross temperatures, and wherein the absolute value of the difference between the zero cross temperatures is more than 6K. | 06-06-2013 |
20130141708 | SUBSTRATE PROCESSING METHOD, MANUFACTURING METHOD OF EUV MASK, AND EUV MASK - According to the substrate processing method in the embodiments, as a mask substrate used for forming an EUV mask, a mask substrate in which a first film having a first hydrophilicity is formed on one main surface and a resist is applied to another main surface is exposed from a side of the resist. Then, a hydrophilic treatment is performed on a surface of the first film to make the surface of the first film have a second hydrophilicity larger than the first hydrophilicity. Then, a development treatment of the resist is performed with respect to the mask substrate in which the hydrophilic treatment is performed on the surface of the first film to have the second hydrophilicity. | 06-06-2013 |
20130141709 | LITHOGRAPHIC APPARATUS, EUV RADIATION GENERATION APPARATUS AND DEVICE MANUFACTURING METHOD - An EUV radiation generation apparatus includes a laser configured to generate pulses of laser radiation, and an optical isolation apparatus that includes a rotatably mounted reflector and a radially positioned reflector. The rotatably mounted reflector and the laser are synchronized such that a reflective surface of the rotatably mounted reflector is in optical communication with the radially positioned reflector when the optical isolation apparatus receives a pulse of laser radiation to allow the pulse of laser radiation to pass to a plasma formation location and cause a radiation emitting plasma to be generated via vaporization of a droplet of fuel material. The rotatably mounted reflector and the laser are further synchronized such that the reflective surface of the rotatably mounted reflector is at least partially optically isolated from the radially positioned reflector when the optical isolation apparatus receives radiation reflected from the plasma formation location. | 06-06-2013 |
20130148091 | LITHOGRAPHY APPARATUS AND METHOD, AND METHOD OF MANUFACTURING ARTICLE - A lithography apparatus which positions a substrate based on measurement of a position of an alignment mark on the substrate to form a pattern on the substrate. The apparatus includes an acquisition unit configured to acquire a first required alignment precision in a first direction, and a second required alignment precision in a second direction different from the first direction, and a controller configured to determine, based on the first required alignment precision, a first condition for a first measurement process of measuring a position of an alignment mark in the first direction, to determine, based on the second required alignment precision, a second condition for a second measurement process of measuring a position of an alignment mark in the second direction. | 06-13-2013 |
20130148092 | ILLUMINATION SYSTEM FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - Illumination systems for microlithographic projection exposure apparatus, as well as related systems, components and methods are disclosed. In some embodiments, an illumination system includes one or more scattering structures and an optical integrator that produces a plurality of secondary light sources. | 06-13-2013 |
20130155384 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus for projecting an image of a pattern on a substrate and for exposing the substrate is disclosed. The exposure apparatus includes a mask stage and a projection optical system. The mask stage holds plural masks so that the plural masks do not contact with each other, and the projection optical system includes plural optical systems. Each of the optical systems projects an image of a pattern of one mask among the plural masks onto an exposure area of the substrate. The apparatus exposes the substrate so that each exposure area of the substrate exposed by each optical system partly overlaps with each other. | 06-20-2013 |
20130162965 | EXPOSURE APPARATUS - An exposure apparatus includes an irradiating part which irradiates light, a light blocking member including a light condensing part at a side of the light blocking member, where the light condensing part condenses the light, a mask which is spaced apart from the light blocking member by a first distance, and a transporting part which transports a target substrate in a first direction. | 06-27-2013 |
20130162966 | Lithographic Apparatus and Method - A displacement measurement system comprising at least one retro reflector and a diffraction grating. Said displacement measurement system is constructed and arranged to measure a displacement by providing a first beam of radiation to the measurement system, wherein the diffraction grating is arranged to diffract the first beam of radiation a first time to form diffracted beams. The at least one retro reflector is arranged to subsequently redirect the diffracted beams to diffract a second time on the diffraction grating. The at least one retro reflector is arranged to redirect the diffraction beams to diffract at least a third time on the diffraction grating before the diffracted beams are being recombined to form a second beam. And the displacement system is provided with a sensor configured to receive the second beam and determine the displacement from an intensity of the second beam. | 06-27-2013 |
20130162967 | EXPOSING PRINTING PLATES USING LIGHT EMITTING DIODES - An apparatus comprises: (a) a rotatable drum configured to have a UV-curable printing plate with an ablatable layer thereon, placed thereon; (b) at least one laser beam to image the plate on the drum by ablating some of the ablatable layer according to image data to form an imaged plate; (c) an unloading area onto which a plate is movable when unloaded; and (d) a plurality of UV LEDs configured to cure UV-curable material on at least an imaged portion of the plate during the imaging process, such that imaging of one part of the plate and curing of an imaged portion of the plate occur simultaneously. In another embodiment, the plurality of LEDs are to apply UV radiation to the back of the UV-curable plate or to both the front and back of the UV-curable plate during or after the unloading of the imaged plate. | 06-27-2013 |
20130176545 | PROJECTION EXPOSURE APPARATUS - A projection exposure apparatus for semiconductor lithography includes optical elements, wherein at least one of the optical elements includes a mechanism for contactlessly producing electric currents in the optical element to heat the at least one optical element at least in regions. | 07-11-2013 |
20130188162 | Method for Operating a Projection Exposure Tool and Control Apparatus - A method for operating a projection exposure tool for microlithography is provided. The projection exposure tool includes an optical system which includes a number of optical elements which, during an imaging process, convey electromagnetic radiation. All of the surfaces of the optical elements interact with the electromagnetic radiation during the imaging process to form an overall optical surface of the optical system. The method includes: determining respective individual thermal expansion coefficients at at least two different locations of the overall optical surface; calculating a change to an optical property of the optical system brought about by heat emission of the electromagnetic radiation (during the imaging process upon the basis of the thermal expansion coefficients; and imaging mask structures into an image plane via the projection exposure tool with adaptation of the imaging characteristics of the projection exposure tool so that the calculated change to the optical property is at least partially compensated. | 07-25-2013 |
20130188163 | Mirror and Related EUV Systems and Methods - A mirror for EUV radiation includes a mirror body, which has at least one EUV radiation-reflecting region and at least two EUV radiation-permeable regions. A spatial separation of the illumination and imaging beam paths is possible with small angles of incidence and a large object-side numerical aperture. | 07-25-2013 |
20130194559 | METHOD FOR SETTING AN ILLUMINATION GEOMETRY FOR AN ILLUMINATION OPTICAL UNIT FOR EUV PROJECTION LITHOGRAPHY - A method for setting an illumination geometry for an illumination optical unit for EUV projection lithography is disclosed. The method includes defining a desired illumination geometry, followed by varying tilting angles of individual mirrors of the a facet mirror within one and the same individual-mirror group. In a first tilting position, the individual mirrors are assigned via a first group-mirror illumination channel to a first facet of a second facet mirror. In at least one further tilting position, the individual mirrors are assigned either via a further illumination channel to a further facet of the second facet mirror or to a switch-off illumination channel. The tilting angle variation is carried out until an actual illumination geometry corresponds to the desired illumination geometry within predefined tolerances. | 08-01-2013 |
20130194560 | PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND EXPOSURE METHOD - An immersion projection optical system having, for example, a catadioptric and off-axis structure, reduces the portion of an image space filled with liquid (immersion liquid). The projection optical system, which projects a reduced image of a first plane onto a second plane through the liquid, includes a refractive optical element (Lp) arranged nearest to the second plane. The refractive optical element includes a light emitting surface (Lpb) shaped to be substantially symmetric with respect to two axial directions (XY-axes) perpendicular to each other on the second plane. The light emitting surface has a central axis (Lpba) that substantially coincides with a central axis ( | 08-01-2013 |
20130201464 | CHROMATICALLY CORRECTED OBJECTIVE WITH SPECIFICALLY STRUCTURED AND ARRANGED DIOPTRIC OPTICAL ELEMENTS AND PROJECTION EXPOSURE APPARATUS INCLUDING THE SAME - An objective having a plurality of optical elements arranged to image a pattern from an object field to an image field at an image-side numerical aperture NA>0.8 with electromagnetic radiation from a wavelength band around a wavelength λ includes a number N of dioptric optical elements, each dioptric optical element i made from a transparent material having a normalized optical dispersion | 08-08-2013 |
20130208252 | FLARE MEASURING METHOD, REFLECTIVE MASK, AND EXPOSURE APPARATUS - According to a flare measuring method in an embodiment, a reflective mask, in which one reflective coordinate in a slit direction in a mask surface is determined when one scanning coordinate is determined, is placed on a scanner that includes a reflective projection optical system. Moreover, a light intensity of the exposure light is measured by performing a scanning exposure on an illuminance sensor moved to a predetermined position in the slit direction in a slit imaging plane. Then, an amount of flare at an intra-slit position corresponding to a position of the illuminance sensor in the slit direction is calculated by using a light intensity of exposure light received from an intra-slit position that does not correspond to the position of the illuminance sensor in the slit direction in the exposure light. | 08-15-2013 |
20130208253 | REFLECTIVE PHOTOLITHOGRAPHY APPARATUS HAVING CONTROL MIRROR MODULE - A reflective photolithography system includes an extreme ultraviolet light source, an illumination mirror system that reflects light generated by the light source, a blinder through which a portion of the reflected light is allowed to pass, a reticle stage equipped with a reflective reticle which receives the light passing through the blinder, and a projection mirror system configured that projects light reflected from the reflective reticle onto a wafer on a wafer stage. The illumination mirror system includes a control mirror module at its downstream end with respect to the optical axis of the apparatus. The control mirror module has a plurality of unit control mirrors which divide the light so as to illuminate a number of domains and such that the intensity of the light can be varied among the domains. | 08-15-2013 |
20130215405 | EXPOSURE APPARATUS AND METHOD OF CONFIGURING EXPOSURE APPARATUS - A light exposer according to an embodiment of the present invention includes an exposure beam generator generating an exposure beam, and a vacuum chamber passing the exposure beam generated by the exposure beam generator and including a first fly eye lens having a plurality of convex lens like fly eyes. The exposure beam generator includes an oscillator including an oscillator pumping chamber, a first prism and a second prism, and a first exposure beam diameter changing lens positioned before the first prism and enlarging a diameter of the exposure beam. | 08-22-2013 |
20130215406 | LITHOGRAPHY METHOD AND APPARATUS - A lithography method and apparatus is disclosed herein. In a described embodiment, the method comprises (i) providing a first mask having an exposure pattern for forming a three dimensional structure; (ii) exposing the first mask to radiation to form the exposure pattern on a radiation-sensitive resist; the exposure pattern defined by irradiated areas and non-irradiated areas of the resist; (ii) providing a second mask; and (iii) during exposure, changing relative positions between the first mask and the second mask to shield selected portions of the irradiated areas from radiation to enable varying depth profiles to be created in the three dimensional structure. | 08-22-2013 |
20130222778 | ILLUMINATION APPARATUS FOR MICROLITHOGRAPHY PROJECTION SYSTEM INCLUDING POLARIZATION-MODULATING OPTICAL ELEMENT - A polarization-modulating optical element consisting of an optically active crystal material has a thickness profile where the thickness, as measured in the direction of the optical axis, varies over the area of the optical element. The polarization-modulating optical element has the effect that the plane of oscillation of a first linearly polarized light ray and the plane of oscillation of a second linearly polarized light ray are rotated, respectively, by a first angle of rotation and a second angle of rotation, with the first angle of rotation and the second angle of rotation being different from each other. | 08-29-2013 |
20130222779 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes a phase adjuster to adjust a phase of an optical wave traversing an optical element of the phase adjuster during exposure of a pattern on a substrate. In use, the pattern is illuminated with an illumination mode including an off-axis radiation beam. This beam is diffracted into a number of first-order diffracted beams, one associated with a first pitch in the pattern, along a first direction, another associated with a second pitch along a different, second direction. An area is identified where the first-order diffracted beam associated with the first pitch traverses the optical element. An image characteristic of an image of the pattern is optimized by calculating a desired optical phase of this first-order diffracted beam in relation to the optical phase of the other first-order diffracted beam. The phase adjuster is controlled to apply the desired optical phase to the first order diffracted beam. | 08-29-2013 |
20130229639 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, OPTICAL ELEMENT AND MANUFACTURING METHOD THEREOF, AND DEVICE MANUFACTURING METHOD - An illumination optical system which illuminates a surface to be illuminated on the basis of light from a light source has a first optical path in which a diffractive optical element can be arranged at a first position thereof; a second optical path in which a spatial light modulator with a plurality of optical elements arrayed two-dimensionally and controlled individually can be arranged at a second position thereof; and a third optical path which is an optical path of light having passed via at least one of the first optical path and the second optical path and in which a distribution forming optical system is arranged. The distribution forming optical system forms a predetermined light intensity distribution on an illumination pupil located in the third optical path, based on the light having passed via at least one of the first and second optical paths. | 09-05-2013 |
20130235361 | Lithographic Method and Apparatus - A method of patterning substrates using a lithographic apparatus. The method comprising providing a beam of radiation using an illumination system, using a patterning device to impart the radiation beam with a pattern in its cross-section, and using a projection system to project the patterned radiation beam onto target portions of a lot of substrates, wherein the method further comprises performing a radiation beam aberration measurement after projecting the patterned radiation beam onto a subset of the lot of substrates, performing an adjustment of the projection system using the results of the radiation beam aberration measurement, then projecting the patterned radiation beam onto a further subset of the lot of substrates. | 09-12-2013 |
20130235362 | EXPOSURE APPARATUS - An exposure apparatus includes a first mark-forming unit further upstream than an irradiation region for exposure light in a direction of conveyance of a member to be exposed. A mark for meandering detection is detected, and a detection unit detects the mark for meandering detection in a direction intersecting a direction of movement of the member to be exposed. A second mark-forming unit is moved so as to negate an amount of meandering by the member to be exposed, computed on the basis thereof, and an alignment mark is formed rectilinearly in a relative fashion with respect to the member to be exposed. This enables highly accurate, stable exposure whereby, even in a case where the member is supplied continuously, an alignment mark for mask position adjustment can be changed in accordance with the meandering of the member to be exposed and the position of the mask with respect to the member to be exposed can be accurately adjusted. | 09-12-2013 |
20130242277 | METHOD OF CONTROLLING A PATTERNING DEVICE IN A LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD AND LITHOGRAPHIC APPARATUS - A system for controlling a patterning device in a lithographic apparatus using a patterning device having individually controllable elements that may only be set to two states. The method includes converting a representation of a pattern to be formed on the substrate into a plurality of area intensity signals, each corresponding to a radiation intensity level required to be set in a respective area of the patterning device in order to provide the desired pattern on the substrate and a separate step of converting each of the area intensity signals into control signals for a plurality of individually controllable elements that each correspond to the area of the patterning device. | 09-19-2013 |
20130250262 | ILLUMINATION OPTICAL SYSTEM FOR PROJECTION LITHOGRAPHY - An illumination optical system for projection lithography has an optical assembly for guiding illumination light to an object field to be illuminated in an object plane. The illumination optical system divides a bundle of the illumination light into a plurality of part bundles, which are allocated to various illumination directions of the object field illumination. The illumination optical system is configured in such a way that at least some of the part bundles are superimposed on one another in a first superimposition plane according to a first superimposition specification and in a second superimposition plane, which is spaced apart from the first superimposition plane, according to a second superimposition specification. The result is an illumination optical system, in which an influencing and/or a monitoring of an illumination intensity distribution over the object field is made possible, as far as possible without influencing an illumination angle distribution. | 09-26-2013 |
20130250263 | Pulsed Laser Source with High Repetition Rate - Methods and systems for generating pulses of laser radiation at higher repetition rates than those of available excimer lasers are disclosed that use multiple electronic triggers for multiple laser units and arrange the timings of the different triggers with successive delays, each delay being a fraction of the interval between two successive pulses of a single laser unit. Methods and systems for exposing nanoscale patterns using such high-repetition-rate lasers are disclosed. | 09-26-2013 |
20130250264 | ILLUMINATION SYSTEM FOR ILLUMINATING A MASK IN A MICROLITHOGRAPHIC EXPOSURE APPARATUS - An illumination system of a micro-lithographic projection exposure apparatus is provided, which is configured to illuminate a mask positioned in a mask plane. The system includes a pupil shaping optical subsystem and illuminator optics that illuminate a beam deflecting component. For determining a property of the beam deflecting component, an intensity distribution in a system pupil surface of the illumination system is determined. Then the property of the beam deflecting component is determined such that the intensity distribution produced by the pupil shaping subsystem in the system pupil surface approximates the intensity distribution determined before. At least one of the following aberrations are taken into account in this determination: (i) an aberration produced by the illuminator optics; (ii) an aberration produced by the pupil shaping optical subsystem; (iii) an aberration produced by an optical element arranged between the system pupil surface and the mask plane. | 09-26-2013 |
20130258302 | Projection Exposure Apparatus with at least One Manipulator - A projection exposure apparatus for microlithography includes a projection lens which includes a plurality of optical elements for imaging mask structures onto a substrate during an exposure process. The projection exposure apparatus also includes at least one manipulator configured to change, as part of a manipulator actuation, the optical effects of at least one of the optical elements within the projection lens by changing a state variable of the optical element along a predetermined travel. The projection exposure apparatus further includes an algorithm generator configured to generate a travel generating optimization algorithm, adapted to at least one predetermined imaging parameter, on the basis of the at least one predetermined imaging parameter. | 10-03-2013 |
20130258303 | METHOD FOR OPERATING AN ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A method of operating an illumination system of a microlithographic projection exposure apparatus is provided. A set of illumination parameters that describe properties of a light bundle which converges at a point on a mask to be illuminated by the illumination system is first determined. Optical elements whose optical effect on the illumination parameters can be modified as a function of control commands are furthermore determined, as well as sensitivities with which the illumination parameters react to an adjustment of the optical elements, induced by the control commands. The control commands are then determined while taking the previously determined sensitivities into account, such that deviations of the illumination parameters from predetermined target illumination parameters satisfy a predetermined minimisation criterion. These control commands are applied to the optical elements, before the mask is illuminated. | 10-03-2013 |
20130265559 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An illumination optical system includes: a stop configured to define an illumination range of a surface to be illuminated; an imaging optical system configured to form an image of an aperture of the stop onto the surface to be illuminated; an calculation unit configured to calculate an offset amount between a size of the aperture and a target illumination range of the surface to be illuminated using data on the target illumination range; and an adjusting unit configured to adjust the size of the aperture based on the calculated offset amount. The offset amount differs according to a size of the target illumination range. | 10-10-2013 |
20130265560 | EUV Lithography System - An EUV lithography system | 10-10-2013 |
20130271740 | Lithographic Apparatus, Substrate and Device Manufacturing Method - A method uses a lithographic apparatus to form an inspection target structure upon a substrate. The method comprises forming the periphery of the inspection target structure so as to provide a progressive optical contrast transition between the inspection target structure and its surrounding environment. This may be achieved by providing a progressive change in the optical index at the periphery of the target structure. | 10-17-2013 |
20130278910 | PROJECTION OPTICAL ASSEMBLY, PROJECTION OPTICAL ASSEMBLY ADJUSTMENT METHOD, EXPOSURE DEVICE, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An embodiment is a projection optical assembly capable of controlling aberration variation due to irradiation with light at a low level. The projection optical assembly for forming an image of a first surface on a second surface, using light of a predetermined wavelength is provided with a correction member to generate an aberration in a tendency opposite to a tendency of the aberration generated in the projection optical assembly by irradiation with the light of the predetermined wavelength. The correction member is a light transmissive member having an absorption loss of not less than 2% for the light of the predetermined wavelength. For example, at least one of a base material of the correction member and a thin film on the base material has the absorption loss of not less than 2% for the light of the predetermined wavelength. | 10-24-2013 |
20130278911 | OPTICAL SYSTEM WITH AN EXCHANGEABLE, MANIPULABLE CORRECTION ARRANGEMENT FOR REDUCING IMAGE ABERRATIONS - The disclosure relates to an optical system, such as a projection exposure apparatus for semiconductor lithography, including a manipulable correction arrangement for reducing image aberrations. In some embodiments, the system includes at least one manipulator configured to reduce image aberrations. The manipulator can include at least one optical element which can be manipulated by at least one actuator. The manipulator can be formed in changeable fashion together with an actuator. | 10-24-2013 |
20130286371 | Methodology For Implementing Enhanced Optical Lithography For Hole Patterning In Semiconductor Fabrication - System and method for enhancing optical lithography methodology for hole patterning in semiconductor fabrication are described. In one embodiment, a photolithography system comprises an illumination system for conditioning light from a light source, the illumination system producing a three-pore illumination pattern; a reticle comprising at least a portion of a pattern to be imaged onto a substrate, wherein the three-pore illumination pattern produced by the illumination system is projected through the reticle; and a projection lens disposed between the reticle and the substrate. | 10-31-2013 |
20130286372 | Exposure Device, Exposure Method and Method of Manufacturing Semiconductor Device - The present invention provides a highly controllable device for exposure from the back side and an exposure method, and also provides a method of manufacturing a semiconductor device using the same. The present invention involves exposure with the use of the back side exposure device of which a reflecting means is disposed on the front side of a substrate, apart from a photosensitive thin film surface by a distance X (X=0.1 μm to 1000 μm), and formation of a photosensitive thin film pattern in a self alignment manner, with good controllability, at a position a distance Y away from the end of a pattern. The invention fabricates a TFT using that method. | 10-31-2013 |
20130286373 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND METHOD OF MANUACTURING DEVICE - An exposure method comprises a calculation step of calculating a correction amount of a correction unit which corrects a change in imaging characteristics of a projection optical system based on at least one of parameters including a numerical aperture and effective light source of an illumination optical system, a numerical aperture of the projection optical system, and a size and pitch of a pattern, and an amount of change in environment condition in the projection optical system; and a correction step of making the correction unit operate in accordance with the correction amount calculated in the calculation step. | 10-31-2013 |
20130301023 | REFLECTIVE OPTICAL ELEMENT AND EUV LITHOGRAPHY APPLIANCE - A reflective optical element and an EUV lithography appliance containing one such element are provided, the appliance displaying a low propensity to contamination. The reflective optical element has a protective layer system includes at least two layers. The optical characteristics of the protective layer system are between those of a spacer and an absorber, or correspond to those of a spacer. The selection of a material with the smallest possible imaginary part and a real part which is as close to 1 as possible in terms of the refractive index leads to a plateau-type reflectivity course according to the thickness of the protective layer system between two thicknesses d | 11-14-2013 |
20130301024 | METHOD OF OPERATING A PROJECTION EXPOSURE TOOL FOR MICROLITHOGRAPHY - A method of operating a projection exposure tool for microlithography is provided. The projection exposure tool has a projection objective for imaging object structures on a mask into an image plane using electromagnetic radiation, during which imaging the electromagnetic radiation causes a change in optical properties of the projection objective. The method comprises the steps of: providing the layout of the object structures on the mask to be imaged and classifying the object structures according to their type of structure, calculating the change in the optical properties of the projection objective effected during the imaging process on the basis of the classification of the object structures, and using the projection exposure tool for imaging the object structures into the image plane, wherein the imaging behavior of the projection exposure tool is adjusted on the basis of the calculated change of the optical properties in order to at least partly compensate for the change of the optical properties of the projection objective caused by the electromagnetic radiation during the imaging process. | 11-14-2013 |
20130308111 | EXPOSURE DEVICE - An exposure device can exposes a circuit pattern while information data is suitably changed. An exposure device comprises a first light source ( | 11-21-2013 |
20130308112 | METHOD AND SYSTEM FOR PRINTING HIGH-RESOLUTION PERIODIC PATTERNS - A method for printing a desired periodic pattern includes providing a mask bearing a pattern of features having a period, providing a substrate bearing a photosensitive layer, arranging the substrate with a separation from the mask, generating collimated light with a wavelength and an intensity, at least the former of which may be temporally varied to deliver a spectral distribution of energy density, illuminating the mask pattern with the light while varying at least its wavelength so as to deliver a spectral distribution of energy density, such that the light-field transmitted by the mask is instantaneously composed of a range of transversal intensity distributions between Talbot planes. The layer is exposed to a time-integrated intensity distribution that prints the desired pattern. The separation, spectral distribution and period are arranged so that the time-integrated intensity distribution corresponds to an average of the range of transversal intensity distributions. | 11-21-2013 |
20130314680 | LENS UNIT, EXPOSURE DEVICE, LED HEAD, IMAGE FORMATION APPARATUS, AND READING APPARATUS - A lens unit includes a first lens plate including first lenses arranged in a first direction and configured to form an intermediate image being an inverted reduced image of an object, a second lens plate including second lenses arranged in the first direction and configured to form an inverted enlarged image of the intermediate image on a light reception surface, and a positioning portion being in contact with both a butting portion formed on the first lens plate and a butting portion formed on the second lens plate. | 11-28-2013 |
20130314681 | ARRANGEMENT FOR MOUNTING AN OPTICAL ELEMENT - The invention relates to an arrangement for mounting an optical element, in particular in an EUV projection exposure apparatus, comprising a weight force compensation device ( | 11-28-2013 |
20130321786 | Optical Projection Array Exposure System - A spatial light modulator imaging system is disclosed. The system comprises an illumination module configured to provide illumination light representing data patterns to be imaged by the spatial light modulator imaging system, a projection module configured to project the illumination light to a substrate, and an illumination-projection beam separator coupled between the illumination module and the projection module, where the illumination-projection beam separator is configured to receive the illumination light along an illumination optical axis and transmit the illumination light received to the projection module along a projection optical axis, and where the illumination optical axis and the projection optical axis are substantially parallel to each other. | 12-05-2013 |
20130329203 | METHOD AND APPARATUS FOR PRINTING HIGH-RESOLUTION TWO-DIMENSIONAL PERIODIC PATTERNS - A method for printing a periodic pattern having a first symmetry and a first period into a photosensitive layer. The method includes providing a mask bearing a pattern of at least two overlapping sub-patterns which have a second symmetry and a second period, the features of each sub-pattern being formed in a transmissive material, providing a substrate bearing the layer, arranging the mask with a separation from the substrate, providing light having a central wavelength for illuminating the mask to generate a light-field in which light of the central wavelength forms a range of intensity distributions between Talbot planes, illuminating said mask pattern with said light while maintaining the separation or changing it by a distance whereby the photosensitive layer is exposed to an average of the range of intensity distributions, wherein the light transmitted by each sub-pattern is shifted in phase relative to that transmitted by another sub-pattern. | 12-12-2013 |
20130329204 | Photon Source, Metrology Apparatus, Lithographic System and Device Manufacturing Method - A laser driven light source comprises laser and focusing optics. These produce a beam of radiation focused on a plasma forming zone within a container containing a gas (e.g., Xe). Collection optics collects photons emitted by a plasma maintained by the laser radiation to form a beam of output radiation. Plasma has an elongate form (L>d) and collecting optics is configured to collect photons emerging in the longitudinal direction from the plasma. The brightness of the plasma is increased compared with sources which collect radiation emerging transversely from the plasma. A metrology apparatus using the light source can achieve greater accuracy and/or throughput as a result of the increased brightness. Back reflectors may be provided. Microwave radiation may be used instead of laser radiation to form the plasma. | 12-12-2013 |
20130329205 | MASKLESS LITHOGRAPHY SYSTEM - A maskless lithography system includes a light source, a first lens group, a digital micromirror device, a grating device, a second lens, a reflective mirror, and a third lens. The light source provides a light beam. The first lens group is used for guiding the light beam. The digital micromirror device includes plural micromirrors. The optical switching states of the micromirrors are controlled by a controlling device, so that a patterned light beam is outputted from the digital micromirror device. The grating device is used for allowing a portion of the patterned light beam to go through, thereby controlling a light amount. The patterned light beam is guided by the second lens. The reflective mirror may change a path of the patterned light beam. The third lens is used for guiding the patterned light beam to a sample platform, thereby carrying out a photochemical reaction. | 12-12-2013 |
20130329206 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus is equipped with an encoder system which measures positional information of a wafer stage by irradiating a measurement beam using four heads installed on the wafer stage on a scale plate which covers the movement range of the wafer stage except for the area right under a projection optical system. Placement distances of the heads here are each set to be larger than width of the opening of the scale plates, respectively. This allows the positional information of the wafer stage to be measured, by switching and using the three heads facing the scale plate out of the four heads according to the position of the wafer stage. | 12-12-2013 |
20130335720 | REFLECTING OPTICAL MEMBER, OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An exit-side fly-eye mirror is provided with a first mirror block having a mirror element as one of a plurality of mirror elements, and a second mirror block having a mirror element as one of the plurality of mirror elements. The first mirror block has a connecting part that protrudes from an area other than the mirror surface of the mirror element, the connecting part providing a connection to the second mirror block. With the connecting part of the first mirror block, a plurality of mirror elements that includes the mirror element of the second mirror block is positioned relative to the mirror element of the first mirror block. | 12-19-2013 |
20130335721 | MEASUREMENT OF THE POSITION OF A RADIATION BEAM SPOT IN LITHOGRAPHY - A radiation spot measurement system for a lithographic apparatus, the system having a target onto which a radiation system of the lithographic apparatus may project spots of radiation for a measurement process, the target having a measurement target. The system further includes a radiation detector to detect radiation from one of the spots, and a controller to receive information from the radiation detector and to determine the position of the spot of radiation relative to an intended position of the spot of radiation. | 12-19-2013 |
20130342819 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides an exposure apparatus including a projection optical system configured to project light from a reticle onto a substrate, a processor configured to estimate a variation in imaging characteristic of the projection optical system, based on a model determined in advance, and an adjusting device configured to adjust the imaging characteristic of the projection optical system based on the variation estimated by the processor, wherein the processor is configured, if an error of the imaging characteristic of the projection optical system adjusted by the adjusting device based on the variation which is estimated based on a first number of models, for estimating the variation, determined in advance without the reticle, does not fall within a tolerance, to generate a second number of models for estimating the variation, the second number being larger than the first number. | 12-26-2013 |
20130342820 | LIGHT-EXPOSURE DEVICE - A light-exposure device is provided with a microlens array on which is arranged with a prescribed regularity a plurality of microlenses on which exposure light transmitted through a light source and a mask is introduced to resolve an upright equal-magnification image on a substrate. Upon reaching a prescribed position, the substrate is irradiated with pulsed laser light from the light source, and the substrate is successively exposed, and after the entire area of the exposure region of the substrate is exposed, a relative positional relationship between the microlens array and the mask is successively switched in a vertical direction by an amount of a horizontal pitch of the microlenses, and a subsequent exposure is performed. Exposure with high precision and high resolution can thereby be performed with a short exposure cycle time. | 12-26-2013 |
20140009746 | LITHOGRAPHIC APPARATUS, METHOD FOR MAINTAINING A LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus having a plurality of individually controllable radiation source units each providing a portion of a patterned beam of radiation, a control system configured to monitor a parameter of performance of each of the individually controllable radiation source units, and a replacement mechanism configured to replace one of the individually controllable radiation source units with a replacement unit responsive to the control system determining that a criterion has been met based on the monitored parameter of performance. | 01-09-2014 |
20140016108 | OPTICAL IMAGING DEVICE WITH IMAGE DEFECT DETERMINATION - An optical imaging device, including an imaging unit and a measuring device. The imaging unit includes a first optical element group having at least one first optical element, which contributes to the imaging. The measuring device determines an imaging error, which occurs during the imaging, using a capturing signal. The measuring device includes a measurement light source, a second optical element group and a capturing unit. The measurement light source emits at least one measurement light bundle, The second optical element group includes an optical reference element and a second optical element, which guide the measurement light bundle onto the capturing unit, to generate the capturing signal. Each second optical element has a defined spatial relationship with a respective one of the first optical elements, The second optical elements differ from the first optical elements. The measuring device determines the imaging error with the capturing signal. | 01-16-2014 |
20140022524 | DEVICE AND METHOD FOR THE OPTICAL MEASUREMENT OF AN OPTICAL SYSTEM BY USING AN IMMERSION FLUID - A device for the optical measurement of an optical system, in particular an optical imaging system, is provided. The device includes at least one test optics component arranged on an object side or an image side of the optical system. An immersion fluid is adjacent to at least one of the test optics components. A container for use in this device, a microlithography projection exposure machine equipped with this device, and a method which can be carried out with the aid of this device are also provided. The device and method provide for optical measurement of microlithography projection objectives with high numerical apertures by using wavefront detection with shearing or point diffraction interferometry, or a Moiré measuring technique. | 01-23-2014 |
20140022525 | Deflection Mirror and Projection Exposure Apparatus for Microlithography Comprising Such a Deflection Mirror - A deflection mirror ( | 01-23-2014 |
20140028988 | Intracavity Loss Element for Power Amplifier - A regenerative ring resonator in the path of a light beam includes a discharge chamber having electrodes and a gain medium between the electrodes; an optical coupler that is partially reflective so that at least a portion of a light beam impinging on the optical coupler from the discharge chamber is reflected back through the discharge chamber and at least a portion of the light beam impinging on the optical coupler from the discharge chamber is transmitted through the optical coupler; and an attenuation optical system in the path of the light beam within the resonator, the attenuation optical system having a plurality of distinct attenuation states, with each attenuation state defining a distinct attenuation factor applied to the light beam to provide adjustment of an energy of the light beam. | 01-30-2014 |
20140028989 | EUV LITHOGRAPHY APPARATUS AND METHOD FOR DETECTING PARTICLES IN AN EUV LITHOGRAPHY APPARATUS - An EUV lithography apparatus ( | 01-30-2014 |
20140036246 | IMAGING OPTICAL SYSTEM AND PROJECTION EXPOSURE SYSTEM FOR MICROLITHOGRAPHY - An imaging optical system includes a plurality of mirrors that image an object field in an object plane into an image field in an image plane. At least one of the mirrors is obscured, and thus has an opening for imaging light to pass through. The fourth-last mirror in the light path before the image field is not obscured and provides, with an outer edge of the optically effective reflection surface thereof, a central shadowing in a pupil plane of the imaging optical system. The distance between the fourth-last mirror and the last mirror along the optical axis is at least 10% of the distance between the object field and the image field. An intermediate image, which is closest to the image plane, is arranged between the last mirror and the image plane. The imaging optical system can have a numerical aperture of 0.9. These measures, not all of which must be effected simultaneously, lead to an imaging optical system with improved imaging properties and/or reduced production costs. | 02-06-2014 |
20140043594 | METHOD OF ADJUSTING LIGHTING OPTICAL DEVICE, LIGHTING OPTICAL DEVICE, EXPOSURE SYSTEM, AND EXPOSURE METHOD - An illumination optical apparatus is arranged to illuminate a surface to be illuminated, with light in a desired polarization state, without substantive influence of manufacturing error of an optical member functioning as a wave plate. The illumination optical apparatus illuminates the surface to be illuminated on the basis of light from a light source. The illumination optical apparatus is provided with a polarization converting element disposed on or near an illumination pupil plane and adapted for converting a polarization state of incident light into a predetermined polarization state. The polarization converting element has a plurality of variable optical rotating members for variably yielding an angle of rotation to incident linearly polarized light. Each variable optical rotating member has two deviation prisms which are made of an optical material with an optical rotatory power and which are movable relative to each other along a direction intersecting with the optical axis. | 02-13-2014 |
20140055765 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides an exposure apparatus for exposing a shot on a substrate to first pulsed light, the apparatus including an optical element for guiding the first pulsed light to the substrate, an irradiation device configured to irradiate the optical element with second pulsed light having an infrared wavelength which the first pulsed light has less than the second pulsed light has, and a controller configured to control the irradiation device in a process of exposing the shot to the first pulsed light plural times, such that the irradiation device irradiates the optical element with the second pulsed light during at least one period of non-irradiation periods in which the shot is not irradiated with the first pulsed light. | 02-27-2014 |
20140055766 | EXPOSURE APPARATUS AND METHOD OF MANUFACTURING ARTICLE - An exposure apparatus includes an optical system configured to expose a substrate. The optical system includes an optical member, a holding portion which holds the optical member, a pressing portion which presses the holding portion and the optical member against each other, and a sealed adhesive material which is filled in a space formed by the optical member and the holding portion pressed against each other, and adheres the optical member and the holding portion to each other. | 02-27-2014 |
20140055767 | MIRROR ARRAY - A mirror array having a total surface extending perpendicularly to a surface normal, comprises a multiplicity of mirror elements each having a reflection surface and at least one degree of freedom of displacement, wherein the totality of the mirror elements form a parqueting of a total reflection surface of the mirror array, and wherein the mirror array is embodied modularly as a tile element in such a way that the parqueting of the total reflection surface can be extended by a tiling of a plurality of such mirror arrays. | 02-27-2014 |
20140063479 | METHOD FOR CONTROLLING EXPOSURE APPARATUS AND EXPOSURE APPARATUS - According to one embodiment, a method for controlling an exposure apparatus includes calculating a shift from a target value of an illuminance distribution on a reticle of light irradiated onto the reticle. The calculating is based on a relational expression and a measured value of an illuminance distribution on a wafer of light irradiated onto the wafer via a projection optical system. The relational expression has a correlation between the illuminance distribution on the reticle, the illuminance distribution on the wafer, and a spread function reflecting a characteristic of the projection optical system projecting light obtained by way of the reticle onto the wafer. | 03-06-2014 |
20140071417 | LIQUID OPTICALLY CLEAR ADHESIVE LAMINATION PROCESS CONTROL - Methods and devices for using liquid optically clear adhesives (LOCAs) are described. A method for detecting uncured LOCA between a first substrate and a second substrate is described. In addition, an improved method for curing a laminated stack up having LOCA between a first substrate and a second substrate is described. The method includes a pre-curing method involving variable exposure of the LOCA. In addition, an improved light emitting diode (LED) unit assembly for exposing a laminated stack up to ultraviolet (UV) light during a pre-curing process is described. A method for testing the LED unit assembly prior to a pre-curing process is described. | 03-13-2014 |
20140071418 | IMAGING OPTICAL UNIT - An imaging optical unit serves for imaging an object field into an image field. An imaging beam path (AS) between the object field and the image field is subdivided into a plurality of partial imaging beam paths (TAS). The imaging optical unit is embodied such that the partial imaging beam paths (TAS) run between the object field and the image field in a manner completely separated from one another and guided by optical components (M1 to M6) of the imaging optical unit, that is to say that nowhere in the beam path between the object field and the image field do the partial imaging beam paths (TAS) impinge on identical regions of beam-guiding surfaces of the imaging optical unit. This results in an imaging optical unit in which a resolution capability, particularly in the production of micro- or nanostructured semiconductor components, is increased. | 03-13-2014 |
20140071419 | FLY'S EYE OPTICAL MIRROR WITH A PLURALITY OF OPTICAL ELEMENTS ROTATIONALLY ALIGNED ALONG TWO AXES - A fly's eye mirror including first and second complementary M×N arrays, each including a plurality of faceted reflective surfaces arranged along both the first and the second axes. When assembled, the two complementary arrays are integrated together and mounted onto a common base plate. With the increased lineal length of each array along both axes, the faceted reflective surfaces of each array are in rotational or tilt alignment with a base plate along both axes. | 03-13-2014 |
20140078479 | IMAGE OPTIMIZATION USING PUPIL FILTERS IN PROJECTING PRINTING SYSTEMS WITH FIXED OR RESTRICTED ILLUMINATION ANGULAR DISTRIBUTION - A pupil filter can be designed for any combination of an illumination lens and for various types of lithographic features. The pupil filter can be placed at the pupil plane of a projection optics system. For any given illumination lens providing a pupil fill within a pupil lens, a lithographic mask can be designed for the purpose of printing a one-dimensional array of line and space features or for the purpose of printing a two-dimensional array of contact holes by blocking areas, for each pixel in the pupil fill, the corresponding pixel and diffraction order pixels in the pupil lens unless +1 or −1 diffraction order pixels fall within the area of the numerical aperture. For the purpose of frequency doubling, the pupil fill area is blocked. | 03-20-2014 |
20140078480 | APPARATUS FOR CREATING AN EXTREME ULTRAVIOLET LIGHT, AN EXPOSING APPARATUS INCLUDING THE SAME, AND ELECTRONIC DEVICES MANUFACTURED USING THE EXPOSING APPARATUS - An apparatus for creating an EUV light may include a droplet-supplying unit, a laser-irradiating unit, a light-concentrating unit and a guiding unit. The droplet-supplying unit may supply a droplet from which the EUV light may be created. The laser-irradiating unit may irradiate a laser to the droplet supplied from the droplet-supplying unit to create the EUV light. The light-concentrating unit may concentrate the EUV light created by the laser-irradiating unit. The guiding unit may guide the droplet to a position at which the laser may be irradiated. The guiding unit may have at least one gas-spraying hole for spraying a gas to a space between the droplet-supplying unit and the laser irradiation position to form a gas curtain configured to surround the droplet. | 03-20-2014 |
20140078481 | METHOD FOR CORRECTING THE SURFACE FORM OF A MIRROR - A method for correcting a surface form of a mirror ( | 03-20-2014 |
20140078482 | LITHOGRAPHIC PROJECTION OBJECTIVE - Projection objectives, such as projection objectives of lithography projection exposure apparatuses, as well as related systems, components and methods, such as methods of revising and/or repairing such objectives, are disclosed. | 03-20-2014 |
20140078483 | Catadioptric Projection Objective With Intermediate Images - A catadioptric projection objective has a first objective part, defining a first part of the optical axis and imaging an object field to form a first real intermediate image. It also has a second, catadioptric objective part forming a second real intermediate image using the radiation from the first objective part. The second objective part has a concave mirror and defines a second part of the optical axis. A third objective part images the second real intermediate image into the image plane and defines a third part of the optical axis. Folding mirrors deflect the radiation from the object plane towards the concave mirror; and deflect the radiation from the concave mirror towards the image plane. The first part of the optical axis defined by the first objective part is laterally offset from and aligned parallel with the third part of the optical axis. | 03-20-2014 |
20140078484 | IMAGING OPTICAL SYSTEM AND PROJECTION EXPOSURE INSTALLATION FOR MICROLITHOGRAPHY WITH AN IMAGING OPTICAL SYSTEM OF THIS TYPE - An imaging optical system has a plurality of mirrors, which via a beam path for imaging light, image an object field in an object plane into an image field in an image plane. The imaging optical system has an exit pupil obscuration. At least one of the mirrors has no opening for passage of the imaging light. The fourth to last mirror in the beam path is concave, resulting in an imaging optical system having improved imaging properties without compromise in throughput. | 03-20-2014 |
20140078485 | MIRROR ASSEMBLY FOR AN EXPOSURE APPARATUS - A mirror assembly ( | 03-20-2014 |
20140092372 | MULTI-PHOTON EXPOSURE SYSTEM - An exposure system includes a light source emitting a beam along an optical axis that is capable of inducing a multi-photon reaction in a resin. The exposure system further includes a resin undergoing multiphoton reaction, as well as an automated system including a monitor that measures at least one property of the beam selected from power, pulse length, shape, divergence, or position in a plane normal to the optical axis. The monitor generates at least one signal indicative of the property of the beam, and a sub-system adjusts the beam in response to the signal from the monitor. | 04-03-2014 |
20140098356 | OPTICAL SYSTEM, INSPECTION SYSTEM AND MANUFACTURING METHOD - Systems and methods for inspection are provided utilizing a wide angle optical system. The optical system includes a wide angle input lens group and an output lens group. The wide angle input lens group is configured to receive wide-angle radiation, e.g., having an angular spread of 60 degrees or more, from an object surface, and produce imageable radiation. The wide angle input lens group is arranged such that no intermediate focused image is formed within or after the wide angle input lens group. The output lens group is configured to receive the imageable radiation from the wide angle input lens group and focus the imageable radiation onto an image plane to image at least part of the object surface. A detector receives the image of the at least part of the object surface and, based on the received image, detects, for example, contamination on the object surface. | 04-10-2014 |
20140104587 | PROJECTION ARRANGEMENT - A projection arrangement for imaging lithographic structure information comprises: an optical element, which has at least partly a coating composed of an electrically conductive layer material. The coating comprises a continuous region, which has no elements that shade projection light. In this case, the layer material and/or the optical element change(s) an optical property, in particular a refractive index or an optical path length, depending on a temperature change. At least one mechanism for coupling energy into the layer material is provided, which couples in energy in such a way that the layer material converts coupled-in energy into thermal energy. The layer material may comprise graphene, chromium and/or molybdenum sulfide (MoS2). | 04-17-2014 |
20140104588 | PROJECTION OBJECTIVE FOR MICROLITHOGRAPHY - A projection objective for microlithography is used for imaging an object field in an object plane into an image field in an image plane. The projection objective comprises at least six mirrors of which at least one mirror has a freeform reflecting surface. The ratio between an overall length (T) of the projection objective and an object image shift (d | 04-17-2014 |
20140104589 | FACET MIRROR DEVICE - A facet mirror device includes a facet element, a support device and a clamping device. The facet element includes a first support section, while the support device comprises a second support section contacting the first support section to support the facet element. The clamping device includes a tensioning element, a first end of the tensioning element being connected to the facet element a second end of the tensioning element being connected to a counter unit. The counter unit includes a third support section, the support device including a fourth support section contacting the third support section to support the counter unit. | 04-17-2014 |
20140111784 | GRAPHENE OXIDE DEOXYGENATION - A graphene oxide (GO) target is exposed to light having power sufficient to initiate a deoxygenation reaction of the GO target. The deoxygenation reaction of the GO target transforms the GO target to graphene. | 04-24-2014 |
20140111785 | ILLUMINATION OPTICAL UNIT FOR PROJECTION LITHOGRAPHY - An illumination optical unit for projection lithography has a first polarization mirror device to reflect and polarize of illumination light. A second mirror device, which is disposed downstream of the polarization mirror device reflects an illumination light beam. At least one drive device is operatively connected to at least one of the two mirror devices. The two mirror devices are displaceable relative to one another via the drive device between a first relative position, which leads to a first beam geometry of the illumination light beam after reflection at the second mirror device, and a second relative position, which leads to a second beam geometry of the illumination light beam after reflection at the second mirror device, which is different from the first beam geometry. This results in a flexible predefinition of different illumination geometries, in particular of different illumination geometries with rotationally symmetrical illumination. | 04-24-2014 |
20140111786 | Catadioptric Projection Objective With Intermediate Images - A catadioptric projection objective has a first objective part, defining a first part of the optical axis and imaging an object field to form a first real intermediate image. It also has a second, catadioptric objective part forming a second real intermediate image using the radiation from the first objective part. The second objective part has a concave mirror and defines a second part of the optical axis. A third objective part images the second real intermediate image into the image plane and defines a third part of the optical axis. Folding mirrors deflect the radiation from the object plane towards the concave mirror; and deflect the radiation from the concave mirror towards the image plane. The first part of the optical axis defined by the first objective part is laterally offset from and aligned parallel with the third part of the optical axis. | 04-24-2014 |
20140111787 | CATADIOPTRIC PROJECTION OBJECTIVE - A catadioptric projection objective for imaging a pattern provided in an object plane of the projection objective onto an image plane of the projection objective has a first, refractive objective part for imaging the pattern provided in the object plane into a first intermediate image; a second objective part including at least one concave mirror for imaging the first Intermediate imaging into a second intermediate image; and a third, refractive objective part for imaging the second intermediate imaging onto the image plane; wherein the projection objective has a maximum lens diameter D | 04-24-2014 |
20140118711 | Exposure Device for the Structured Exposure of a Surface - The invention relates to an exposure device ( | 05-01-2014 |
20140118712 | MEASURING SYSTEM - An objective for a projection exposure apparatus includes a metrology stage arranged on the frame of the objective. The objective includes at least one optical component, an objective mount for mounting the optical component, and a positioning device for holding at least one measuring device. The positioning device is connected to the objective mount and has at least one degree of freedom of displacement for displacing the measuring device. | 05-01-2014 |
20140118713 | Catadioptric Projection Objective With Intermediate Images - A catadioptric projection objective has a first objective part, defining a first part of the optical axis and imaging an object field to form a first real intermediate image. It also has a second, catadioptric objective part forming a second real intermediate image using the radiation from the first objective part. The second objective part has a concave mirror and defines a second part of the optical axis. A third objective part images the second real intermediate image into the image plane and defines a third part of the optical axis. Folding mirrors deflect the radiation from the object plane towards the concave mirror; and deflect the radiation from the concave mirror towards the image plane. The first part of the optical axis defined by the first objective part is laterally offset from and aligned parallel with the third part of the optical axis. | 05-01-2014 |
20140118714 | PROJECTION OPTICS FOR MICROLITHOGRAPHY - A projection optics for microlithography, which images an object field in an object plane into an image field in an image plane, where the projection optics include at least one curved mirror and including at least one refractive subunit, as well as related systems, components, methods and products prepared by such methods, are disclosed. | 05-01-2014 |
20140132940 | EXPOSURE APPARATUS AND EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus is equipped with a first and second stage that are movable independently from each other within a predetermined plane and each have a table with a grating positioned under a surface where a wafer is mounted, and a third stage that is movable independently from the first and second stages within a predetermined plane and includes a light-receiving plane that receives an energy beam via an optical system. An optical member is provided that is at least a part of a measurement device, which performs a measurement related to exposure based on a light-receiving result of the energy beam received via the light-receiving plane. In an exposure station and measurement station, a first and second measurement system are respectively provided that measure the position of the tables by irradiating the grating of the first or second stage from below with a measurement beam. | 05-15-2014 |
20140132941 | IMAGING OPTICAL SYSTEM AND PROJECTION EXPOSURE SYSTEM INCLUDING THE SAME - An imaging optical system has a plurality of mirrors. These image an object field in an object plane into an image field in an image plane. In the imaging optical system, the ratio of a maximum angle of incidence of imaging light) on reflection surfaces of the mirrors and an image-side numerical aperture of the imaging optical system is less than 33.8°. This can result in an imaging optical system which offers good conditions for a reflective coating of the mirror, with which a low reflection loss can be achieved for imaging light when passing through the imaging optical system, in particular even at wavelengths in the EUV range of less than 10 nm. | 05-15-2014 |
20140139814 | Methods and Scatterometers, Lithographic Systems, and Lithographic Processing Cells - In a method of determining the focus of a lithographic apparatus used in a lithographic process on a substrate, the lithographic process is used to form a structure on the substrate, the structure having at least one feature which has an asymmetry in the printed profile which varies as a function of the focus of the lithographic apparatus on the substrate. A first image of the periodic structure is formed and detected while illuminating the structure with a first beam of radiation. The first image is formed using a first part of non-zero order diffracted radiation. A second image of the periodic structure is formed and detected while illuminating the structure with a second beam of radiation. The second image is formed using a second part of the non-zero order diffracted radiation which is symmetrically opposite to the first part in a diffraction spectrum. The ratio of the intensities of the measured first and second portions of the spectra is determined and used to determine the asymmetry in the profile of the periodic structure and/or to provide an indication of the focus on the substrate. In the same instrument, an intensity variation across the detected portion is determined as a measure of process-induced variation across the structure. A region of the structure with unwanted process variation can be identified and excluded from a measurement of the structure. | 05-22-2014 |
20140139815 | IN-SITU METROLOGY - Metrology methods and systems are provided, which measure metrology targets during the exposure stage using reflected or diffracted exposure illumination or additional simultaneous illumination having longer wavelengths than the exposure illumination, The metrology measurements are used to correct the lithographic process in a short loop, enabling realtime and even predictive error correction, The metrology methods, tools and systems also include defect detection during the exposure stage. | 05-22-2014 |
20140139816 | ARRANGEMENT FOR THERMAL ACTUATION OF A MIRROR IN A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - The invention concerns an arrangement for thermal actuation of a mirror in a microlithographic projection exposure apparatus, wherein the mirror has an optical effective surface and at least one access passage extending from a surface of the mirror, that does not correspond to the optical effective surface, in the direction of the effective surface, wherein the arrangement is designed for thermal actuation of the mirror via electromagnetic radiation which is propagated in the access passage, wherein the arrangement further has at least one heat radiating mechanism which produces the electromagnetic radiation which is propagated in the access passage, and wherein the heat radiating mechanism is actuable along the access passage. | 05-22-2014 |
20140152967 | Source-collector module wth GIC mirror and LPP EUV light source - A source-collector module for an extreme ultraviolet (EUV) lithography system, the module including a laser-produced plasma (LPP) that generates EUV radiation and a grazing-incidence collector (GIC) mirror arranged relative thereto and having an input end and an output end. The LPP is formed using an LPP target system wherein a pulsed laser beam travels on-axis through the GIC and is incident upon solid, moveable LPP target. The GIC mirror is arranged relative to the LPP to receive the EUV radiation therefrom at its input end and focus the received EUV radiation at an intermediate focus adjacent the output end. An example GIC mirror design is presented that includes a polynomial surface-figure correction to compensate for GIC shell thickness effects, thereby improve far-field imaging performance. | 06-05-2014 |
20140152968 | MICROLENS ARRAY AND SCANNING EXPOSURE DEVICE USING SAME - In this microlens array, unitary microlens arrays are respectively stacked onto an upper surface and lower surface of a glass plate, and each of the unitary microlens arrays is supported by an upper plate and a lower plate. Marks for alignment are formed on each of the unitary microlens arrays and on the glass plate, and the unitary microlens arrays and the glass plate are stacked onto each other aligned by these marks. This makes it possible to prevent ununiform exposure in scanning exposure using a plurality of microlens arrays. | 06-05-2014 |
20140152969 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is provided and configured to project a patterned beam of radiation onto a substrate. The apparatus has a measurement system to provide measurement data related to a thickness of a resist layer on the substrate, and a controller to control the operation of the lithographic apparatus such that a radiation intensity level in the patterned beam to be projected onto the substrate is controlled based on the measurement data. | 06-05-2014 |
20140160454 | MASK PLATE, EXPOSURE SYSTEM AND EXPOSING METHOD - The invention is directed to a mask plate, an exposure system comprising a mask plate and an exposing method. The mask plate comprises a light transmitting region, a light shielding region, and a light reflecting region for reflecting exposure light to the light shielding region, with the pattern of the light from the transmitting region and reflecting region corresponding to the pattern of the region exposed to a first and a second substrate respectively. When exposure light irradiates on the mask plate, it passes through the light transmitting region and exposes the first substrate. The light reflecting region reflects the exposure light to a principal reflection structure which further reflects the light for exposing the second substrate. The first and second substrate may be exposed via the same mask plate to minimize waste of exposure light to save production time and efficiency. | 06-12-2014 |
20140160455 | PELLICLE FOR RETICLE AND MULTILAYER MIRROR - A pellicle that includes graphene is constructed and arranged for an EUV reticle. A multilayer mirror includes graphene as an outermost layer. | 06-12-2014 |
20140168623 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD OF MANUFACTURING DEVICE - An exposure apparatus includes: an optical element positioned along an optical axis of a projection optical system and configured to include a surface having a rotationally asymmetric shape; a driving unit configured to drive the optical element with at least two degrees of freedom; and a control unit configured to control the drive with two degrees of freedom to correct an aberration having twofold symmetry in a direction represented by a linear sum of the aberration of components in two directions based on information showing a relationship between a driving amount with two degrees of freedom and the components of the aberration in the two directions, and an amount to be adjusted of each of the components of the aberration in the two directions. | 06-19-2014 |
20140176926 | EUV OPTICS - In a first aspect, a method of fabricating an EUV light source mirror is disclosed which may comprise the acts/steps of providing a plurality of discrete substrates; coating each substrate with a respective multilayer coating; securing the coated substrates in an arrangement wherein each coated substrate is oriented to a common focal point; and thereafter polishing at least one of the multilayer coatings. In another aspect, an optic for use with EUV light is disclosed which may comprise a substrate; a smoothing layer selected from the group of materials consisting of Si, C, Si | 06-26-2014 |
20140176927 | OPTICAL IMAGING ARRANGEMENT WITH INDIVIDUALLY ACTIVELY SUPPORTED COMPONENTS - An optical imaging arrangement includes an optical projection unit and a control device. The optical projection unit includes a support structure and a group of optical element units adapted to transfer, in an exposure process using exposure light along an exposure light path, an image of a pattern of a mask unit onto a substrate of a substrate unit. The group of optical element units includes a first optical element unit and a plurality of second optical element units, the first optical element unit and the second optical element units, under a control by the control device, being actively supported by the support structure. | 06-26-2014 |
20140176928 | IMAGING CATOPTRIC EUV PROJECTION OPTICAL UNIT - An imaging catoptric optical unit has at least four mirror, which image an object field in an object plane into an image field in an image plane. A first chief ray plane of the optical unit is prescribed by propagation of a chief ray of a central object field point during the reflection at one of the mirrors. A second chief ray plane of the optical unit is prescribed by propagation of the chief ray of the central object field point during the reflection at one of the other mirrors. The two chief ray planes include an angle that differs from 0. In an alternative or additional aspect, the imaging optical unit, considered via the image field, has a maximum diattenuation of 10% or a diattenuation that prefers a tangential polarization of the imaging light for a respectively considered illumination angle. The result of both aspects is an imaging optical unit in which bothersome polarization influences are reduced during the reflection of imaging light at the mirrors of the imaging optical unit. | 06-26-2014 |
20140176929 | Lithographic Apparatus and Device Manufacturing Method Using Dose Control - A system and method are used to manufacture a device using at least one exposure step. Each exposure step projects a patterned beam of radiation onto a substrate. The patterned beam includes a plurality of pixels. Each pixel delivers a radiation dose no greater than a predetermined normal maximum dose to the target portion in the exposure step and/or at least one selected pixel delivers an increased radiation dose, greater than the normal maximum dose. The increased dose may be delivered to compensate for the effect of a defective element at a known position in the array on a pixel adjacent a selected pixel or compensate for underexposure of the target portion at the location of a selected pixel resulting from exposure of that location to a pixel affected by a known defective element in another exposure step. | 06-26-2014 |
20140176930 | MICROLITHOGRAPHIC ILLUMINATION SYSTEM - A microlithographic illumination system can include a light distribution device that can generate a two-dimensional intensity distribution in a first illumination plane. A first raster array of optical raster elements can generates a raster array of secondary light sources. A device with an additional optical effect can be disposed spatially adjacent to the two raster arrays. The device can be configured as an illumination angle variation device. The device can influence the intensity and/or the phase and/or the beam direction of the illumination light. The influence can be such that an intensity contribution of raster elements to the total illumination intensity can vary across the illumination field. This can enable the illumination intensity to be influenced across the illumination field in a defined manner with respect to the total illumination intensity and/or with respect to the intensity contributions from different directions of illumination. | 06-26-2014 |
20140176931 | INTERMITTENT TEMPERATURE CONTROL OF MOVABLE OPTICAL ELEMENTS - An optical system including an optical element, a positioning mechanism configured to position the optical element into an operational position, and a temperature control mechanism configured to intermittently control the temperature of the optical element between operations. By alternatively positioning the optical element between an operational position and a position in thermal contact with the temperature control mechanism, the two mechanisms for positioning and controlling the temperature of the optical element are de-coupled from one another. As a result, the mechanism for each may be optimized In non-exclusive embodiments, the temperature control mechanism may be used to control the temperature of an individual optical element or a plurality of optical elements, such as for example, a fly's eye mirror used in an illumination unit of an EUV lithography tool. | 06-26-2014 |
20140185025 | System And Method For Lithography Alignment - The present disclosure provides one embodiment of a lithography system for integrated circuit making. The system includes a substrate stage designed to secure a substrate and being operable to move the substrate; an alignment module that includes a tunable light source being operable to generate an infrared light with a wavelength tunable; and a detector to receive the light; and an exposing module integrated with the alignment module and designed to performing an exposing process to a resist layer coated on the substrate. | 07-03-2014 |
20140185026 | EXPOSURE APPARATUS AND DEVICE FABRICATION METHOD - The present invention provides an exposure apparatus which expose a substrate, the apparatus including an illumination optical system configured to illuminate a mask using light from a light source, a projection optical system configured to irradiate the substrate with light from a pattern on the mask, an adjustment unit configured to adjust an oxygen concentration in a space between the projection optical system and the substrate, an obtaining unit configured to obtain data of illuminance of light applied to the substrate, and a control unit configured to control the adjustment unit so as to set the oxygen concentration in the space to a predetermined concentration value based on the data of illuminance obtained by the obtaining unit. | 07-03-2014 |
20140185027 | ILLUMINATION OPTICS AND PROJECTION EXPOSURE APPARATUS - An illumination optics illuminates an object field of a projection exposure apparatus for microlithography. The illumination optics include a condenser group of optical components which guide a bundle of useful light. An objective group of bundle-guiding components is arranged downstream of the condenser group. At least one component of the condenser group and at least one component of the objective group are displaceable for compensation of deviations of the object field, which is in an actual illumination state, from a desired illumination state. | 07-03-2014 |
20140192338 | Diffraction Based Overlay Metrology Tool and Method of Diffraction Based Overlay Metrology - Systems, methods, and apparatus are provided for determining overlay of a pattern on a substrate with a mask pattern defined in a resist layer on top of the pattern on the substrate. A first grating is provided under a second grating, each having substantially identical pitch to the other, together forming a composite grating. A first illumination beam is provided under an angle of incidence along a first horizontal direction. The intensity of a diffracted beam from the composite grating is measured. A second illumination beam is provided under the angle of incidence along a second horizontal direction. The second horizontal direction is opposite to the first horizontal direction. The intensity of the diffracted beam from the composite grating is measured. The difference between the diffracted beam from the first illumination beam and the diffracted beam from the second illumination beam, linearly scaled, results in the overlay error. | 07-10-2014 |
20140192339 | COLLECTOR - A collector for a projection exposure apparatus for microlithography comprises a plurality of reflective sections which are embodied and arranged in such a way that they can be impinged upon during the focusing of radiation from a first focus into a second focus with angles of impingement in a predefined angular spectrum. | 07-10-2014 |
20140198306 | MULTILAYER MIRROR, METHOD OF PRODUCING A MULTILAYER MIRROR AND LITHOGRAPHIC APPARATUS - A multilayer mirror for use in device lithography is configured to reflect and/or pattern radiation having a wavelength in the range of about 6.4 nm to about 7.2 nm. The multilayer mirror has a plurality of alternating layers of materials. The plurality of alternating layers of materials include first layers of materials and second layers of materials. The second layers have a higher refractive index for the radiation than the first layers. The materials of the first layers and the materials of the second layers are mutually chemically unreactive at an interface therebetween at temperatures less than 300° C. This may allow the mirrors to have a narrow boundary region of intermingled materials from alternating layers between the layers, for example of 0.5 nm or less in width, which may improve sharpness of the boundary region and improve reflectivity. | 07-17-2014 |
20140211180 | PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND EXPOSURE METHOD - A projection objective includes at least four curved mirrors, which include a first curved mirror that is a most optically forward mirror and a second curved mirror that is a second most optically forward mirror, as defined along a light path. In addition, an intermediate lens element is disposed physically between the first and second mirrors, the intermediate lens element being a single pass type lens. The objective forms an image with a numerical aperture of at least substantially 1.0 in immersion. | 07-31-2014 |
20140211181 | OPTICAL ELEMENT - In order to make possible both good laser resistance and good antireflection properties, an optical element, in particular for UV lithography, comprising a substrate and a coating on the substrate having at least four layers, is proposed, wherein
| 07-31-2014 |
20140211182 | PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND EXPOSURE METHOD - A projection objective includes at least four curved mirrors, which include a first curved mirror that is a most optically forward mirror and a second curved mirror that is a second most optically forward mirror, as defined along a light path. In addition, an intermediate lens element is disposed physically between the first and second mirrors, the intermediate lens element being a single pass type lens. The objective forms an image with a numerical aperture of at least substantially 1.0 in immersion. | 07-31-2014 |
20140211183 | PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND EXPOSURE METHOD - A projection objective includes at least four curved mirrors, which include a first curved mirror that is a most optically forward mirror and a second curved mirror that is a second most optically forward mirror, as defined along a light path. In addition, an intermediate lens element is disposed physically between the first and second mirrors, the intermediate lens element being a single pass type lens. The objective forms an image with a numerical aperture of at least substantially 1.0 in immersion. | 07-31-2014 |
20140211184 | Radiation Source - According to a first aspect of the present invention, there is provided a radiation source comprising: a nozzle configured to direct a stream of fuel droplets ( | 07-31-2014 |
20140211185 | Inspection Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Inspection Method - For angular resolved spectrometry a radiation beam is used having an illumination profile having four quadrants is used. The first and third quadrants are illuminated whereas the second and fourth quadrants aren't illuminated. The resulting pupil plane is thus also divided into four quadrants with only the zeroth order diffraction pattern appearing in the first and third quadrants and only the first order diffraction pattern appearing in the second and third quadrants. | 07-31-2014 |
20140211186 | FAST ILLUMINATION SIMULATOR BASED ON A CALIBRATED FLEXIBLE POINT- SPREAD FUNCTION - A way of predicting distribution of light in an illumination pupil, comprising:
| 07-31-2014 |
20140211187 | OPTICAL MODULE FOR GUIDING A RADIATION BEAM - An optical module includes a chamber capable of being evacuated and a mirror in the chamber. The mirror includes a plurality of individual mirrors. Each individual mirror includes: a mirror body including a reflection face; a support structure; and a thermally conductive portion that mechanically connects the support structure to the mirror body. For at least one individual mirror, the thermally conductive portion includes a plurality of thermally conductive strips arranged radially, adjacent thermally conductive strips being separated from each other, and each of the plurality of thermally conductive strips connecting the mirror body to the support structure. For at least one individual mirror, an actuator is associated with the mirror body, the actuator being configured to displace the mirror body relative to the support structure in at least one degree of freedom. | 07-31-2014 |
20140211188 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A projection exposure apparatus for microlithography comprises illumination optics for illuminating object field points of an object field in an object plane is disclosed. The illumination optics have, for each object field point of the object field, an exit pupil associated with the object point, where sin(γ) is a greatest marginal angle value of the exit pupil. The illumination optics include a multi-mirror array that includes a plurality of mirrors to adjust an intensity distribution in exit pupils associated to the object field points. The illumination optics further contain at least one optical system to temporally stabilize the illumination of the multi-mirror array so that, for each object field point, the intensity distribution in the associated exit pupil deviates from a second adjusted intensity distribution in the associated exit pupil by less than 0.1 in at least one of an inner σ or an outer σ. | 07-31-2014 |
20140218703 | ILLUMINATION METHOD, ILLUMINATION OPTICAL DEVICE, AND EXPOSURE DEVICE - There is provided an illumination method for illuminating an illumination objective surface by using a light from a light source. The illumination method includes setting control amount for controlling a plurality of optical elements, to control a state of an incident light coming into each of the plurality of optical elements, the plurality of optical elements being arranged in parallel and being capable of controlling the state of the incident light; illuminating the illumination objective surface with the light from the light source via the plurality of optical elements; monitoring integrated energy of the light from the light source; and correcting the control amount for the plurality of optical elements on the basis of a result of the monitoring of the integrated energy. | 08-07-2014 |
20140218704 | HIGH NA (NUMERICAL APERTURE) RECTANGULAR FIELD EUV CATOPTRIC PROJECTION OPTICS USING TILTED AND DECENTERED ZERNIKE POLYNOMIAL MIRROR SURFACES - A catoptric system for EUV lithography includes six freeform reflective surfaces that are specified based on fringe Zernike polynomials. Each of the surfaces is tilted and/or decentered in a meridian plane and with respect to a common axis so that image and object planes are parallel. Rectangular fields can be imaged with image space numerical aperture of at least 0.5. | 08-07-2014 |
20140218705 | ILLUMINATION DEVICE - An object is to provide an illumination apparatus that achieves illumination with a uniform illuminance distribution, while reducing a light quantity loss. An illumination apparatus for illuminating an illumination target surface has: a deflection member configured to form an illuminance distribution with a periodic pattern along a predetermined direction on a predetermined face traversing an optical path; and an optical integrator system having a plurality of wavefront division facets arrayed on the predetermined face and configured to form secondary light sources with use of a beam from the deflection member; the deflection member forms the illuminance distribution with the periodic pattern of an integer times or a unit fraction times an array period of the plurality of wavefront division facets. | 08-07-2014 |
20140218706 | RADIATION SOURCE AND LITHOGRAPHIC APPARATUS - A radiation source comprises a nozzle configured to direct a stream of fuel droplets ( | 08-07-2014 |
20140218707 | EXPOSURE METHOD AND EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - An exposure apparatus which transfers a pattern formed on a mask onto a photosensitive substrate placed in proximity to the mask, the apparatus including an illumination optical device which illuminates the mask with an energy beam, a mask holding device which holds a periphery area of a pattern area of the mask from above, and makes a force at least within a plane parallel to a predetermined plane act on the mask and a substrate holding device which moves along the predetermined plane holding the substrate. | 08-07-2014 |
20140218708 | LIGHT MODULATOR AND ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system of a microlithographic projection exposure apparatus comprises a light modulator which includes a modulator substrate and an array of mirrors that are supported by the modulator substrate. At least some adjacent mirrors partly overlap. The light modulator further comprises a plurality of actuators that are supported by the modulator substrate and are configured to tilt the mirrors individually. | 08-07-2014 |
20140218709 | FIELD FACET MIRROR FOR AN ILLUMINATION OPTICS OF A PROJECTION EXPOSURE APPARATUS FOR EUV MICROLITHOGRAPHY - A field facet mirror for an illumination optics of a projection exposure apparatus for EUV microlithography transmits a structure of an object arranged in an object field into an image field. The field facet mirror has a plurality of field facets with reflection surfaces. The arrangement of the field facets next to one another spans a base plane. Projections of the reflection surfaces of at least two of the field facets onto the base plane differ with respect to at least one of the following parameters: size, shape, orientation. A field facet mirror results which can ensure a uniform object field illumination with a simultaneously high EUV throughput. | 08-07-2014 |
20140233005 | System and Method for Adjusting Seed Laser Pulse Width to Control EUV Output Energy - A method and apparatus for controlling the seed laser in a laser produced plasma (LPP) extreme ultraviolet (EUV) light system are disclosed. In one embodiment, a seed laser generates both pre-pulses and main pulses which are amplified and irradiate a target material. The widths of the main pulses are adjusted, for example by the use of an EOM or other optical switch, without adjusting the widths of the pre-pulses, to keep the EUV output energy at a desired level. Only if the main pulse widths are longer or shorter than a desired range is the duty cycle of the laser amplifier adjusted, to keep the main pulse widths in the desired range. Adjusting the main pulse widths in this way before adjusting the pump RF duty cycle allows for less adjustment of the duty cycle, thus causing less adjustment to the pre-pulses. | 08-21-2014 |
20140233006 | METHOD AND DEVICE FOR MONITORING MULTIPLE MIRROR ARRAYS IN AN ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - Microlithographic illumination system includes individually drivable elements to variably illuminate a pupil surface of the system. Each element deviates an incident light beam based on a control signal applied to the element. The system also includes an instrument to provide a measurement signal, and a model-based state estimator configured to compute, for each element, an estimated state vector based on the measurement signal. The estimated state vector represents: a deviation of a light beam caused by the element; and a time derivative of the deviation. The illumination system further includes a regulator configured to receive, for each element: a) the estimated state vector; and b) target values for: i) the deviation of the light beam caused by the deviating element; and ii) the time derivative of the deviation. | 08-21-2014 |
20140233007 | METHOD OF CALCULATING AMOUNT OF FLUCTUATION OF IMAGING CHARACTERISTIC OF PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND METHOD OF FABRICATING DEVICE - In a case where a substrate is exposed to exposure light of a first wavelength band, an exposure coefficient, which is defined as an amount of fluctuation of an imaging characteristic of a projection optical system per unit of exposure energy, for the first wavelength band is calculated using data of the amount of fluctuation of the optical characteristic of the projection optical system. An exposure coefficient for a second wavelength band that is different from the first wavelength band is calculated using the exposure coefficient for the first wavelength band. In a case where the substrate is exposed to exposure light of the second wavelength band, the amount of fluctuation of the imaging characteristic of the projection optical system is calculated using the exposure coefficient for the second wavelength band. | 08-21-2014 |
20140240685 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND METHOD FOR PRODUCING DEVICE - An exposure apparatus irradiates a substrate with light via a projection system and liquid, and includes a stage that moves below the projection system, and a light-receiving element having a light-receiving surface. An optical member provided on the stage has a first surface contacting the liquid when moved to face the projection system, and a second surface contacting a gas and transmitting light having come from the projection system via the liquid and the first surface. The optical member is configured such that at least a large-angle ray of the light, which has an angle with an optical axis of the projection system sufficiently large to undergo total reflection at an end surface of the projection system when the liquid is absent, travels from the projection system to the second surface without passing through gas. The second surface transmits the large-angle ray, which is received by the light-receiving surface. | 08-28-2014 |
20140240686 | ARRANGEMENT OF A MIRROR - A mirror for EUV radiation comprises a total reflection surface, which has a first EUV-radiation-reflecting region and at least one second EUV-radiation-reflecting region, wherein the EUV-radiation-reflecting regions are structurally delimited from one another, wherein the first region comprises at least one first partial reflection surface which is surrounded along a circumference in each case by the at least one second region, and wherein the at least one second EUV-radiation-reflecting region comprises at least one second partial reflection surface which is embodied in a path-connected fashion and which is embodied in a continuous fashion. | 08-28-2014 |
20140247437 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system of a microlithographic projection exposure apparatus includes an optical raster element configured to produce a plurality of secondary light sources located in a system pupil surface. The optical raster element has a plurality of light entrance facets, each being associated with one of the secondary light sources. A beam deflecting device includes a beam deflection array of reflective or transparent beam deflecting elements, each being configured to illuminate a spot on one of the light entrance facets at a position that is variable by changing a deflection angle produced by the beam deflecting element. A control unit is configured to control the beam deflection elements such that variable light patterns assembled from the spots can be formed on at least one of the plurality of light entrance facets. | 09-04-2014 |
20140253893 | CYLINDRICAL RETICLE SYSTEM, EXPOSURE APPARATUS AND EXPOSURE METHOD - An exposure apparatus is provided for performing a unidirectional scan-exposure. The exposure apparatus includes a base and a plurality of wafer stages on the base for loading/unloading wafers and successively moving from a first position to a second position of the base cyclically. The exposure apparatus also includes alignment detection units above the first position of the base for detecting alignment marks on the wafer and aligning the wafers and a cylindrical reticle system above the second position of the base. Further, the exposure apparatus includes an optical projection unit between the cylindrical reticle system and the base for projecting light onto the wafers for an exposure. Further, the exposure apparatus also includes an illuminator box and a main control unit. | 09-11-2014 |
20140253894 | Radiation Source - A radiation source having a fuel stream generator ( | 09-11-2014 |
20140268077 | A FLOW LITHOGRAPHY TECHNIQUE TO FORM MICROSTRUCTURES USING OPTICAL ARRAYS - A continuous flow projection lithography system to form microstructures using an optical array incorporated in a continuous coating process is provided. A mask is placed at a distance from the array. Each element of the array projects one image of the mask onto a substrate, effectively forming an array thereon. A coating process allows flows that can be used to define functional regions of particles or supporting layers that prevent adhesion of crosslinked polymers to surfaces. | 09-18-2014 |
20140268078 | ELECTRON BEAM LITHOGRAPHY SYSTEMS AND METHODS INCLUDING TIME DIVISION MULTIPLEX LOADING - The present disclosure provides a systems and methods for e-beam lithography. One system includes an electron source operable to produce a beam and an array of pixels operable to pattern the beam. Control circuitry is spaced a distance from and coupled to the array of pixels. The control circuitry uses time domain multiplex loading (TMDL) to control the array of pixels. | 09-18-2014 |
20140268079 | PARTICLE COUNTER AND IMMERSION EXPOSURE SYSTEM INCLUDING THE SAME - A particle counter may include a housing having an inlet, an outlet, and a window therebetween. The inlet and the outlet may be configured such that a fluid can be flowed therethrough. A plurality of light sources may be arranged outside the housing to provide lights of different wavelengths into the housing through the window. Sensors may be provided outside the housing to detect fractions of the lights scattered by a bubble and/or a particle in the fluid. A control part may be configured to monitor intensities of the lights detected by the sensors and to analyze a difference in intensity between the scattered lights, thereby distinguishing the particles from the bubbles in the fluid. | 09-18-2014 |
20140268080 | PLANARIZED EXTREME ULTRAVIOLET LITHOGRAPHY BLANK, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR - An integrated extreme ultraviolet (EUV) blank production system includes: a vacuum chamber for placing a substrate in a vacuum; a first deposition system for depositing a planarization layer having a planarized top surface over the substrate; and a second deposition system for depositing a multi-layer stack on the planarization layer without removing the substrate from the vacuum. The EUV blank is in an EUV lithography system includes: an extreme ultraviolet light source; a mirror for directing light from the EUV source; a reticle stage for placing a EUV mask blank with a planarization layer; and a wafer stage for placing a wafer. The EUV blank includes: a substrate; a planarization layer to compensate for imperfections related to the surface of the substrate, the planarization layer having a flat top surface; and a multi-layer stack on the planarization layer. | 09-18-2014 |
20140268081 | AMORPHOUS LAYER EXTREME ULTRAVIOLET LITHOGRAPHY BLANK, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR - An integrated extreme ultraviolet blank production system includes: a vacuum chamber for placing a substrate in a vacuum; a deposition system for depositing a multi-layer stack without removing the substrate from the vacuum; and a treatment system for treating a layer on the multi-layer stack to be deposited as an amorphous metallic layer. A physical vapor deposition chamber for manufacturing an extreme ultraviolet mask blank includes: a target, comprising molybdenum alloyed with boron. An extreme ultraviolet lithography system includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for placing an extreme ultraviolet mask blank with a multi-layer stack having an amorphous metallic layer; and a wafer stage for placing a wafer. An extreme ultraviolet blank includes: a substrate; a multi-layer stack having an amorphous metallic layer; and capping layers over the multi-layer stack. | 09-18-2014 |
20140268082 | VAPOR DEPOSITION DEPOSITED PHOTORESIST, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR - A photoresist vapor deposition system includes: a vacuum chamber having a heating element and cooled chuck for holding a substrate, the vacuum chamber having a heated inlet; and a vapor deposition system connected to the heated inlet for volatilizing a precursor into the vacuum chamber for condensing a photoresist over the substrate cooled by the cooled chuck. The deposition system creates a semiconductor wafer system that includes: a semiconductor wafer; and a vapor deposited photoresist over the semiconductor wafer. An extreme ultraviolet lithography system requiring the semiconductor wafer system includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for imaging the light from the extreme ultraviolet light source; and a wafer stage for placing a semiconductor wafer with a vapor deposited photoresist. | 09-18-2014 |
20140268083 | ULTRA-SMOOTH LAYER ULTRAVIOLET LITHOGRAPHY MIRRORS AND BLANKS, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR - An extreme ultraviolet mirror or blank production system includes: a first deposition system for depositing a planarization layer over a semiconductor substrate; a second deposition system for depositing an ultra-smooth layer over the planarization layer, the ultra-smooth layer having reorganized molecules; and a third deposition system for depositing a multi-layer stack over the ultra-smooth layer. The extreme ultraviolet blank includes: a substrate; a planarization layer over the substrate; an ultra-smooth layer over the planarization layer, the ultra-smooth layer having reorganized molecules; a multi-layer stack; and capping layers over the multi-layer stack. An extreme ultraviolet lithography system includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for placing an extreme ultraviolet mask blank with a planarization layer and an ultra-smooth layer over the planarization layer; and a wafer stage for placing a wafer. | 09-18-2014 |
20140268084 | PROJECTION LENS WITH WAVEFRONT MANIPULATOR - A projection lens for imaging a pattern arranged in an object plane of the projection lens into an image plane of the projection lens via electromagnetic radiation having an operating wavelength λ<260 nm has a multiplicity of optical elements having optical surfaces which are arranged in a projection beam path between the object plane (OS) and the image plane. Provision is made of a wavefront manipulation system for dynamically influencing the wavefront of the projection radiation passing from the object plane to the image plane. | 09-18-2014 |
20140285783 | EUV-MIRROR ARRANGEMENT, OPTICAL SYSTEM WITH EUV-MIRROR ARRANGEMENT AND ASSOCIATED OPERATING METHOD - An EUV mirror arrangement ( | 09-25-2014 |
20140285784 | APPARATUS FOR AND METHOD OF DRAWING - A drawing apparatus accepts a selection manipulation for selecting the type of shape of a light outgoing ratio function defining a relationship between the position of modulation units included in an optical unit as seen in the direction of the arrangement of the modulation units and a light outgoing ratio from among a plurality of shape type candidates. The drawing apparatus then adjusts the light outgoing ratio of each of the modulation units in accordance with the accepted shape type. While emitting a beam of drawing light of a strip-shaped cross-sectional configuration from the optical unit, the drawing apparatus moves the optical unit relative to a substrate in a direction orthogonal to the direction of the longer dimension of the strip-shaped cross section of the drawing light beam to perform a drawing process on the substrate. | 09-25-2014 |
20140285785 | LITHOGRAPHIC APPARATUS, DEVICE MANUFACTURING METHOD AND COMPUTER PROGRAM - The invention relates to intensity values for a plurality of beams used to irradiate a plurality of locations on a target are determined with reference to the position and/or rotation of the locations. Also provided is an associated lithographic or exposure apparatus, an associated device manufacturing method and an associated computer program. | 09-25-2014 |
20140285786 | LITHOGRAPHY APPARATUS, AN APPARATUS FOR PROVIDING SETPOINT DATA, A DEVICE MANUFACTURING METHOD, A METHOD OF CALCULATING SETPOINT DATA AND A COMPUTER PROGRAM - An exposure apparatus configured to project each of a plurality of radiation beams onto a respective location on a target, the plurality of radiation beams forming a desired dose pattern via a plurality of spot exposures, the nominal position of a characteristic point in the dose distribution of each of the spot exposures lying at points defining a first grid. The apparatus has, or is provided data from, a controller configured to: calculate a target intensity value for each of the plurality of radiation beams to expose the target to the desired dose pattern, the calculation using as input a rasterized representation of the desired dose pattern, the rasterized representation including a dose value defined at each of a plurality of points on a second grid, the first and second grids having the same geometry, and control the exposure apparatus to emit beams with the target intensity values. | 09-25-2014 |
20140293254 | ILLUMINATION OPTICAL DEVICE, OPTICAL UNIT, ILLUMINATION METHOD, AND EXPOSURE METHOD AND DEVICE - An illumination device for illuminating a reticle surface as an illumination target surface with illumination light supplied from a light source is provided with a first polarization beam splitter for separating the illumination light into a first beam and a second beam with respective polarization directions orthogonal to each other; a deformable mirror which is arranged in an optical path of the second beam and a shape of a reflecting surface of which is variable for changing a phase difference distribution between the first beam and the second beam; and a second polarization beam splitter for combining the first beam and the second beam between which the phase difference distribution has been established. The illumination target surface can be illuminated with light having a distribution of various polarization states. | 10-02-2014 |
20140293255 | MIRROR ARRANGEMENT, IN PARTICULAR FOR USE IN A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - The invention relates to a mirror arrangement, in particular for use in a microlithographic projection exposure apparatus, comprising a plurality of individual mirrors and a plurality of flexures, wherein each individual mirror is tiltable about at least one tilting axis via one of the flexures and wherein the flexures are integrated into a common component. | 10-02-2014 |
20140300880 | Flow Through MEMS Package - A flow through Micro-Electromechanical Systems (MEMS) package and methods of operating a MEMS packaged using the same are provided. Generally, the package includes a cavity in which the MEMS is enclosed, an inlet through which a fluid is introduced to the cavity during operation of the MEMS and an outlet through which the fluid is removed during operation of the MEMS, wherein the package includes features that promote laminar flow of the fluid across the MEMS. The package and method are particularly useful in packaging spatial light modulators including a reflective surface and adapted to reflect and modulate a light beam incident thereon. Other embodiments are also provided. | 10-09-2014 |
20140307242 | METHOD AND APPARATUS FOR PRINTING PERIODIC PATTERNS USING MULTIPLE LASERS - A method for printing a periodic pattern of features into a photosensitive layer includes providing a mask bearing a mask pattern, providing a substrate bearing the layer, arranging the substrate parallel to the mask, providing a number of lasers having a plurality of peak wavelengths, forming from the light a beam for illuminating the mask with a spectral distribution of exposure dose and a degree of collimation, illuminating the mask with the beam such that the light of each wavelength transmitted by the mask pattern forms a range of transversal intensity distributions between Talbot planes and exposes the photosensitive layer to an image component. The separation and the spectral distribution are arranged so that the superposition of the components is equivalent to an average of the range of transversal intensity distributions formed by light of one wavelength and the collimation is arranged so that the features are resolved. | 10-16-2014 |
20140307243 | MIRROR UNIT AND EXPOSURE APPARATUS - A mirror unit includes: a mirror; a plurality of non-contact type actuators including movable elements and stators, and configured to change a shape of the mirror; a supporting plate to which the stators are fixed; and a structure configured to hold the mirror and the supporting plate. The movable elements are attached to a surface of the mirror that is opposite to an optical surface, and the structure holds the supporting plate via a kinematic mount. | 10-16-2014 |
20140307244 | METHOD FOR ADJUSTING SPECTRUM WIDTH OF NARROW-BAND LASER - An upper limit and a lower limit are preliminarily set for a spectral line width common to a plurality of narrow-band laser devices. When delivered or subjected to maintenance, the narrow-band laser device is caused to laser oscillate to detect its spectral line width before it is used as a light source for semiconductor exposure. A spectral line width adjustment unit provided in the narrow-band laser device is adjusted so that the spectral line width assumes a value between the upper limit and the lower limit. The present invention is able to suppress the variation in spectral line width such as E95 bandwidth caused by machine differences during the manufacture of the laser device, or by replacement or maintenance of the laser device, whereby the quality of integrated circuit patterns formed by the semiconductor exposure tool can be stabilized. | 10-16-2014 |
20140313497 | EXPOSURE APPARATUS AND A METHOD FOR CONTROLLING RADIATION FROM A LAMP FOR EXPOSING A PHOTOSENSITIVE ELEMENT - The invention pertains to an exposure apparatus, a method for controlling a photosensitive element to radiation using the exposure apparatus, and a method for exposing a photosensitive element to radiation. The exposure apparatus includes a base assembly having an exposure bed that supports the photosensitive element, and a lamp housing assembly having two or more lamps. The lamp housing assembly includes an adjustable ballast connected to at least one of the lamps to adjust power received by the one lamp, a sensor for measuring irradiance impinging the exposure bed; and a controller that adjusts the adjustable ballast based on comparison of the measured irradiance to the target irradiance, thereby adjusting the irradiance emitting from the lamp to the target irradiance. | 10-23-2014 |
20140313498 | POLARIZATION-INFLUENCING OPTICAL ARRANGEMENT, IN PARTICULAR IN A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A polarization-influencing optical arrangement comprises a first retardation element and a second retardation element. | 10-23-2014 |
20140313499 | EXPOSURE APPARATUS, METHOD OF OBTAINING AMOUNT OF REGULATION OF OBJECT TO BE REGULATED, PROGRAM, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides an exposure apparatus including a projection device including an object whose at least one of a position, an attitude and a shape is regulatable, and configured to project light from a reticle onto a substrate, a regulating device configured to regulate the at least one of the position, the attitude and the shape of the object, and a controller configured to obtain, an amount of regulation of the object by the regulating device, the amount of regulation being used for regulating a first optical characteristic value of the projection device expressed by a square root of a weighted square sum of wavefront aberration coefficients of light projected by the projection device, and to control the regulating device based on the amount of regulation. | 10-23-2014 |
20140320834 | EXPOSURE DEVICE AND IMAGE FORMING APPARATUS - An exposure device includes an exposure member, a positioning member, and a movement restricting member. The exposure member includes multiple light-emitting devices arranged along a first direction, which is an axial direction of an image carrier that rotates. The exposure member exposes the image carrier to light by emitting light in a second direction, which is a direction from the multiple light-emitting devices to the image carrier. The positioning member determines a position of the exposure member in the second direction relative to the image carrier by causing the exposure member to abut against the image carrier in the second direction. The movement restricting member is disposed on an end portion of the exposure member in the first direction and restricts movement of the exposure member relative to the positioning member. | 10-30-2014 |
20140320835 | METHOD AND DEVICE FOR INSPECTING SPATIAL LIGHT MODULATOR, AND EXPOSURE METHOD AND DEVICE - A method for inspecting a spatial light modulator includes: performing such control that in an inspection target area in an array of mirror elements, the mirror elements in a first state in which incident light is given a phase change amount of 0 and the mirror elements in a second state in which incident light is given a phase change amount of 180° (π) become arrayed in a checkered pattern; guiding light having passed the inspection target area to a projection optical system with a resolution limit coarser than a width of an image of one mirror element, to form a spatial image; and inspecting a characteristic of the spatial light modulator from the spatial image. This method allows us to readily perform the inspection of the characteristic of the spatial light modulator having the array of optical elements. | 10-30-2014 |
20140320836 | LITHOGRAPHY APPARATUS, LITHOGRAPHY METHOD, AND METHOD FOR MANUFACTURING DEVICE - An apparatus includes an optical system configured to irradiate a surface of a substrate with a beam, a control unit configured to control a position of the irradiation of the beam, and a first measurement unit and a second measurement unit each configured to measure a position of a mark formed on the substrate. The second measurement unit is placed at a position closer to an optical axis of the optical system than the first measurement unit is. Based on a position measurement value measured by the first measurement unit and position measurement values measured at different timings by the second measurement unit, the control unit controls the position of the beam irradiated to the substrate. The position measurement values measured at the different timings are values obtained from the same mark or values obtained from two marks adjacent to a common shot area. | 10-30-2014 |
20140320837 | MONITORING APPARATUS AND METHOD PARTICULARLY USEFUL IN PHOTOLITHOGRAPHICALLY PROCESSING SUBSTRATES - Apparatus for processing substrates according to a predetermined photolithography process includes a loading station in which the substrates are loaded, a coating station in which the substrates are coated with a photoresist material, an exposing station in which the photoresist coating is exposed to light through a mask having a predetermined pattern to produce a latent image of the mask on the photoresist coating, a developing station in which the latent image is developed, an unloading station in which the substrates are unloaded and a monitoring station for monitoring the substrates with respect to predetermined parameters of said photolithography process before reaching the unloading station. | 10-30-2014 |
20140320838 | IMAGING OPTICAL SYSTEM - The disclosure generally relates to imaging optical systems that include a plurality of mirrors, which image an object field lying in an object plane in an image field lying in an image plane, where at least one of the mirrors has a through-hole for imaging light to pass through. The disclosure also generally relates to projection exposure installations that include such im-aging optical systems, methods of using such projection exposure installa-tions, and components made by such methods. | 10-30-2014 |
20140320839 | POSITION DETECTOR, POSITION DETECTION METHOD, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE - A position detector ( | 10-30-2014 |
20140327895 | OPTICAL COMPONENT - An optical component comprising a mirror array having a multiplicity of mirror elements, which each have at least one degree of freedom of displacement, and which are each connected to at least one actuator for displacement, has a multiplicity of local regulating devices for damping oscillations of the mirror elements, wherein each of the regulating devices in each case has at least one capacitive sensor having at least one moveable electrode and at least one electrode arranged rigidly relative to the carrying structure. | 11-06-2014 |
20140327896 | OPTICAL COMPONENT - An optical component comprises a mirror array having a multiplicity of mirror elements, which are each connected to at least one actuator for displacement, a multiplicity of signal lines for the signal-transmitting connection of the actuators to an external, global control/regulating device for predefining an absolute position of the individual mirror elements, and a multiplicity of local regulating devices for regulating the positioning of the mirror elements, wherein the regulating devices are in each case completely integrated into the component. | 11-06-2014 |
20140327897 | EXPOSURE DEVICE AND METHOD FOR PRODUCING STRUCTURE - In order to exposure interference fringes to photoresist and form a desired irregular pattern, it is necessary to know the cycle of the interference fringes in advance. In order to confirm the cycle of the interference fringes beforehand, conventional techniques include observing the formed irregular pattern with the use of a microscope or measuring a diffraction angle of incident light and repeating processes of exposure, development, and observation (measurement) while slightly changing incident angles of light fluxes for the formation of the interference fringes until a desired cycle is confirmed. These operations take considerable amount of time. The fact that it takes considerable amount of time to confirm the interference fringes has not been considered in the conventional techniques. Observation of a moire generated by a standard sample containing a fluorescent sample that can be repeatedly used and adjustment of the cycle of interference fringes reduce time for the adjustment. | 11-06-2014 |
20140333911 | LITI MASK AND LASER IRRADIATION DEVICE INCLUDING THE SAME - A laser irradiation device includes a light source, a laser induced thermal imaging (LITI) mask, and a stage. The light source may emit a laser beam at constant output energy. The LITI mask may be disposed under the light source. The stage may be disposed under the LITI mask, and an acceptor substrate including a pixel area is disposed on the stage. The LITI mask may include a transmissive part and a blocking part. The transmissive part has a plurality of slits. The laser beam passes through the slits. The transmissive part corresponds to the pixel area. The blocking part may reflect and block the laser beam. | 11-13-2014 |
20140333912 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A microlithographic projection exposure apparatus has a mirror array having a base body and a plurality of mirror units. Each mirror unit includes a mirror and a solid-state articulation, which has at least one articulation part that connects the mirror to the base body. A control device makes it possible to modify the alignment of the respective mirror relative to the base body. Mutually opposing surfaces of the mirror and of the base body, or of a mirror support body connected to it, are designed as corresponding glide surfaces of a sliding bearing. | 11-13-2014 |
20140333913 | MICROLITHOGRAPHY PROJECTION OBJECTIVE - Microlithography projection objectives for imaging into an image plane a pattern arranged in an object plane are described with respect to suppressing false light in such projection objectives. | 11-13-2014 |
20140333914 | Reflective Lithography Masks and Systems and Methods - Various non-planar reflective lithography masks, systems using such lithography masks, and methods are disclosed. An embodiment is a lithography mask comprising a transparent substrate, a reflective material, and a reticle pattern. The transparent substrate comprises a curved surface. The reflective material adjoins the curved surface of the transparent substrate, and an interface between the reflective material and the transparent substrate is a reflective surface. The reticle pattern is on a second surface of the transparent substrate. A reflectivity of the reticle pattern is less than a reflectivity of the reflective material. Methods for forming similar lithography masks and for using similar lithography masks are disclosed. | 11-13-2014 |
20140333915 | Radiation Source - A radiation source (SO) suitable for providing a beam of radiation to an illuminator of a lithographic apparatus. The radiation source comprises a nozzle ( | 11-13-2014 |
20140340662 | MASKLESS EXPOSURE DEVICE - In an aspect, a grating light valve module including: a substrate; and a plurality of ribbons disposed on the substrate, wherein each of the ribbons includes an insulating layer, a conductive layer disposed on the insulating layer, and an anti-oxidation layer disposed on the conductive layer is provided. | 11-20-2014 |
20140340663 | Apparatus for Monitoring a Lithographic Patterning Device - A lithographic patterning device deformation monitoring apparatus ( | 11-20-2014 |
20140340664 | Projection Exposure Apparatus Comprising a Measuring System for Measuring an Optical Element - A projection exposure apparatus ( | 11-20-2014 |
20140347645 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS, OPTICAL ELEMENT AND MANUFACTURING METHOD THEREOF, AND DEVICE MANUFACTURING METHOD - An illumination optical system which illuminates a surface to be illuminated on the basis of light from a light source has a first optical path in which a diffractive optical element can be arranged at a first position thereof; a second optical path in which a spatial light modulator with a plurality of optical elements arrayed two-dimensionally and controlled individually can be arranged at a second position thereof; and a third optical path which is an optical path of light having passed via at least one of the first optical path and the second optical path and in which a distribution forming optical system is arranged. The distribution forming optical system forms a predetermined light intensity distribution on an illumination pupil located in the third optical path, based on the light having passed via at least one of the first and second optical paths. | 11-27-2014 |
20140347646 | METHOD AND APPARATUS FOR COMPENSATING AT LEAST ONE DEFECT OF AN OPTICAL SYSTEM - The invention relates to a method for compensating at least one defect of an optical system which comprises introducing an arrangement of local persistent modifications in at least one optical element of the optical system, which does not have pattern elements on one of its optical surfaces, so that the at least one defect is at least partially compensated. | 11-27-2014 |
20140354969 | Methods and Apparatus for Measuring A Property of a Substrate - In the measurement of properties of a wafer substrate, such as Critical Dimension or overlay a sampling plan is produced ( | 12-04-2014 |
20140354970 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic or exposure apparatus has a projection system and a controller. The projection system includes a stationary part and a moving part. The projection system is configured to project a plurality of radiation beams onto locations on a target. The locations are selected based on a pattern. The controller is configured to control the apparatus to operate in a first mode or a second mode. In the first mode the projection system delivers a first amount of energy to the selected locations. In the second mode the projection system delivers a second amount of energy to the selected locations. The second amount of energy is greater than the first amount of energy. | 12-04-2014 |
20140354971 | EXPOSURE METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - Within area where of four heads installed on a wafer stage, heads included in the first head group and the second head group to which three heads each belong that include one head different from each other face the corresponding areas on a scale plate, the wafer stage is driven based on positional information which is obtained using the first head group, as well as obtain the displacement (displacement of position, rotation, and scaling) between the first and second reference coordinate systems corresponding to the first and second head groups using the positional information obtained using the first and second head groups. By using the results and correcting measurement results obtained using the second head group, the displacement between the first and second reference coordinate systems is calibrated, which allows the measurement errors that come with the displacement between areas on scale plates where each of the four heads face. | 12-04-2014 |
20140362359 | FLEXIBLE WAFER LEVELING DESIGN FOR VARIOUS ORIENTATION OF LINE/TRENCH - The present disclosure relates to a photolithography system having an ambulatory projection and/or detection gratings that provide for high quality height measurements without the use of an air gauge. In some embodiments, the photolithography system has a level sensor having a projection source that generates a measurement beam that is provided to a semiconductor substrate via a projection grating. A detector is positioned to receive a measurement beam reflected from the semiconductor substrate via a detection grating. An ambulatory element selectively varies an orientation of the projection grating and/or the detection grating to improve the measurement of the level sensor. By selectively varying an orientation of the projection and/or detection gratings, erroneous measurements of the level sensor can be eliminated. | 12-11-2014 |
20140362360 | ILLUMINATION OPTICAL UNIT FOR A PROJECTION EXPOSURE APPARATUS - An illumination optical unit for a projection exposure apparatus serves for guiding illumination light toward an illumination field, in which a lithography mask can be arranged. A first facet mirror has a plurality of individual mirrors that provide illumination channels for guiding illumination light partial beams toward the illumination field. The individual mirrors each bear a multilayer reflective coating. A second facet mirror is disposed downstream of the first facet mirror in the beam path of the illumination light. A respective facet of the second facet mirror with at least one of the individual mirrors of the first facet mirror completes the illumination channel for guiding the illumination light partial beam toward the illumination field. | 12-11-2014 |
20140362361 | ILLUMINATION OPTICAL UNIT FOR EUV PROJECTION LITHOGRAPHY - An illumination optical unit for EUV projection lithography serves for guiding illumination light towards an illumination field, in which a lithography mask can be arranged. The illumination optical unit has a first facet mirror having a plurality of individual mirrors. The latter predefine illumination channels for guiding illumination light partial beams towards the illumination field. A second facet mirror of the illumination optical unit is disposed downstream of the first facet mirror and has a plurality of facets. The latter respectively contribute to the imaging of a group of the individual mirrors of the first facet mirror into the object field via a group-mirror illumination channel. The latter comprises the individual-mirror illumination channels of the individual-mirror group. Images of the different individual-mirror groups are superimposed on one another in the object field via the assigned group-mirror illumination channels. | 12-11-2014 |
20140368802 | RADIATION SOURCE - A radiation source ( | 12-18-2014 |
20140368803 | ILLUMINATION OPTICAL UNIT FOR EUV PROJECTION LITHOGRAPHY, AND OPTICAL SYSTEM COMPRISING SUCH AN ILLUMINATION OPTICAL UNIT - An illumination optical unit for EUV projection lithography guides illumination light to an illumination field, in which a lithography mask can be arranged. A facet mirror with a plurality of facets guides the illumination light to the illumination field. Respectively one illumination channel which guides an illumination light partial beam is predetermined by one of the facets. Exactly one illumination channel is guided over respectively one of the facets. The illumination optical unit is configured so that, at any time and at any point in the illumination field when the illumination optical unit is in operation, any pairs of illumination light partial beams guided over different illumination channels are incident on this illumination field point at times of incidence, the time difference of which is greater than a coherence duration of the illumination light. | 12-18-2014 |
20140375974 | SOURCE-COLLECTOR DEVICE, LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHOD - A source-collector device is constructed and arranged to generate a radiation beam, The device includes a target unit constructed and arranged to present a target surface of plasma-forming material; a laser unit constructed and arranged to generate a beam of radiation directed onto the target surface so as to form a plasma from said plasma-forming material; a contaminant trap constructed and arranged to reduce propagation of particulate contaminants generated by the plasma; a radiation collector comprising a plurality of grazing-incidence reflectors arranged to collect radiation emitted by the plasma and form a beam therefrom; and a filter constructed and arranged to attenuate at least one wavelength range of the beam. | 12-25-2014 |
20150009480 | REFLECTIVE OPTICAL ELEMENT FOR GRAZING INCIDENCE IN THE EUV WAVELENGTH RANGE - An optical element comprises a reflecting coating on a substrate. The reflecting coating contains boron and can have a thickness of more than 50 nm. | 01-08-2015 |
20150009481 | Lithography Apparatus and System, a Method of Calibrating a Lithography Apparatus, and Device Manufacturing Methods - There is disclosed a lithography or exposure apparatus and system, a method of calibrating a lithography or exposure apparatus, and a device manufacturing method. In an embodiment, there is provided an exposure system including a first exposure apparatus and a second exposure apparatus, wherein a data processing device of each of the first and second apparatuses is configured to calculate a control signal using a response function; the combined performance of the programmable patterning device and projection system of each of the first and second apparatuses differs, at least due to manufacturing error; and the response function used by the first apparatus is identical to the response function used by the second apparatus. | 01-08-2015 |
20150009482 | EXTREME ULTRAVIOLET LITHOGRAPHY MASK - An EUV mask includes a low thermal expansion material (LTEM) substrate, a reflective multilayer (ML) above one surface of the LTEM substrate, and a conductive layer above an opposite surface of the LTEM substrate. A capping layer is provided above the reflective ML, a buffer layer is provided above the capping layer, and an absorption stack is provided above the buffer layer. The absorption stack comprises multiple layers. A multiple patterning process is performed on the absorption stack to form multiple reflective states. | 01-08-2015 |
20150015861 | CALIBRATION METHOD, MEASUREMENT APPARATUS, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a method for calibrating an encoder which includes a scale and a light receiving unit configured to receive light reflected by the scale, and detects a change in relative position between the scale and the light receiving unit, the method comprising a measurement step of measuring a deformation amount of a surface shape of the scale, a specifying step of specifying, based on a measurement result in the measurement step, a range which includes a portion of a surface of the scale, where the deformation amount exceeds a threshold, and within which a detection value of the encoder is corrected, and a determination step of determining a correction value for correcting the detection value of the encoder within the range specified in the specifying step. | 01-15-2015 |
20150015862 | ILLUMINATION OPTICAL UNIT FOR PROJECTION LITHOGRAPHY - An illumination optical unit for projection lithography illuminates an illumination field with illumination light of a primary light source. The illumination optical unit has a raster arrangement to predefine a shape of the illumination field, a transfer optical unit for the superimposing transfer of the illumination light toward the illumination field, and an illumination angle variation device which deflects the illumination light with different deflection angles. The illumination angle variation device has at least one displaceable illumination angle variation unit to generate a deflection angle for the illumination light. | 01-15-2015 |
20150015863 | Radiation Source and Lithographic Apparatus - The present invention provides a method of monitoring the operation of a radiation source fuel droplet stream generator comprising a fuel-containing capillary and a piezo-electric actuator ( | 01-15-2015 |
20150015864 | PROJECTION EXPOSURE APPARATUS FOR MICROLITHOGRAPHY FOR THE PRODUCTION OF SEMICONDUCTOR COMPONENTS - A projection exposure apparatus for microlithography for the production of semiconductor components includes at least one optical assembly with at least one optical element which can be actuated in a mechanically controlled manner is mounted in a structure. For carrying out the mechanical actuation, a control signal transmission device and/or an energy transmission device are/is provided, which introduce(s) no parasitic mechanical effects into the optical assembly at least during specific operating states of the projection exposure apparatus. | 01-15-2015 |
20150015865 | ILLUMINATION INTENSITY CORRECTION DEVICE FOR PREDEFINING AN ILLUMINATION INTENSITY OVER AN ILLUMINATION FIELD OF A LITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination intensity correction device serves for predefining an illumination intensity over an illumination field of a lithographic projection exposure apparatus. The correction device has a plurality of bar-shaped individual stops arranged alongside one another and having bar axes arranged parallel to one another, which are arranged in a manner lined up alongside one another transversely with respect to the bar axes. The individual stops are displaceable into a predefined intensity correction displacement position at least along their respective bar axis with the aid of a displacement drive individually for the purpose of predefining an intensity correction of an illumination of the illumination field. | 01-15-2015 |
20150022795 | POWER SUPPLY FOR A DISCHARGE PRODUCED PLASMA EUV SOURCE - A power supply for providing HV power to a lithography illumination source comprising a HV power source arranged to provide the HV power, a HV power transmission line arranged to transmit the HV energy from the HV power source and one or more RF terminations provided on one or more of an input end of the transmission line or an output end of the transmission line. The one or more RF terminations terminate the transmission line to reduce reflection of RF energy at the end of the transmission line. | 01-22-2015 |
20150022796 | INTERFEROMETER, LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - An interferometer includes: an optical system configured to generate interfering light by dividing light from a light source, and combining reference light and measurement light; a detector configured to detect the interfering light generated by the optical system; and an optical member configured to give spatial coherence to the light from the light source before the detector detects the light from the light source. The optical member gives higher spatial coherence in a second direction serving as a direction of a line of intersection of a cross section of a beam of the light incident on the optical member, and a plane including optical paths of the light from the light source before being divided by the optical system, the reference light, the measurement light, and the interfering light, than in a first direction perpendicular to the plane. | 01-22-2015 |
20150022797 | LITHOGRAPHY APPARATUS, LITHOGRAPHY METHOD, AND ARTICLE MANUFACTURING METHOD - Provided is a lithography apparatus which forms a pattern on a substrate that includes a detector configured to detect a mark formed on the substrate; a controller configured to obtain a displacement amount of a position of the mark from a reference position thereof based on an output of the detector; wherein the controller is configured to obtain a representative value of, with respect to each of a plurality of marks associated with each sample shot region on the substrate, a plurality of the displacement amount respectively obtained based on outputs of the detector with respect to a plurality of the sample shot region, and obtain information relating to a form of a shot region on the substrate based on a plurality of the representative value respectively obtained with respect to the plurality of marks. | 01-22-2015 |
20150022798 | ILLUMINATION SYSTEM FOR MICROLITHOGRAPHY - A raster arrangement includes first and second types of raster elements which have different bundle-influencing effects. There is a distance step between a first raster area and a second raster area. The first raster area comprises a raster element of the first raster element type. The second raster area includes a raster element of the second raster element type. The raster arrangement is configured to be used in a microlithography illumination system. | 01-22-2015 |
20150029477 | OPTICAL SYSTEM FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An optical system for a microlithographic projection exposure apparatus has an optical axis, at least one mirror arrangement having a plurality of mirror elements that are adjustable independently of one another for altering an angular distribution of the light reflected by the mirror arrangement, and a deflection device which includes, relative to the optical beam path downstream of the mirror arrangement, at least one deflection surface at which a deflection of the optical axis occurs. The at least one deflection surface has refractive power. | 01-29-2015 |
20150029478 | Fuel Stream Generator, Source Collector Apparatus and Lithographic Apparatus - A fuel stream generator comprising a nozzle connected to a fuel reservoir, wherein the nozzle is provided with a gas inlet configured to provide a sheath of gas around fuel flowing along the nozzle is disclosed. Also disclosed are a method of generating fuel droplets and a lithography apparatus incorporating the fuel stream generator. | 01-29-2015 |
20150029479 | PROJECTION EXPOSURE METHOD AND PROJECTION EXPOSURE APPARATUS FOR MICROLITHOGRAPHY - A projection exposure method for exposing a radiation-sensitive substrate with at least one image of a pattern of a mask is provided. The method includes determining at least one light quiver parameter which describes a property of a light quiver, and controlling the operation of the projection exposure apparatus taking account of the light quiver parameter. | 01-29-2015 |
20150029480 | OPTICAL SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An optical system of a microlithographic projection exposure apparatus comprises at least one mirror arrangement, having a plurality of mirror elements which are adjustable independently of one another for varying an angular distribution of the light reflected by the mirror arrangement, a polarization-influencing optical arrangement, by which, for a light beam passing through during the operation of the projection exposure apparatus, different polarization states can be set via the light beam cross section, and a retarder arrangement, which is arranged upstream of the polarization-influencing optical arrangement in the light propagation direction and at least partly compensates for a disturbance of the polarization distribution that is present elsewhere in the projection exposure apparatus, wherein the polarization-influencing optical arrangement has optical components which are adjustable in their relative position with respect to one another, wherein different output polarization distributions can be produced by this adjustment in conjunction with the mirror arrangement. | 01-29-2015 |
20150029481 | METHOD OF OPERATING A PATTERNING DEVICE AND LITHOGRAPHIC APPARATUS - A lithographic reticle is illuminated to transfer a pattern to a substrate, inducing distortions due to heating. The distortions are calculated using reference marks in a peripheral portion of the reticle and measuring changes in their relative positions over time. A plurality of cells are defined for which a system of equations can be solved to calculate a dilation of each cell. In an embodiment, each equation relates positions of pairs of marks to dilations of the cells along a line (s, s1, s2) connecting each pair. Local positional deviations can be calculated for a position by combining calculated dilations for cells between at least one measured peripheral mark and the position. Corrections can be applied in accordance with the result of the calculation. Energy may be applied to the patterning device (for example by thermal input or mechanical actuators) to modify a distribution of the local positional deviations. | 01-29-2015 |
20150036114 | OPTOELECTRONIC MODULE WITH IMPROVED OPTICAL SYSTEM - The invention relates to an optoelectronic module ( | 02-05-2015 |
20150036115 | ILLUMINATION OPTICAL UNIT FOR EUV PROJECTION LITHOGRAPHY - Illumination optical unit for EUV projection lithography guides illumination light to an object field. The illumination optical unit has a first facet mirror, which comprises a multiplicity of individual mirrors which can be switched between at least two tilt positions. A second facet mirror of the illumination optical unit is arranged downstream of the first facet mirror in the beam path of the illumination light. The second facet mirror has a plurality of facets, which respectively contribute to imaging a group of the individual mirrors of the first facet mirror into the object field via a group mirror illumination channel. The images of the groups are superposed on one another in the object field. At least some of the individual mirrors belong to at least two different groups of the individual mirror groups, which are respectively associated with a dedicated second facet via a dedicated group mirror illumination channel. | 02-05-2015 |
20150042970 | MIRROR ASSEMBLY WITH HEAT TRANSFER MECHANISM - A mirror assembly ( | 02-12-2015 |
20150042971 | METHOD AND SYSTEM FOR NANOLITHOGRAPHY - A method of performing nanolithography is disclosed, comprising use of an optical printing head that enables a super-resolution lithographic exposures compatible with conventional optical lithographic processes. The super-resolution exposures are carried out using light directed onto a data recording medium using plasmonic structures, and in particular using plasmonic structures using specially designed super-resolution apertures, of which the “bow-tie” and “C-aperture” are examples. These specially designed apertures create small but bright images in the near-field transmission pattern. A printing head comprising an array of these apertures is held in close proximity to a data recording medium. A data processing system is provided to re-interpret the data to be patterned into a set of modulation signals used to drive the multiple individual channels and the multiple exposures. | 02-12-2015 |
20150042972 | AMPLIFICATION METHOD FOR PHOTORESIST EXPOSURE IN SEMICONDUCTOR CHIP MANUFACTURING - An electrical field is applied through an extreme ultraviolet (EUV) photoresist layer along a direction perpendicular to an interface between the EUV photoresist layer and an underlying layer. Secondary electrons and thermal electrons are accelerated along the direction of the electrical field, and travel with directionality before interacting with the photoresist material for a chemical reaction. The directionality increases the efficiency of electron photoacid capture, reducing the required EUV dose for exposure. Furthermore, this directionality reduces lateral diffusion of the secondary and thermal electrons, and thereby reduces blurring of the image and improves the image resolution of feature edges formed in the EUV photoresist layer. The electrical field may be generated by applying a direct current (DC) and/or alternating current (AC) bias voltage across an electrostatic chuck and a conductive plate placed over the EUV photoresist layer with a hole for passing the EUV radiation through. | 02-12-2015 |
20150049316 | EXPOSURE APPARATUS AND METHOD THEREOF - An exposure apparatus includes a light source, an illuminating member, a projecting member, a stage, an inspecting member, and an information processing member. The light source is configured to provide a light in accordance with a pulse event generation (PEG) representing a period of light radiation. The illuminating member is configured to change the light into point lights. The projecting member is configured to project the point lights according to a photoresist shape extending in various directions. The point lights are projected on the stage. The inspecting member is configured to inspect a photoresist pattern formed by the projected point lights. The information processing member is configured to analyze different photoresist patterns corresponding to different PEGs to select one PEG from the different PEGs. The one PEG being associated with a minimum error in the various directions. | 02-19-2015 |
20150049317 | LITHOGRAPHY APPARATUS, ALIGNMENT METHOD, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a lithography apparatus for transferring a pattern formed on an original to each of a plurality of shot regions on a substrate, comprising a detection unit configured to detect a mark provided in the shot region and a mark provided on the original, and a control unit configured to control alignment between a target shot region and the pattern of the original such that the mark in the target region detected by the detection unit and the mark on the original are shifted by a positional shift amount which is generated between each mark in the shot region and each mark on the original when an overlay error between the shot region and the pattern of the original falls within an allowable range. | 02-19-2015 |
20150049318 | OPTICAL ELEMENT, OPTICAL SYSTEM, CAPTURING APPARATUS, OPTICAL EQUIPMENT, AND ORIGINAL RECORDING AND MANUFACTURING METHOD THEREFOR - An optical element includes a surface on which a plurality of structures is provided. The plurality of structures is provided to be fluctuated in a random direction from a lattice point at an interval which is equal to or shorter than a wavelength of visible light. | 02-19-2015 |
20150049319 | MICROLITHOGRAPHY PROJECTION OPTICAL SYSTEM, TOOL AND METHOD OF PRODUCTION - A microlithography projection optical system is disclosed. The system can include a plurality of optical elements arranged to image radiation having a wavelength λ from an object field in an object plane to an image field in an image plane. The plurality of optical elements can have an entrance pupil located more than 2.8 m from the object plane. A path of radiation through the optical system can be characterized by chief rays having an angle of 3° or more with respect to the normal to the object plane. This can allow the use of face shifting masks as objects to be imaged, in particular for EUV wavelengths. | 02-19-2015 |
20150049320 | PROJECTION EXPOSURE METHOD, SYSTEM AND OBJECTIVE - A projection exposure method is disclosed which includes exposing an exposure area of a radiation-sensitive substrate, arranged in an image surface of a projection objective, with at least one image of a pattern of a mask arranged in an object surface of the projection objective in a scanning operation. The scanning operation includes moving the mask relative to an effective object field of the projection objective and simultaneously moving the substrate relative to an effective image field of the projection objective in respective scanning directions. The projection exposure method also includes changing imaging properties of the projection objective actively during the scanning operation according to a given time profile to change dynamically at least one aberration of the projection objective between a beginning and an end of the scanning operation. Changing at least one imaging property of the projection objective includes changing optical properties of a mirror arranged in the projection beam path optically close to a field surface by changing a surface profile of the reflective surface of the mirror in an optically used area. | 02-19-2015 |
20150055106 | Radiation Source and Lithographic Apparatus - The present invention provides methods and apparatus for facilitating the start up of a fuel droplet stream generator. During a start-up phase the fuel droplet stream generator is positioned so that the fuel droplets re emitted downwardly whereby gravity assists in the establishment of the stream. The droplets are monitored using a visualization system and once the stream is determined to have the desired characteristics the stream generator is moved to a second position of steady state use in which the droplet stream is emitted in a horizontal direction. | 02-26-2015 |
20150055107 | MICROELECTROMECHANICAL MIRROR ASSEMBLY - An optical element assembly includes a base, and an element unit. The element unit includes (i) an optical element having an element central axis and an element perimeter; and (ii) an element connector assembly that couples the optical element to the base, the element connector assembly including a flexure assembly having an element flexure and a base flexure. A distal end of the element flexure is coupled to the optical element near the element perimeter, a distal end of the base flexure is coupled to the base, and a proximal end of the element flexure is coupled to a proximal end of the base flexure near the element central axis. | 02-26-2015 |
20150055108 | Mirror for the EUV Wavelength Range, Method for Producing such a Mirror, and Projection Exposure Apparatus Comprising such a Mirror | 02-26-2015 |
20150055109 | PROJECTION OBJECTIVE FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A projection objective of a microlithographic projection exposure apparatus contains a plurality of optical elements arranged in N>−2 successive sections A | 02-26-2015 |
20150055110 | ILLUMINATION OPTICAL UNIT FOR PROJECTION LITHOGRAPHY - An illumination optical unit for projection lithography illuminates an object field with illumination light. The illumination optical unit has a collector for collecting the emission of a light source for the illumination light. The collector is arranged such that it transfers the illumination light from the light source into an intermediate focus. The illumination optical unit furthermore has a field facet mirror and a pupil facet mirror, each having a plurality of facets. The field facets are imaged into the object field by a transfer optical unit. The illumination optical unit additionally has an individual-mirror array having individual mirrors tiltable in a manner driven individually. The array is arranged upstream of the field facet mirror and downstream of the intermediate focus in an illumination beam path. | 02-26-2015 |
20150055111 | REFLECTIVE OPTICAL ELEMENT AND EUV LITHOGRAPHY APPLIANCE - A reflective optical element and an EUV lithography appliance containing one such element are provided, the appliance displaying a low propensity to contamination. The reflective optical element has a protective layer system includes at least two layers. The optical characteristics of the protective layer system are between those of a spacer and an absorber, or correspond to those of a spacer. The selection of a material with the smallest possible imaginary part and a real part which is as close to 1 as possible in terms of the refractive index leads to a plateau-type reflectivity course according to the thickness of the protective layer system between two thicknesses d | 02-26-2015 |
20150055112 | LITHOGRAPHY APPARATUS AND METHOD FOR PRODUCING A MIRROR ARRANGEMENT - A lithography apparatus is disclosed, having at least one mirror arrangement which includes a mirror substrate including a front side with a reflecting surface, a side wall, which extends along a circumference of the mirror substrate from a rear side of the mirror substrate, and mounting elements to mount the mirror arrangement on a structural element of the lithography apparatus. The rear side of the mirror substrate and an inner side of the side wall delimit a cavity. Each of the mounting elements is connected to the mirror arrangement at a connection surface. The relation S/D>0.5 is satisfied at least one of the connection surfaces, wherein D denotes a thickness of the side wall at the connection surface and S denotes the length of the shortest path through the mirror material from the centroid of the connection surface to the rear side of the mirror substrate. | 02-26-2015 |
20150062547 | Lithography Apparatus, a Device Manufacturing Method, a Method Of Manufacturing an Attenuator - There is disclosed an exposure apparatus, a device manufacturing method and a method of manufacturing an attenuator. According to an embodiment, the exposure apparatus includes a programmable patterning device configured to provide a plurality of individually controllable radiation beams; a projection system configured to project each of the radiation beams onto a respective location on a target; and an attenuator configured to reduce a standard deviation in maximum radiation flux or background exposure level that can be applied to the target by the radiation beams as a function of position on the target. | 03-05-2015 |
20150062548 | Lithographic Apparatus, Sensor and Method - A lithographic apparatus comprises an illumination system configured to condition a radiation beam, a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate, a projection system configured to project the patterned radiation beam onto a target portion of the substrate, and a sensor. The sensor (S) comprises a photodiode ( | 03-05-2015 |
20150062549 | ASSEMBLY FOR A PROJECTION EXPOSURE APPARATUS FOR EUV PROJECTION LITHOGRAPHY - An assembly for a projection exposure apparatus for EUV projection lithography has an illumination optical unit for guiding illumination light to an illumination field, in which a lithography mask can be arranged. The illumination optical unit comprises a first facet mirror, which comprises a plurality of mirror arrays with respectively a plurality of individual mirrors. The individual mirrors provide individual mirror illumination channels for guiding illumination light partial beams to the illumination field. The mirror arrays of the first facet mirror are arranged in an array superstructure. Gaps extend along at least one main direction (HRα) between neighboring ones of the mirror arrays. Furthermore, the illumination optical unit comprises a second facet mirror, which comprises a plurality of facets, which respectively contribute to imaging a group of the individual mirrors of the field facet mirror into the illumination field via a group mirror illumination channel. | 03-05-2015 |
20150062550 | PHOTOMASK, PHOTOMASK SET, EXPOSURE APPARATUS AND EXPOSURE METHOD - There is provided a photomask capable of improving alignment accuracy with respective photomasks disposed on the front and rear faces of a substrate. A photomask has a drawing pattern for exposure formed on one face opposing a substrate, a first alignment mark for alignment with a substrate side mark formed on the substrate, the first alignment mark being provided in a region of the one face, the region opposing the substrate when the substrate is retained and the drawing pattern is not formed in the region, and a second alignment mark for alignment with a third alignment mark provided on another photomask, the second alignment mark being provided in a region which does not oppose the substrate when the substrate is retained. | 03-05-2015 |
20150070671 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system of a microlithographic projection exposure apparatus comprises a spatial light modulator which is arranged between a light source and a pupil plane. The spatial light modulator includes an array of micromirrors or other light deflecting elements each being capable of individually deflecting impinging projection into various directions. An irradiance distribution on the mirror array or its envelope has, along a direction X an increasing slope and a decreasing slope. The control unit controls the mirrors in such a way that a first mirror, which is located at the increasing slope, and a second mirror, which is located at the decreasing slope, deflect impinging projection light so that it at least partly overlaps in the pupil plane. This ensures that the angular irradiance distribution at mask level is substantially independent from beam pointing fluctuations. | 03-12-2015 |
20150070672 | LIGHT EXPOSURE METHOD, LIGHT EXPOSURE DEVICE, AND REFLECTIVE PROJECTION LIGHT EXPOSURE MASK - According to one embodiment, a light exposure method includes irradiating light on a reflective projection light exposure mask and irradiating an object to be exposed to light with reflected light by reflecting the light by the reflective projection light exposure mask. The reflective projection light exposure mask includes a substrate and a pattern portion. The substrate has a first surface. The pattern portion has a multilayer reflective film provided on the first surface of the substrate. The pattern portion includes a plurality of protruding patterns and depression patterns. The depression patterns are provided between the plurality of protruding patterns. | 03-12-2015 |
20150070673 | WAFER-BASED LIGHT SOURCE PARAMETER CONTROL - A photolithography method includes instructing an optical source to produce a pulsed light beam; scanning the pulsed light beam across a wafer of a lithography exposure apparatus to expose the wafer with the pulsed light beam; during scanning of the pulsed light beam across the wafer, receiving a characteristic of the pulsed light beam at the wafer; receiving a determined value of a physical property of a wafer for a particular pulsed light beam characteristic; and based on the pulsed light beam characteristic that is received during scanning and the received determined value of the physical property, modifying a performance parameter of the pulsed light beam during scanning across the wafer. | 03-12-2015 |
20150070674 | STEREOLITHOGRAPHY MACHINE WITH IMPROVED OPTICAL UNIT - Stereolithography machine ( | 03-12-2015 |
20150070675 | Fuel System for Lithographic Apparatus, EUV Source, Lithographic Apparatus and Fuel Filtering Method - A fuel supply for an EUV radiation source is disclosed. The fuel supply comprises a reservoir ( | 03-12-2015 |
20150077732 | DRIVE METHOD FOR SPATIAL LIGHT MODULATOR, METHOD FOR GENERATING PATTERN FOR EXPOSURE, AND EXPOSURE METHOD AND APPARATUS - In a drive method for a spatial light modulator, out of a first boundary region and a second boundary region arranged adjacently in a Y-direction and extending in an X-direction, mirror elements arranged at a first pitch not resolved by a projection optical system, in the X-direction in the first boundary region are set in the phase 0, and the other mirror elements therein are set in the phase π; mirror elements arranged at a second pitch not resolved by the projection optical system, in the X-direction in the second boundary region are set in the phase π, and the other mirror elements therein are set in the phase 0. | 03-19-2015 |
20150085269 | METHOD AND APPARATUS FOR LOCALLY DEFORMING AN OPTICAL ELEMENT FOR PHOTOLITHOGRAPHY - The invention relates to a method for locally deforming an optical element for photolithography in accordance with a predefined deformation form comprising: (a) generating at least one laser pulse having at least one laser beam parameter; and (b) directing the at least one laser pulse onto the optical element, wherein the at least one laser beam parameter of the laser pulse is selected to yield the predefined deformation form. | 03-26-2015 |
20150085270 | REFLECTIVE IMAGE-FORMING OPTICAL SYSTEM, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A reflective imaging optical system which forms, on a second plane, an image of a pattern arranged on a first plane and illuminated with light from an illumination optical system includes a plurality of reflecting mirrors including first and second reflecting mirrors by which the light reflected by the first plane is reflected first, second, respectively. An area on the first plane illuminated with the light from the illumination optical system is an illumination objective area, the illumination objective area is positioned on a predetermined side of an optical axis of the reflecting mirrors, and reflection areas of the first and second reflecting mirrors are positioned on the predetermined side of the optical axis of the reflecting mirrors; and the first and second reflecting mirrors are arranged so that an optical path of the light from the illumination optical system is positioned between the first and second reflecting mirrors. | 03-26-2015 |
20150085271 | PROJECTION EXPOSURE APPARATUS AND METHOD FOR CONTROLLING A PROJECTION EXPOSURE APPARATUS - A method is provided for controlling a projection exposure apparatus for microlithography, embodied as a scanner, in the exposure operation, in which a reticle is moved along a scanning axis with respect to a frame of the projection exposure apparatus such that the reticle is scanned by an illumination field radiated thereon, and the radiation of the illumination field is guided onto a wafer after interaction with the reticle in order to generate a desired dose distribution on the wafer. The method comprises the following steps: measuring positional changes of the illumination field in the direction of the scanning axis with respect to the frame of the projection exposure apparatus, and correcting the influence of a measured positional change of the illumination field on the dose distribution on the wafer by modifying at least one operational parameter of the projection exposure apparatus. | 03-26-2015 |
20150092171 | LITHOGRAPHIC APPARATUS - A lithographic apparatus includes a patterning device support to support a patterning device to form a patterned radiation beam, the patterning device support including a moveable structure movably arranged with respect to an object, a patterning device holder movably arranged relative to the movable structure and holding the patterning device, an actuator to move the movable structure relative to the object, and an ultra short stroke actuator to move the patterning device holder relative to the movable structure; a substrate support to hold a substrate; and a projection system to project the patterned radiation beam onto the substrate, a position measurement system for measuring a substrate positional error which is a difference between a desired position and an actual position of the substrate relative to a reference object; and a controller to move the actuator and the ultra short stroke actuator based on the substrate positional error. | 04-02-2015 |
20150092172 | OPTICAL APPARATUS, PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides an optical apparatus for deforming a reflecting surface of a mirror, comprising a base plate, a plurality of first actuators each configured to apply a force to the surface opposite to the reflecting surface, a plurality of second actuators each having rigidity lower than that of the first actuator, and configured to apply a force to the surface opposite to the reflecting surface, a sensor configured to detect information indicating a driving status of each of the plurality of first actuators, and a control unit configured to control, based on an output of the sensor, driving of each of the plurality of first actuators and driving of each of the plurality of second actuators so that a shape of the reflecting surface is changed to a target shape. | 04-02-2015 |
20150092173 | ILLUMINATION OPTICAL SYSTEM, EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - The illumination optical system for illuminating an illumination target surface with light from a light source is provided with a polarization converting member which converts a polarization state of incident light to form a pupil intensity distribution in a predetermined polarization state on an illumination pupil of the illumination optical system; and a phase modulating member which is arranged in the optical path on the illumination target surface side with respect to the polarization converting member and which transmits light from the pupil intensity distribution so as to convert linearly polarized light thereof polarized in a first direction, into required elliptically polarized light and maintain a polarization state of linearly polarized light polarized in a second direction (X-direction or Y-direction) obliquely intersecting with the first direction, in order to reduce influence of retardation caused by a subsequent optical system between the polarization converting member and the illumination target surface. | 04-02-2015 |
20150092174 | ILLUMINATION OPTICAL UNIT - An illumination optical unit comprises a first faceted element and a second faceted element having a multiplicity of displaceable micromirrors which can be grouped flexibly to form facets. | 04-02-2015 |
20150098069 | Extreme Ultraviolet Lithography Process and Mask - A system of an extreme ultraviolet lithography (EUVL) is disclosed. The system includes an extreme ultraviolet (EUV) mask with three states. A reflection coefficient is r | 04-09-2015 |
20150098070 | LIGHT WAVELENGTH CONVERSION MODULE, ILLUMINATION SYSTEM, AND PROJECTION APPARATUS - A light wavelength conversion module including a substrate, a first light wavelength conversion layer, and a first light transmissive layer is provided. The substrate has a light passing-through area and a first light wavelength conversion area. The first light wavelength conversion layer is located at the first light wavelength conversion area and between the first light transmissive layer and the substrate. The first light wavelength conversion layer is suitable for converting a coherent light beam into a first conversion light beam, wherein wavelengths of the coherent light beam and the first conversion light beam are different from each other. An illumination system and a projection apparatus are also provided. | 04-09-2015 |
20150098071 | OPTICAL ARRANGEMENT FOR THREE-DIMENSIONALLY PATTERNING A MATERIAL LAYER - The disclosure relates to an optical arrangement for three-dimensionally patterning a radiation-sensitive material layer, such as a projection exposure apparatus for microlithography. The optical arrangement includes a mask for forming a three-dimensional radiation pattern, a substrate with the radiation-sensitive material layer, and a projection optical unit for imaging the three-dimensional radiation pattern from the mask into the radiation-sensitive material layer. The optical arrangement is designed to compensate for spherical aberrations along the thickness direction of the radiation-sensitive material layer in order to generate a stigmatic image of the three-dimensional radiation pattern. | 04-09-2015 |
20150109596 | METHOD AND SYSTEM FOR ACHIEVING AUTOMATIC COMPENSATION IN GLASS SUBSTRATE EXPOSURE PROCESS - The present invention discloses a system for achieving automatic compensation in glass substrate exposure process, including a measurement machine, a communication interface module and an exposure machine, wherein the measurement machine, for performing measurement on exposed glass substrate, and transmitting measured exposure shift data of each measurement point through communication interface module to a default storage area of exposure machine; and the exposure machine, for reading exposure shift data from each default storage area, obtaining a compensation value corresponding to each measurement point based on the exposure shift data and performing compensation processing on the glass substrate and each exposure point corresponding to each measurement point. The present invention also discloses a corresponding method. The present invention can improve compensation efficiency and accuracy of the exposure machine as save man power. | 04-23-2015 |
20150116680 | ULTRAVIOLET LASER DEVICE, AND EXPOSURE DEVICE AND INSPECTION DEVICE PROVIDED WITH ULTRAVIOLET LASER DEVICE - An ultraviolet laser device, includes: a first laser light output unit outputs a first infrared laser light; a second laser light output unit outputs a second infrared laser light; a first wavelength conversion optical system generates a first ultraviolet laser light of a fifth harmonic of the first infrared laser light; and a second wavelength conversion optical system to which the first ultraviolet laser light and the second infrared laser light enter, wherein the second wavelength conversion optical system includes a first wavelength conversion optical element which generates a second ultraviolet laser light by sum frequency generation of the first ultraviolet laser light and the second infrared laser light, and a second wavelength conversion optical element which generates a deep ultraviolet laser light by sum frequency generation of the second ultraviolet laser light and the second infrared laser light. | 04-30-2015 |
20150116681 | COMPUTER-READABLE STORAGE MEDIUM, GENERATING METHOD, GENERATING APPARATUS, DRIVING APPARATUS, PROCESSING APPARATUS, LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a computer-readable storage medium which stores a program for causing a computer to generate time-series data of an electric current to be supplied to a motor in order to cause, a control system, including the motor configured to drive an object, to transit from a first state to a second state, the program causing the computer to generate the time-series data so as to satisfy a constraint including a condition to constrain an upper limit value of dispersion of a plurality of state quantities respectively obtained from a plurality of models each of which estimates, from the time-series data, a state quantity of a specific mode of a vibration mode and motion mode of the object, and so that a value of an evaluation function for evaluating the time-series data falls within a tolerance. | 04-30-2015 |
20150116682 | PROGRAMMABLE IMAGING ASSEMBLY FOR MANUFACTURING BIOTEST POST ARRAYS - An imaging assembly for directing a pattern of energy at a workpiece includes (i) a reticle that defines a reticle array that includes a plurality of spaced apart, transmitting regions; (ii) an illumination source that generates an illumination beam; and (iii) a director assembly that selectively directs the illumination beam at the reticle array, the director assembly includes a plurality of director elements that are individually controlled to selectively control the beam pattern that is directed at the reticle array. | 04-30-2015 |
20150116683 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus is provided that has a sensor at substrate level, the sensor including a radiation receiver, a transmissive plate supporting the radiation receiver, and a radiation detector, wherein the sensor is arranged to avoid loss of radiation between the radiation receiver and a final element of the radiation detector. | 04-30-2015 |
20150116684 | SPATIAL LIGHT MODULATOR AND EXPOSURE APPARATUS - The spatial light modulator is provided with: a substrate; a fixed electrode disposed on a surface of the substrate; a connecting section, which has one end of the connecting section connected to the surface of the substrate; a movable section, which is connected to another end of the connecting section; a supporting post section, which extends in the thickness direction of the substrate with one end of the supporting post section connected to the movable section; a reflecting member, which is connected to another end of the supporting post section; a movable electrode, which is disposed on a surface of the reflecting member, the surface of the reflecting member facing the fixed electrode; and a conductive layer, which is disposed on the supporting post section with a film thickness larger than that of the movable electrode, and which electrically connects between the movable section and the movable electrode. | 04-30-2015 |
20150124231 | Assembly For Modifying Properties Of A Plurality Of Radiation Beams, A Lithography Apparatus, A Method Of Modifying Properties Of A Plurality Of Radiation Beams And A Device Manufacturing Method - An assembly to modify a property of a plurality of radiation beams, the assembly including a plurality of waveguides configured to guide the plurality of radiation beams closer together, and a frequency multiplying device configured to receive the plurality of radiation beams guided by the plurality of waveguides and generate a corresponding plurality of radiation beams having frequencies that are an integer multiple higher. Also described are a corresponding lithography apparatus, method of modifying a property of a plurality of radiation beams and device manufacturing method. | 05-07-2015 |
20150124232 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus is provided with a light source for emitting pulse light and exposes a substrate via to the pulse light an original. Further, the exposure apparatus comprises a detection unit for detecting the light quantity of the pulse light and a controller for controlling the light source and the detection unit. Here, the controller is configured to execute a first calibration process for obtaining a relation between a control input to the light source and a light quantity of a pulse light from the light source, based on light quantities of a plurality of pulse lights detected by the detector by causing the light source to emit the plurality of the pulse lights with a plurality of the control input, in parallel with execution of a second calibration process which is different from the first calibration process and executed using the plurality of pulse lights. | 05-07-2015 |
20150124233 | ILLUMINATION SYSTEM FOR AN EUV PROJECTION LITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system for an EUV projection lithographic projection exposure apparatus comprises an EUV light source, which generates an output beam of EUV illumination light with a predetermined polarization state. An illumination optical unit guides the output beam along an optical axis, as a result of which an illumination field in a reticle plane is illuminated by the output beam. The light source comprises an electron beam supply device, an EUV generating device and a polarization setting device. The EUV generating device is supplied with an electron beam by the electron beam supply device. The polarization setting device exerts an adjustable deflecting effect on the electron beam for setting the polarization of the output beam. This results in an illumination system, which operates on the basis of an electron beam-based EUV light source and provides an output beam, which is improved for a resolution-optimized illumination. | 05-07-2015 |
20150131068 | LASER DEVICE, AND EXPOSURE DEVICE AND INSPECTION DEVICE PROVIDED WITH LASER DEVICE - A laser device includes: a laser light output unit that outputs a fundamental wave laser light; a wavelength conversion unit that performs wavelength conversion of the fundamental wave laser light and outputs a converted laser light; an output detector that detects a power of the converted laser light; a power feedback circuit that controls the power of the fundamental wave laser light such that the power of the converted laser light is in constant; a phase matching adjustment configuration that adjusts a quantity of state at the wavelength conversion optical element; and a phase matching control circuit that controls an operation the phase matching adjustment configuration, wherein in a state that the power of the converted laser light is controlled in constant, the phase matching control circuit adjust the quantity of state in a predetermined range such that the power of the fundamental wave laser light is minimized. | 05-14-2015 |
20150131069 | MASK PROTECTION DEVICE, EXPOSURE APPARATUS, AND METHOD FOR MANUFACTURING DEVICE - A reticle protection device capable of keeping a reticle therein is provided with an inner pod capable of keeping the reticle therein; an outer pod capable of keeping the inner pod therein; an electroconductive movable contact portion provided on at least one of the inner pod and the outer pod and being capable of coming into contact with an electroconductive film of the reticle; and a leaf spring for achieving electric conduction of the contact portion to at least one of the inner pod and the outer pod. The reticle is kept in the inner pod and the inner pod is kept in the outer pod, thereby enabling stable grounding of the reticle. | 05-14-2015 |
20150138522 | ROLLER MOLD MANUFACTURING APPARATUS AND METHOD - In a so-called step-and-repeat method in which a mask pattern is circumferentially written by exposure onto each predetermined region on a surface of a roller mold, an object of the present invention is to improve the spacing accuracy between the mask patterns and to suppress the occurrence of misalignment at a seam when the mask pattern has been written onto a 360-degree circumference. For that object, a roller mold manufacturing apparatus according to the present invention comprises: a mask | 05-21-2015 |
20150138523 | Metrology Method and Apparatus, Substrate, Lithographic System and Device Manufacturing Method - A metrology target formed by a lithographic process on a substrate includes a plurality of component gratings. Images of the target are formed using +1 and −1 orders of radiation diffracted by the component gratings. Regions of interest (ROIs) in the detected image are identified corresponding the component gratings. Intensity values within each ROI are processed and compared between images, to obtain a measurement of asymmetry and hence overlay error. Separation zones are formed between the component gratings and design so as to provide dark regions in the image. In an embodiment, the ROIs are selected with their boundaries falling within the image regions corresponding to the separation zones. By this measure, the asymmetry measurement is made more tolerant of variations in the position of the ROI. The dark regions also assist in recognition of the target in the images. | 05-21-2015 |
20150146181 | APPARATUS OF PHOTOLITHOGRAPHY PROCESS TO LIQUID DISPLAY PANEL AND METHOD THEREOF - The present invention discloses an apparatus of photolithography process to a liquid display panel, comprising: a platform, employed for loading the liquid display panel; a power supplying device, employed for supplying power to the liquid display panel; an ultraviolet light source supply device, employed for providing the ultraviolet light; a light distributing plate, employed for homogenizing the ultraviolet light. The present invention also discloses a method of photolithography process to a liquid display panel. The monomer can plenty reacts without damaging liquid crystal molecules according to the present invention. | 05-28-2015 |
20150146182 | RADIATION SOURCE - A radiation source for generating EUV radiation includes a laser configured to fire laser pulses at a target area to which is supplied a stream of fuel droplets, which may be tin droplets that emit EUV radiation when excited by the laser beam. The EUV radiation is collected by a collector. The tin droplets may be pre-conditioned by a laser pre-pulse before the main laser pulse to change the shape of the droplets so that the droplets are in an optimum condition for receiving the main laser pulse. Embodiments of the invention take into account the effect of the vaporization of one fuel droplet on succeeding droplets and allow the timing of the main and/or pre-pulse to be adjusted to take into account any delay in arrival of the subsequent droplet or oscillations in the shape of the subsequent droplet which may be caused by vaporization of the preceding droplet. | 05-28-2015 |
20150146183 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system of a microlithographic projection exposure apparatus includes an optical integrator having a plurality of light entrance facets each being associated with a secondary light source. A spatial light modulator has a light exit surface and transmit or to reflect impinging projection light in a spatially resolved manner. A pupil forming unit directs projection light on the spatial light modulator. An objective images the light exit surface of the spatial light modulator onto the light entrance facets of the optical integrator. The light exit surface of the optical light modulator includes groups of object areas being separated by areas that are not imaged on the light entrance facets. The objective combines images of the object areas so that the images of the object areas abut on the optical integrator. | 05-28-2015 |
20150146184 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - An illumination system includes an optical integrator having a plurality of light entrance facets, whose images at least substantially superimpose in a mask plane. A spatial light modulator transmits or reflects impinging projection light in a spatially resolved manner. A pupil forming unit directs projection light onto the spatial light modulator. An objective images a light exit surface of the spatial light modulator onto the light entrance facets of the optical integrator so that an image of an object area on the light exit surface completely coincides with one of the light entrance facets. A control unit controls the spatial light modulator such that along a scan direction a length of an image, which is formed on a mask from a light pattern in the object area, gradually increases at a beginning of a scan cycle and gradually decreases at the end of the scan cycle. | 05-28-2015 |
20150146185 | CATADIOPTRIC IMAGING SYSTEMS FOR DIGITAL SCANNER - Projection optical system for forming an image on a substrate and including an illumination relay lens and a projection lens each of which is a catadioptric system. The projection lens may include two portions in optical communication with one another, the first of which is dioptric and the second of which is catadioptric. In a specific case, the projection optical system satisfies | 05-28-2015 |
20150146186 | Lithography Mask Repairing Process - A method includes performing a beam scan on a photolithography mask to repair the photolithography mask. After the beam scan, a radiation treatment is performed on the photolithography mask. The method is performed by an apparatus including a beam generator configured to generate and project a beam on the lithography mask, a radiation source configured to generate a radiation on the lithography mask, and a process gas source configured to release a process gas onto the lithography mask. The process as reacts with a surface portion of the lithography mask to repair the lithography mask. With the radiation treatment, residue process gas on the lithography mask is removed. | 05-28-2015 |
20150293455 | EXPOSURE APPARATUS AND EXPOSURE METHOD - An exposure apparatus comprises a light source ( | 10-15-2015 |
20150293456 | DROPLET GENERATOR, EUV RADIATION SOURCE, LITHOGRAPHIC APPARATUS, METHOD FOR GENERATING DROPLETS AND DEVICE MANUFACTURING METHOD - A droplet generator, for an EUV radiation source, comprises: a capillary in which, in use, molten material flows; an actuator configured to modulate a pressure inside the capillary; and a controller configured to drive the actuator at a driving frequency; wherein the droplet generator is arranged such that, in use, the driving frequency is equal or about equal to a main resonance frequency of the molten material in the capillary. | 10-15-2015 |
20150293457 | IMAGING OPTICAL UNIT AND PROJECTION EXPOSURE APPARATUS FOR PROJECTION LITHOGRAPHY, HAVING SUCH IMAGING OPTICAL UNIT - An imaging optical unit for imaging an object field in an image field is disclosed. The imaging optical unit has an obscured pupil. This pupil has a center, through which a chief ray of a central field point passes. The imaging optical unit furthermore has a plurality of imaging optical components. A gravity center of a contiguous pupil obscuration region of the imaging optical unit lies decentrally in the pupil of the imaging optical unit. | 10-15-2015 |
20150293459 | DRIVING APPARATUS, LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURING AN ARTICLE - A driving apparatus is disclosed which has a movable part, a measuring device measuring a position of the movable part, two actuators respectively generating two thrusts which have a common axis of action thereof with respect to the movable part, and a controller that controls the position by the two actuators based on output of the measuring device. The controller obtains information of at least one of a thrust constant of one of the two actuators, a thrust constant of the other of the actuators, and rigidity of a member which supports the movable part with respect to the axis of action, based on a relationship between disturbance force estimated from thrust commands for the two actuators and an output of the measuring device in a case where the one actuator generates a thrust and the other actuator controls the position, and a thrust command for the one actuator. | 10-15-2015 |
20150293460 | PELLICLE INSPECTION APPARATUS - Provided with a pellicle inspection apparatus that inspects a pellicle film of a mask provided with a pellicle and used in EUV lithography. The pellicle inspection apparatus includes: an illumination optical system that projects a converging illuminating beam toward the pellicle film; | 10-15-2015 |
20150301455 | METHOD OF LITHOGRAPHICALLY TRANSFERRING A PATTERN ON A LIGHT SENSITIVE SURFACE AND ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A method of lithographically transferring a pattern on a light sensitive surface in a multiple exposure process comprises the following steps:
| 10-22-2015 |
20150301459 | POSITIONING SYSTEM USING SURFACE PATTERN RECOGNITION AND INTERPOLATION - A stage assembly for positioning a device along a first axis, the stage assembly comprising: a base; a stage that retains the device and moves above the base; a mover assembly that moves the stage along the first axis relative to the base; a first sensor system that monitors the movement of the stage along the first axis, the first sensor system generating a first signal, the first sensor system having a first sensor accuracy; a second sensor system that monitors the movement of the stage along the first axis, the second sensor system having a second sensor accuracy that is different from the first sensor accuracy of the first sensor system, the second sensor generating a second signal; and a control system that controls the mover assembly using at least one of the first sensor and the second signal. | 10-22-2015 |
20150303647 | LASER DEVICE, AND EXPOSURE DEVICE AND INSPECTION DEVICE PROVIDED WITH LASER DEVICE - A laser device, includes: a laser light generating unit generates laser lights with first and second wavelengths; an amplifying unit amplifies the lights with first and second wavelengths the first and the second amplified lights; a wavelength converting unit that generates a light output, either of first converted light wavelength conversion of the first amplified light and the second amplified light, or of the first converted light and the second converted light wavelength conversion of the second amplified light; and a control unit that controls operation of the laser light generating unit, wherein: the control unit controls an output condition of the light output by adjusting a temporal overlap, of the first converted light and the second amplified light, or the first and second converted lights, through control of relative timings of the laser light with the first and second wavelengths. | 10-22-2015 |
20150308895 | Metrology Method and Apparatus, Lithographic Apparatus, and Device Manufacturing Method - A metrology apparatus includes first ( | 10-29-2015 |
20150308966 | Method and Apparatus for Determining Lithographic Quality of a Structure - Method for determining lithographic quality of a structure produced by a lithographic process using a periodic pattern, such as a grating, detects lithographic process window edges and optimum process conditions. Method steps are: | 10-29-2015 |
20150309305 | ARRANGEMENT FOR THE ACTUATION OF AT LEAST ONE ELEMENT IN AN OPTICAL SYSTEM - An arrangement for the actuation of an element in an optical system. The arrangement includes first actuation and second actuation units for tilting the element about at least two different tilting axes. The first and second actuation units respectively include a flexure unit arranged outside an area defined by the element. Each flexure unit includes a first flexing element, rotatable with respect to a first axis of rotation, and a second flexing element, rotatable with respect to a second axis of rotation. For each flexure unit, the two associated axes of rotation intersect at a virtual connecting point of the flexure unit concerned to the optical element. The virtual connecting point is arranged in the area defined by the element and defines a rotating point for the element. | 10-29-2015 |
20150309401 | LITHOGRAPHY SYSTEM AND METHOD FOR PATTERNING PHOTORESIST LAYER ON EUV MASK - A lithography system for an extreme ultra violet (EUV) mask is provided. The lithography system includes a coupling module. The coupling module includes at least one mask contact element configured to touch a peripheral area of the EUV mask. The lithography system also includes an ammeter having an end electrically connected to the EUV mask through the at least one mask contact element and another end connected to a ground potential. The ammeter includes a sensor configured to measure a current conducting from the EUV mask to the ground potential and a compensation circuit configured to provide a compensation current that is opposite to the current measured by the sensor. | 10-29-2015 |
20150316852 | OPTICAL APPARATUS, PROJECTION OPTICAL SYSTEM, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides an optical apparatus that deforms a reflecting surface of a mirror, comprising a base plate, a plurality of actuators that are disposed between the base plate and the mirror and are configured to apply a force to the mirror, a detection unit configured to detect vibration generated in the base plate, and a control unit configured to control each actuator based on a result of detection performed by the detection unit such that a deformation of the mirror caused as a result of the vibration generated in the base plate is within an acceptable range. | 11-05-2015 |
20150316856 | POSITION MEASUREMENT SYSTEM, GRATING FOR A POSITION MEASUREMENT SYSTEM AND METHOD - A position measurement system to determine a position of a first object relative to a second object, includes an encoder head mounted on the first object, a grating mounted on the second object, wherein the grating includes a first array of grating lines in a first direction and a second array of grating lines in a second direction to diffract a measurement beam incident on the first and second arrays in at least one first diffracted beam in the first direction and in at least one second diffracted beam in the second direction, wherein the first diffracted beam is for position measurement in the first direction and the second diffracted beam is for position measurement in the second direction, wherein the measurement beam has a power quantity, and the grating is configured to distribute the power quantity unevenly over the first and second diffracted beams. | 11-05-2015 |
20150323816 | LIGHT MODULATORS AND DIGITAL EXPOSURE APPARATUSES INCLUDING THE SAME - A light modulator may include: a light modulating unit formed as a pixel-array type by using a PIN diode including multiple quantum wells including a Group-III nitride semiconductor material, and configured to modulate light by electroabsorption; and/or a control unit including a transistor configured to control voltage applied to the PIN diode of the light modulating unit. The PIN diode and the transistor may be arrayed in an active matrix form. | 11-12-2015 |
20150323873 | OPTICAL PROJECTION SYSTEM - An optical projection unit includes first and second optical element modules. The first optical element module includes a first housing unit and a first optical element received within the first housing unit and having an optically used first region defining a first optical axis. The second optical element module is located adjacent to the first optical element module and includes a second optical element which defines a second optical axis of the optical projection unit. The first housing unit has a central first housing axis and an outer wall extending in a circumferential direction about the first housing axis. The first optical axis is laterally offset and/or inclined with respect to the first housing axis. The first housing axis is substantially collinear with the second optical axis. | 11-12-2015 |
20150331326 | Wynne-Dyson projection lens with reduced susceptibility to UV damage - A Wynne-Dyson projection lens for use in an ultraviolet optical lithography system is disclosed, wherein the projection lens is configured to have reduced susceptibility to damage from ultraviolet radiation. The projection lens utilizes lens elements that are made of optical glasses that are resistant to damage from ultraviolet radiation, but that also provide sufficient degrees of freedom to correct aberrations. The glass types used for the lens elements are selected from the group of optical glasses consisting of: fused silica, S-FPL51Y, S-FSL5Y, BSM51Y and BAL15Y. | 11-19-2015 |
20150331327 | EXPOSURE APPARATUS, CONTROL METHOD THEREOF, AND DEVICE FABRICATION METHOD - An exposure apparatus advantageous for reducing the driving time of a stage is provided. In the apparatus, an input of an arrangement of exposure shots and an exposure order are received. An input of an upper limit acceleration value and an upper limit velocity value of the stage are received. A target locus of the stage is created using constrained-quadratic-linear programming such that the driving time of the stage from the end of an exposure to the start of the next exposure is within an acceptable value range, based on the input arrangement of exposure shots and exposure order, and the input upper limit acceleration value and upper limit velocity value. A driving unit that drives the stage is controlled based on the created target locus. | 11-19-2015 |
20150331328 | METHOD FOR COMPENSATING SLIT ILLUMINATION UNIFORMITY - A method for compensating a slit illumination uniformity includes executing a first lithography operation and recording an initial slit uniformity profile; executing a slit uniformity optimization process and recording an optimized slit uniformity profile; and offsetting the optimized slit uniformity profile to obtain a working slit uniformity profile such that the working slit uniformity profile has a mean value closest to that of the initial slit uniformity profile. | 11-19-2015 |
20150331331 | DETECTION APPARATUS, LITHOGRAPHY APPARATUS, AND ARTICLE MANUFACTURING METHOD - Provided is a detection apparatus that detects a mark with a periodic structure and includes an illumination optical system configured to irradiate light on the mark; a light receiving optical system configured to receive a diffracted light from the mark when a relative position between the illumination optical system and the mark is changed in the measurement direction; and a photodetector configured to detect the diffracted light from the light receiving optical system. Here, a numerical aperture of the light receiving optical system in the measurement direction is larger than a numerical aperture of the light receiving optical system in the non-measurement direction in the plane on which the mark is formed. | 11-19-2015 |
20150334813 | Beam Delivery for EUV Lithography - A beam delivery apparatus is used with a laser produced plasma source. The beam delivery apparatus comprises variable zoom optics ( | 11-19-2015 |
20150342016 | TARGET FOR LASER PRODUCED PLASMA EXTREME ULTRAVIOLET LIGHT SOURCE - Techniques for generating EUV light include directing a first pulse of radiation toward a target material droplet to form a modified droplet, the first pulse of radiation having an energy sufficient to alter a shape of the target material droplet; directing a second pulse of radiation toward the modified droplet to form an absorption material, the second pulse of radiation having an energy sufficient to change a property of the modified droplet, the property being related to absorption of radiation; and directing an amplified light beam toward the absorption material, the amplified light beam having an energy sufficient to convert at least a portion of the absorption material into extreme ultraviolet (EUV) light. | 11-26-2015 |
20150346113 | Substrate, a Method of Measuring a Property, an Inspection Apparatus and a Lithographic Apparatus - A second set of superimposed gratings are superposed over a first set of superimposed gratings. The second set of gratings have a different periodicity from the first set of gratings or a different orientation. Consequently the first order diffraction pattern from the second set of superimposed gratings can be distinguished from the first order diffraction pattern from the first set of superimposed gratings. | 12-03-2015 |
20150346606 | Lithographic Method and Apparatus - A method of patterning substrates using a lithographic apparatus. The method comprising providing a beam of radiation using an illumination system, using a patterning device to impart the radiation beam with a pattern in its cross-section, and using a projection system to project the patterned radiation beam onto target portions of a lot of substrates, wherein the method further comprises performing a radiation beam aberration measurement after projecting the patterned radiation beam onto a subset of the lot of substrates, performing an adjustment of the projection system using the results of the radiation beam aberration measurement, then projecting the patterned radiation beam onto a further subset of the lot of substrates. | 12-03-2015 |
20150355025 | Estimation of Spectral Feature of Pulsed Light Beam - A method is described for estimating a spectral feature of a pulsed light beam produced by an optical source and directed toward a wafer of a lithography apparatus. The method includes receiving a set of N optical spectra of pulses of the light beam; saving the received N optical spectra to a saved set; transforming the optical spectra in the saved set to form a set of transformed optical spectra; averaging the transformed optical spectra to form an averaged spectrum; and estimating a spectral feature of the pulsed light beam based on the averaged spectrum. | 12-10-2015 |
20150355547 | ELECTRON BEAM EXPOSURE SYSTEM AND METHODS OF PERFORMING EXPOSING AND PATTERNING PROCESSES USING THE SAME - Provided are an exposure system and methods of performing exposing and patterning processes using the same. The exposure system may include a data processing part that forms an exposure layout; and an exposure part that irradiates an electron beam at a photoresist layer according to the exposure layout. The data processing part is constructed and arranged to generate a control parameter for driving the exposure part without a pattern position error and a beam drift error and to prevent a discrepancy between the exposure layout and a mask layout to be formed in the photoresist layer. The exposure system further includes a controlling part that controls the exposure part according to the control parameter. | 12-10-2015 |
20150355554 | METHOD AND APPARATUS FOR MEASURING ASYMMETRY OF A MICROSTRUCTURE, POSITION MEASURING METHOD, POSITION MEASURING APPARATUS, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus includes an alignment sensor including a self-referencing interferometer for reading the position of a mark including a periodic structure. An illumination optical system focuses radiation of different colors and polarizations into a spot which scans said structure. Multiple position-dependent signals are detected in a detection optical system and processed to obtain multiple candidate position measurements. Each mark includes sub-structures of a size smaller than a resolution of the optical system. Each mark is formed with a positional offset between the sub-structures and larger structures that is a combination of both known and unknown components. A measured position of at least one mark is calculated using signals from a pair of marks, together with information on differences between the known offsets, in order to correct for said unknown component of said positional offset. | 12-10-2015 |
20150362841 | Method and Apparatus for Exposing a Structure on a Substrate - A method for exposing a structure on a substrate includes positioning of an invariable reticle and a programmable reticle in a light path between a light source and a layer on a substrate to be exposed to light and exposing the layer on the substrate by light from the light source passing the invariable reticle and the programmable reticle. | 12-17-2015 |
20150362842 | LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a lithography apparatus which sequentially irradiates, with a beam, a first region and a second region, that have a stitching region in common, on a substrate to form a pattern on the substrate, the apparatus including a processor configured to respectively give weights to first information of a position of the second region before irradiation of the first region with a beam and second information of a position of the second region after the irradiation to obtain information of a position of the second region. | 12-17-2015 |
20150362843 | ILLUMINATION DEVICE, EXPOSURE APPARATUS, ADJUSTING METHOD, AND METHOD FOR MANUFACTURING OBJECT - An illumination device includes a plurality of light source units, each including a light source, a mirror that reflects light from the light source, and one or more light blocking members that block the light that is reflected by the mirror and travels toward the illumination surface; and an illumination optical system that forms a light intensity distribution of superposed light, in which the light from each of the light source units is superposed, in a pupil plane and illuminates the illumination surface with the superposed light. In the light intensity distribution in the pupil plane of the illumination optical system, positions of shadows of all of the light blocking members included in one of the light source units are separated from positions of shadows of all of the light blocking members included in at least one of the remaining light source units. | 12-17-2015 |
20150370161 | DEVICE AND METHOD FOR NANO-IMPRINT LITHOGRAPHY - A device for nano-imprint lithography to perform a lithography process to a substrate coated with an electron-sensitive resist. The device comprises a conductive imprint template and an electron source. The imprint template comprises a base portion and a pattern portion on the upper surface of the base portion. The surface of the pattern portion is disposed opposed to the surface of the resist. The pattern portion has a concave-convex pattern corresponding to a target pattern of the resist. The electron source provides electrons to the concave-convex pattern. When the concave-convex pattern contacts the resist, the electrons transfer from the concave-convex pattern to the resist to make the resist exposed. The device combines the advantages of the nano-imprint technology and the electron beam lithography, it has high process compatibility and improved alignment and overlay accuracy and is also conductive to defect control, which can obtain higher productivity and resolution. | 12-24-2015 |
20150370172 | PROJECTION LENS WITH WAVEFRONT MANIPULATOR - A projection lens for imaging a pattern arranged in an object plane of the projection lens into an image plane of the projection lens via electromagnetic radiation having an operating wavelength λ<260 nm has a multiplicity of optical elements having optical surfaces which are arranged in a projection beam path between the object plane and the image plane. Provision is made of a wavefront manipulation system for dynamically influencing the wavefront of the projection radiation passing from the object plane to the image plane. | 12-24-2015 |
20150370173 | LIGHT IRRADIATION APPARATUS AND DRAWING APPARATUS - Laser light from a light source part is guided to an irradiation plane by an irradiation optical system including element lenses and transparent parts. Light fluxes having passed through the element lenses respectively enter the transparent parts. A light condensing part superimposes irradiation regions of the light fluxes on the irradiation plane. When viewed in the arrangement direction of the element lenses, the light fluxes regarded as parallel light enter the light condensing part which includes a diverging lens for causing the parallel light to diverge in a Y direction perpendicular to the arrangement direction, and a converging lens for causing the light from the diverging lens to converge on the irradiation plane. This configuration readily achieves a design where the focal length of the light condensing part regarding the Y direction is reduced, and suppresses shifts in light condensing positions of the light fluxes on the irradiation plane. | 12-24-2015 |
20150370177 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A lithographic apparatus having an optical column capable of creating a pattern on a target portion of a substrate is disclosed. The optical column may have a self-emissive contrast device configured to emit a beam, and a projection system configured to project the beam onto the target portion. The apparatus may also have an actuator to move the optical column or a part thereof with respect to the substrate. The apparatus may be constructed to reduce the optical effect of density variation in a medium around the moving part of the optical column on the beam. | 12-24-2015 |
20150378265 | MEASUREMENT APPARATUS, LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a measurement apparatus that includes a movable stage and measures a position of a mark on the stage, comprising an imaging device including a plurality of pixels arranged at a pitch and imaging the mark, a driving device changing a relative position between the stage and the imaging device, a measurement device measuring the relative position, and a processor obtaining the position of the mark based on a plurality of images respectively obtained by the imaging device at a plurality of relative positions between the stage and the imaging device that are different from each other and associated with the pitch, wherein the processor is configured to obtain, based on a deviation with respect to one of the plurality of relative positions, a target relative position with respect to another of the plurality of relative positions. | 12-31-2015 |
20160004167 | MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS ILLUMINATION OPTICS - Optics, such as, for example, micro lithographic projection exposure apparatus illumination optics, as well as related systems, methods, components and devices are disclosed. | 01-07-2016 |
20160004168 | MEASURING AN OPTICAL SYMMETRY PROPERTY ON A PROJECTION EXPOSURE APPARATUS - A method for measuring an optical symmetry property on a microlithographic projection exposure apparatus ( | 01-07-2016 |
20160004169 | MOVABLE BODY APPARATUS, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - One pair each of a Y linear motor (a total of four) on the +X side and the −X side that drive a reticle stage include one pair each of a stator section (a total of four) and three each of a mover section (a total of six) on the +X side and the −X side. In this case, the three each of the mover sections on the +X side and the −X side configure one each of a mover. The mover section located in the center in the Z-axis direction of each of the movers is used in common by each pair of the Y linear motors. Therefore, the weight of the mover section (reticle stage) of the reticle stage device is reduced, which allows a higher acceleration. Further, the mover section located in the center in the Z-axis direction of each of the movers coincides with a neutral plane of the reticle stage. | 01-07-2016 |
20160004170 | Lithographic Apparatus and Device Manufacturing Method - Lithography apparatus and device manufacturing methods are disclosed in which means are provided for reducing the extent to which vibrations propagate between a first element of a projection system and a second element of a projection system. Approaches disclosed include the use of plural resilient members in series as part of a vibration isolation system, plural isolation frames for separately supporting first and second projection system frames, and modified connection positions for the interaction between the first and second projection system frames and the isolation frame(s). | 01-07-2016 |
20160004172 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - A position control system includes a position measurement system including a first position measurement configuration arranged to determine a position of an object in a first operating area and a second position measurement configuration to determine a position of the object in a second operating area; and a control unit configured to control a position of the object, the control unit including a first and a second controller, the first and second controllers being arranged to convert an input signal representing a position of the object to a respectively first and second control signal, the control unit being arranged to determine a combined control signal for controlling the position of the object in an overlapping area of the first and second operating area, wherein the combined control signal is obtained by applying a continuous weight function to the first and second control signal. | 01-07-2016 |
20160011520 | METHOD FOR MEASURING AN ANGULARLY RESOLVED INTENSITY DISTRIBUTION AND PROJECTION EXPOSURE APPARATUS | 01-14-2016 |
20160018730 | MASK PROCESSING APPARATUS, MASK PROCESSING METHOD AND RECORDING MEDIUM - According to an embodiment, a mask processing apparatus is provided. The mask processing apparatus includes a stage, a laser light source and a rotary mechanism. The stage is configured to hold a mask formed with a pattern to be transferred to a transfer target substrate. The laser light source is configured to output laser light that is radiated into the mask and thereby alters the mask. The rotary mechanism is configured to rotate the stage in an in-plane direction of a pattern formation surface of the mask. | 01-21-2016 |
20160025554 | METHOD FOR DETERMINING THE PHASE ANGLE AND/OR THE THICKNESS OF A CONTAMINATION LAYER AT AN OPTICAL ELEMENT AND EUV LITHOGRAPHY APPARATUS - A method and associated EUV lithography apparatus for determining the phase angle at a free interface ( | 01-28-2016 |
20160025952 | Optical Module - The present invention relates to an optical module, in particular facet mirror, comprising an optical element and a supporting structure for supporting the optical element, wherein the supporting structure comprises a positioning device for actively setting a position and/or orientation of the optical element in at least one degree of freedom. The supporting structure comprises a selectively activatable contacting device having at least one contacting unit having a first contact section, wherein the first contact section, in an activated state of the contacting device, contacts a second contact section of the optical element in order to exert a contact force on the optical element, while the first contact section, in a deactivated state of the contacting device is removed from the second contact section. | 01-28-2016 |
20160026085 | LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD - An immersion lithographic apparatus is provided with a liquid confinement structure which defines at least in part a space configured to contain liquid between the projection system and the substrate. In order to reduce the crossing of the edge of the substrate which is being imaged (which can lead to inclusion of bubbles in the immersion liquid), the cross-sectional area of the space in a plane parallel to the substrate is made as small as possible. The smallest theoretical size is the size of the target portion which is imaged by the projection system. In an embodiment, the shape of a final element of the projection system is also changed to have a similar size and/or shape in a cross-section parallel to the substrate to that of the target portion. | 01-28-2016 |
20160026091 | Radiation Collector, Radiation Source and Lithographic Apparatus - A radiation collector comprising a first collector segment comprising a plurality of grazing incidence reflector shells configured to direct radiation to converge in a first location at a distance from the radiation collector, a second collector segment comprising a plurality of grazing incidence reflector shells configured to direct radiation to converge in a second location at said distance from the radiation collector, wherein the first location and the second location are separated from one another. | 01-28-2016 |
20160026096 | Method of Determining Dose, Inspection Apparatus, Patterning Device, Substrate and Device Manufacturing Method - A method of determining exposure dose of a lithographic apparatus used in a lithographic process on a substrate, the method comprising the steps: (a) receiving a substrate comprising first and second structures produced using the lithographic process; (b) detecting scattered radiation while illuminating the first structure with radiation to obtain a first scatterometer signal; (c) detecting scattered radiation while illuminating the second structure with radiation to obtain a second scatterometer signal; (d) using the first and second scatterometer signals to determine an exposure dose value used to produce said first and second structures wherein the first structure has a first periodic characteristic with spatial characteristics and yet at least another second periodic characteristic with spatial characteristics designed to be affected by the exposure dose and the second structure has a first periodic characteristic with spatial characteristics and yet at least another second periodic characteristic with spatial characteristics designed to be affected by the exposure dose wherein the exposure dose affects the exposure dose affected spatial characteristics of the first and second structures in a different manner. | 01-28-2016 |
20160033756 | ENHANCED RESOLUTION DLP PROJECTOR APPARATUS AND METHOD OF USING SAME - A DLP projector apparatus ( | 02-04-2016 |
20160033860 | Lithographic Apparatus - The present invention is concerned with an apparatus for shielding a reticle for EUV lithography. The apparatus comprises a pellicle, and at least one actuator in communication with the pellicle, the actuator being configured to induce, in use, movement of the pellicle with respect to a reticle. | 02-04-2016 |
20160033874 | OPTICAL ILLUMINATION SYSTEM - Systems and methods are provided that combine an amplitude modulation SLM with a phase modulating SLM in the same optical illumination system. The combination of the amplitude modulation SLM and the phase modulation SLM allows the optical illumination to compensate for the limitations of amplitude modulation SLM by using phase modulating SLM and conversely to compensate for the limitations of phase modulation SLM by using amplitude modulating SLM. | 02-04-2016 |
20160041472 | LIGHT SOURCE APPARATUS, ILLUMINATION DEVICE, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A light source apparatus including a light source configured to emit a light flux from an emission region having a predetermined size and a rotationally symmetrical emission intensity distribution; and a condenser configured to condense the light flux to allow the light flux to exit to the outside. The condenser is rotationally symmetrical about an optical axis and is disposed to surround the emission region, and has four or more reflection mirrors each having a reflecting surface for reflecting the light flux emitted from the emission region. The reflection mirrors include elliptical surface reflection mirrors where the reflecting surface is elliptical and spherical surface reflection mirrors where the reflecting surface is spherical, and are alternately arranged in the direction of the optical axis, and a light flux reflected by one spherical surface reflection mirror is further reflected by one elliptical surface reflection mirror oppositely disposed across the emission region. | 02-11-2016 |
20160041473 | LENS COMPRISING A PLURALITY OF OPTICAL ELEMENT DISPOSED IN A HOUSING - The invention relates to a lens comprising several optical elements that are disposed in a lens housing. At least one sensor array encompassing at least one capacitive sensor unit and/or at least one inductive sensor unit is provided for determining the relative position between a first optical element and a second optical element or between a load-bearing structural element of the lens and a second optical element. | 02-11-2016 |
20160041474 | LINEAR LIGHT SOURCE GENERATING DEVICE, EXPOSURE HAVING LINEAR LIGHT SOURCE GENERATING DEVICE, AND LENTICULAR SYSTEM USED FOR LINEAR LIGHT SOURCE GENERATING DEVICE - Disclosed are a linear light source generator, a lenticular system used in the linear light source generator, and a stepper equipped with the linear light source generator. The linear light source generator uses a lenticular, and includes a light source and a lenticular system. A light exposure work of the stepper is performed based on a relative transfer between the linear light source generator, and a pattern film or a photo mask. | 02-11-2016 |
20160041475 | REPLACEMENT APPARATUS FOR AN OPTICAL ELEMENT - A replacement apparatus for an optical element mounted between two adjacent optical elements in a lithography objective has a holder for the optical element to be replaced, which holder can be moved into the lithography objective through a lateral opening in a housing of the same. | 02-11-2016 |
20160041478 | Lithography Cluster, Method and Control Unit for Automatic Rework of Exposed Substrates - A lithography cluster comprises a track unit, a lithography apparatus, a metrology unit, a control unit and a strip unit. The track unit is for applying a layer on a substrate for lithographic exposure. The lithography apparatus is for exposing the layer according to a pattern. The metrology unit is for measuring a property of the exposed pattern in the layer. The control unit is for controlling an automatic substrate flow between the track unit, the lithography apparatus, and the metrology unit. The strip unit is for removing the layer from the substrate. The control unit is constructed and arranged for controlling the automatic substrate flow on the basis of the measured property such that the substrate is directed to the strip unit for removing the layer if a measured property of its pattern falls outside a pre-determined quality range. | 02-11-2016 |
20160048083 | REFLECTING COATING WITH OPTIMIZED THICKNESS - An illumination system for an optical arrangement such as an EUV lithography apparatus, having: at least one optical element which has at least one optical surface, on which a coating which reflects illumination radiation is applied, and an actuator device aligning the optical surface in at least two angular positions in the radiation path. The coating either has a thickness (d | 02-18-2016 |
20160048084 | STAGE DEVICE, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICES - An exposure apparatus performs scanning exposure of a substrate with an illumination light via a projection optical. A mask is supported on a first base member, with a slider provided inside a movable member. The first base member is arranged above the projection optical system and has a first opening through which the illumination light passes. The mask is supported in a second opening of the slider, through which the illumination light passes. The slider moves inside the movable member by a first drive system. A stage having a holder that holds the substrate is moved on a second base member by a second drive system. The first and the second drive systems move the mask and the substrate relative to the illumination light during scanning exposure. The movable member is moved by a reaction force generated by a movement of the slider by the first drive system. | 02-18-2016 |
20160048086 | SYSTEM AND PROCESS FOR FABRICATING SEMICONDUCTOR PACKAGES - A method of processing semiconductor chips includes measuring locations of semiconductor dies placed on a carrier with a scanner to generate die location information. The method includes applying a dielectric layer over the semiconductor dies and communicating the die location information to a laser assembly. The method includes aligning the laser assembly with the carrier and laser structuring the dielectric layer with the laser assembly based on the die location information generated by the scanner. | 02-18-2016 |
20160054660 | MASKLESS EXPOSURE DEVICE, MASKLESS EXPOSURE METHOD AND DISPLAY SUBSTRATE MANUFACTURED BY THE MASKLESS EXPOSURE DEVICE AND THE MASKLESS EXPOSURE METHOD - A maskless exposure device includes an exposure head including a digital micro-mirror device, the digital micro-mirror device being configured to scan an exposure beam to a substrate by reflecting a source beam from an exposure source; and a system control part configured to control the digital micro-mirror device by utilizing a graphic data system file. The graphic data system file includes data for a source electrode, a drain electrode and a channel portion between the source electrode and the drain electrode in a plan view. The channel portion includes a first portion extending in a direction perpendicular to a scan direction of the exposure head. A width of the first portion of the channel portion is defined to be a multiple of a pulse event generation of the exposure beam. | 02-25-2016 |
20160054661 | ARRANGEMENT FOR ACTUATING AN ELEMENT IN A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - The invention relates to arrangements for actuating an element in a microlithographic projection exposure apparatus. In accordance with one aspect, an arrangement for actuating an element in a microlithographic projection exposure apparatus comprises a first number (n | 02-25-2016 |
20160054663 | SOURCE COLLECTOR APPARATUS, LITHOGRAPHIC APPARATUS AND METHOD - A source collector apparatus for use in a lithographic apparatus includes a fuel droplet generator configured in use to generate a stream of fuel droplets directed from an outlet of the fuel droplet generator towards a plasma formation location. In order to prevent droplet satellites from interfering with plasma formation, a gas supply is provided that in use provides a flow of gas (e.g., hydrogen) that deflects any droplet satellites out of the fuel droplet stream. Additionally, a detection apparatus may be provided as part of a shroud to determine the point at which coalescence of fuel droplets occurs thereby providing an indication of the likelihood of satellite droplets being present in the fuel droplet stream. | 02-25-2016 |
20160056065 | METHOD AND APPARATUS FOR REMOVING EXPERIMENTAL ARTIFACTS FROM ENSEMBLE IMAGES - A method and apparatus wherein a photoluminescence in a semiconductor wafer is excited using an ultraviolet light source. A plurality of partial raw images of the photoluminescence is generated. The plurality of partial raw images includes at least one equipment-generated artifact The at least one equipment-generated artifact is removed from the cluster of partial raw images using the equipment-generated artifact image to generate a cluster of partial processed images. A plurality of clusters of partial processed images is generated. The plurality of clusters of partial processed images are aligned and combined to generate a wafer image tree of the at least one equipment-generated artifact. | 02-25-2016 |
20160056606 | 193nm Laser And An Inspection System Using A 193nm Laser - An improved laser uses a pump laser with a wavelength near 1109 nm and a fundamental wavelength near 1171 nm to generate light at a wavelength between approximately 189 nm and approximately 200 nm, e.g. 193 nm. The laser mixes the 1109 nm pump wavelength with the 5 | 02-25-2016 |
20160061750 | Inspection Apparatus, Inspection Method And Manufacturing Method - Metrology targets are formed on a substrate (W) by a lithographic process. A target (T) comprising one or more grating structures is illuminated with spatially coherent radiation under different conditions. Radiation ( | 03-03-2016 |
20160062244 | SYSTEM FOR PRODUCING STRUCTURES IN A SUBSTRATE - The disclosure provides a system for producing structures in a substrate. The system includes a projection exposure system. The projection exposure system includes a projection optical unit and an illumination system. | 03-03-2016 |
20160062246 | METHODS AND SYSTEMS FOR PRINTING PERIODIC PATTERNS - A method for printing a periodic pattern of features into a photosensitive layer includes providing a mask bearing a periodic pattern, providing a substrate bearing the photosensitive layer, and arranging the substrate substantially parallel to the mask. A beam of collimated monochromatic light is formed for illuminating the mask pattern so that the light-field transmitted by the mask forms Talbot image planes separated by a Talbot distance. N sub-exposures of the mask with the beam are performed and the separation between sub-exposures are changed so that the relative separation during the ith sub-exposure with respect to that during the first sub-exposure is given by (m | 03-03-2016 |
20160062247 | LITHOGRAPHIC APPARATUS, SUBSTRATE AND DEVICE MANUFACTURING METHOD - A method uses a lithographic apparatus to form an inspection target structure upon a substrate. The method comprises forming the periphery of the inspection target structure so as to provide a progressive optical contrast transition between the inspection target structure and its surrounding environment. This may be achieved by providing a progressive change in the optical index at the periphery of the target structure. | 03-03-2016 |
20160062250 | Lithography Process and System with Enhanced Overlay Quality - The present disclosure provides a method. The method includes forming a resist layer on a patterned substrate; collecting first overlay data from the patterned substrate; determining an overlay compensation based on mapping of second overlay data from an integrated circuit (IC) pattern to the first overlay data from the patterned substrate; performing a compensation process to a lithography system according to the overlay compensation; and thereafter performing a lithography exposing process to the resist layer by the lithography system, thereby imaging the IC pattern to the resist layer. | 03-03-2016 |
20160070175 | DETECTION APPARATUS, MEASUREMENT APPARATUS, EXPOSURE APPARATUS, METHOD OF MANUFACTURING ARTICLE, AND MEASUREMENT METHOD - The present invention provides a detection apparatus which detects an original mark on an original and a substrate mark on a substrate, the original mark and the substrate mark being arranged via a projection optical system, the apparatus comprising an optical system including an imaging device and configured to form an image of the original mark and an image of the substrate mark onto the imaging device, wherein the optical system includes a detection reference member having a first mark and a second mark, and is configured to form an image of the first mark onto the original, form an image of the second mark onto the substrate via the projection optical system and the original, and form the image of the first mark, and the image of the second mark onto the imaging device. | 03-10-2016 |
20160070179 | METHOD OF CONTROLLING A RADIATION SOURCE AND LITHOGRAPHIC APPARATUS COMPRISING THE RADIATION SOURCE - A method of selecting a periodic modulation to be applied to a variable of a radiation source, wherein the source delivers radiation for projection onto a substrate and wherein there is relative motion between the substrate and the radiation at a scan speed, the method including: for a set of system parameters and for a position on the substrate, calculating a quantity, the quantity being a measure of the contribution to an energy dose delivered to the position that arises from the modulation being applied to the variable of the source, wherein the contribution to the energy dose is calculated as a convolution of: a profile of radiation, and a contribution to an irradiance of radiation delivered by the source; and selecting a modulation frequency at which the quantity for the set of system parameters and the position on the substrate satisfies a certain criteria. | 03-10-2016 |
20160077442 | OPTICAL COMPONENT - An optical component includes a mechanism for reducing a radiation-induced influence on the displacement of an optical device. | 03-17-2016 |
20160077444 | METHOD FOR OPERATING AN ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A method of operating an illumination system of a microlithographic projection exposure apparatus is provided. A set of illumination parameters that describe properties of a light bundle which converges at a point on a mask to be illuminated by the illumination system is first determined. Optical elements whose optical effect on the illumination parameters can be modified as a function of control commands are furthermore determined, as well as sensitivities with which the illumination parameters react to an adjustment of the optical elements, induced by the control commands. The control commands are then determined while taking the previously determined sensitivities into account, such that deviations of the illumination parameters from predetermined target illumination parameters satisfy a predetermined minimisation criterion. These control commands are applied to the optical elements, before the mask is illuminated. | 03-17-2016 |
20160077445 | ALIGNMENT SENSOR, LITHOGRAPHIC APPARATUS AND ALIGNMENT METHOD - An alignment sensor including an illumination source, such as a white light source, having an illumination grating operable to diffract higher order radiation at an angle dependent on wavelength; and illumination optics to deliver the diffracted radiation onto an alignment grating from at least two opposite directions. For every component wavelength incident on the alignment grating, and for each direction, the zeroth diffraction order of radiation incident from one of the two opposite directions overlaps a higher diffraction order of radiation incident from the other direction. This optically amplifies the higher diffraction orders with the overlapping zeroth orders. | 03-17-2016 |
20160085047 | DEFORMABLE OPTICAL SYSTEM AND METHOD FOR CONTROLLING THE SAME AS WELL AS A LITHOGRAPHIC SYSTEM COMPRISING THE DEFORMABLE OPTICAL SYSTEM - The deformable optical system comprises at least one optical element ( | 03-24-2016 |
20160085061 | PROJECTION OPTICAL UNIT FOR IMAGING AN OBJECT FIELD INTO AN IMAGE FIELD, AND PROJECTION EXPOSURE APPARATUS COMPRISING SUCH A PROJECTION OPTICAL UNIT - A projection optical unit images an object field in an image field. The projection optical unit includes a plurality of mirrors guides imaging light from the object field to the image field. At least two of the mirrors are arranged directly behind one another in the beam path of the imaging light for grazing incidence with an angle of incidence of the imaging light which is greater than 60°. This results in an imaging optical unit that can exhibit a well-corrected imageable field with, at the same time, a high imaging light throughput. | 03-24-2016 |
20160091795 | SYSTEMS AND METHODS FOR HIGH-THROUGHPUT AND SMALL-FOOTPRINT SCANNING EXPOSURE FOR LITHOGRAPHY - The present disclosure provides a lithography system comprising a radiation source and an exposure tool including a plurality of exposure columns densely packed in a first direction. Each exposure column includes an exposure area configured to pass the radiation source. The system also includes a wafer carrier configured to secure and move one or more wafers along a second direction that is perpendicular to the first direction, so that the one or more wafers are exposed by the exposure tool to form patterns along the second direction. The one or more wafers are covered with resist layer and aligned in the second direction on the wafer carrier. | 03-31-2016 |
20160091796 | MASKLESS EXPOSURE DEVICE, MASKLESS EXPOSURE METHOD AND DISPLAY SUBSTRATE MANUFACTURED BY THE MASKLESS EXPOSURE DEVICE AND THE MASKLESS EXPOSURE METHOD - A maskless exposure device includes an exposure head that includes a digital micro-mirror device configured to reflect a source beam received from an exposure source to a substrate to scan an exposure beam to the substrate, and a system control part configured to control the digital micro-mirror device using a graphic data system file. The graphic data system file includes data of an align-key. The align-key includes an X-align-key that extends in a direction parallel to a scan direction of the exposure head, and has a bar shape in a plan view, and a Y-align-key disposed adjacent to the X-align-key that has a frame shape in a plan view. | 03-31-2016 |
20160091797 | High Numerical Aperture Objective Lens System - An objective lens system having a high numerical aperture, a large working distance, and low optical aberrations over a wide spectral band of wavelengths is disclosed. The objective lens system includes a first lens group, a second lens group, and a third lens group. The first lens group includes first and second positive meniscus lenses that are positioned at a distance from each other along an optical axis of the objective lens system. The distance may be dependent on a focal length of the objective lens system. The second lens group includes first and second meniscus lenses and a bi-convex lens. The third lens group includes a bi-concave lens and a doublet lens. | 03-31-2016 |
20160091798 | OPTICAL ASSEMBLY - An optical assembly, in particular for a lithography system for imaging lithographic micro- or nanostructures, includes at least two optical elements arranged successively in a beam path of the optical assembly, an acquisition device designed to acquire radiation signals from marking elements on or at the at least two optical elements, and a control device coupled to the acquisition device and which is designed to determine the plurality of properties of the optically active surface of the at least two optical elements as a function of the information contained in the radiation signals originating from the marking elements. The disclosure also relates to a method for operating the optical assembly. | 03-31-2016 |
20160091800 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus includes: a projection optical system; an adjusting unit configured to adjust imaging characteristics of the projection optical system; and a controller configured to divide the plurality of shot regions into groups based on data of a shift in the pattern of each shot region and an order of the exposure, determine setting amounts of the imaging characteristics for each group, and control the adjusting unit to set the imaging characteristics to the setting amounts for each group. The setting amount is common to a plurality of shot regions in the group and varies among the groups. The controller performs the division such that the shot regions belonging to the same group have a sequential exposure order and all values of the shift in the shot regions belonging to the same group fall within a predetermined range. | 03-31-2016 |
20160097975 | IMPRINT APPARATUS, IMPRINT METHOD AND ARTICLE MANUFACTURING METHOD - An imprint apparatus include: a substrate stage; a dispense unit; an irradiation unit; a receiving unit configured to receive an imprint material that is dummy dispensed from the dispense unit; and a controller configured to cause the dummy dispensed imprint material to be irradiated by an energy beam from the irradiation unit without bringing the dummy dispensed imprint material into contact with the mold. | 04-07-2016 |
20160097980 | EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An exposure apparatus is equipped with an encoder system which measures positional information of a wafer stage by irradiating a measurement beam using four heads installed on the wafer stage on a scale plate which covers the movement range of the wafer stage except for the area right under a projection optical system. Placement distances of the heads here are each set to be larger than width of the opening of the scale plates, respectively. This allows the positional information of the wafer stage to be measured, by switching and using the three heads facing the scale plate out of the four heads according to the position of the wafer stage. | 04-07-2016 |
20160109806 | ILLUMINATION DEVICE AND METHOD FOR USING THE SAME IN THE PROJECTION LITHOGRAPHY MACHINE - An illumination device comprises a laser source, a beam expander, a micromirror array having a first control system, a fast steering mirror having a second control system, a diaphragm array, a microlens array, an illumination lens group, and a reflection mirror sequentially along the propagation direction of the laser beam. The first control system comprises a first computer controlling each micromirror on the micro-mirror array through the micromirror array controller to rotate in two-dimensional directions so expanded beam forms desired intensity patterns on the diaphragm array after reflected by the micromirror array and fast reflection mirror and a micromirror array controller; the second control system comprises a second computer controlling the reflection mirror of the fast steering mirror to rotate through fast steering mirror controller so created intensity pattern moves relative to the diaphragm array and a fast steering mirror controller. Method for using the illumination device is provided. | 04-21-2016 |
20160109807 | OPTICAL COMPONENT - The disclosure provides an optical component that includes an optical element fixed in the transverse direction in a frame. The frame has a linear expansion of at most 0.01% in the transverse direction even in the case of a linear expansion of the optical element in the transverse direction by up to 1%. | 04-21-2016 |
20160109808 | ILLUMINATION SYSTEM FOR LITHOGRAPHIC PROJECTION EXPOSURE STEP-AND-SCAN APPARATUS - Illumination system for a lithographic projection exposure step-and-scan apparatus comprising a light source, a pupil shaping unit, a field defining unit, a first lens array, a first slit array, a second lens array, a third lens array, a second slit array, a fourth lens array, a condenser lens, and a scanning drive unit sequentially arranged along the light beam propagation direction. The illumination system reduces requirements on lens processing, slit scanning speed, and slit scanning precision, therefore may be implemented more easily. | 04-21-2016 |
20160109809 | MASKLESS EXPOSURE DEVICE AND METHOD FOR COMPENSATING CUMULATIVE ILLUMINATION USING THE SAME - A maskless exposure device including a light source configured to emit an exposure beam, a light modulation element configured to modulate the exposure beam according to an exposure pattern, a projection optical system configured to transfer a modulated exposure beam to a substrate as a beam spot array, a beam measurement part configured to measure a beam data of the beam spot array, and a compensating mask generator configured to generate a compensating mask by utilizing a measured data of the exposure beam for compensating cumulative illumination, wherein the compensating mask generator is configured to turn off left and right beams of a first selected spot beam selected by the beam data, and then to turn off a second selected spot beam. | 04-21-2016 |
20160109811 | SUB-DIFFRACTION-LIMITED PATTERNING AND IMAGING - A method for sub-diffraction-limited patterning using a photoswitchable layer is disclosed. A sample of the photoswitchable layer can be selectively exposed to a first wavelength of illumination that includes a super-oscillatory peak. The sample can be selectively exposed to a second wavelength of illumination that does not include the super-oscillatory peak. A region in the sample that corresponds to the super-oscillatory peak and is associated with the second transition state can optionally be converted into a third transition state. The region in the sample at the third transition state can constitute a pattern of an isolated feature with a size that is substantially smaller than a far-field diffraction limit. | 04-21-2016 |
20160113100 | EUV LIGHT SOURCE AND EXPOSURE APPARATUS - An extreme ultraviolet (EUV) light source is provided. The EUV light source comprises a spray nozzle array having a plurality of spray nozzles configured to spray a plurality of rows of droplets to an irradiating position; a laser source configured to generate a first laser beam and a second laser beam and cause the first laser beam and the second laser beam to alternately bombard the rows of droplets to generate EUV light with increased output power; a focusing mirror having at least two first sub-focusing mirrors and at least two second sub-focusing mirrors; and a first driving device having at least two first sub-driving device and at least two second sub-driving device, each of first driving devices driving one of the first sub-focusing mirrors and each of the second sub-driving devices driving one of the second sub-focusing mirrors. | 04-21-2016 |
20160116846 | SUPER-RESOLUTION EXPOSURE SYSTEM - An optical device for performing nanolithography is disclosed, comprising an optical printing head that enables a super-resolution lithographic exposures compatible with conventional optical lithographic processes. The super-resolution exposures are carried out using light directed onto a data recording medium using plasmonic structures, and in particular using plasmonic structures using specially designed super-resolution apertures, of which the “bow-tie” and “C-aperture” are examples. These specially designed apertures create small but bright images in the near-field transmission pattern. A printing head comprising an array of these apertures is held in close proximity to a data recording medium. A data processing system is provided to re-interpret the data to be patterned into a set of modulation signals used to drive the multiple individual channels and the multiple exposures. | 04-28-2016 |
20160116847 | MASKLESS EXPOSURE DEVICE, MASKLESS EXPOSURE METHOD AND DISPLAY SUBSTRATE MANUFACTURED BY THE MASKLESS EXPOSURE DEVICE AND THE MASKLESS EXPOSURE METHOD - A maskless exposure device includes an exposure head including a digital micro-mirror device and an exposure source, the digital micro-mirror device being configured to reflect a source beam outputted from the exposure source to a substrate and a system controller configured to control the digital micro-mirror device by using a graphic data system file. The graphic data system file includes data regarding patterns to be formed on the substrate. A pattern extending in a direction parallel to a scan direction of the exposure head includes a first pattern portion having a first width that is greater than a target width and a second pattern portion alternately disposed with the first pattern portion and having a second width that is less than the target width. | 04-28-2016 |
20160116848 | LITHOGRAPHIC APPARATUS AND METHOD - A device manufacturing method includes conditioning a beam of radiation using an illumination system. The conditioning includes controlling an array of individually controllable elements and associated optical components of the illumination system to convert the radiation beam into a desired illumination mode, the controlling including allocating different individually controllable elements to different parts of the illumination mode in accordance with an allocation scheme, the allocation scheme selected to provide a desired modification of one or more properties of the illumination mode, the radiation beam or both. The method also includes patterning the radiation beam having the desired illumination mode with a pattern in its cross-section to form a patterned beam of radiation, and projecting the patterned radiation beam onto a target portion of a substrate. | 04-28-2016 |
20160116849 | METHOD OF DETERMINING CRITICAL-DIMENSION-RELATED PROPERTIES, INSPECTION APPARATUS AND DEVICE MANUFACTURING METHOD - A method of determining a critical-dimension-related property, such as critical dimension (CD) or exposure dose, includes illuminating each of a plurality of periodic targets having different respective critical dimension biases, measuring intensity of radiation scattered by the targets, recognizing and extracting each grating from the image, determining a differential signal, and determining the CD-related property based on the differential signal, the CD biases and knowledge that the differential signal approximates to zero at a 1:1 line-to-space ratio of such periodic targets. Use of the determined CD-related property to control a lithography apparatus in lithographic processing of subsequent substrates. In order to use just two CD biases, a calibration may use measurements on a “golden wafer” (i.e. a reference substrate) to determine the intensity gradient for each of the CD pairs, with known CDs. Alternatively, the calibration can be based upon simulation of the sensitivity of intensity gradient to CD. | 04-28-2016 |
20160116851 | PROJECTION EXPOSURE TOOL FOR MICROLITHOGRAPHY AND METHOD FOR MICROLITHOGRAPHIC IMAGING - A projection exposure tool for microlithography for imaging mask structures of an image-providing substrate onto a substrate to be structured includes a measuring apparatus configured to determine a relative position of measurement structures disposed on a surface of one of the substrates in relation to one another in at least one lateral direction with respect to the substrate surface and to thereby simultaneously measure a number of measurement structures disposed laterally offset in relation to one another. | 04-28-2016 |
20160124297 | EUV MASK WITH ITO ABSORBER TO SUPPRESS OUT OF BAND RADIATION - The present disclosure also provides a photolithography mask. The photolithography mask includes a substrate that contains a low thermal expansion material (LTEM). A reflective structure is disposed over the substrate. A capping layer is disposed over the reflective structure. An absorber layer is disposed over the capping layer. The absorber layer contains an indium tin oxide (ITO) material. In some embodiments, the ITO material has a SnO | 05-05-2016 |
20160124314 | CONTROLLER FOR OPTICAL DEVICE, EXPOSURE METHOD AND APPARATUS, AND METHOD FOR MANUFACTURING DEVICE - An exposure method for exposing a mask pattern, which includes plural types of patterns, with a high throughput and optimal illumination conditions for each type of pattern. The method includes guiding light from a first spatial light modulator illuminated with pulse lights of illumination light to a second spatial light modulator and exposing a wafer with light from the second spatial light modulator, accompanied by: controlling a conversion state of the second spatial light modulator including a plurality of second mirror elements; and controlling a conversion state of the first spatial light modulator including a plurality of first mirror elements to control intensity distribution of the illumination light on a predetermined plane between the first spatial light modulator and the second spatial light modulator. | 05-05-2016 |
20160124315 | SUPPORT FOR A COMPONENT OF AN OPTICAL DEVICE - The disclosure provides an arrangement for an optical device including a component of the optical device and a support structure supporting the component. The support structure includes at least one locking device connected to the component and including a first fixation device and an associated second fixation device. The first fixation device and the second fixation device are adapted to be, in a movable state, movable with respect to each other along a first degree of freedom and a second degree of freedom into a final position. The first fixation device and the second fixation device are further adapted to be, in a locked state, fixed in the final position by at least one locking device contacting the first fixation device and the second fixation device. In the movable state, the first fixation device and the second fixation device are movable, up to the final position, in a manner free from a mutual pre-stress resulting from a restoring force exerted by at least a part of one of the first fixation device and the second fixation device. | 05-05-2016 |
20160124316 | OPTICAL PROJECTION ARRAY EXPOSURE SYSTEM - A spatial light modulator imaging system is disclosed. The system comprises an illumination module configured to provide illumination light representing data patterns to be imaged by the spatial light modulator imaging system, a projection module configured to project the illumination light to a substrate, and an illumination-projection beam separator coupled between the illumination module and the projection module, where the illumination-projection beam separator is configured to receive the illumination light along an illumination optical axis and transmit the illumination light received to the projection module along a projection optical axis, and where the illumination optical axis and the projection optical axis are substantially parallel to each other. | 05-05-2016 |
20160124317 | Exposure condition determination method, exposure method, exposure apparatus, and device manufacturing method involving detection of the situation of a liquid immersion region - A method, for determining an exposure condition for exposing a substrate held on a holder by irradiating the substrate with exposure light via an immersion liquid, includes: moving an object held on the holder relative to a liquid immersion area formed under a projection system under a movement condition and an immersion condition; obtaining information on a leakage of the immersion liquid which may be caused when moving the object held on the holder under the movement condition; and determining the exposure condition for exposing the substrate based on the obtained information. | 05-05-2016 |
20160124322 | LITHOGRAPHY APPARATUS, LITHOGRAPHY METHOD, AND ARTICLE MANUFACTURING METHOD - At least one lithography apparatus, lithography method and method of manufacturing an article are provided herein. At least one lithography apparatus for performing patterning on a substrate, includes a stage configured to hold the substrate and be movable, an irradiation device configured to irradiate the substrate with a beam for the patterning, and a controller configured to cause the stage and the irradiation device to perform a first process of forming, on a substrate including a zeroth mark for overlay inspection, a first mark for overlay inspection to be paired with the zeroth mark and a second mark for overlay inspection, with the patterning not being performed, and to perform a second process of forming, on the substrate, a third mark for overlay inspection to be paired with the second mark, with the patterning being performed. | 05-05-2016 |
20160131982 | MEASUREMENT APPARATUS, LITHOGRAPHY APPARATUS, AND METHOD OF MANUFACTURING ARTICLE - The present invention provides a measurement apparatus for measuring a position of a mark formed on a substrate, the apparatus including a detector configured to detect the mark to generate a detection signal, and a processor configured to process the generated detection signal to obtain a position of the mark, wherein the processor is configured to limit a range of the detection signal to be processed to obtain the position of the mark based on a statistic representing dispersion of a plurality of the position obtained with respect to a plurality of the mark. | 05-12-2016 |
20160139513 | LITHOGRAPHY APPARATUS AND ARTICLE MANUFACTURING METHOD - A lithography apparatus includes: a stage configured to hold a substrate on which a mark is formed; an image sensor having an image sensing region; a processor configured to read out data concerning an image of the mark on a row basis to obtain a position of the mark; and a controller configured to position the substrate based on the position of the mark. When the processor obtains a position of a first mark on a first substrate, the controller moves the stage with respect to the image sensor such that an image of the first mark is formed closer to a row, from which the processor starts readout of the data, than an image of a second mark on a second substrate on which the pattern has been formed prior to the first substrate. | 05-19-2016 |
20160147158 | IMAGING OPTICAL UNIT FOR EUV PROJECTION LITHOGRAPHY - An imaging optical unit for EUV projection lithography serves to image an object field into an image field. Mirrors guide imaging light from the object field to the image field. An aperture stop is tilted by at least 1° in relation to a normal plane which is perpendicular to an optical axis. The aperture stop has a circular stop contour. In mutually perpendicular planes, a deviation of a numerical aperture NA | 05-26-2016 |
20160147159 | OPTICAL IMAGING DEVICE - There is provided an optical imaging device, in particular for microlithography, comprising at least one optical element and at least one holding device associated to the optical element ( | 05-26-2016 |
20160147160 | Radiation Source for an EUV Optical Lithographic Apparatus, and Lithographic Apparatus Comprising such a Radiation Source - A radiation source generates extreme ultraviolet radiation. The radiation source comprises a plasma formation site located at a position in which a fuel will be contacted by a beam of radiation to form a plasma. A receiving structure is provided to trap debris particles on its surface that are generated with the formation of the plasma. The receiving structure has a rod-shaped heater element for heating the receiving surface, the device preventing large droplets of fuel from forming on the receiving surface. Instead, the trapped fuel is melted off the receiving surface. | 05-26-2016 |
20160147161 | LITHOGRAPHIC METHOD - A method of patterning lithographic substrates that includes using a free electron laser to generate EUV radiation and delivering the EUV radiation to a lithographic apparatus which projects the EUV radiation onto lithographic substrates. The method further includes reducing fluctuations in the power of EUV radiation delivered to the lithographic substrates by using a feedback-based control loop to monitor the free electron laser and adjust operation of the free electron laser accordingly, and applying variable attenuation to EUV radiation that has been output by the free electron laser in order to further control the power of EUV radiation delivered to the lithographic apparatus. | 05-26-2016 |
20160147162 | ILLUMINATION OPTICAL APPARATUS AND DEVICE MANUFACTURING METHOD - Provided is an illumination optical apparatus for illuminating a mask with light from a light source. The apparatus includes an optical integrator configured to cause a light intensity distribution to be uniform in an emission end surface by reflecting the light incident from an incident end surface in an inner surface a plurality of times, an image forming optical system configured to form an image of the emission end surface of the optical integrator on the mask, and an adjustment unit configured to adjust telecentricity of the light so that a principal ray incident on the mask and a normal line of the mask approach parallelism and configured to be disposed outside the image forming optical system. | 05-26-2016 |
20160147163 | Reticle Shape Regulation Device and Method, and Exposure Apparatus Using Same - A reticle shape regulation device includes: an adsorption device having an upper surface and a lower surface; and a limit mechanism having a limit surface. The adsorption device is movable relative to the limit mechanism at least in a vertical direction. The upper surface of the adsorption device faces toward and is engagable with the limit surface. The lower surface of the adsorption device defines a vacuum chamber that is configured for communication with a negative-pressure source so as to adsorb the reticle by a negative pressure. The lower surface of the adsorption device further defines at least one positive-pressure outlet that is in communication with a positive-pressure source and is configured to supply a continuous positive-pressure air flow between the lower surface of the adsorption device and the reticle during the adsorption of the reticle. The positive-pressure air flow is so controlled as to form an air cushion between the lower surface of the adsorption device and the reticle while allowing the adsorption of the reticle by the adsorption device. This can correct deformations of the reticle, thus enabling satisfactory flatness thereof during an exposure process, and can easily create vacuum and an air cushion between a deformed reticle and the adsorption device. | 05-26-2016 |
20160153811 | POSITION DETERMINING DEVICE, POSITION DETERMINING METHOD, LITHOGRAPHIC APPARATUS, AND METHOD FOR MANUFACTURING OBJECT | 06-02-2016 |
20160154228 | CATADIOPTRIC PROJECTION OBJECTIVE COMPRISING DEFLECTION MIRRORS AND PROJECTION EXPOSURE METHOD | 06-02-2016 |
20160154316 | ILLUMINATION OPTICAL UNIT FOR EUV PROJECTION LITHOGRAPHY | 06-02-2016 |
20160154317 | MIRROR, IN PARTICULAR FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS | 06-02-2016 |
20160154318 | MICROMIRROR ARRAY | 06-02-2016 |
20160154319 | Method and Inspection Apparatus and Computer Program Product for Assessing a Quality of Reconstruction of a Value of a Parameter of Interest of a Structure | 06-02-2016 |
20160161857 | PELLICLE WITH AEROGEL SUPPORT FRAME - Disclosed herein are various pellicles for use during extreme ultraviolet (EUV) photolithography processes. An EUV radiation device disclosed herein includes a reticle, a substrate support stage, a pellicle positioned between the reticle and the substrate support stage, wherein the pellicle includes an aerogel grid and a membrane formed on the aerogel grid, and a radiation source that is adapted to generate radiation at a wavelength of about 20 nm or less that is to be directed through the pellicle toward the reticle. | 06-09-2016 |
20160161858 | ILLUMINATION SYSTEM FOR MICROLITHOGRAPHY - An illumination system for microlithography serves to illuminate an illumination field with illumination light of a primary light source. A first raster arrangement has bundle-forming first raster elements which are arranged in a first plane of the illumination system or adjacent to the plane. The first raster arrangement serves to generate a raster arrangement of secondary light sources. A transmission optics serves for superimposed transmission of the illumination light of the secondary light sources into the illumination field. The transmission optics has a second raster arrangement with bundle-forming second raster elements. In each case one of the raster elements of the first raster arrangement is allocated to one of the raster elements of the second raster arrangement for guiding a partial bundle of an entire bundle of illumination light. The first raster arrangement for example has at least two types (I, II, III) of the first raster elements which have different bundle-influencing effects. The raster elements of the two raster arrangements are arranged relative to one another in such a way that to each raster element type (I to III) is allocated at least one individual distance (Δ | 06-09-2016 |
20160161859 | COMPENSATION FOR A DISTURBANCE IN AN OPTICAL SOURCE - A pulsed light beam emitted from an optical source is received, the pulsed light beam being associated with a temporal repetition rate; a frequency of a disturbance in the optical source is determined, the frequency being an aliased frequency that varies with the temporal repetition rate of the pulsed light beam; a correction waveform is generated based on the aliased frequency; and the disturbance in the optical source is compensated by modifying a characteristic of the pulsed light beam based on the generated correction waveform. | 06-09-2016 |
20160161863 | METROLOGY METHOD, COMPUTER PRODUCT AND SYSTEM - A method including determining a type of structural asymmetry of the target from measured values of the target, and performing a simulation of optical measurement of the target to determine a value of an asymmetry parameter associated with the asymmetry type. A method including performing a simulation of optical measurement of a target to determine a value of an asymmetry parameter associated with a type of structural asymmetry of the target determined from measured values of the target, and analyzing a sensitivity of the asymmetry parameter to change in a target formation parameter associated with the target. A method including determining a structural asymmetry parameter of a target using a measured parameter of radiation diffracted by the target, and determining a property of a measurement beam of the target based on the structural asymmetry parameter that is least sensitive to change in a target formation parameter associated with the target. | 06-09-2016 |
20160161864 | Metrology Method and Apparatus, Lithographic System and Device Manufacturing Method - Disclosed is a method of measuring a parameter of a litho-graphic process, and associated inspection apparatus. The method comprises measuring at least two target structures on a substrate using a plurality of different illumination conditions, the target structures having deliberate overlay biases; to obtain for each target structure an asymmetry measurement representing an overall asymmetry that includes contributions due to (i) the deliberate overlay biases, (ii) an overlay error during forming of the target structure and (iii) any feature asymmetry. A regression analysis is performed on the asymmetry measurement data by fitting a linear regression model to a planar representation of asymmetry measurements for one target structure against asymmetry measurements for another target structure, the linear regression model not necessarily being fitted through an origin of the planar representation. The overlay error can then be determined from a gradient described by the linear regression model. | 06-09-2016 |
20160170201 | OPTICAL COMPONENT | 06-16-2016 |
20160170307 | PROJECTION OBJECTIVE FOR MICROLITHOGRAPHY | 06-16-2016 |
20160170309 | LIGHT EXPOSURE METHOD, AND LIGHT EXPOSURE APPARATUS | 06-16-2016 |
20160170311 | LITHOGRAPHY SYSTEM AND A MACHINE LEARNING CONTROLLER FOR SUCH A LITHOGRAPHY SYSTEM | 06-16-2016 |
20160170316 | LIGHT IRRADIATION APPARATUS | 06-16-2016 |
20160179013 | OPTICAL IMAGING ARRANGEMENT WITH SIMPLIFIED MANUFACTURE | 06-23-2016 |
20160179016 | DETERMINATION AND APPLICATION OF NON-MONOTONIC DOSE SENSITIVITY | 06-23-2016 |
20160179019 | Substrate and Patterning Device for Use in Metrology, Metrology Method and Device Manufacturing Method | 06-23-2016 |
20160187632 | COLLECTOR - A collector transfers EUV illumination light from a radiation source region to illumination optics. Imaging optics of the collector image the radiation source region in a downstream focal region. The imaging optics are embodied so that the radiation source is imaged with at least one first imaging scale by the EUV illumination light, which is emitted with beam angles <20° between the radiation source region and the downstream focal region. The imaging optics are also embodied so that the radiation source is imaged with at least one second imaging scale by the illumination light emitted with beam angles >70°. The two imaging scales for the beam angles <20° on the one hand and >70° on the other hand differ by no more than a factor of 2.5. In addition to a corresponding collector, an illumination system contains field facets transfer optics. | 06-30-2016 |
20160187784 | ILLUMINATION OPTICAL UNIT AND ILLUMINATION SYSTEM FOR EUV PROJECTION LITHOGRAPHY - An illumination optical unit for EUV projection lithography serves for illuminating an illumination field in which an object field of a downstream imaging optical unit is arranged. An object displaceable in an object displacement direction is in turn arrangeable in the object field. A facet mirror of the illumination optical unit has a plurality of facets arranged alongside one another and serving for the reflective, superimposing guidance of partial beams of a beam of EUV illumination light to the object field. The facet mirror is arranged such that a position of the respective facet on the facet mirror and an impingement region of an illumination light partial beam on the respective facet of the facet mirror predefine an illumination direction for the field points of the object field. | 06-30-2016 |
20160187785 | ILLUMINATION SYSTEM - An illumination system has illumination optics which guide EUV illumination light collected by a collector to an object field. The illumination optics have a field facet mirror and a pupil facet mirror. Pupil facets are part of transfer optics which image the field facets in a manner superposed on one another into the object field. The collector images a radiation source region into an intermediate focal region disposed downstream thereof. The latter constitutes the first image of the radiation source region in the beam path disposed downstream thereof. A constriction region not coinciding with the downstream focal region is situated between the collector and a first component of the illumination optics. | 06-30-2016 |
20160187786 | ILLUMINATION OPTICAL UNIT FOR EUV PROJECTION LITHOGRAPHY - An illumination optical unit for EUV projection lithography illuminates an illumination field with illumination light from a light source. A first facet mirror of the illumination optical unit has a plurality of first facets for the reflective guidance of partial beams of a beam of the EUV illumination light. Disposed downstream of the first facet mirror is a second facet mirror with a plurality of second facets for further reflective guidance of the partial beams. As a result of this, the reflective beam guidance that the two facets predetermines object field illumination channels, by which the whole object field is illuminable by the illumination light in each case and to which exactly one first facet and exactly one second facet is assigned in each case. | 06-30-2016 |
20160187788 | EXPOSURE APPARATUS - An exposure apparatus may include a laser light source capable of varying a wavelength of a laser beam that is emitted from the laser light source, a mask on which a pattern is formed, the pattern being configured to generate diffracted light by being irradiated with the laser beam, and a controller configured to control, in accordance with a distance between the mask and a substrate, the wavelength of the laser beam that is emitted from the laser light source, wherein the mask is irradiated with the laser beam emitted from the laser light source to perform proximity exposure on a surface of the substrate. | 06-30-2016 |
20160187789 | ILLUMINATION SYSTEM FOR ILLUMINATING A MASK IN A MICROLITHOGRAPHIC EXPOSURE APPARATUS - An illumination system of a micro-lithographic projection exposure apparatus is provided, which is configured to illuminate a mask positioned in a mask plane. The system includes a pupil shaping optical subsystem and illuminator optics that illuminate a beam deflecting component. For determining a property of the beam deflecting component, an intensity distribution in a system pupil surface of the illumination system is determined. Then the property of the beam deflecting component is determined such that the intensity distribution produced by the pupil shaping subsystem in the system pupil surface approximates the intensity distribution determined before. At least one of the following aberrations are taken into account in this determination: (i) an aberration produced by the illuminator optics; (ii) an aberration produced by the pupil shaping optical subsystem; (iii) an aberration produced by an optical element arranged between the system pupil surface and the mask plane. | 06-30-2016 |
20160187790 | EXPOSURE METHOD, EXPOSURE DEVICE FOR PERFORMING THE METHOD AND MANUFACTURING METHOD OF DISPLAY SUBSTRATE USING THE METHOD - An exposure method includes exposing a substrate to form a first pattern on a first layer, measuring a first alignment value of the first pattern, generating first correction data by using the first alignment value, storing the first correction data and exposing the substrate to form a second pattern on a second layer disposed on the first layer by using the first correction data. | 06-30-2016 |
20160192468 | EXTREME ULTRAVIOLET LIGHT SOURCE - A target material is provided at a target location, the target material including a material that emits extreme ultraviolet light when converted to plasma, and the target material extending in a first extent along a first direction and in a second extent along a second direction; an amplified light beam is directed along a direction of propagation toward the target location; and the amplified light beam is focused in a focal plane, where the target location is outside of the focal plane and an interaction between the amplified light beam and the target material converts at least part of the target material to plasma that emits EUV light. | 06-30-2016 |
20160195815 | ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS WITH A BIREFRINGENT ELEMENT | 07-07-2016 |
20160195819 | RADIATION SOURCE AND LITHOGRAPHIC APPARATUS | 07-07-2016 |
20160202615 | MASKLESS EXPOSURE DEVICE AND MASKLESS EXPOSURE METHOD USING THE SAME | 07-14-2016 |
20160202616 | MEASURING APPARATUS AND METHOD, PROCESSING APPARATUS AND METHOD, PATTERN FORMING APPARATUS AND METHOD, EXPOSURE APPARATUS AND METHOD, AND DEVICE MANUFACTURING METHOD | 07-14-2016 |
20160252822 | PHOTOINDUCED ALIGNMENT APPARATUS | 09-01-2016 |
20160252824 | PROJECTION EXPOSURE APPARATUS WITH OPTIMIZED ADJUSTMENT POSSIBILITY | 09-01-2016 |
20160377983 | EXTREME ULTRAVIOLET LITHOGRAPHY PROCESS - A process of an extreme ultraviolet lithography is disclosed. The process includes receiving an extreme ultraviolet (EUV) mask, an EUV radiation source and an illuminator. The process also includes exposing the EUV mask by a radiation, originating from the EUV radiation source and directed by the illuminator, with a less-than-three-degree chief ray angle of incidence at the object side (CRAO). The process further includes removing most of the non-diffracted light and collecting and directing the diffracted light and the not removed non-diffracted light by a projection optics box (POB) to expose a target. | 12-29-2016 |
20160377984 | MIRROR, IN PARTICULAR FOR A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS - A mirror, in particular for a microlithographic projection exposure apparatus has an optically effective surface, wherein the mirror has a reflectivity of at least 0.5 for electromagnetic radiation which has a prescribed working wavelength and impinges on the optically effective surface at an angle of incidence based on the respective surface normal of at least 65°, wherein the mirror has at least one layer ( | 12-29-2016 |
20160377985 | Component for a Radiation Source, Associated Radiation Source and Lithographic Apparatus - Disclosed is component for a radiation source, said radiation source being operable to generate radiation from a fuel, said component having a surface comprising a plurality of first regions that have a high wettability by said fuel, separated by second regions which have a low wettability by said fuel. Said component may comprise a screening element for a droplet generator or contamination trap, for example. | 12-29-2016 |
20160377986 | TARGET SUPPLY DEVICE AND EUV LIGHT GENERATION APPARATUS - A target supply device may include a tank for storing a target material, a nozzle which is connected to the tank and outputs the target material, and a gas supply section for supplying the tank with gas. The gas supply section may include a booster which is connected to a gas line, boosts the gas supplied from the gas line, and outputs the boosted gas to the tank, a pressure sensor for measuring the pressure inside the tank, and a pressure controller which adjusts the pressure of the gas to be supplied to the tank on the basis of a measurement result from the pressure sensor. | 12-29-2016 |
20160377987 | SYSTEM FOR CREATING NANOSCALE PATTERNS - An super-resolution system for nano-patterning is disclosed, comprising an optical printing head that enables a super-resolution lithographic exposures compatible with conventional optical lithographic processes. The super-resolution exposures are carried out using light directed onto a medium using plasmonic structures, and in particular using plasmonic structures using specially designed super-resolution apertures, of which the “bow-tie” and “C-aperture” are examples. These specially designed apertures create small but bright images in the near-field transmission pattern. A printing head comprising an array of these apertures is held in close proximity to a medium for patterning. In some embodiments, a data processing system is provided to re-interpret the data to be patterned into a set of modulation signals used to drive the multiple individual channels and the multiple exposures. | 12-29-2016 |
20160377990 | Inspection Apparatus and Method, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method - The present invention determines property of a target ( | 12-29-2016 |
20160377992 | Lithographic Apparatus for Measuring Overlay Error and a Device Manufacturing Method - A lithographic apparatus including an inspection apparatus can measure the overlay error of a target in a scribelane is measured. The overlay error of the required feature in the chip area may differ from this due to, for example, different responses to the exposure process. A model is used to simulate these differences and thus a more accurate measurement of the overlay error of the feature determined. | 12-29-2016 |
20160379730 | EUV-MIRROR, OPTICAL SYSTEM WITH EUV-MIRROR AND ASSOCIATED OPERATING METHOD - An EUV mirror ( | 12-29-2016 |
20170235230 | MEASURING METHOD, MEASUREMENT APPARATUS, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD | 08-17-2017 |
20170235231 | EXPOSURE APPARATUS AND EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD | 08-17-2017 |
20170235233 | METHOD FOR LAYOUTLESS OVERLAY CONTROL | 08-17-2017 |
20180024436 | ACTIVE EYE-TO-EYE WITH ALIGNMENT BY X-Y CAPACITANCE MEASUREMENT | 01-25-2018 |
20180024437 | EDGE EXPOSURE APPARATUS | 01-25-2018 |
20180024439 | METHOD FOR OPERATING AN ILLUMINATION SYSTEM OF A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS | 01-25-2018 |
20180024444 | PIECEWISE ALIGNMENT MODELING METHOD | 01-25-2018 |
20190146122 | OPTICAL IMAGING WITH REDUCED IMMERSION LIQUID EVAPORATION EFFECTS | 05-16-2019 |
20190146350 | RADIATION SOURCE, LITHOGRAPHIC APPARATUS DEVICE MANUFACTURING METHOD, SENSOR SYSTEM AND SENSING METHOD | 05-16-2019 |
20190146353 | METHOD FOR ADJUSTING A LIGHTING SETTING | 05-16-2019 |
20190146356 | Metrology Method and Apparatus, Computer Program and Lithographic System | 05-16-2019 |
20190146363 | LITHOGRAPHY APPARATUS, LITHOGRAPHY METHOD, DECISION METHOD, STORAGE MEDIUM, AND ARTICLE MANUFACTURING METHOD | 05-16-2019 |