Entries |
Document | Title | Date |
20080196760 | Articles such as safety laminates and solar cell modules containing high melt flow acid copolymer compositions - A polymeric film or sheet comprising an acid copolymer composition comprising acid copolymer of an alpha olefin and about | 08-21-2008 |
20080210299 | Method of Fabricating Photocoltaic Cells - The invention relates to a method of fabricating photovoltaic cells in which at least one layer of semiconductor material is deposited continuously on a carbon ribbon ( | 09-04-2008 |
20080210300 | Method of Producing Substrate for Thin Film Photoelectric Conversion Device, and Thin Film Photoelectric Conversion Device - This invention provides a method of producing a substrate for a thin film photoelectric conversion device, the substrate being able to make it possible to fabricate the thin film photoelectric conversion device free from lowering in its open-circuit voltage or its fill factor even when the substrate includes a transparent conductive film that is mainly composed of zinc oxide and has a relatively large haze ratio for causing a large optical confinement effect. The method of producing the substrate for the thin film photoelectric conversion device according to the present invention is characterized in that a transparent conductive film formed on a transparent insulator base, which is mainly composed of zinc oxide and having a haze ratio of at least 5%, is etched with an acid or alkali solution. Output properties of the thin film photoelectric conversion device fabricated using the substrate is improved because the etching with acid or alkali can remove steep protrusions, which cause decrease in Voc or FF, in a textured structure on a surface of the film. | 09-04-2008 |
20080210301 | METAL CONTACT STRUCTURE FOR SOLAR CELL AND METHOD OF MANUFACTURE - In a solar cell having p doped regions and n doped regions alternately formed in a surface of a semiconductor wafer in offset levels through use of masking and etching techniques, metal contacts are made to the p regions and n regions by first forming a base layer contacting the p doped regions and n doped regions which functions as an antireflection layer, and then forming a barrier layer, such as titanium tungsten or chromium, and a conductive layer such as copper over the barrier layer. Preferably the conductive layer is a plating layer and the thickness thereof can be increased by plating. | 09-04-2008 |
20080216891 | Quantum dot sensitized wide bandgap semiconductor photovoltaic devices & methods of fabricating same - A quantum dot (QD) sensitized wide bandgap (WBG) semiconductor heterojunction photovoltaic (PV) device comprises an electron conductive layer; an active photovoltaic (PV) layer adjacent the electron conductive layer; a hole conductive layer adjacent the active PV layer; and an electrode layer adjacent the hole conductive layer. The active PV layer comprises a wide bandgap (WBG) semiconductor material with E | 09-11-2008 |
20080223436 | Back reflector for use in photovoltaic device - This invention relates to a photovoltaic device including a back reflector. In certain example embodiments, the back reflector includes a metallic based reflective layer provided on an interior surface of a rear glass substrate of the photovoltaic device. In certain example embodiments, the interior surface of the rear glass substrate is textured so that the reflector layer deposited thereon is also textured so as to provide desirable reflective characteristics. The rear glass substrate and reflector thereon are laminated to the interior surface of a front glass substrate of the photovoltaic device, with an active semiconductor film and electrode(s) therebetween, in certain example embodiments. | 09-18-2008 |
20080223437 | Solar cell contact fingers and solder pad arrangement for enhanced efficiency - A solar cell includes negative metal contact fingers electrically connected to N-type diffusion regions of the solar cell and positive metal contact fingers electrically connected to P-type diffusion regions of the solar cell. Both the N-type and P-type diffusion regions are on the backside of the solar cell. The solar cell includes a front side that faces the sun during normal operation. The negative and positive metal contact fingers may be interdigitated. For increased solar radiation collection, the metal contact fingers may be arranged to point to and collectively cover portions of a perimeter of a solder pad. For example, the negative metal contact fingers may be arranged to point to and collectively cover two or three sides of a solder pad. | 09-18-2008 |
20080236661 | Solar cell - A solar cell is provided. The solar cell includes a substrate, at least one first photo-electric conversion unit, at least one second photo-electric conversion unit and a reflective layer. The first photo-electric conversion unit and the second-electric conversion unit are disposed on the substrate. The reflective layer is disposed between the first photo-electric conversion unit and the second photo-electric conversion unit. The reflective layer comprises a plurality of thin films having at least two kinds of refractive indices and alternately stacked. | 10-02-2008 |
20080236662 | DYE SENSITIZED SOLAR CELL MODULE AND MANUFACTURING METHOD THEREOF - In a solar cell module in which a plurality of dye sensitized solar cells is arranged on a plane basis and is connected in series with an intercell region interposed therebetween, a first transparent substrate, a first transparent conductive film, a dye carrying oxide semiconductor layer, an electrolyte layer, a catalyst layer, a second transparent conductive film and a second transparent substrate are laminated, an insulating barrier seals cells on both sides thereof in fluid tightness and insulates them in the intercell region, an electrode connecting portion provided in a central part in a vertical direction of the insulating barrier connects an extended portion of the first transparent conductive film of one of the cells on the both sides to that of a second transparent conductive film of the other cell, and the electrode connecting portion penetrates through at least one of the first transparent substrate and the second transparent substrate in the vertical direction and is thus exposed. | 10-02-2008 |
20080236663 | Photoelectric conversion device - Provided is a photoelectric conversion device capable of improving a conversion efficiency. In a dye-sensitized photoelectric conversion device including a working electrode and a facing electrode, and an electrolyte inclusion, a dye is carried on a metal-oxide semiconductor layer of the working electrode. The dye includes cyanine dye having a benzyl group and an indolenine skeleton. Therefore, crystallization of the dye on the surface of the metal-oxide semiconductor layer is suppressed. | 10-02-2008 |
20080245409 | Inverted Metamorphic Solar Cell Mounted on Flexible Film - A method of manufacturing a solar cell on a flexible film by providing a substrate; depositing on the substrate a sequence of layers of semiconductor material forming a solar cell; mounting the semiconductor substrate on a flexible film; and thinning the semiconductor substrate to a predetermined thickness. The sequence of layers forms an inverted metamorphic solar cell structure. | 10-09-2008 |
20080245410 | PHOTOVOLTAIC CELL - The present invention relates to a photovoltaic cell, a method of manufacturing such photovoltaic cell, and to uses of such cell. | 10-09-2008 |
20080245411 | Fluorescent Solar Conversion Cells Based on Fluorescent Terylene Dyes - A fluorescence conversion solar cell based on one or more panels composed of polymer doped with at least one fluorescent dye and/or glass panels coated with the doped polymer and photovoltaic cells mounted on the edges of the panels, which comprise one or more fluorescent dyes based on terrylenecarboxylic acid derivatives or a combination of these fluorescent dyes with further fluorescent dyes. | 10-09-2008 |
20080251120 | Thin Film Solar Cell and Manufacturing Method - The present invention relates to a thin film solar cell and a method of manufacturing such cells. In particular the invention relates to the use of a composite back contact ( | 10-16-2008 |
20080251121 | Oxynitride passivation of solar cell - One embodiment relates to a structure for a solar cell. The structure includes a silicon substrate with P-type and N-type active diffusion regions therein. An oxynitride passivation layer is included at least over the P-type and N-type active diffusion regions. The structure further includes contact openings through the oxynitride passivation layer to the P-type and N-type active diffusion regions, and metal grid lines which selectively contact the P-type and N-type active diffusion regions by way of the contact openings. Another embodiment relates to a method of fabricating a solar cell. Other embodiments, aspects and features are also disclosed. | 10-16-2008 |
20080251122 | Three Dimensional Multi-Junction Photovoltaic Device - A photovoltaic device may be provided. The photovoltaic device may include a first energy absorbing surface and a second energy absorbing surface being substantially parallel to the first energy absorbing surface. The photovoltaic device may include a third energy absorbing surface being substantially perpendicular to the first energy absorbing surface and the second energy absorbing surface. Each of the first energy absorbing surface, the second energy absorbing surface, and the third energy absorbing surface may be configured to convert energy from photons into electrical energy. The photons may be impringing one or more of the first energy absorbing surface, the second energy absorbing surface, and the third energy absorbing surface. The first, second, and third energy absorbing surface may be oriented in manner to cause the photons to bounce between two or more of the first energy absorbing surface, the second energy absorbing surface, and the third energy absorbing surface. | 10-16-2008 |
20080257405 | Multijunction solar cell with strained-balanced quantum well middle cell - A multijunction photovoltaic cell including a top subcell; a second subcell disposed immediately adjacent to the top subcell and producing a first photo-generated current; and including a sequence of first and second different semiconductor layers with different lattice constant; and a lower subcell disposed immediately adjacent to the second subcell and producing a second photo-generated current substantially equal in amount to the first photo-generated current density. | 10-23-2008 |
20080257406 | Photoactive Devices and Components with Enhanced Efficiency - Devices, compositions and methods for producing photoactive devices, systems and compositions that have improved conversion efficiencies relative to previously described devices, systems and compositions. This improved efficiency is generally obtained by one or both of improving the efficiency of light absorption into the photoactive component, and improving the efficiency of energy extraction from that active component. | 10-23-2008 |
20080257407 | Photoactive Devices and Components with Enhanced Efficiency - Devices, compositions and methods for producing photoactive devices, systems and compositions that have improved conversion efficiencies relative to previously described devices, systems and compositions. This improved efficiency is generally obtained by one or both of improving the efficiency of light absorption into the photoactive component, and improving the efficiency of energy extraction from that active component. | 10-23-2008 |
20080264481 | Solar cell modules comprising compositionally distinct encapsulant layers - The present invention provides a solar cell pre-laminate assembly comprising one or more solar cells laminated between two compositionally distinct encapsulant layers, and the method of preparing a solar cell module from such an assembly. | 10-30-2008 |
20080264482 | DYE-SENSITIZED SOLAR CELL MODULE AND THE MANUFACTURING METHOD USING CARBON NANOTUBE ELECTRODE - Disclosed herein is a dye-sensitized solar cell module having carbon nanotube electrodes, the solar cell module comprising: upper and lower transparent substrates; conductive transparent electrodes formed on the inner surfaces of the upper and lower transparent substrates; a plurality of porous oxide semiconductor negative electrodes formed on the upper conductive transparent electrode at a constant interval and having a dye adsorbed on the surface thereof; counter electrodes formed on the lower conductive transparent electrode in a thin film form and made of a carbon nanotube layer as a positive electrode portion corresponding to the negative electrodes; grid electrodes formed on the upper and lower conductive transparent electrodes between unit electrodes, each consisting of the negative electrode and the counter electrode corresponding thereto, the grid electrodes serving to collect electrons generated by photosensitization; connecting electrodes formed on the upper and lower conductive transparent electrodes and electrically connected with the grid electrode so as to transfer electrons moved from the grid electrodes to the outside; and electrolyte placed between the negative electrodes and the counter electrodes. Also disclosed is a method for manufacturing the solar cell module. According to the disclosed invention, a high-efficiency, large-area, dye-sensitized solar cell comprising carbon nanotubes is realized by forming a plurality of dye-sensitized solar cell units in a module arrangement, and forming grid electrodes and connection electrodes for the collection and movement of electrons. Thus, the disclosed invention has high practical utility. | 10-30-2008 |
20080264483 | AMORPHOUS SILICON PHOTOVOLTAIC CELLS HAVING IMPROVED LIGHT TRAPPING AND ELECTRICITY-GENERATING METHOD - An amorphous silicon photovoltaic cell exhibiting improved light trapping, and a method for generating electricity from sunlight therewith. The cell comprises a plurality of layers, including a transparent superstrate; a specular, first transparent conductor positioned below the transparent superstrate; at least one p-i-n structure having an active layer positioned below the first transparent conductor; a second transparent conductor positioned below the p-i-n structure; and a layer of transparent material positioned below the second transparent conductor. The layer of transparent material may be textured amorphous silicon having a relatively high dielectric constant. The cell may further include a back coating positioned below the layer of transparent material, and a back reflector positioned below the back coating layer. | 10-30-2008 |
20080264484 | Backing sheet for photovoltaic modules and method for repairing same - The present invention provides a protective backing sheet for photovoltaic modules. The backing sheets of the current invention possess excellent weather resistance, heat resistance, color retention, adhesion between layers and encapsulant, and scratch resistance. The backing sheet can minimize the deterioration in the performance of the solar module due to moisture permeation. It also can achieve desirable photoelectric conversion efficiency over a long period of time. Additionally the described backing sheet, or alternately referred to backskin, can be made in an aesthetically pleasing form. | 10-30-2008 |
20080264485 | DYE-SENSITIZED SOLAR CELL CONTAINING FLUORESCENT MATERIAL AND METHOD OF MANUFACTURING THE SAME - Provided are a dye-sensitized solar cell and a method of manufacturing the same. The dye-sensitized solar cell includes an opposing electrode and a photoelectrode. The opposing electrode includes a light-transmitting layer formed of a transparent glass substrate and an FTO (fluorine-doped tin oxide) thin film deposited on the transparent glass substrate, and a catalyst layer formed by depositing platinum on the FTO thin film. The photoelectrode includes a glass substrate and an FTO thin film deposited on the glass substrate. The photoelectrode is coated with a mixture of a fluorescent material and a transition metal oxide that includes titanium dioxide (TiO | 10-30-2008 |
20080271781 | Cis Type Thin-Film Solar Cell and Process for Producing the Same - This invention provides a CIS-based thin film solar battery and a process for producing the same in which the formation of an alkali barrier layer and a metal backside electrode layer is carried out at a low cost in a short time to prevent such an unfavorable phenomenon that a light absorbing layer is separated from the interface of the light absorbing layer and the metal backside electrode layer. The CIS-based thin film solar battery ( | 11-06-2008 |
20080271782 | Method of making a photovoltaic device or front substrate for use in same with scratch-resistant coating and resulting product - A method of making an anti-reflection coating using a sol-gel process, for use in a photovoltaic device or the like. The method may include the following steps in certain example embodiments: forming a polymeric component of silica by mixing silane(s) with one or more of a first solvent, a catalyst, and water; forming a silica sol gel by mixing the polymeric component with a colloidal silica, and optionally a second solvent; forming a combined sol by mixing siloxane(s) with the silica sol; casting the mixture by spin coating or the like to form a silica and siloxane containing layer on a substrate; and curing and/or heat treating the layer. This layer may make up all or only part of an anti-reflection coating which may be used in a photovoltaic device or the like. | 11-06-2008 |
20080276986 | Photolithography Method For Contacting Thin-Film Semiconductor Structures - A photolithography method for contacting one or more contact regions of a thin-film semiconductor structure on a transparent supporting material is disclosed. The method comprises the steps of forming one or more openings ( | 11-13-2008 |
20080276987 | Nanostructured Solar Cells - Improved photovoltaic devices and methods are disclosed. In one embodiment, an exemplary photovoltaic device includes a semiconductor layer and a light-responsive layer (which can be made, for example, of a semiconductor material) which form a junction, such as a p-n junction. The light-responsive layer can include a plurality of carbon nanostructures, such as carbon nanotubes, located therein. In many cases, the carbon nanostructures can provide a conductive pathway within the light-responsive layer. In other embodiments, exemplary photovoltaic devices include semiconductor nanostructures, which can take a variety of forms, in addition to the carbon nanostructures. Further embodiments include a wide variety of other configurations and features. Methods of fabricating photovoltaic devices are also disclosed. | 11-13-2008 |
20080276988 | METHOD FOR REGENERATING PHOTOVOLTAIC MODULE AND PHOTOVOLTAIC MODULE - The present invention allows a crystalline photovoltaic module having a super straight type structure in which a light-receiving surface side-sealing EVA layer | 11-13-2008 |
20080289685 | Thin Film Solar Cell with Rough Surface Layer Formed by Nano/Micro Particle Conductor Balls - A thin film solar cell has a rough surface layer formed by nano/micro particle conductor balls, which is provided by a method of producing a textured surface for a conductive layer of the solar cell by mounting the nano/micro particle conductor balls with a particle diameter of 50 nm to 150 nm onto the conductive layer by spray coating, spin coating, dip coating, natural deposition or gain, and a monolayer or multilayer self-assembled or random textured structure is achieved and applied in a thin film solar cell, so as to effectively reduce the thickness of an intrinsic semiconductor and greatly lower the manufacturing cost of the solar cell. | 11-27-2008 |
20080289686 | METHOD AND APPARATUS FOR DEPOSITING A SILICON LAYER ON A TRANSMITTING CONDUCTIVE OXIDE LAYER SUITABLE FOR USE IN SOLAR CELL APPLICATIONS - Methods and apparatus for reducing defects on transmitting conducting oxide (TCO) layer are provided. In one embodiment, a method for depositing a silicon layer on a transmitting conducting oxide (TCO) layer may include providing a substrate having a TCO layer disposed thereon, wherein the TCO layer has a peripheral region and a cell integrated region, the cell integrated region having laser scribing patterns disposed thereon, positioning the substrate on a substrate support assembly disposed in a processing chamber, wherein the substrate support assembly has a roughened surface in contact with the substrate, contacting a shadow frame to the peripheral region of the TCO layer and to the substrate support assembly thereby creating an electrical ground path between the TCO layer and substrate support through the shadow frame, and depositing a silicon containing layer on the TCO layer through an aperture of the shadow frame. | 11-27-2008 |
20080289687 | METHODS FOR DEPOSITING A SILICON LAYER ON A LASER SCRIBED TRANSMITTING CONDUCTIVE OXIDE LAYER SUITABLE FOR USE IN SOLAR CELL APPLICATIONS - Methods and apparatus for reducing defects on transmitting conducting oxide (TCO) layer are provided. The method includes a method of laser scribing a TCO layer for solar cell applications. In one embodiment, a method for depositing a silicon layer on a transmitting conducting oxide (TCO) layer may include laser scribing a cell-integrated region of a TCO layer disposed on a substrate for solar applications, the TCO layer having a laser scribing free periphery region outward of the cell-integrated region, the periphery region having a width between about 10 mm and about 30 mm measured from an edge of the substrate, transferring the scribed substrate into a deposition chamber, and depositing a silicon containing layer on the TCO layer in the deposition chamber. | 11-27-2008 |
20080289688 | Photovoltaic Apparatus Including Spherical Semiconducting Particles - A photovoltaic apparatus includes a plurality of approximately spherical photoelectric conversion elements including a second semiconductor layer located outside a first semiconductor layer, for generating photoelectromotive force therebetween. The second semiconductor layer has an opening through which part of the first semiconductor layer is exposed. The apparatus also includes a support having first and second conductors and an insulator disposed between the conductors for electrically insulating the conductors from each other. The support has recesses adjacent to each other, the inside surfaces of which are constituted by the first conductor. The photoelectric conversion elements are disposed in respective recesses so that the elements are illuminated with light reflected by part of the first conductor that constitutes the recess. The first conductor is electrically connected to the second semiconductor layers of the photoelectric conversion elements, and the second conductor is electrically connected to the exposed portions of the first semiconductor layers. | 11-27-2008 |
20080295884 | Method of making a photovoltaic device or front substrate with barrier layer for use in same and resulting product - A method of making a photovoltaic device including an antireflective coating, including: forming a coating solution by mixing a mono-metal oxide, a bi-metal oxide, a silane, or a siloxane with a solvent, such that the coating solution may be used as a barrier between the antireflective coating and a glass substrate that inhibits sodium ion migration in the glass substrate after exposure to environmental factors including humidity and temperature. A photovoltaic device including a photovoltaic film, a glass substrate, and a barrier layer provided on the glass substrate; an anti-reflection coating provided on the glass substrate and on the barrier layer; wherein the barrier layer comprises one or more of the following: a mono-metal oxide, a bi-metal oxide, a silane, or a siloxane. | 12-04-2008 |
20080295885 | Thick Crystalline Silicon Film On Large Substrates for Solar Applications - An apparatus for converting sunlight to electricity comprises a sheet of soda lime glass having a softening point not exceeding 600° C. and a layer of crystalline silicon over said sheet of soda lime glass. The layer has a thickness not less than about 5 microns and grains with grain size not less than about 100 microns. A method for making a device for converting sunlight to electricity comprises forming a film on a soda lime glass substrate, dispersing silicon powder onto the film and pressing a surface onto the silicon powder to form a layer of silicon powder on said film. The substrate and film are heated from below to a temperature so that the soda lime glass substrate softens. While the substrate is in a softened state, the silicon powder layer is heated by scanning a line focus laser beam or an elongated heater strip over a spatial sequence of adjacent elongated zones of the silicon powder consecutively so that the silicon powder in each of the zones melts and recrystallizes consecutively to form a layer of crystalline silicon with a thickness in the range of 5 to 100 micron over said film. Preferably the laser beam or heater strip scans and heats a triangular area of the layer of silicon powder, where the area has an apex leading said scan area during scanning. | 12-04-2008 |
20080295886 | ZNO WHISKER FILMS AND METHOD OF MANUFACTURING SAME - The present invention is a ZnO whisker film, a manufacturing method thereof and an electronic device material composed of such a ZnO whisker film, the film is composed of primarily (at least 50 mol %) of ZnO crystals, and of accumulated whisker-like particles having an aspect ratio of 2 or more, and the film has a nanostructure with both a high specific surface area and a high electrical conductivity, the film can be manufactured by adjusting one or more solution condition selected from starting material concentration, temperature and pH so as to induce the deposition of ZnO crystals, in a reaction solution system for depositing zinc oxide, and forming thereby a ZnO whisker film on a substrate. | 12-04-2008 |
20080302414 | Front electrode for use in photovoltaic device and method of making same - This invention relates to a front electrode/contact for use in an electronic device such as a photovoltaic device. In certain example embodiments, the front electrode of a photovoltaic device or the like includes a multilayer coating including at least one transparent conductive oxide (TCO) layer (e.g., of or including a material such as tin oxide, ITO, zinc oxide, or the like) and/or at least one conductive substantially metallic IR reflecting layer (e.g., based on silver, gold, or the like). In certain example instances, the multilayer front electrode coating may include one or more conductive metal(s) oxide layer(s) and one or more conductive substantially metallic IR reflecting layer(s) in order to provide for reduced visible light reflection, increased conductivity, cheaper manufacturability, and/or increased infrared (IR) reflection capability. | 12-11-2008 |
20080302415 | Elongated photovoltaic cells in casings with a filling layer - A solar cell unit comprising a cylindrical shaped solar cell and a transparent tubular casing is provided. The tubular shaped solar cell comprises a back-electrode, a semiconductor junction circumferentially disposed on the back-electrode and a transparent conductive layer disposed on the semiconductor junction. The transparent tubular casing is circumferentially sealed onto the transparent conductive layer of the cylindrical shaped solar cell. A solar cell unit comprising a cylindrical shaped solar cell, a filler layer, and a transparent tubular casing is provided. The cylindrical shaped solar cell comprises a cylindrical substrate, a back-electrode circumferentially disposed on the cylindrical substrate, a semiconductor junction circumferentially disposed on the back-electrode, and a transparent conductive layer disposed on the semiconductor junction. The filler layer is circumferentially disposed on the transparent conductive layer and the transparent tubular casing is circumferentially disposed onto the filler layer. | 12-11-2008 |
20080302416 | Durable silver based transparent conductive coatings for solar cells - A method of creating a solar cell package is disclosed. A solar cell is obtained having an active surface. A coating is applied to the active surface of the solar cell, wherein the coating comprises a four-layer structure. A first Nickel Chromium Nitride layer is applied with a thickness between 5-15 Angstroms inclusive. A Silver layer is applied to the first Nickel Chromium Nitride layer, wherein the Silver layer comprises at least 99.999% Silver with a thickness between 40-100 Angstroms inclusive. A second Nickel Chromium Nitride layer is applied to the Silver layer, wherein the second Nickel Chromium Nitride layer comprises a thickness between 5-15 Angstroms inclusive. A Silicon Nitride layer is applied to the second Nickel Chromium Nitride layer. | 12-11-2008 |
20080302417 | FILLER SHEET FOR SOLAR CELL MODULE, AND SOLAR CELL MODULE USING THE SAME - A main object of the invention is to provide a filler sheet for a solar cell module which is excellent in various properties such as strength, endurance, weatherability, heat resistance, water resistance, light resistance, wind pressure resistance, hailstorm resistance, and vacuum laminating suitability, and has very good thermal melting/bonding property without being affected by production conditions and others, and which makes it possible to produce a solar cell module, suitable for various use purposes, stably at low costs; and a solar cell module using the same. | 12-11-2008 |
20080308145 | Front electrode including transparent conductive coating on etched glass substrate for use in photovoltaic device and method of making same - Certain example embodiments of this invention relate to a front electrode provided on an etched/patterned front glass substrate for use in a photovoltaic device or the like. The glass is a low-iron soda-lime-silica based glass. Etching of the glass may include immersing the soda-lime-silica based glass in an acid inclusive solution such as hydrofluoric acid (e.g., HF in aqueous solution) and/or hydrofluoric acid with a buffer, in order to selectively dissolve some of the glass thereby producing at least one textured/patterned substantially transparent surface of the glass substrate. A front electrode (single or multi-layered) is then formed (e.g., via sputter-deposition) on the textured surface of the front glass substrate, and may be used in a photovoltaic device or the like. | 12-18-2008 |
20080308146 | Front electrode including pyrolytic transparent conductive coating on textured glass substrate for use in photovoltaic device and method of making same - A photovoltaic device includes a front electrode on a textured front glass substrate. In certain example embodiments, the glass substrate is textured via roller(s) and/or etching to form a textured surface. Thereafter, a front electrode is formed on the textured surface of the glass substrate via pyrolysis. The front electrode may be of or include a transparent conductive oxide (TCO) such as tin oxide and/or fluorinated tin oxide in certain example embodiments. In certain example instances, this is advantageous in that efficiency of the photovoltaic device can be improved by increasing light absorption by the active semiconductor via both increasing light intensity passing through the front glass substrate and front electrode, and increasing the light path in the semiconductor photovoltaic conversion layer. | 12-18-2008 |
20080308147 | Rear electrode structure for use in photovoltaic device such as CIGS/CIS photovoltaic device and method of making same - A photovoltaic device including a rear electrode which may also function as a rear reflector. In certain example embodiments of this invention, the rear electrode includes a metallic based reflective film that is oxidation graded, so as to be more oxided closer to a rear substrate (e.g., glass substrate) supporting the electrode than at a location further from the rear substrate. In other words, the rear electrode is oxidation graded so as to be less oxided closer to a semiconductor absorber of the photovoltaic device than at a location further from the semiconductor absorber in certain example embodiments. In certain example embodiments, the interior surface of the rear substrate may optionally be textured so that the rear electrode deposited thereon is also textured so as to provide desirable electrical and reflective characteristics. In certain example embodiments, the rear electrode may be of or include Mo and/or MoO | 12-18-2008 |
20080308148 | Photovoltaic Devices With Conductive Barrier Layers and Foil Substrates - Methods and devices are provided for absorber layers formed on foil substrate. In one embodiment, a method of manufacturing photovoltaic devices may be comprised of providing a substrate comprising of at least one electrically conductive aluminum foil substrate, at least one electrically conductive diffusion barrier layer, and at least one electrically conductive electrode layer above the diffusion barrier layer. The diffusion barrier layer may prevent chemical interaction between the aluminum foil substrate and the electrode layer. An absorber layer may be formed on the substrate. In one embodiment, the absorber layer may be a non-silicon absorber layer. In another embodiment, the absorber layer may be an amorphous silicon (doped or undoped) absorber layer. Optionally, the absorber layer may be based on organic and/or inorganic materials. | 12-18-2008 |
20080308149 | SQUARYLIUM DYE, METHOD OF PRODUCING THE SAME, PHOTOELECTRIC CONVERSION ELEMENT CONTAINING THE DYE, AND SOLID-STATE IMAGING DEVICE - A squarylium dye represented by formula (1): | 12-18-2008 |
20080308150 | HOT EMBOSSING OF CONDUCTOR TRACKS ON A PHOTOVOLTAIC SILICON WAFER - There is described a process for the production of conductor tracks ( | 12-18-2008 |
20080308151 | Front electrode for use in photovoltaic device and method of making same - This invention relates to a front electrode/contact for use in an electronic device such as a photovoltaic device. In certain example embodiments, the front electrode of a photovoltaic device or the like includes a multilayer coating including at least one transparent conductive oxide (TCO) layer (e.g., of or including a material such as tin oxide, ITO, zinc oxide, or the like) and/or at least one conductive substantially metallic IR reflecting layer (e.g., based on silver, gold, or the like). In certain example instances, the multilayer front electrode coating may include one or more conductive metal(s) oxide layer(s) and one or more conductive substantially metallic IR reflecting layer(s) in order to provide for reduced visible light reflection, increased conductivity, cheaper manufacturability, and/or increased infrared (IR) reflection capability. In certain example embodiments, the front electrode acts as not only a transparent conductive front contact/electrode but also a short pass filter that allows an increased amount of photons having high energy (such as in visible and near infra-red regions of the spectrum) into the active region or absorber of the photovoltaic device. | 12-18-2008 |
20080314444 | ELECTRICALLY CONDUCTIVE PASTE AND SOLAR CELL - An electrically conductive paste which can be formed into an electrode by being fired at relatively low temperatures, which exhibits excellent adhesion strength between a light-receiving surface electrode and a semiconductor substrate, and which can satisfactorily reduce the contact resistance between the two, is provided. The electrically conductive paste used as a material for a light-receiving surface electrode of a solar cell, includes a Ag powder, an organic vehicle, and glass frit, wherein the softening point of the above-described glass frit is 570° C. 760° C., and the glass frit contains B | 12-25-2008 |
20090000660 | Heterojunction photovoltaic assembled with atomic layer deposition - A heterojunction photovoltaic cell. The cell includes a nanoporous substrate, a transparent conducting oxide disposed on the nanoporous substrate, a nanolaminate film deposited on the nanoporous substrate surface, a sensitizer dye disposed on a wide band gap semiconducting oxide and a redox shuttle positioned within the layer structure. | 01-01-2009 |
20090000661 | Dye Sensitized Solar Cell - An object of the present invention is to provide an enlarged dye sensitized solar cell which has a short-circuit preventing structure while a distance between a transparent conductive oxide and a counter electrode, that is, a cell gap is shortened. | 01-01-2009 |
20090007961 | Photoelectric Converter and Semiconductor Electrode - Disclosed herein is a photoelectric converter which has an improved photoelectric conversion efficiency and an improved current density owing to the increased amount of sensitizing dye supported on the semiconductor electrode. The photoelectric converter ( | 01-08-2009 |
20090007962 | LOW AREA SCREEN PRINTED METAL CONTACT STRUCTURE AND METHOD - A solar cell comprises adjacent regions of oppositely doped semiconductor material forming a pn junction substantially parallel to front and rear surfaces of the solar cell. A surface of the semiconductor material has a plurality of depressions, with semiconductor material regions forming internal wall surface regions of the depressions being doped to the polarity of one of the semiconductor regions, with which they are in electrical communication. The wall surface regions of the depressions are isolated from the other oppositely doped semiconductor region and form contact points for a contact structure contacting the surface in which the depressions are formed. A dielectric layer is formed over the surface, the dielectric layer being thinner or non-existent in at least a portion of each depression, such that a screen printed metal contact structure formed over the dielectric layer and extending into the depressions makes contact with the semiconductor material in the depressions after sintering. | 01-08-2009 |
20090007963 | ELONGATED PHOTOVOLTAIC CELLS IN CASINGS WITH A FILLING LAYER - A solar cell unit comprising a cylindrical shaped solar cell and a transparent tubular casing is provided. The tubular shaped solar cell comprises a back-electrode, a semiconductor junction circumferentially disposed on the back-electrode and a transparent conductive layer disposed on the semiconductor junction. The transparent tubular casing is circumferentially sealed onto the transparent conductive layer of the cylindrical shaped solar cell. A solar cell unit comprising a cylindrical shaped solar cell, a filler layer, and a transparent tubular casing is provided. The cylindrical shaped solar cell comprises a cylindrical substrate, a back-electrode circumferentially disposed on the cylindrical substrate, a semiconductor junction circumferentially disposed on the back-electrode, and a transparent conductive layer disposed on the semiconductor junction. The filler layer is circumferentially disposed on the transparent conductive layer and the transparent tubular casing is circumferentially disposed onto the filler layer. | 01-08-2009 |
20090014062 | Metal Oxide Dispersion, Metal Oxide Electrode Film, and Dye Sensitized Solar Cell - A metal oxide dispersion comprising metal oxide particles with a necking structure, and a solvent, wherein the liquid droplet contact angle of the metal oxide dispersion to an ITO film (Indium-Tin Oxide type film) formed is from 0 to 60°. A metal oxide dispersion for the production of a dye-sensitized solar cell electrode, comprising Metal Oxide Particle Group F having a necking structure formed by m connected particles, Metal Oxide Particle Group G having only 0.2 m or less connected particles, and a solvent, and being formable into a film at 200° C. or less. A metal oxide electrode comprising an electrically conducting substrate having thereon a metal oxide layer comprising metal oxide particles bound by a binder, wherein the binder content is from 0.005 to 5 mass % based on the metal oxide film and the metal oxide layer has a pencil scratch strength of H or more according to JIS5600. | 01-15-2009 |
20090014063 | METHOD FOR PRODUCTION OF A SINGLE-SIDED CONTACT SOLAR CELL AND SINGLE-SIDED CONTACT SOLAR CELL - A single-side contacted solar cell and method for production of a single-side contacted solar cell provide a direct arrangement of a contact grid on one side of an absorber layer. A free surface of the contact grid is coated with an electrically non-conducting insulation layer. An emitter layer is deposited on a whole surface such that the contact grid is arranged between the absorber layer and the emitter layer. The emitter layer is provided with a contact layer. For back face contact, the emitter layer is arranged on a back face of the absorber layer to avoid additional absorptive losses. | 01-15-2009 |
20090014064 | PHOTOVOLTAIC APPARATUS AND METHOD OF MANUFACTURING THE SAME - A photovoltaic apparatus includes a second groove so formed as to cut at least an intermediate layer, an insulating member so formed as to cover at least a cut portion of the intermediate layer in the second groove and extend along an upper surface of a second photoelectric conversion layer, and a third groove so formed as to pass through a first photoelectric conversion layer, the intermediate layer, the second photoelectric conversion layer and the insulating member on a region opposite to a first groove with respect to the second groove, wherein the insulating member is so formed as to extend up to at least a region opposite to the first groove with respect to the third groove. | 01-15-2009 |
20090014065 | METHOD FOR THE PRODUCTION OF A TRANSPARENT CONDUCTIVE OXIDE COATING - The present invention concerns a method for the generation of a transparent conductive oxide coating (TCO layer), in particular a transparent conductive oxide coating as a transparent contact for thin section solar cells. The TCO layer consists at least of a first layer of high conductivity and a second layer of low conductivity, with the second layer generated by DC sputtering of at least one target, which contains zinc oxide and additionally aluminum, and the process atmosphere contains oxygen. Further, the present invention relates to a TCO layer as well as thin section solar cells on CIGS and CdTe basis. | 01-15-2009 |
20090020156 | Method for manufacturing solar cell and solar cell - The present invention is a method for manufacturing a solar cell by forming a pn junction in a semiconductor substrate having a first conductivity type to manufacture a solar cell, including at least: applying a first coating material containing a dopant onto the semiconductor substrate having the first conductivity type; and performing vapor-phase diffusion heat treatment to form a first diffusion layer in a region applied with the first coating material and a second diffusion layer, which is formed next to the first diffusion layer through vapor-phase diffusion, with a conductivity lower than a conductivity of the first diffusion layer at the same time, and provides a solar cell. Hence, it is possible to provide a method for manufacturing a solar cell, which can manufacture a solar cell at a low cost in a simple and easy way while suppressing surface recombination in a light-receiving surface other than an electrode region and recombination in an emitter to increase photoelectric conversion efficiency of the solar cell, and a solar cell. | 01-22-2009 |
20090020157 | Rear electrode structure for use in photovoltaic device such as CIGS/CIS photovoltaic device and method of making same - A photovoltaic device including a rear electrode which may also function as a rear reflector. In certain example embodiments of this invention, the rear electrode includes a metallic based reflective film that is oxidation graded, so as to be more oxided closer to a rear substrate (e.g., glass substrate) supporting the electrode than at a location further from the rear substrate. In other words, the rear electrode is oxidation graded so as to be less oxided closer to a semiconductor absorber of the photovoltaic device than at a location further from the semiconductor absorber in certain example embodiments. In certain example embodiments, the interior surface of the rear substrate may optionally be textured so that the rear electrode deposited thereon is also textured so as to provide desirable electrical and reflective characteristics. In certain example embodiments, the rear electrode may be of or include Mo and/or MoO | 01-22-2009 |
20090025783 | Optical filter - The present invention provides an optical filter including a substrate having a plurality of layers of materials stacked upon it each of which layers is formed from one or both of: a first material having a first index of refraction; and, a second material having a second index of refraction being less than the first index of refraction; wherein the plurality of layers of materials include a first layer and a second layer each formed from an inhomogeneous mixture of said first material and said second material; and a third layer formed from the first material being stacked in between the first layer and the second layer; wherein the optical thickness of each of said first and said second layers is greater than the optical thickness of said third layer. | 01-29-2009 |
20090025784 | THERMAL SPRAY FOR SOLAR CONCENTRATOR FABRICATION - A system may include an optical element, a thermal-sprayed material disposed on the optical element, and a solar cell coupled to the optical element. Some aspects provide thermal spraying of a first material onto an optical element, and coupling of a solar cell to the optical element. Thermal spraying the first material may include spraying a molten metal powder onto the optical element. | 01-29-2009 |
20090025785 | SOLAR CELL WITH FLEXIBLE SUBSTRATE - An exemplary solar cell includes a flexible substrate, a back metal contact layer, a P-type semiconductor layer, a P-N junction layer, an N-type semiconductor layer, and a front metal contact layer. The substrate is made of stainless steel. The back metal contact layer is formed on the substrate. The P-type semiconductor layer is formed on the back metal contact layer. The P-N junction layer is formed on the P-type semiconductor layer. The N-type semiconductor layer is formed on a P-N junction layer. The front metal contact layer is formed on the N-type semiconductor layer. | 01-29-2009 |
20090025786 | SOLAR CELL HAVING HIGH QUALITY BACK CONTACT WITH SCREEN-PRINTED LOCAL BACK SURFACE FIELD - A thin silicon solar cell having a back dielectric passivation and rear contact with local back surface field is described. Specifically, the solar cell may be fabricated from a crystalline silicon wafer having a thickness from 50 to 500 micrometers. A barrier layer and a dielectric layer are applied at least to the back surface of the silicon wafer to protect the silicon wafer from deformation when the rear contact is formed. At least one opening is made to the dielectric layer. An aluminum contact that provides a back surface field is formed in the opening and on the dielectric layer. The aluminum contact may be applied by screen printing an aluminum paste having from one to 12 atomic percent silicon and then applying a heat treatment at 750 degrees Celsius. | 01-29-2009 |
20090025787 | Wafer/Ribbon Crystal Method and Apparatus - A method of processing a ribbon crystal provides a string ribbon crystal, and removes at least one edge of the string ribbon crystal. | 01-29-2009 |
20090025788 | ELECTRODE FOR PHOTOVOLTAIC CELLS, PHOTOVOLTAIC CELL AND PHOTOVOLTAIC MODULE - An electrode for contacting an electrically conductive surface of a photovoltaic element includes an electrically insulating optically transparent film, an adhesive layer provided on a planar surface of the film, and a plurality of substantially parallel, electrically conductive wires embedded into the adhesive layer. The plurality of wires lies over the planar surface of the film. A part of the surfaces of the wires protrude from the adhesive layer. At least the part of the surfaces protruding from the adhesive layer are covered by a coating consisting of an alloy having a low melting point to solder the wires to the electrically conductive surface and to a first terminal bar. The adhesive layer has a thickness less than the thickness of the wires embedded therein. | 01-29-2009 |
20090032094 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - A light absorbing layer | 02-05-2009 |
20090032095 | Semiconductor Component And Method For Producing It and Use for It - The invention relates to a method for the production of a semiconductor component having at least one optically reflective surface in which a silicon wafer, which has an etchable dielectric layer at least in regions on at least one of its surfaces, is provided with a silicon-containing masking layer in order to screen against fluid media. In addition a layer comprising aluminium is deposited on the masking layer and subsequently a thermal treatment of the semiconductor component is undertaken, the result being dissolving of the silicon in the aluminium. Furthermore, the invention relates to a corresponding semiconductor component made of a silicon wafer having at least one optically reflective surface. Semiconductor components of this type are used in particular as solar cells. | 02-05-2009 |
20090032096 | PROCESS FOR PRODUCING THIN-FILM DEVICE, AND DEVICES PRODUCED BY THE PROCESS - In a process for producing a thin-film device having an inorganic film formed over a resin-based substrate, a thermal-buffer layer is formed over a substrate which contains a resin material as a main component, and a light-cutting layer is formed over the thermal-buffer layer, where the light-cutting layer prevents damage from short-wavelength light to the substrate by reducing the proportion of the short-wavelength light which reaches the substrate. Thereafter, a non-monocrystalline film which is to be annealed is formed over the light-cutting layer, where the non-monocrystalline film transmits the short-wavelength light to such a degree that the short-wavelength light can damage the substrate. Then, an inorganic film is formed by irradiating the non-monocrystalline film with the short-wavelength light so as to anneal the non-monocrystalline film. | 02-05-2009 |
20090032097 | Enhancement of dye-sensitized solar cells using colloidal metal nanoparticles - Plasmon enhancement of a dye-stained matrix for use in a photovoltaic cell. The matrix includes nanoparticles of a charge accepting semiconductor; a sensitizer coating the charge accepting semiconductor; and metal nanoparticles capable of plasmon resonance. Another aspect of the invention relates to a plasmon-enhanced photovoltaic cell. The solar photovoltaic cell includes a plurality of nanoparticles of charge accepting semiconductor; a coating of sensitizer on the plurality of nanoparticles of charge accepting semiconductor; and a plurality of metal nanoparticles capable of plasmon resonance in communication with the sensitizer coating. An additional aspect relates to a method of making plasmon-enhanced material suitable for use in a photovoltaic cell. The steps include providing a charge accepting semiconductor; sintering the charge accepting semiconductor such as metal oxide; coating the charge accepting semiconductor with sensitizer; providing metal nanoparticles capable of plasmon resonance; and coating the charge accepting semiconductor with metal nanoparticles capable of plasmon resonance. | 02-05-2009 |
20090038679 | Thin Multijunction Solar Cells With Plated Metal OHMIC Contact and Support - A method of forming a thin multifunction solar cell in which an electroplating process is used to form a thick metal layer to give strength and support to the solar cell. The strain of the plated thick metal layer is adjusted during the process by parameter control to compensate for the strain in the other device layers, so that the curvature of the thin device can be eliminated or otherwise controlled. | 02-12-2009 |
20090038680 | SOLAR BUILDING - An exemplary solar building includes a roof and a peripheral side wall enclosure supporting the roof. The roof and the peripheral sidewall enclosure are comprised of glass. A solar cell is formed on an exterior surface of the roof and the peripheral side wall enclosure. The solar cell includes a substrate, a back metal contact layer formed on the substrate, a P-type semiconductor layer formed on the back metal contact layer, a P-N junction layer formed on the P-type semiconductor layer, an N-type semiconductor layer formed on the P-N junction layer, and a front metal contact layer formed on the N-type semiconductor layer. | 02-12-2009 |
20090038681 | Ozone-treated carbon electrodes - Ozone treated carbon electrodes can provide increased catalytic activity, such as in a dye-sensitized solar cell (DSSC) or other electrochemical device or other device that could benefit from an increased catalytic activity, such as lithium ion or other batteries, hydrogen fuel cells, or electroanalytical instruments. Devices, methods of making, and methods of using are discussed. | 02-12-2009 |
20090044856 | SOLAR CELL AND SOLAR CELL MODULE - A solar cell according to the present invention includes: a photoelectric conversion part having a photoelectric converting function; and a collector electrode provided at a side of a light-receiving surface of the photoelectric conversion part in a way that parts of the light-receiving surface are exposed. Interconnection tabs are connected at a side of a light-receiving surface of the collector electrode with an adhesive being interposed in between. The collector electrode contains a thermosetting first resin, an conductive material and a second resin for forming a sea-island structure between the second resin and the first resin. The collector electrode includes an internal region in the inside, a concentration ratio of the second resin to the first resin being higher in the internal region than in the surface region at the side of either the adhesive or the photoelectric conversion part. | 02-19-2009 |
20090044857 | Dye-Sensitized Photoelectric Conversion Device - Disclosed is a photoelectric conversion device wherein a methine dye represented by the formula (1) below is supported by a thin film of oxide semiconductor particles arranged on a substrate. Also disclosed is a solar cell manufactured by using such a photoelectric conversion device. | 02-19-2009 |
20090044858 | Method of Manufacture of Semiconductor Device and Conductive Compositions Used Therein - The present invention is directed to a thick film conductive composition comprising: (a) electrically conductive silver powder; (b) Mn-containing additive; (c) glass frit wherein said glass frit has a softening point in the range of 300 to 600° C.; dispersed in (d) organic medium. | 02-19-2009 |
20090044859 | Device For Converting Electromagnetic Radiation Energy Into Electrical Energy And Method Of Manufacturing Such A Device | 02-19-2009 |
20090050199 | SEMICONDUCTING POLYMER FILMS WITH FIXED ELECTRONIC JUNCTIONS - A polymer film having fixed electronic junctions; devices that include the film; and methods for making and using the film. | 02-26-2009 |
20090050200 | SOLAR CELL - A solar cell includes a back metal-contact layer, a P-type semiconductor layer, a P-N junction layer, an N-type semiconductor layer, and a transparent electrically conductive layer. The P-type semiconductor layer is formed on the back metal-contact layer. The P-N junction layer is formed on the P-type semiconductor layer. The N-type semiconductor layer is formed on the P-N junction layer. The transparent electrically conductive layer is formed on the N-type semiconductor layer. The transparent electrically conductive layer functions as a front contact layer, and has a basic film and a plurality of photocatalyst nano-particles dispersed in the basic film. | 02-26-2009 |
20090056798 | Thick Film Pastes For Fire Through Applications In Solar Cells - Formulations and methods of making solar cell contacts and cells therewith are disclosed. The invention provides a photovoltaic cell comprising a front contact, a back contact, and a rear contact. The back contact comprises, prior to firing, a passivating layer onto which is applied a paste, comprising aluminum, a glass component, wherein the aluminum paste comprises, aluminum, another optional metal, a glass component, and a vehicle. The back contact comprises, prior to firing, a passivating layer onto which is applied an aluminum paste, wherein the aluminum paste comprises aluminum, a glass component, and a vehicle. | 03-05-2009 |
20090056799 | PHOTOVOLTAIC CELLS WITH SELECTIVELY PATTERNED TRANSPARENT CONDUCTIVE COATINGS, AND ASSOCIATED METHODS - A photovoltaic cell comprising a selectively patterned, transparent, conductive coating (TCC) on a sunward surface. The selectively patterned TCC is contiguous with at least some highly conductive gridlines on the sunward surface. A portion of the sunward surface of the semiconductor wafer is not covered by either the gridlines or the TCC. Also disclosed are methods of manufacturing a photovoltaic cell comprising a selectively patterned, transparent, conductive coating (TCC) on a sunward surface. The methods include the step of modeling the optical and electrical properties of the semiconductor, the gridlines, and the TCC to determine a pattern for the TCC that results in a low relative power loss for the photovoltaic cell. | 03-05-2009 |
20090056800 | Surface Passivation of Silicon Based Wafers - The surface recombination velocity of a silicon sample is reduced by deposition of a thin hydrogenated amorphous silicon or hydrogenated amorphous silicon carbide film, followed by deposition of a thin hydrogenated silicon nitride film. The surface recombination velocity is further decreased by a subsequent anneal. Silicon solar cell structures using this new method for efficient reduction of the surface recombination velocity is claimed. | 03-05-2009 |
20090056801 | Thin film solar cell and manufacturing method thereof - The present invention discloses a thin film solar cell and manufacturing method thereof. The thin film solar cell comprises a substrate, a first electrode layer, a photoelectric conversion layer and a second electrode layer. The first electrode layer is formed with a plurality of first grooves so as to divide the first electrode layer into a plurality of unit cells. The photoelectric conversion layer is formed with a plurality of third grooves. A first offset exists between each third groove and each first groove. The second electrode layer is formed with a plurality of second grooves extending downward adequately into the photoelectric conversion layer. A second offset exists between each second groove and each third groove. The thin film solar cell further comprises at least one isolation groove positioned around the second electrode layer; out of projections of the unit cells, and extended downward so as to remove the second electrode layer. The first electrode layer further comprises at least one outer groove that is inside of the isolation groove and is extended downward to the substrate. | 03-05-2009 |
20090056802 | Practical method and means for mechanosynthesis and assembly of precise nanostructures and materials including diamond, programmable systems for performing same; devices and systems produced thereby, and applications thereof - The present invention features compositions for mechanosynthetic tool molecules useful as mechanosynthetic tools and improving over those proposed heretofore, novel uses of extant materials as mechanosynthetic tools, novel methods for improving the design of mechanosynthetic tools, methods for attachment of mechanosynthetic tool molecules to structural support members, methods for mechanosynthesis of precise nanostructures, novel uses of extant materials as starting seeds for mechanosynthetic products, novel modifications of nanostructures and the formation of patterns thereof, and novel uses of modifications of nanostructures as electrically conducting nanowires useful in electronic devices, photovoltaic devices and communications devices. Related electromechanosynthetic deposition of a metal using similar methods and means are likewise disclosed. Methods and means are provided for the fabrication of devices for performing the mechanosyntheses of the present invention including systems themselves capable of the self- or allo-replication of such systems, and also of device growth or expansion via autofabrication and autoassembly. Additionally, the foregoing methods are applied in the fabrication and assembly of novel actuator devices, nanoelectromechanical digital logic devices, analyte detection devices including devices for performing biomolecular and chemical assays, including detection of specific polynucleotides, and fluidic devices. Combinations of the foregoing enable the production of novel materials processing devices and systems disclosed as aspects of the present invention, including materials processing systems useful in processing environmental pollutants or raw materials, particularly also such devices which either themselves are or are produced by self- or allo-replicated systems. | 03-05-2009 |
20090056803 | SOLAR CELL MODULE, METHOD FOR MANUFACTURING THE SAME, SOLAR CELL, AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a solar cell module according to the present invention includes a step of forming a protective layer formed of a transparent material on one main surface of one solar cell, and a region in which the one main surface is exposed remains on an outside of the circumference of the protective layer formed on the one main surface. | 03-05-2009 |
20090056804 | SOLAR CELL - A solar cell having a through-hole electrode with an improved manufacturing yield is provided. The solar cell includes a through-hole passing through a photoelectric converter from a light-receiving surface to the back surface of the photoelectric converter. One end portion on the back surface side of the through-hole branches off in multiple back surface side branch portions and the back surface side branch portions open on the back surface of the photoelectric converter. | 03-05-2009 |
20090056805 | Photovoltaic Thin-Film Solar Cell and Method Of Making The Same - A photovoltaic device having a front and back orientation and comprising: a crystalline substrate having a resistivity greater than about 0.01 ohm-cm; and an epitaxy thin-film layer in front of said substrate, said thin-film layer contacting said substrate in at least one region to define a p-n junction. | 03-05-2009 |
20090065048 | Hybrid Photolytic Fuel Cell - An apparatus for providing electrical energy by utilizing energy from absorbed light to dissociate water and thereby provide free electrons is disclosed. In some embodiments, the apparatus comprises a fuel cell having a photolytic front end, a proton-conducting layer, and a catalytic cathode. The photolytic front end uses energy from light to dissociate water molecules into protons and electrons, the proton-conducting layer conducts protons to the catalytic cathode and forces the electrons to travel through an external electrical circuit, and the catalytic cathode recombines the protons and electrons with oxygen to reform water molecules. | 03-12-2009 |
20090065049 | Getter Paste Composition - The present invention relates to a getter paste composition, and more particularly, to a getter paste composition which is quickly densified at low densification temperatures to be applied to a device that is weak to heat, provides good adhesiveness, controls moisture and gas effectively and is screen-printable to thereby improve productivity. | 03-12-2009 |
20090065050 | Method and structure for textured thermal cut for photovoltaic applications for thin films - A photovoltaic device and related methods of manufacture. The device has a support substrate having a support surface region. The device has a thickness of crystalline material overlying the support surface region of the support substrate. Preferably, the thickness of material has an upper surface region. The device has a glue layer provided between the support surface region and the thickness of material according to a specific embodiment. In a preferred embodiment, the device has a textured surface region formed overlying from the upper surface region of the thickness of crystalline material. Depending upon the embodiment, the device has a plurality of elevated regions having a first thickness defining a first portion of the textured surface region and a plurality of recessed regions having a second thickness defining a second portion of the textured surface region. | 03-12-2009 |
20090065051 | Method and structure for hydrogenation of silicon substrates with shaped covers - Method and structure for hydrogenation of silicon substrates with shaped covers. According to an embodiment, the present invention provides a method for fabricating a photovoltaic material. The method includes providing a semiconductor substrate. The method also includes forming a crystalline material characterized by a plurality of worm hole structures therein overlying the semiconductor substrate. The worm hole structures are characterized by a density distribution from a surface region of the crystalline material to a defined depth within a z-direction of the surface region to form a thickness of material to be detached. The method further includes providing a glue layer overlying a surface region of the crystalline material. The method includes joining the surface region of the crystalline material via the glue layer to a support substrate. | 03-12-2009 |
20090065052 | SOLAR CELL HAVING IMPROVED ELECTRON EMISSION USING AMORPHOUS DIAMOND MATERIALS - Solar cells and related methods using thin layers of amorphous diamond. A thin layer of amorphous diamond is in electrical communication with a conductor. The use of a thin layer of amorphous diamond helps to reduce back conversion of excited electrons to heat. Reduced back conversion in turn can provide an increase in the efficiency of solar cells using the presently disclosed techniques. | 03-12-2009 |
20090065053 | Photovoltaic device - A photovoltaic device employs several major components or features which work together to provide a device that allows for the use of many different photoactive chemicals simultaneously to more efficiently convert solar energy into electrical energy. In the order of sunlight impingement, the components comprise: a physical interruption device, a resolving mechanism and a gap or space between the resolving mechanism and the next component, a chemical composition of photoactive chemicals. An electron capture mechanism includes electrodes which derive the electrical charge from the electron capture mechanism. The last feature is an electronic control mechanism which coordinates the polarity of the electrodes and the movement of the photo interruption device. Polarity reversal and pulsed excitation are key to the operation of the device. | 03-12-2009 |
20090071535 | ANTIREFLECTIVE COATING ON SOLAR CELLS AND METHOD FOR THE PRODUCTION OF SUCH AN ANTIREFLECTIVE COATING - Disclosed is an antireflective coating on solar cells made of crystalline silicon as well as a method for producing such an antireflective coating. The aim is to create an antireflective coating on solar cells made of crystalline silicon which makes it possible to optimize the optical and passivating properties thereof while making it possible to easily and economically integrate the production thereof into the production process especially of very thin crystalline silicon solar cells. The antireflective coating is composed of successive partial layers, i.e., a lower partial layer which covers the crystalline silicon, is embodied as an antireflective coating and as passivation with a particularly great hydrogen concentration, and is covered by an upper partial layer having an increased barrier effect against hydrogen diffusion. | 03-19-2009 |
20090071536 | Internal light trapping method and structure using porous monocyrstalline silicon films for photovoltaic applications - A thin photovoltaic device for solar cell applications. As used herein, the term “thin” generally means less than about 20 microns of silicon crystal material, but can also be other dimensions. The term thin should not be limited and should be construed broadly and consistently as one of ordinary skill in the art. In a specific embodiment, the device has a support substrate having a surface region. The device has a thickness of photovoltaic material overlying the surface region of support substrate and having a predefined surface texture to facilitate trapping of one or more incident photons using at least a refraction process to cause the one or more photons to traverse a longer optical path within an inner region of the thickness of material according to a specific embodiment. In a specific embodiment the longer optical path is provided relative to a shorter optical path characteristic of a surface region without the predefined surface roughness. In a specific embodiment, the device also has a dimension of about one wavelength of visible light to about two microns characterizing the thickness of the photovoltaic material. | 03-19-2009 |
20090071537 | INDEX TUNED ANTIREFLECTIVE COATING USING A NANOSTRUCTURED METAMATERIAL - An anti-reflective layer solar cell/optical medium is provided by nanostructuring the surface of the optical material into which light transmission is desired. The surface of the optical material is etched through a nanoporous polymer film etch mask to transfer the porous pattern to the optical material. The resultant nanostructured layer is an optical metamaterial since it contains structural features much smaller than the wavelength of light and the presence of these structural features change the effective index of refraction by controlling the degree of porosity in the nanostructured layer and also by controlling the thickness of the porous layer. | 03-19-2009 |
20090078312 | VERFAHREN ZUR HERSTELLUNG VON MIT RYLENTETRACARBONSAEUREDIIMIDEN BESCHICHTETEN SUBSTRATEN - The present invention relates to a process for producing a substrate coated with rylenetetracarboximides, in which a substrate is treated with an N,N′-bisubstituted rylenetetracarboximide and the treated substrate is heated to a temperature at which the N,N′-bisubstituted rylenetetracarboximide is converted to the corresponding N,N′-unsubstituted compound. The present invention further relates to semiconductor units, organic solar cells, excitonic solar cells and organic light-emitting diodes which comprise a substrate produced by this process. The present invention further relates to a process for preparing N,N′-unsubstituted rylenetetracarboximides, in which the corresponding N,N′-bisubstituted rylenetetracarboximides are provided and heated to a temperature at which these compounds are converted to the corresponding N,N′-unsubstituted compounds. | 03-26-2009 |
20090078313 | SUBSTRATE PREPARATION FOR THIN FILM SOLAR CELL MANUFACTURING - A thin film solar cell including a Group IBIIIAVIA absorber layer on a defect free base including a stainless steel substrate is provided. The stainless steel substrate of the base is surface treated to reduce the surface roughness such as protrusions that cause shunts. In one embodiment, the surface roughness is reduced by coating surface with a thin silicon dioxide which fills the cavities and recesses around the protrusions and thereby reducing the surface roughness. After the silicon dioxide film is formed, a contact layer is formed over the ruthenium layer and the exposed portions of the substrate to complete the base. | 03-26-2009 |
20090078314 | Backing Sheet For Photovoltaic Modules - The present invention provides a protective backing sheet for photovoltaic modules. The backing sheet has a layer including fluoropolymer which is cured on a substrate, and the layer includes a hydrophobic silica. The amount of hydrophobic silica contained in the layer is within the range of 2.5 to 15.0% by weight, and preferably in the range of 7.5 to 12.5%. Also, the layer including fluoropolymer may further include a titanium dioxide. | 03-26-2009 |
20090078315 | ELECTRODE, METHOD OF MAKING SAME, PHOTOELECTRIC TRANSFER ELEMENT, METHOD OF MANUFACTURING SAME, ELECTRONIC DEVICE AND METHOD OF MANUFACTURING SAME - An electrode is composed of a carbon carrying a metal and a binder polymer, and it is used as a counter electrode of a dye-sensitized solar cell. The metal carried by carbon is at least one kind of metal selected from the group consisting of Pt, Ru, Co, Ti, Ni, Al and Au. The carbon is needle-like carbon, fullerene, carbon nanotube, conductive carbon black, or the like, and its specific surface area is equal to or larger than 100 m | 03-26-2009 |
20090084436 | Effective organic solar cells based on triplet materials - A photovoltaic device has a first electrode, a second electrode spaced apart from the first electrode, and a layer of light responsive material disposed between the first electrode and the second electrode. The layer of light responsive material includes a material that has a triplet exciton state which can be excited by incident electromagnetic radiation to provide collectable free charged particles at one of the first and second electrodes. | 04-02-2009 |
20090084437 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - Imperfect filling sometimes occurs when a conductive material is filled into a through-hole formed on a solar cell. A method of manufacturing a solar cell of the invention employs a support wherein a conductive material is filled into a through-hole. Accordingly, it is possible to suppress occurrence of imperfect filling and thereby provide a method of manufacturing a solar cell with enhanced reliability. Moreover, a flat surface is provided on a solar cell of the present invention when a connector electrode is formed on a through-hole and this enables enhanced connection reliability. | 04-02-2009 |
20090084438 | Front electrode for use in photovoltaic device and method of making same - This invention relates to a front electrode/contact for use in an electronic device such as a photovoltaic device. In certain example embodiments, the front electrode of a photovoltaic device or the like includes a multilayer coating including at least one transparent conductive oxide (TCO) layer (e.g., of or including a material such as tin oxide, ITO, zinc oxide, or the like) and/or at least one conductive substantially metallic IR reflecting layer (e.g., based on silver, gold, or the like). In certain example instances, the multilayer front electrode coating may include one or more conductive metal(s) oxide layer(s) and/or one or more conductive substantially metallic IR reflecting layer(s) in order to provide for reduced visible light reflection, increased conductivity, cheaper manufacturability, and/or increased infrared (IR) reflection capability. | 04-02-2009 |
20090084439 | TCO-based hybrid solar photovoltaic energy conversion apparatus - The invention relates to a solar photovoltaic energy conversion apparatus. The apparatus consists of a substrate, a buffer layer formed on the substrate layer, a first transparent conductive oxide layer formed on the buffer layer, periodic protrusions containing first silicon layers formed on the first transparent conductive oxide layer, second silicon layers formed on the first silicon layers, a second transparent conductive oxide layer covering the first silicon layers, the second silicon layers and the first transparent conductive oxide layer, and an anti-reflective protective layer. The first silicon layer and the second silicon layer are the electrodes with the opposite type of charge carriers. The first transparent conductive layer and the second transparent conductive layer are the electrodes with the opposite type of charge carriers. This TCO-based hybrid solar photovoltaic energy conversion device not only can allow the transmission of visible sunlight but also can enhance the photovoltaic energy. | 04-02-2009 |
20090095346 | ANTIREFLECTIVE COATINGS FOR PHOTOVOLTAIC APPLICATIONS - A process is provided for making a photovoltaic device comprising a silicon substrate comprising a p-n junction, the process comprising the steps of: forming an amorphous silicon carbide antireflective coating over at least one surface of the silicon substrate by chemical vapor deposition of a composition comprising a precursor selected from the group consisting of an organosilane, an aminosilane, and mixtures thereof, wherein the amorphous silicon carbide antireflective coating is a film represented by the formula Si | 04-16-2009 |
20090095347 | CIS BASED THIN-FILM SOLAR CELL MODULE HAVING PATTERN-DISPLAYING FUNCTION AND PROCESS FOR PRODUCING THE SAME - A design pattern or the like is displayed on a module at low cost through simple production steps without reducing the reliability and conversion efficiency of the module to thereby improve an aesthetic sense and obtain an advertising effect. A resin film F bearing a cutout display pattern, e.g., a design, is sandwiched between a CIS based thin-film solar cell submodule | 04-16-2009 |
20090101202 | METHOD OF FAST HYDROGEN PASSIVATION TO SOLAR CELLS MADE OF CRYSTALLINE SILICON - A method of improving efficiency of solar cells made of crystalline silicon, including monocrystalline silicon, multicrystalline silicon and polycrystalline silicon is provided. In the method, a negative bias pulse is applied to solar cells at a predetermined voltage, a predetermined frequency, and a predetermined pulse width while immersing the solar cells in a hydrogen plasma. Hydrogen ions are attracted and quickly implanted into the solar cells. Thus, the passivation of crystal defects in the solar cells can be realized in a short period. Meanwhile, the properties of an antireflection layer cannot be damaged as proper operating parameters are used. Consequently, the serious resistance of the solar cells can be significantly reduced and the filling factor increases as a result. Further, the short-circuit current and the open-circuit voltage can be increased. Therefore, the efficiency can be enhanced. | 04-23-2009 |
20090101203 | Method of making an antireflective silica coating, resulting product, and photovoltaic device comprising same - A low-index silica coating may be made by forming silica sol including or of a silane and/or a colloidal silica. The silica precursor may be deposited on a substrate (e.g., glass substrate) to form a coating layer. The coating layer may then be cured and/or fired using temperature(s) of from about 550 to 700° C. The coating layer includes a striping-reducing agent to inhibit the appearance of striping in the coating layer. The low-index silica based coating may be used as an antireflective (AR) film on a front glass substrate of a photovoltaic device (e.g., solar cell) or any other suitable application in certain example instances. | 04-23-2009 |
20090101204 | PHOTOVOLTAIC LAMINATED MODULE BACKSHEET, FILMS AND COATINGS FOR USE IN MODULE BACKSHEET, AND PROCESSES FOR MAKING THE SAME - Improved photovoltaic module backsheets, and processes for making the same, are disclosed, including paper and polymer films for use in photovoltaic laminated modules. The present disclosure provides electrical insulation paper and one or more coatings or resin laminates having improved material properties, such as improved thermal and humidity performance, for use as backsheet materials in photovoltaic modules. | 04-23-2009 |
20090101205 | PROCESS FOR PREPARING A RUBBER COMPOSITION, RUBBER COMPOSITION OBTAINED THEREFROM, AND USE THEREOF - The present invention pertains to a process for preparing a rubber precursor or a rubber composition comprising a modified inorganic oxygen-containing particulate material comprising the steps of: a) preparing a mixture of the modified inorganic oxygen-containing particulate material and a first solvent; and b1) adding the mixture to a rubber precursor comprising at least one polymer and optionally a second solvent; or b2) adding the mixture to a rubber composition comprising at least one monomer of a rubber precursor and optionally a second solvent, and polymerising the monomer(s) to form the rubber precursor; c) optionally cross-linking the rubber precursor in the presence of a cross-linking agent to form a rubber composition; and d) optionally removing the first and/or second solvents before, during or after any of the steps b1), b2), and c). The invention further pertains to rubber compositions comprising rubber and inorganic oxygen-containing particulate material modified with a coupling agent. | 04-23-2009 |
20090107545 | TEMPLATE FOR PYRAMIDAL THREE-DIMENSIONAL THIN-FILM SOLAR CELL MANUFACTURING AND METHODS OF USE - A template | 04-30-2009 |
20090107546 | CO-EXTRUDED COMPOSITIONS FOR HIGH ASPECT RATIO STRUCTURES - A material set that can be used for making high aspect ratio lines includes a sacrificial feedstock comprising an organic polymer, a solvent, and one or more optional additives, and a functional material that forms a ribbon with the sacrificial feedstock without the sacrificial feedstock and the functional material substantially intermixing, wherein the sacrificial feedstock has a yield strength of greater than about 100 Pa or a viscosity of greater than about 10 | 04-30-2009 |
20090107547 | SOLAR CELL AND SOLAR CELL MODULE - A solar cell includes: a photoelectric conversion part having a concave and convex profile in a light receiving surface thereof; and a protective layer | 04-30-2009 |
20090107548 | STRESS-INDUCED BANDGAP-SHIFTED SEMICONDUCTOR PHOTOELECTROLYTIC/PHOTOCATALYTIC/PHOTOVOLTAIC SURFACE AND METHOD FOR MAKING SAME - Titania is a semiconductor and photocatalyst that is also chemically inert. With its bandgap of 3.0, to activate the photocatalytic property of titania requires light of about 390 nm wavelength, which is in the ultra-violet, where sunlight is very low in intensity. A method and devices are disclosed wherein stress is induced and managed in a thin film of titania in order to shift and lower the bandgap energy into the longer wavelengths that are more abundant in sunlight. Applications of this stress-induced bandgap-shifted titania photocatalytic surface include photoelectrolysis for production of hydrogen gas from water, photovoltaics for production of electricity, and photocatalysis for detoxification and disinfection. | 04-30-2009 |
20090114275 | METHOD FOR PRODUCTION OF NANOPOROUS ELECTRODES FOR PHOTOELECTROCHEMICAL APPLICATIONS - The invention relates to a two-step method for production of low temperature mechanically stable and electrically efficient nanoporous electrodes, in particular titania nanoporous electrodes, for photoelectrochemical applications. The method of the invention comprises electrophoretic deposition (EPD) of nanosize titania crystals from a stable suspension containing thereof on a conductive substrate, and formation of mechanical and electrical contact between them. The invention further relates to nanoporous electrodes obtained by this method and to dye sensitized solar cells (DSSCs) fabricated therefrom. | 05-07-2009 |
20090114276 | METHODS AND APPARATUSES FOR IMPROVING POWER EXTRACTION FROM SOLAR CELLS - The field of the invention relates to minimization of resistive loss of solar panels in order to achieve maximum solar energy conversion efficiency, extracting more electricity power from available solar irradiance. Schemes are designed to take advantage of the geometrical and mechanical configurations of back contact solar cells to make better electrical contacts and connections so as to achieve maximum solar energy conversion efficiency and better power extraction. | 05-07-2009 |
20090114277 | Production Process of Photoelectrode for Dye-Sensitized Solar Cell, Photoelectrode for Dye-Sensitized Solar Cell and Dye-Sensitized Solar Cell. - The invention provides a photoelectrode for dye-sensitized solar cell, by which a dye-sensitized solar cell capable of obtaining a high photoelectric conversion efficiency even when a plastic base is used as a transparent base forming a transparent substrate, and retaining a high level of photoelectric conversion efficiency even when the quantity of incident light is changed can be surely obtained with good reproducibility, a production process thereof, and a dye-sensitized solar cell. | 05-07-2009 |
20090114278 | DYE-SENSITIZED SOLAR CELL AND FABRICATION METHOD THEREOF - A dye-sensitized solar cell and a fabrication method thereof are disclosed. A method for fabricating a dye-sensitized solar cell, includes forming a sacrifice layer comprising colloidal particles on a transparent conductive substrate, supplying a photoelectrode material comprising transition metal oxide nano particles onto the sacrifice layer, thereby filling the transition metal oxide nano particles between the colloidal particles, removing the sacrifice layer by thermal treatment to prepare a photoelectrode having an inverse opal structure, and adsorbing dye molecules onto the photoelectrode. | 05-07-2009 |
20090114279 | SOLAR CELL SHEET AND A METHOD FOR THE PREPARATION OF THE SAME - The present invention discloses a solar cell sheet, comprising a back sheet, a plastic front sheet and a solar cell circuit between the back sheet and the plastic front sheet, wherein the plastic front sheet possesses a first light receiving surface and a second surface adjacent to the solar cell circuit, wherein the second surface possesses a surface texture capable of improving light trapping property. It also discloses a method for the preparation of the same. | 05-07-2009 |
20090120494 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell having a high photoelectric efficiency by minimizing (or reducing) electron transfer resistance and electrode shading loss. The solar cell includes a semiconductor substrate; an emitter layer on a first side of the semiconductor substrate; a conductive transparent electrode layer on the emitter layer; a first electrode on the conductive transparent electrode layer and electrically connected to the conductive transparent electrode layer; and a second electrode on a second side of the semiconductor substrate and electrically connected to the semiconductor substrate. The conductive transparent electrode layer has a specific resistance of about 500 μΩ·cm or less. The emitter layer may be doped with a low concentration of impurities resulting in improve optical response at a short wavelength and minimization (or reduction) of recombination loss. | 05-14-2009 |
20090120495 | Alternating copolymers of phenylene vinylene and oligoarylene vinylene, preparation method thereof, and organic thin flim transister comprising the same - Disclosed herein are an alternating copolymer of phenylene vinylene and oligoarylene vinylene, a preparation method thereof, and an organic thin film transistor including the same. The organic thin film transistor maintains low off-state leakage current and realizes a high on/off current ratio and high charge mobility because the organic active layer thereof is formed of an alternating copolymer of phenylene vinylene and oligoarylene vinylene. | 05-14-2009 |
20090120496 | TRANSPARENT CONDUCTIVE OXIDE COATING FOR THIN FILM PHOTOVOLTAIC APPLICATIONS AND METHODS OF MAKING THE SAME - The present invention provides transparent conductive oxide (TCO) thin films with improved optical and electrical properties and methods of making the same. More specifically, the invention provides on-line processes for producing TCO thin films that allow for improvements in optical properties and post-production improvements in electrical properties of the TCO. | 05-14-2009 |
20090120497 | METHOD OF METALLIZING SOLAR CELL CONDUCTORS BY ELECTROPLATING WITH MINIMAL ATTACK ON UNDERLYING MATERIALS OF CONSTRUCTION - The invention relates to a metallized solar cell and the method of making thereof that includes depositing a metal or metals such as silver, nickel, copper, tin, indium, gallium, or selenium or their alloys on solar cells in a manner to form more substantial and robust electrical contacts that can carry current more efficiently and effectively or to provide the active layers required to convert sunlight into electricity. These deposits also protect the underlying metallic materials from corrosion, oxidation or other environmental changes that would deleteriously affect the electrical performance of the cell. The invention also relates to the use of specialized electroplating chemistries that minimize residual stress and/or are free of organic sulfonic acids to minimize chemical attack on solar cell substrates or prior metallizations that include organic and/or inorganic binders or related materials for depositing the initial metallic portions of the cell. | 05-14-2009 |
20090126784 | DYE-SENSITIZED SOLAR CELL USING CONDUCTIVE FIBER ELECTRODE - Provided is a dye-sensitized solar cell including a flexible electrode. The dye-sensitized solar cell includes: first and second electrodes facing each other, and an electrolyte layer interposed between the first and second electrodes, wherein the first electrode comprises a structure formed of conductive fibers, a nano-particle semiconductor oxide layer formed on a surface of the structure of the conductive fibers, and dye molecules adsorbed in the nano-particle semiconductor oxide layer. | 05-21-2009 |
20090126785 | SOLAR CELL MODULE - An aspect of the invention provides a solar cell module that comprises: a solar cell having a light-receiving surface and a back surface formed on an opposite side to the light-receiving surface, the solar cell configured to generate electric power from light on the light-receiving surface; a translucent member covering the light-receiving surface side of the solar cell; a case covering the back surface side of the solar cell and formed integrally of a resin; and a conductive output cable buried in the case, a first end of the output cable electrically connected to the solar cell, a second end of the output cable exposed to the outside of the case, the conductive output cable comprising a locking part that locks the output cable in the case. | 05-21-2009 |
20090126786 | Selective Emitter and Texture Processes for Back Contact Solar Cells - Methods for manufacturing textured selective emitter back contact solar cells, and solar cells made in accordance therewith. A separate antireflective coating is preferably deposited, which also preferably provides simultaneous hydrogen passivation. The high sheet resistance and low sheet resistance selective emitter diffusions may be performed in either order. | 05-21-2009 |
20090126787 | SOLAR CELL AND AN ARRANGEMENT AND A METHOD FOR PRODUCING A SOLAR CELL - The present invention relates generally to solar cells, material layers within solar cells, a production method of solar cells, and a manufacturing arrangement for producing solar cells. A solar cell according to the invention includes at least one layer with a surface, produced by laser ablation, wherein the uniform surface area to be produced includes at least an area 0.2 dm | 05-21-2009 |
20090126788 | SOLAR CELL AND SOLAR CELL MODULE INCLUDING THE SAME - Provided is a solar cell in which a linear n finger electrode and a linear p finger electrode are alternately arranged on a projection plane parallel to a main surface of a substrate, and which is arranged in a predetermined arrangement direction, including an n-side bus bar electrode connected to the n finger electrode and insulated from the p finger electrode and a p-side bus bar electrode connected to the p finger electrode and insulated from the n finger electrode. The n-side bus bar electrode and the p-side bus bar electrode are provided on a same main surface side of the substrate, intersect with the n finger electrode and the p finger electrode respectively on the projection plane, and have a slope angle relative to the predetermined arrangement direction. | 05-21-2009 |
20090126789 | Dye-sensitized solar cell - The present invention relates to a dye-sensitized solar cell that exhibits improved photoabsorption efficiency and optoelectronic conversion efficiency in the long-wavelength region. The dye-sensitized solar cell of the present invention, in coordination with an outer loop, comprises: a first substrate; a second substrate; and a photoenergy conversion layer disposed between the first substrate and the second substrate. Herein, the photoenergy conversion layer comprises an electrolytic condensed matter and pluralities of dye-adsorbed units dispersed in the electrolytic condensed matter. In addition, a first photonic crystal layer is disposed on the surface of the first substrate. A beam of light from the external environment can pass through the first photonic crystal layer and the first substrate to arrive in the photoenergy conversion layer. The photoenergy conversion layer can convert the photoenergy of the light to electric energy and the outer loop electrically connects to the first substrate and the second substrate. | 05-21-2009 |
20090133745 | PHOTOVOLTAIC CELL COMPRISING A PHOTOVOLTAIC ACTIVE SEMICONDUCTOR MATERIAL - The invention relates to a photovoltaic cell comprising a photovoltaically active semiconductor material, wherein the photovoltaically active semiconductor material is a p- or n-doped semiconductor material comprising a binary compound of the formula (I) or a ternary compound of the formula (II): | 05-28-2009 |
20090133746 | Solid-State Electrolyte Composition Containing Liquid Crystal Materials and Dye-Sensitized Solar Cells Using the Same - This invention provides a solid-state electrolyte containing liquid crystal material and a solar cell using the same. According to this invention, since the solar cell includes the solid-state electrolyte containing the liquid crystal material, it does not require the use of a solvent and a sealing agent, as do conventional dye-sensitized solar cells using a liquid-state electrolyte, thus realizing a simple fabrication process. Further, the solar cell of this invention can exhibit much higher energy conversion efficiency than conventional dye-sensitized solar cells using a solid-state electrolyte. | 05-28-2009 |
20090133747 | COMPOUND HAVING PHOTOSENSITIZATION ACTIVITY, PHOTOELECTRODE, AND PHOTOSENSITIZATION-TYPE SOLAR CELL - The main object of the invention is to provide a compound that can be used as a sensitizing dye of a dye-sensitized solar cell, which can realize outstanding endurance and photovoltaic conversion efficiency. | 05-28-2009 |
20090133748 | Method of making an antireflective silica coating, resulting product, and photovoltaic device comprising same - A low-index silica coating may be made by forming silica sol comprising a silane and/or a colloidal silica. The silica precursor may be deposited on a substrate (e.g., glass substrate) to form a coating layer. The coating layer may then be cured and/or fired using temperature(s) of from about 550 to 700° C. A capping layer composition comprising an antifog composition including a siloxane and/or hydrofluororether may be formed, deposited on the coating layer, then cured and/or fired to form a capping layer The capping layer improves the durability of the coating. The low-index silica based coating may be used as an antireflective (AR) film on a front glass substrate of a photovoltaic device (e.g., solar cell) or any other suitable application in certain example instances. | 05-28-2009 |
20090133749 | Chalcopyrite Solar Cell - A chalcopyrite solar cell having a mica substrate or a laminated mica substrate, an intermediate layer made of a ceramic-based material formed on the mica substrate, and a binder layer formed on the intermediate layer. The intermediate layer has a thickness equal to or more than 2 μm and equal to or less than 20 μm. The binder layer has a thickness equal to or more than 3000 Å and equal to or less than 8000 Å. The intermediate layer and the binder layer are interposed between the mica substrate and a molybdenum electrode. | 05-28-2009 |
20090133750 | SOLAR CELL - There is provided a solar cell including: a substrate; an energy absorption layer formed on the substrate and having a plurality of nanowire structures, each of the nanowire structures including an n-type semiconductor and a p-type semiconductor joined together; and n-type and p-type electrodes electrically connected to the n-type and p-type semiconductors, respectively. The solar cell exhibits high photoelectric efficiency due to pn junction of the nanowire structures. Further, the solar cell can absorb light falling within a substantially whole range of solar spectrum and does not require an epitaxial growth process, thereby overcoming drawbacks of an epitaxial layer such as crystal defect. | 05-28-2009 |
20090133751 | Nanostructured Organic Solar Cells - Solar cells having at least one electron acceptor layer and at least one electron donor layer forming a patterned p-n junction are described. Electron acceptor layer may be formed by patterning formable N-type material between a template and an electrode layer, and solidifying the formable N-type material. | 05-28-2009 |
20090139567 | CONFORMAL PROTECTIVE COATING FOR SOLAR PANEL - A multilayer conformal coating is optimized in both composition and geometry to protect the back and sides of a transparent-fronted thin-film solar photovoltaic panel or similar device from various damage mechanisms associated with long-term outdoor exposure without an additional backcap or edge frame. A “barrier stack” or “barrier layer” of inorganic moisture-barrier and chemical-barrier layers is applied to the back of the photovoltaic functional film stack, extending into a bare-substrate border zone around the functional stack edges. The barrier stack shields the functional stack from moisture and chemical invasion, and the coated border zone effectively seals the vulnerable edges of the functional stack. An “envelope stack” or “envelope layer” of thicker polymer films is applied over the mechanically delicate inorganic barrier stack and around the solar photovoltaic panel edges. The envelope stack electrically insulates the solar photovoltaic panel and substantially protects the panel back and sides from mechanical shock, stress, and abrasion, thermal stress, fire, weathering, and UV-exposure degradation. | 06-04-2009 |
20090139568 | Crystalline Solar Cell Metallization Methods - Embodiments of the invention contemplate formation of a low cost solar cell using novel methods and apparatus to form a metal contact structure. The method generally uses a conductive contact layer that enables formation of a good electrical contact to the solar cell device. In one case, the contact layer is a nickel containing layer. Various deposition techniques may be used to form the metal contact structure. | 06-04-2009 |
20090139569 | Method of manufacturing photoelectric conversion device, and photoelectric conversion device - Provided is a method of manufacturing a photoelectric conversion device capable of maintaining a durability and improving initial characteristics. A dye-sensitized photoelectric conversion device including a working electrode and a facing electrode, and an electrolyte inclusion is manufactured. First, a facing electrode in which a dye is carried by a metal oxide semiconductor layer having a porous structure, and a facing electrode are manufactured. Next, the working electrode and the facing electrode are stuck together so as to have a predetermined space in between. A low-viscosity liquid is injected between the working electrode and the facing electrode and impregnated into the porous structure. Then, the high-viscosity material is injected and the electrolyte is adjusted so as to form the electrolyte inclusion. Even if the viscosity of the electrolyte is high, an electrolytic salt is quickly dispersed into the porous structure. | 06-04-2009 |
20090139570 | SOLAR CELL AND A MANUFACTURING METHOD OF THE SOLAR CELL - The manufacturing method of the solar cell according to the present invention includes: 1) a first etching process in which an anisotropic etching is performed on an inner wall of each of a plurality of through holes, and 2) a second etching process in which an anisotropic etching is performed on a light-receiving surface. In the first etching process, a high concentration NaOH water solution (about 5% by weight) is used. Meanwhile, in the second etching process, a low concentration (about 1.5% by weight) NaOH water solution is used. | 06-04-2009 |
20090145476 | ISOELECTRONIC SURFACTANT SUPPRESSION OF THREADING DISLOCATIONS IN METAMORPHIC EPITAXIAL LAYERS - A method of reducing propagation of threading dislocations into active areas of an optoelectronic device having a III-V material system includes growing a metamorphic buffer region in the presence of an isoelectronic surfactant. A first buffer layer may be lattice matched to an adjacent substrate and a second buffer layer may be lattice matched to device layers disposed upon the second buffer layer. Moreover, multiple metamorphic buffer layers fabricated in this manner may be used in a single given device allowing multiple layers to have their band gaps and lattice constants independently selected from those of the rest of the device. | 06-11-2009 |
20090145477 | SOLAR CELL - There is provided a solar cell including: a substrate; and an energy absorption structure formed on the substrate, the energy absorption structure including a metal layer, a semiconductor layer and an insulator formed therebetween, wherein at least one of the metal layer, the semiconductor layer and the insulator is formed of a plurality of nanowire structures. The solar cell has the energy absorption structure formed of a nanowire MIS junction structure to ensure high photoelectric conversion efficiency. Further, the solar cell does not require an epitaxial growth, thereby free from drawbacks of an epitaxial layer such as crystal defects. | 06-11-2009 |
20090145478 | SURFACE PROTECTIVE SHEET FOR SOLAR CELL AND SOLAR CELL MODULE - The present invention is a surface protective sheet for a solar cell including a polyethylene naphthalate film and an inorganic oxide film formed on one surface of the polyethylene naphthalate film, in which the absorbance of light having a wavelength from 350 nm to 400 nm is from 1% to 20% or the absorbance of light having a wavelength of 380 nm is from 1% to 20%, and a solar cell module using the same. | 06-11-2009 |
20090145479 | Shaped Tab Conductors for a Photovoltaic Cell - A shaped tab conductor configured to allow more incident light to strike a cell substrate, improving the photovoltaic efficiency of the cell. The shaped tab conductor is configured to reduce the amount of incident light that is blocked by the tab from reaching the surface of the cell substrate. The tab may also be configured to redirect light reflected from the cell surface back to the cell surface. The cross-section of the tab conductor may be polygonal, such as a rhombus, with at least one generally planar surface that forms an acute angle with the substrate. | 06-11-2009 |
20090151783 | TRANSLUCENT SOLAR CELL AND MANUFACTURING METHOD THEREOF - The present invention provides a translucent solar cell and a manufacturing method thereof. The translucent solar cell comprises, in stacking order, a substrate, a first electrode layer, a photoconductive layer and a second electrode layer. The translucent solar cell is characterized in that there are formed a plurality of first light-transmissive apertures on the second electrode layer and the plurality of first light-transmissive apertures are further extended in a depth direction to the photoconductive layer to form a plurality of second light-transmissive apertures corresponding to the first light-transmissive apertures. A projected area of each of the second light-transmissive apertures is equal to or smaller than that of a corresponding first light-transmissive aperture. | 06-18-2009 |
20090151784 | Anti-Reflective Coating With High Optical Absorption Layer For Backside Contact Solar Cells - A multilayer anti-reflection structure for a backside contact solar cell. The anti-reflection structure may be formed on a front side of the backside contact solar cell. The anti-reflection structure may include a passivation level, a high optical absorption layer over the passivation level, and a low optical absorption layer over the high optical absorption layer. The passivation level may include silicon dioxide thermally-grown on a textured surface of the solar cell substrate, which may be an N-type silicon substrate. The high optical absorption layer may be configured to block at least 10% of UV radiation coming into the substrate. The high optical absorption layer may comprise high-k silicon nitride and the low optical absorption layer may comprise low-k silicon nitride. | 06-18-2009 |
20090159121 | CONDUCTIVE NANOPARTICLE INKS AND PASTES AND APPLICATIONS USING THE SAME - A method of fabricating a device, comprising a ink or paste on a silicon based semiconductor material, wherein the ink or paste comprises a mixture of inorganic conductive and additive nanoparticles and wherein the semiconductor material is silicon. An example is a mixture of silver and palladium nanoparticles. | 06-25-2009 |
20090159122 | Leadframe Receiver Package - The invention is a leadframe receiver package comprising a first conductive element, a solar cell electrically coupled to the first conductive element and comprising an active area, and a mold compound disposed on the leadframe and the solar cell. The mold compound defines a first aperture wall over at least a portion of the active area and a second aperture wall over at least a portion of the first conductive element. The mold compound includes a reflective surface to improve heat resistance around an aperture wall receiving solar radiation. | 06-25-2009 |
20090159123 | MULTIJUNCTION PHOTOVOLTAIC CELLS - A plurality of dichroic filters are included in multifunction photovoltaic cells to increase efficiency. For example, in a multi-junction photovoltaic cell comprising blue, green, and red active layers, blue, green, and red dichroic filters that reflect blue, green, and red light, respectively, may be disposed proximal to the blue, green, and red active layers to reflect back light not absorbed on the first past. The dichroic filters may be used to demultiplex white light incident on the PV cell and deliver suitable wavelengths to the appropriate active layer, e.g., blue wavelengths to the blue active layer, green wavelengths to the green active layer, red wavelengths to the red active layer. The PV cell may additionally be interferometrically tuned to increase absorption efficiency. Accordingly, optical resonant layers and cavities may be employed in certain embodiments. | 06-25-2009 |
20090159124 | SOLAR CELL HYPERPOLARIZABLE ABSORBER - A solar cell may include a light sensitive molecule such as a hyperpolarizable molecule. In one example, a solar cell may include a layer of hyperpolarizable molecules disposed between a p-type electrode and an n-type electrode. In some cases, at least some of the hyperpolarizable molecules may include an electron donating group that is bonded or otherwise linked to the n-type electrode as well as an electron accepting group that is bonded or otherwise linked to the p-type electrode. In some instances, at least some of the hyperpolarizable molecules may include an electron donating group that is bonded or otherwise linked to the p-type electrode as well as an electron accepting group that is bonded or otherwise linked to the n-type electrode. | 06-25-2009 |
20090165845 | BACK CONTACT MODULE FOR SOLAR CELL - A back contact module for a solar cell is provided. The back contact module includes a transparent conductive layer, a plurality of nano-sized scatters in the transparent conductive layer, and a metal layer on the transparent conductive layer. | 07-02-2009 |
20090165846 | TRIPLET EMITTER HAVING CONDENSED FIVE-MEMBERED RINGS - The present invention relates to light emitting compounds, especially to triplett emitters suitable for electrooptical applications. Compounds according to the invention are organometallic complexes of a metal, preferably Ir, having a backbone of one five-membered ring that is linked to a five- or six-membered ring, by an intermediate six-membered ring. These compounds are suitable for adaptation to the emission of light in the UV to NIR range by adaptation of atoms or groups within at least one of the five-membered or six-membered ring structures. | 07-02-2009 |
20090165847 | Sealing Material for Solar Battery, Sheet for Sealing Solar Battery, and Solar Battery Module Using the Same - [Task] To provide a solar battery sealing material obtained by using an olefin-based (co)polymer, which is excellent in flexibility, stress-absorbing property, transparency, and impact-resistant strength at low temperature, and with which the productivity is improved by omitting a cross-linking treatment if necessary. | 07-02-2009 |
20090165848 | Quinacridine Derivatives and Organic Electronic Devices Using the Same - The present invention relates to a novel quinacridine derivative and an organic electronic device using the same. | 07-02-2009 |
20090165849 | TRANSPARENT SOLAR CELL MODULE - A transparent solar cell module including a transparent solar cell and an optical filter is provided. The transparent solar cell includes a transparent substrate and a transparent solar cell part located on a first surface of the transparen substrate. The optical filter is located on the transparent solar cell. | 07-02-2009 |
20090165850 | TRANSPARENT CONDUCTIVE FILM AND SOLAR CELL USING THE SAME - The transparent conductive film | 07-02-2009 |
20090165851 | SOLAR CELL MODULE AND METHOD FOR MANUFACTURING SOLAR CELL MODULE - In the solar cell element | 07-02-2009 |
20090165852 | NANOPHOTOVOLTAIC DEVICES - The present invention provides nanophotovoltaic devices having sizes in a range of about 50 nm to about 5 microns, and method of their fabrication. In some embodiments, the nanophotovoltaic device includes a semiconductor core, e.g., formed of silicon, sandwiched between two metallic layers, one of which forms a Schottky barrier junction with the semiconductor core and the other forms an ohmic contact therewith. In other embodiment, the nanophotovoltaic device includes a semiconductor core comprising a p-n junction that is sandwiched between two metallic layers forming ohmic contacts with the core. | 07-02-2009 |
20090173378 | Charge Separation Polymers - The invention provides a photovoltaic cell comprising a photovoltaic layer comprising a conjugated polymer comprising monomer units of the formula (I) wherein X, A, B, a and b are as defined herein. The invention further provides the use of a conjugated polymer comprising monomer units of formula (I) as a photovoltaic material in a photovoltaic cell. | 07-09-2009 |
20090173379 | SOLAR CELL HAVING IMPROVED ELECTRODE STRUCTURE - A solar cell having an improved electrode structure includes a semiconductor substrate of a first conductive type, a first electrode, an emitter portion of a second conductive type, and a second electrode. The semiconductor substrate has first and second surfaces opposite to each other. The first electrode is electrically connected to the first surface of the semiconductor substrate. The emitter portion is formed adjacent to the second surface of the semiconductor substrate. The second electrode is electrically connected to the emitter portion. The first electrode includes a first electrode portion partially formed on the first surface of the semiconductor substrate and a second electrode portion formed on the first surface of the semiconductor substrate to cover the first electrode portion. | 07-09-2009 |
20090173380 | BENZOINDOLE-BASED COMPOUND AND DYE-SENSITIZED SOLAR CELL USING THE SAME - A benzoindole-based compound represented by Formula 1 below, a dye including the benzoindole-based compound, and a dye-sensitized solar cell including the dye: | 07-09-2009 |
20090173381 | GEL TYPE ELECTROLYTE FOR DYE SENSITIZED SOLAR CELL, METHOD OF PREPARING THE SAME, AND SOLAR CELL INCLUDING THE GEL TYPE ELECTROLYTE - A gel type electrolyte for a dye-sensitized solar cell including: phosphor particles or phosphor particles with metal oxide particles; a redox couple; and an organic solvent, a method of preparing the same, and a solar cell including the gel type electrolyte, which provide for a dye-sensitized solar cell that has long-term stability, excellent photoavailability, and high ionic conductivity. | 07-09-2009 |
20090173382 | SOLAR CELL MODULE - In a solar cell module, multiple photovoltaic elements, a bonding layer | 07-09-2009 |
20090173383 | TITANIUM OXIDE-BASED SOL-GEL POLYMER - The invention relates to a titanium oxide-based polymer composition. The inventive composition comprises a TiO | 07-09-2009 |
20090183768 | TRANSPARENT CONDUCTORS FOR SILICON SOLAR CELLS - A photovoltaic device is provided in which a contact structure is formed having a plurality of heavily doped semi-conductor channels formed on a surface of a region to be contacted. The heavily doped semiconductor channels are of the same dopant polarity as the region to be contacted, and form lateral conduction paths across the surface of the region to be contacted. Contact metallisation comprising conductive fingers are formed over the surface of the region to be contacted, and each conductive finger crosses at least some of the heavily doped channels to make electrical contact therewith. The contact structure is formed by forming a layer of dopant source material over the surface to be contacted, and laser doping heavily doped channels in the surface to be contacted. The contact metallisation is then formed as conductive fingers formed over the surface to be contacted and may be screen printed, metal plated or may be formed as buried contacts. | 07-23-2009 |
20090183769 | Solar Cell Having Nanostructure and Method for Preparing the Same - The present invention discloses a solar cell having a multi-layered nanostructure that is used to generate, transport, and collect electric charges. The multi-layered nanostructure comprises a cathode, a hole-blocking layer, a photo-active layer, and an anode. The hole-blocking layer is made of the material selected from the group consisting of the following: inorganic semiconducting material, metal oxide material and mixture of inorganic and metal oxide materials. The photo-active layer comprises a porous body and a conjugated polymer filler. The porous body is used as an electron acceptor while the conjugate polymer filler is as an electron donor. The conjugated polymer filler is formed in the pores of the porous body by in-situ polymerization. In addition, the invention discloses a method for preparing the solar cell having a multi-layered nanostructure. | 07-23-2009 |
20090183770 | CARBON NANOTUBE PATTERNING ON A METAL SUBSTRATE - A CNT electron source, a method of manufacturing a CNT electron source, and a solar cell utilizing a CNT patterned sculptured substrate are disclosed. Embodiments utilize a metal substrate which enables CNTs to be grown directly from the substrate. An inhibitor may be applied to the metal substrate to inhibit growth of CNTs from the metal substrate. The inhibitor may be precisely applied to the metal substrate in any pattern, thereby enabling the positioning of the CNT groupings to be more precisely controlled. The surface roughness of the metal substrate may be varied to control the density of the CNTs within each CNT grouping. Further, an absorber layer and an acceptor layer may be applied to the CNT electron source to form a solar cell, where a voltage potential may be generated between the acceptor layer and the metal substrate in response to sunlight exposure. | 07-23-2009 |
20090188553 | Methods of fabricating solar-cell structures and resulting solar-cell structures - Embodiments of the invention relate to methods of fabricating solar-cell structures and resulting solar-cell structures. In one embodiment of a method of fabricating a solar-cell structure, a substrate including a front surface and an opposing back surface is provided. A porous-silicon layer may be electrochemically formed from a portion of the substrate that extends inwardly from the front surface. A portion of the porous-silicon layer may be electrochemically passivated. Metallic material may be plated to form at least a portion of each of a plurality of electrical contacts that are in electrical contact with the substrate. In a method according to another embodiment of the invention, the porous-silicon layer may used to getter impurities present in the substrate. In such an embodiment, the porous-silicon layer may be removed after gettering. | 07-30-2009 |
20090188554 | III-V Compound Semiconductor Solar Cell for Terrestrial Solar Array - A concentrator photovoltaic solar cell array for terrestrial use for generating electrical power from solar radiation including a multifunction III-V compound semiconductor solar cell with material composition and bandgaps to maximize absorption in the AM1.5 spectral region, and a thickness of one micron or greater so as to be able to produce in excess of 15 watts of DC power with conversion efficiency in excess of 37%. The aggregate surface area of the grid pattern covers approximately 2 to 5% of the top cell. | 07-30-2009 |
20090188555 | Conductive Inks With Metallo-Organic Modifiers - A conductive ink having a glass frit, an organic medium a conductive species and one or more metallo-organic components which form metal oxides upon firing and reduce series resistance to a same or greater degree a ink that do not include metallo-organic components, is provided. Embodiments of conductive ink include metallo-organic components that include a bismuth metallo-organic component and glass frits comprising one or more of bismuth oxide, silica, boron oxide, tellurium dioxide, and combinations thereof. Embodiments of photovoltaic cells with an anti-reflection coating, gridlines formed from conductive ink incorporating one or more metallo-organic components, are also provided. | 07-30-2009 |
20090188556 | Conductive inks - A conductive ink substantially free of frit and photovoltaic cells having conductive gridlines formed from a conductive ink substantially free of glass frit are described. Conductive inks according embodiments of the present invention are adapted to adhere to the surface of a substrate and, upon firing, form a solid metal oxide phase and cause a conductive species to form an electrical conductor on the substrate. In further embodiments, the conductive ink is capable of penetrating anti-reflection coatings disposed on surfaces of substrates. In accordance with one or more embodiments, the conductive inks include a plurality of metallo-organic components which form a solid metal oxide phase upon firing and a conductive species. In other embodiments, the conductive inks include a plurality of precursors, including one or more precursors which form conductive elements upon firing or heating. | 07-30-2009 |
20090188557 | Photonic Device And Method Of Making Same Using Nanowire Bramble Layer - A photonic device and a method of making the device employ a bramble layer of nanowires having an uneven contour. The photonic device and the method include a first layer of a microcrystalline material provided on a substrate surface and a bramble layer of nanowires formed on the first layer. The photonic device and the method further include a second layer provided on the bramble layer. The nanowires have first ends integral to crystallites in the microcrystalline first layer and second ends opposite to the first ends. Different angular orientations of the nanowires provide the uneven contour of the bramble layer. The second layer has an uneven surface corresponding to the uneven contour of the bramble layer. | 07-30-2009 |
20090188558 | PHOTOVOLTAIC DEVICES HAVING METAL OXIDE ELECTRON-TRANSPORT LAYERS - Optoelectronic devices in both traditional and inverted configurations are provided that include an electron-transport layer. The electron-transport layer includes a metal oxide layer and a monolayer. Methods for making and using the devices are also provided. | 07-30-2009 |
20090188559 | ULTRAVIOLET CURED COATING SYSTEM - The present invention is directed to ultraviolet cured coating compositions. More specifically, the present invention is directed to urethane/acrylic coating compositions that contain additives for the absorption of ultraviolet radiation and the reflection of infrared radiation and which are UV cured. | 07-30-2009 |
20090194155 | Front electrode having etched surface for use in photovoltaic device and method of making same - Certain example embodiments of this invention relate to a photovoltaic (PV) device including an electrode such as a front electrode/contact, and a method of making the same. In certain example embodiments, the front electrode has a textured (e.g., etched) surface that faces the photovoltaic semiconductor film of the PV device. In certain example embodiments, the front electrode is formed on a flat or substantially flat (non-textured) surface of a glass substrate (e.g., via sputtering), and the surface of the front electrode is textured (e.g., via etching). In completing manufacture of the PV device, the etched surface of the front electrode faces the active semiconductor film of the PV device. | 08-06-2009 |
20090194156 | DUAL SEAL PHOTOVOLTAIC GLAZING ASSEMBLY AND METHOD - A photovoltaic glazing assembly including first and second substrates joined together and spaced apart, on either side of an airspace, by a seal system formed of a first seal and a second seal. A photovoltaic functional coating is disposed over a second major surface of one of the substrates, which faces the second major surface of the other substrate. Lead wires are coupled to bus bars and/or electrical contacts affixed to the functional coating and routed out from the airspace. Affixing the seal system to the first and second substrates, in order to join the substrates together, may be accomplished by applying pressure to the substrates. | 08-06-2009 |
20090194157 | Front electrode having etched surface for use in photovoltaic device and method of making same - Certain example embodiments of this invention relate to a photovoltaic (PV) device including an electrode such as a front electrode/contact, and a method of making the same. In certain example embodiments, the front electrode has a textured (e.g., etched) surface that faces the photovoltaic semiconductor film of the PV device. In certain example embodiments, the front electrode is formed on a flat or substantially flat (non-textured) surface of a glass substrate (e.g., via sputtering), and the surface of the front electrode is textured (e.g., via etching). In certain example embodiments, a combination of two or more different etchants can be used in order to provide the front electrode with a textured surface having at least two different feature sizes. In completing manufacture of the PV device, the etched surface of the front electrode faces the active semiconductor film of the PV device. | 08-06-2009 |
20090194158 | PHOTOELECTRIC CONVERSION MATERIAL CONTAINING FULLERENE DERIVATIVE - The present invention provides a photoelectric conversion material comprising a fullerene derivative represented by the formula C | 08-06-2009 |
20090194159 | DYE-SENSITIZED SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - Provided is a dye-sensitized solar cell. Specifically, the present invention provides a dye-sensitized solar cell which is designed to reduce the production cost, improve productivity and increase energy efficiency by using a carbon electrode as a counter electrode, and a manufacturing method thereof. The dye-sensitized solar cell according to the present invention is characterized by comprising a working electrode, a counter electrode, and an electrolytic layer separating the two electrodes, wherein the counter electrode comprises a carbon electrode formed on a first transparent substrate, wherein the carbon electrode is a conductive transparent carbon electrode. According to the present invention, it is possible to remarkably reduce the production cost by using a relatively low-cost material, i.e. carbon electrode, and improve the solar cell efficiency by preventing oxidation with the electrolytic layer owing to corrosion and oxidation resistance of the carbon electrode. | 08-06-2009 |
20090194160 | THIN-FILM PHOTOVOLTAIC DEVICES AND RELATED MANUFACTURING METHODS - Described herein are thin-film photovoltaic devices and related manufacturing methods. In one embodiment, a photovoltaic device includes: (1) a structured substrate including an array of structure features; (2) a first electrode layer disposed adjacent to the structured substrate and shaped so as to substantially conform to the array of structure features; (3) an active layer disposed adjacent to the first electrode layer and shaped so as to substantially conform to the first electrode layer, the active layer including a set of photoactive materials; and (4) a second electrode layer disposed adjacent to the active layer and shaped so that the first electrode layer and the second electrode layer have an interlo | 08-06-2009 |
20090194161 | SOLAR CELL HAVING MULTIPLE TRANSPARENT CONDUCTIVE LAYERS AND MANUFACTURING METHOD THEREOF - The present invention provides a solar cell that increases a rate of sunlight absorbed into a photoelectric conversion layer by forming a transparent conductive layer into a plurality of layers having different oxygen contents and different light absorbing coefficients and a manufacturing method thereof. The solar cell of the present invention includes a substrate, a transparent conductive layer, and a photoelectric conversion layer, wherein the transparent conductive layer comprises a first layer having a first light absorbing coefficient; and a second layer that is formed on the first layer and has a second light absorbing coefficient higher than the first light absorbing coefficient. | 08-06-2009 |
20090199897 | GLASS COMPOSITION AND ITS APPLICATIONS - A glass composition substantially free from lead and bismuth and containing vanadium oxide and phosphor oxide as main ingredients, wherein the sintered glass of the glass composition exhibits 10 | 08-13-2009 |
20090199898 | SOLAR CELL AND METHOD OF TEXTURING SOLAR CELL - A solar cell and a method of texturing a solar cell are disclosed. The method includes coating an ink containing metal particles on a surface of a substrate, drying the ink to attach the metal particles to the surface of the substrate, and differentially etching the surface of the substrate using the metal particles as a catalyst to form an uneven portion. | 08-13-2009 |
20090205708 | REDUCED TRANSMITTANCE PHOTOVOLTAIC CONVERSION DEVICE FOR HIGH SPECTRAL IRRADIANCE - A device (D), dedicated to photovoltaic conversion under high spectral irradiance has: i) a photovoltaic cell (CP) having a lower face provided with a conductive layer (CC) and an upper face (FSC) provided with a carrier collection grid (G). The device also has ii) at least one protective screen (EP) made of glass placed above the cell (CP) and limitation means (SC) responsible, when the device (D) is placed under strong incident radiation of a known spectrum, for limiting the access of a part of this incident radiation to the cell (CP) so as to reduce its thermal heating. | 08-20-2009 |
20090205709 | Thin film type solar cell and method for manufacturing the same - A thin film type solar cell and a method for manufacturing the same is disclosed, the thin film type solar cell comprising a front electrode formed on a substrate; a semiconductor layer formed on the front electrode; a transparent conductive layer formed on the semiconductor layer; a rear electrode formed over the transparent conductive layer; and a buffer layer, formed between the transparent conductive layer and the rear electrode, for reducing an electric resistance of the rear electrode and enhancing an adhesive strength between the transparent conductive layer and the rear electrode. | 08-20-2009 |
20090205710 | Thin film type solar cell and method for manufacturing the same - A thin film type solar cell and a method for manufacturing the same is disclosed, which is capable of realizing the improved efficiency in the solar cell with a decreased dead zone, wherein the method comprises forming a plurality of front electrodes on a substrate, wherein the plurality of front electrodes are formed at fixed intervals by each first separating portion interposed in-between; forming a semiconductor layer and transparent conductive layer on an entire surface of the substrate including the front electrodes; forming a contact portion being in contact with the first separating portion by removing predetermined portions of the semiconductor layer and transparent conductive layer; forming a second separating portion by removing a predetermined portion of the transparent conductive layer; and forming a rear electrode connected with the front electrode through the contact portion. | 08-20-2009 |
20090211628 | Rear contact solar cell and method for making same - The invention concerns a solar cell ( | 08-27-2009 |
20090211629 | Photovoltaic devices having nanoparticle dipoles for enhanced performance and methods for making same - A photovoltaic device has nanoparticles sandwiched between a conductive substrate and a charge selective transport layer. Each of the nanoparticles has a ligand shell attached to the nanoparticle core. A first type of ligand is electron rich and attached to one hemisphere of the nanoparticle core, while a second type of ligand is electron poor and attached to an opposite hemisphere of the core. Consequently, the ligand shell induces an electric field within the nanoparticle, enhancing the photovoltaic effect. The arrangement of ligands types on different sides of the nanoparticle is obtained by a process involving ligand substitution after adhering the nanoparticles to the conductive substrate. | 08-27-2009 |
20090211630 | DYE-SENSITIZED SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - Provided are a dye-sensitized solar cell and a method of manufacturing the same. The dye-sensitized solar cell includes a semiconductor electrode and a counter electrode that face each other, and an electrolytic solution interposed therebetween, wherein the semiconductor electrode includes: a conductive substrate; an oxide semiconductor-conductor structure formed on the conductive substrate; and dye molecules layer adsorbed onto the surface of the oxide semiconductor. A dye-sensitized solar cell manufactured using the method can effectively prevent electrons transferred to the conductor and an electrolyte from recombining, thus having maximal photoelectron conversion efficiency. | 08-27-2009 |
20090211631 | PHOTOLUMINESCENT BACKING SHEET FOR PHOTOVOLTAIC MODULES - The present invention provides a protective backing sheet for photovoltaic modules. The backing sheets are capable of absorbing a wide range of solar wavelengths (UV, IR and visible) and re-emitting the absorbed solar radiation as a photons wherein the energy is at or greater than the band gap energy of corresponding semiconductor. The backing sheet can be used in a variety of applications including in photovoltaic devices. | 08-27-2009 |
20090211632 | PHOTOVOLTAIC DEVICE BASED ON CONFORMAL COATING OF COLUMNAR STRUCTURES - A photovoltaic device, comprises a first electrode, an electron donor layer in electrical contact with the first electrode, an electron acceptor layer in contact with the electron donor layer across an interface having a shape defined by a columnar structure grown by oblique angle deposition, and a second electrode in electrical contact with the electron acceptor layer. | 08-27-2009 |
20090211633 | Tandem Photovoltaic Cells - Tandem photovoltaic cells, as well as related systems, methods, and components, are disclosed. | 08-27-2009 |
20090211634 | QUANTUM DOT SOLAR CELL - A solar cell may include a quantum dot and an electron conductor. A bifunctional ligand may be disposed between the quantum dot and the electron conductor. The ligand molecule may include an electron conductor anchor that bonds to the electron conductor and a first quantum dot anchor that bonds to the quantum dot. A hole conductor such as a conductive polymer may include a second quantum dot anchor. | 08-27-2009 |
20090217973 | Nanocrystal Solar Cells Processed From Solution - A photovoltaic device having a first electrode layer, a high resistivity transparent film disposed on the first electrode, a second electrode layer, and an inorganic photoactive layer disposed between the first and second electrode layers, wherein the inorganic photoactive layer is disposed in at least partial electrical contact with the high resistivity transparent film, and in at least partial electrical contact with the second electrode. The photoactive layer has a first inorganic material and a second inorganic material different from the first inorganic material, wherein the first and second inorganic materials exhibit a type II band offset energy profile, and wherein the photoactive layer has a first population of nanostructures of a first inorganic material and a second population of nanostructures of a second inorganic material. | 09-03-2009 |
20090217974 | Organic active-layer solution for polymer solar cell and method for preparing the same - An organic active-layer solution for a polymer solar cell and a method for preparing the same are provided, wherein the organic active-layer solution comprises an organic active-layer material, a first organic solvent and a second organic solvent. The first organic solvent has a boiling point ranging from 50° C. to 200° C. while the second organic solvent has a boiling point ranging from 150° C. to 300° C. The second organic solvent is added into the first organic solvent to dissolve the organic active-layer material. As the second organic solvent has a higher boiling point (a lower evaporation speed), it can directly lower an evaporation speed of the organic active-layer solution, thereby simplifying a manufacturing process of the polymer solar cell and increasing a power conversion efficiency of the polymer solar cell. | 09-03-2009 |
20090217975 | Glassless Solar Power Module Comprising at Least One Flexible Thin-Film Solar Cell and Method for Producing the Same - The invention relates to thin-film solar power modules. The problem associated with known thin-film solar power modules is that the barrier effect of the front film does not prevent moisture from permeating the space between the solar cell and the cover film when exposed to moisture over a longer period of time. In order to solve this problem, the solar module comprises, starting from the solar cell ( | 09-03-2009 |
20090217976 | Solar cell with integrated thermally conductive and electrically insulating substrate - A solar cell package and processes for creating a solar cell package are disclosed. The solar cell includes an electrically insulating and thermally conductive first layer, an electrically conductive second layer attached to the first layer, and a solar cell attached to the second layer. The first layer surface and a solar cell surface have substantially the same surface area. | 09-03-2009 |
20090217977 | Photonic crystal architectures for frequency- and angle-selective thermal emitters - A photonic-crystal based frequency- and angle-selective absorber for solar TPV systems is provided. The solar radiation absorber includes at least one photonic crystal with absorptivity over a broad range of frequencies, improved absorptivity within a selected solid angle, and reduced absorptivity outside the selected solid angle. | 09-03-2009 |
20090217978 | Low iron transmission float glass for solar cell applications and method of making same - Certain example embodiments of this invention relate to a high transmission low iron glass, which is highly oxidized and made using the float process, for use in photovoltaic devices such as solar cells or the like. In certain example embodiments, the glass composition used for the glass is made via the float process using an extremely high and positive batch redox in order to reduce % FeO to a low level and permit the glass to consistently realize a combination of high visible transmission (Lta or T | 09-03-2009 |
20090235979 | INTERCONNECT ASSEMBLY - An interconnect assembly. The interconnect assembly includes a trace that includes a plurality of electrically conductive portions. The plurality of electrically conductive portions is configured both to collect current from a first solar cell and to interconnect electrically to a second solar cell. In addition, the plurality of electrically conductive portions is configured such that solar-cell efficiency is substantially undiminished in an event that any one of the plurality of electrically conductive portions is conductively impaired. | 09-24-2009 |
20090235980 | SOLAR CELL MANUFACTURING METHOD AND SOLAR CELL - An aspect of the invention provides a solar cell manufacturing method that comprises the steps of: forming a porous layer, having a plurality of pores, on a photoelectric conversion body configured to generate photo-generated carriers upon receipt of light; and forming an electrode by disposing a conductive material on the porous layer, the conductive material infiltrating the porous layer to thereby make contact with the photoelectric conversion body. | 09-24-2009 |
20090235981 | BORON NITRIDE ANTI-REFLECTION COATINGS AND METHODS - High performance photovoltaic devices are provided. Certain embodiments relate to the use of Boron-Nitride (BN) thin films as anti-reflection coating (ARC) material on Si and GaAs solar cells. A low and wide reflectance window covering a large energy range of the solar spectrum is available. For a large part of the useful solar spectrum, the index of refraction of the grown BN thin films remains constant at about 2.8. In another embodiment, a BN ARC is applied directly on ordinary window glass providing the device's mechanical strength. | 09-24-2009 |
20090235982 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element includes: a first electrode having a porous oxide semiconductor layer which supports a sensitizing dye on a surface thereof and functioning as a photo electrode; a second electrode disposed so as to oppose the first electrode; an electrolyte disposed in at least a part of a space between the first electrode and the second electrode; and a sump portion for the electrolyte disposed in at least a part of the space between the first electrode and the second electrode. According to the present invention, air bubbles can be exhausted effectively from power generating area, so that a photoelectric conversion element possessing an improved power generation property and a long-term durability can be provided. | 09-24-2009 |
20090242021 | SOLAR CELL WITH COLORIZATION LAYER - Colorization of a solar cell is achieved by modifying a layer proximate an active layer of the solar cell. The color attribute may be obtained by selecting one or more narrow bands of wavelengths in the visible color spectrum to be reflected from the surface of the solar cell unit that results in a specific color or combination of colors at various angles. The spectrum of light reflected from the active solar cell area is controlled through the use of filters that reflect only limited portions of the spectrum, thereby minimizing the effect of reflected light on the overall efficiency of the solar cell. | 10-01-2009 |
20090242022 | Solar Cell - A flexible solar cell is achieved which has a high photoelectric conversion efficiency and no aged deterioration. A cell | 10-01-2009 |
20090242023 | SYSTEM AND METHOD FOR PRODUCING A SOLAR CELL ARRAY - A method for soldering at least one substantially large terminal of a high power electrical component to a substantially large area contact surface includes depositing soldering material on the substantially large area contact surface according to a protruding pattern and placing the at least one substantially large terminal on the deposited soldering material. The at least one substantially large terminal, the soldering material and the substantially large area contact surface are heated according to a predetermined heating profile. The protruding pattern defines a plurality of passages leading toward the perimeter of the substantially large contact surface. The area of the at least one terminal substantially overlaps with a portion of the substantially large area contact surface, and the passages provide discharge of gas, entrapped between the soldering material and the at least one substantially large terminal, toward the perimeter, to produce a substantially void free solid soldering material. | 10-01-2009 |
20090242024 | PHOTOVOLTAICS WITH INTERFEROMETRIC BACK SIDE MASKS - An interferometric mask covers reflective conductors on the back side of a photovoltaic device. Such an interferometric mask may reduce reflections of incident light from the conductors. In various embodiments, the mask reduces reflections, so that a front and back electrode pattern appears black or similar in color to surrounding features of the device. In other embodiments, the mask may modulate reflections of light such that the electrode pattern matches a color in the visible spectrum. | 10-01-2009 |
20090242025 | Thin film type solar cell, and method for manufacturing the same - A thin film type solar cell and a method for manufacturing the same is disclosed, wherein the thin film type solar cell comprises a substrate; a plurality of front electrodes formed on the substrate at fixed intervals by each first separating channel interposed in-between; a semiconductor layer formed on the front electrodes, the semiconductor layer having a contact portion therein; and a plurality of rear electrodes formed at fixed intervals by each second separating channel interposed in-between, and electrically connected with the front electrode through the contact portion, wherein the rear electrode is comprised of a first rear electrode and a plurality of second rear electrodes branching from the first rear electrode, wherein the first rear electrode is formed along a first direction, and the plurality of second rear electrodes extend from the first rear electrode and are arranged at a second direction which is different from the first direction, so that it is possible to obtain a predetermined visible range by transmitting the solar ray through the portion between each of the second rear electrodes. | 10-01-2009 |
20090242026 | SEAL FILM FOR SOLAR CELL MODULE AND SOLAR CELL MODULE UTILIZING THE SAME - A seal film for solar cell module includes a resin film layer including a biaxially oriented film layer formed of a resin composition containing poly-p-phenylene sulfide as a major component; and a gas barrier layer formed of at least one selected from the group consisting of a metal, a metal oxide, an inorganic compound, and an organic compound. In the seal film for solar cell module, longitudinal and width direction heat shrink ratios at 150° C. of the seal film for solar cell module both fall within a range of −2.0% to +2.0%, and an absolute value of a difference between the longitudinal and width direction heat shrink ratios at 150° C. is 2.0% or less. | 10-01-2009 |
20090250103 | Aluminum paste composition and solar cell element using the same - Provided are an aluminum paste composition capable of inhibiting formation of blisters and globules of aluminum in a back surface electrode layer, which is caused at the time of firing, of reducing bow of a silicon semiconductor substrate even when a thinner silicon semiconductor substrate is used, and of attaining a high BSF effect and a high energy conversion efficiency; and a solar cell element comprising an electrode formed by using the composition. The aluminum paste composition is a paste composition for forming an electrode ( | 10-08-2009 |
20090250104 | Dye-Sensitized Photoelectric Conversion Device and Method for Manufacturing Same - Disclosed is a photoelectric conversion device comprising a first conductive support having a layer containing a semiconductor, a second conductive support arranged opposite to the first conductive support and having a counter electrode, and a charge transfer layer interposed between the first conductive support and the second conductive support at a certain distance from the supports, and a sealing agent which is arranged around the charge transfer layer in the form of a single or more than single layer for bonding the first conductive support and the second conductive support together. | 10-08-2009 |
20090250105 | THIN FILM METAL OXIDE BEARING SEMICONDUCTOR MATERIAL FOR SINGLE JUNCTION SOLAR CELL DEVICES - A structure for a single junction solar cell. The structure includes a substrate member having a surface region. The structure includes a first electrode structure overlying the surface region of the substrate member. A P absorber layer is formed overlying the first electrode structure. In a specific embodiment, the P absorber layer has a P | 10-08-2009 |
20090250106 | COMPOSITION FOR MANUFACTURING ELECTRODE OF SOLAR CELL, METHOD OF MANUFACTURING SAME ELECTRODE, AND SOLAR CELL USING ELECTRODE OBTAINED BY SAME METHOD - A composition for manufacturing an electrode of a solar cell, comprising metal nanoparticles dispersed in a dispersive medium, wherein the metal nanoparticles contain silver nanoparticles of 75 weight % or more, the metal nanoparticles are chemically modified by a protective agent having a main chain of organic molecule comprising a carbon backbone of carbon number of 1 to 3, and the metal nanoparticles contains 70% or more in number-average of metal nanoparticles having a primary grain size within a range of 10 to 50 nm. | 10-08-2009 |
20090250107 | PHOTOVOLTAIC DEVICE - A photovoltaic device includes a substrate, a first electrode and a carbon nanotube structure. The substrate has a front surface and a rear surface. The carbon nanotube structure is disposed on the front surface of the substrate. The first electrode is disposed on the rear surface of the substrate. | 10-08-2009 |
20090250108 | SILICON CARBIDE FOR CRYSTALLINE SILICON SOLAR CELL SURFACE PASSIVATION - Embodiments of the present invention generally provide methods for depositing a silicon carbide (SiC) passivation layer that may act as a high-quality passivation layer for solar cells. Embodiments of the invention also provide methods for depositing a silicon carbide/silicon oxide passivation layer that acts as a high-quality rear surface passivation layer for solar cells. The methods described herein enable the use of deposition systems configured for processing large-area substrates for solar cell processing. According to embodiments of the invention, a SiC passivation layer may be formed with improved minority carrier lifetime measurements. The SiC passivation layer may be formed at a temperature between about 150° C. and 450° C., which is much lower than temperatures for thermal oxide passivation. | 10-08-2009 |
20090250109 | ACRYLIC PRESSURE SENSITIVE ADHESIVE COMPOSITION, DOUBLE COATED ADHESIVE SHEET, AND PHOTOVOLTAIC DEVICE - An acrylic pressure sensitive adhesive composition comprising (A) an acrylic polymer having a carboxyl group and (B) a tetrafunctional epoxy compound, without a substantial amount of a tackifying resin, wherein the acrylic polymer (A) has been prepared by copolymerizing (a) 50% to 80% by weight of butyl acrylate, (b) 5% to 40% by weight of ethyl acrylate, and (c) 7% to 22% by weight of at least one carboxyl group-containing compound selected from the group consisting of acrylic acid, methacrylic acid, itaconic acid, crotonic acid, monobutyl maleate and β-carboxyethyl acrylate; and which has a weight-average molecular weight (Mw) of about 600000 to about 800000 and a glass transition temperature of −35° C. to −10° C., is disclosed. Further, a double-coated adhesive sheet comprising the acrylic pressure sensitive adhesive composition, and a photovoltaic device fabricated, using the double-coated adhesive sheet, is disclosed. | 10-08-2009 |
20090255578 | Plasma-treated photovoltaic devices - A method of manufacturing a thin film photovoltaic device includes depositing a first compound semiconductor layer on a substrate and exposing the device to plasma, the plasma treating the layer. | 10-15-2009 |
20090255579 | Converter of Electromagnetic Radiation - The invention relates to converters of the energy of electromagnetic radiation to electrical energy and may be used in the production of solar photocells. The converter according to the invention contains at least one photosensitive layer that achieves the generation of a photocurrent through the absorption of electromagnetic radiation, as well as collector electrodes. At the same time, the converter also contains metallic nanoparticles, the size of which is on the order of, or less than, the wavelength in the maximum of the spectrum of the incident radiation, that achieve the concentration of the incident radiation in the near-field around the nanoparticles and the generation of a photocurrent through the absorption of said radiation. Intensification of the photocurrent and enhancement of the efficiency of the converter are achieved as a result. | 10-15-2009 |
20090255580 | Quantum dot solar cell with quantum dot bandgap gradients - Efficient photovoltaic devices with quantum dots are provided. Quantum dots have numerous desirable properties that can be used in solar cells, including an easily selected bandgap and Fermi level. In particular, the size and composition of a quantum dot can determine its bandgap and Fermi level. By precise deposition of quantum dots in the active layer of a solar cell, bandgap gradients can be present for efficient sunlight absorption, exciton dissociation, and charge transport. Mismatching Fermi levels are also present between adjacent quantum dots, allowing for built-in electric fields to form and aid in charge transport and the prevention of exciton recombination. | 10-15-2009 |
20090260681 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - The present invention relates to a solar cell and a method for manufacturing the same. More specifically, the present invention provides a silicon solar cell capable of minimizing defects and recombination of electrons-holes by removing a damaged layer formed by a laser edge isolation process to isolate a silicon substrate and covering a protective layer on a surface thereof and a method for manufacturing the same. | 10-22-2009 |
20090260682 | QUANTUM DOT SOLAR CELL - A solar cell is disclosed that includes an electron conductor layer and a quantum dot layer. The quantum dot layer may include a plurality of quantum dots. A bridge layer may be coupled to the electron conductor layer and to the quantum dot layer. The bridge layer may include an antibiotic, a sulfur-containing amino acid, a vitamin, and/or a vitamin analogue. In some cases, a hole conductor layer may be coupled to the quantum dot layer. | 10-22-2009 |
20090260683 | QUANTUM DOT SOLAR CELL - A solar cell including a quantum dot and an electron conductor, with a bifunctional ligand disposed between the quantum dot and the electron conductor. The bifunctional ligand molecule may include an electron conductor anchor that bonds to the electron conductor and a first quantum dot anchor that bonds to the quantum dot. A hole conductor such as a conductive polymer may include a second quantum dot anchor. In some instances, the first quantum dot may include selenium. | 10-22-2009 |
20090260684 | SOLAR CELL, METHOD OF FORMING EMITTER LAYER OF SOLAR CELL, AND METHOD OF MANUFACTURING SOLAR CELL - A method for forming emitter layer of a solar cell includes preparing a substrate including a first impurity of a first conductive type, diffusing a second impurity of a second conductive type opposite to the first conductive type in the substrate to form a first emitter portion of the emitter layer in the substrate, and selectively heating a portion of the first emitter portion, which corresponds to a position for forming at least one electrode, to form a second emitter portion. | 10-22-2009 |
20090260685 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell and a method of manufacturing the same are provided. The solar cell includes a semiconductor unit, an electrode, and a passivation layer between the semiconductor unit and the electrode. The passivation layer includes a first layer containing silicon oxide (SiO | 10-22-2009 |
20090266413 | Photovoltaic Cells With Gratings For Scattering Light Into Light-absorption Layers - Embodiments of the present invention are directed to photovoltaic cells that include a surface relief grating to couple out-of-plane light into the leaky slab modes of the photovoltaic cells. In one embodiment of the present invention, a photovoltaic cell comprises a bottom electrode, a light-absorption layer disposed on the bottom electrode, and a top electrode disposed on the light-absorption layer. The top electrode is configured with a grating that enables light incident on the grating to be scattered into the light-absorption layer and traps incident light with particular polarizations and incident angles in the grating to interact with the light-absorption layer. | 10-29-2009 |
20090266414 | PROCESS FOR PRODUCING SEMICONDUCTOR SUBSTRATE, SEMICONDUCTOR SUBSTRATE FOR SOLAR APPLICATION AND ETCHING SOLUTION - Provided are: a process for producing safely at low cost a semiconductor substrate excellent in photoelectric conversion efficiency, and stable in an etching rate and a pyramid shape, which is capable of uniformly forming a fine uneven structure with desired size suitable for a solar cell on the surface thereof; a semiconductor substrate for solar application having a uniform and fine pyramid-shaped uneven structure in a plane; and an etching solution for forming a semiconductor substrate having a uniform and fine uneven structure, which has a high stability at initial use. The process comprises etching a semiconductor substrate with the use of an alkaline etching solution containing at least one kind selected from the group consisting of carboxylic acids having a carbon number of 1 to 12 and having at least one carboxyl group in a molecule, salts thereof, and silicon, to thereby form an uneven structure on the surface of the semiconductor substrate. | 10-29-2009 |
20090266415 | NANOSTRUCTURES AND MATERIALS FOR PHOTOVOLTAIC DEVICES - A photovoltaic device includes an encapsulation layer fabricated from an elastomeric material, such as for example a perfluoropolyether having favorable optical properties, gas permeable, scratch resistant, conformal liquid material. The encapsulation layer can also include a structured surface for manipulating and trapping light incident on the photovoltaic device. | 10-29-2009 |
20090266416 | PHOTOVOLTAIC DEVICES INCLUDING SELF-ASSEMBLING FULLERENE DERIVATIVES FOR IMPROVED EFFICIENCIES - Described herein are photovoltaic devices including self-assembling fullerene derivatives. In one embodiment, a photovoltaic device includes a first electrode layer, a second electrode layer, and an active layer disposed between the first electrode layer and the second electrode layer. The active layer is configured to absorb incident light to produce a first type of charge carrier that is transported to the first electrode layer and a second type of charge carrier that is transported to the second electrode layer. The active layer includes self-assembled molecules of a fullerene derivative to provide a conductive path through at least a portion of the active layer. | 10-29-2009 |
20090266417 | DYE SENSITIZED SOLAR CELL - A photovoltaic device comprises an anode having a film of semi conductive particles deposited on a substrate, an electrolyte and a cathode. The anode comprises a single porous layer formed of a combination of two particle sizes of a metal oxide. | 10-29-2009 |
20090272431 | COUNTER ELECTRODE FOR A PHOTOELECTRIC CONVERSION ELEMENT AND PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element including: (1) a window electrode having a transparent substrate and a semiconductor layer provided on a surface of the transparent substrate, a sensitizing dye being adsorbed on the semiconductor layer; (2) a counter electrode having a substrate and a conductive film, provided on a surface of the substrate, that is arranged so as to face the semiconductor layer of the window electrode, and wherein the counter electrode has carbon nanotubes provided on the substrate surface via the conductive film; and (3) an electrolyte layer disposed at least in a portion between the window electrode and the counter electrode. | 11-05-2009 |
20090272432 | SOLAR CELL - A solar cell includes a first electrode, a second electrode and a stacked semiconductor layer. The stacked semiconductor layer is disposed between the first electrode and the second electrode. The stacked semiconductor layer includes a first semiconductor layer, a second semiconductor layer and an intrinsic semiconductor layer. The first semiconductor layer has a first energy gap. The second semiconductor layer has a second energy gap. The intrinsic semiconductor layer is disposed between the first semiconductor layer and the second semiconductor layer, wherein the intrinsic semiconductor layer is a chalcopyrite layer and has a third energy gap. The third energy gap is less than the first energy gap and the second energy gap. | 11-05-2009 |
20090272433 | Functional Device and Method for Making the Same - To provide a functional device suitable for dye-sensitized solar cells and the like and having a structure suited for thickness reduction, and a method for making the same with good productivity. A dye-sensitized photovoltaic device | 11-05-2009 |
20090272434 | THIN-FILM SOLAR CELL AND METHOD OF FABRICATING THIN-FILM SOLAR CELL - A thin-film solar cell ( | 11-05-2009 |
20090277499 | Solar Cell and Method for Manufacturing the Same - A highly reliable solar cell is achieved which has a high photoelectric conversion efficiency and no aged deterioration. A cell | 11-12-2009 |
20090277500 | TRANSPARENT SOLAR CELL MODULE - A transparent solar cell module including a transparent solar cell and an optical transparent substrate is provided. The optical transparent substrate includes an optical filter and a first transparent substrate. The transparent solar cell includes a first electrode, a photoelectric conversion layer, a second electrode, and a second transparent substrate in sequence. | 11-12-2009 |
20090277501 | Solar Panel Having Improved Light-Trapping Characteristics and Method - A photovoltaic solar cell incorporates a light scattering material into a glass superstrate. In one embodiment, the material is in the form of a layer within the glass superstrate. In a second embodiment, the material is in the form of particles dispersed within the glass superstrate Located below the glass superstrate is a smooth conductive layer panel, which permits the smooth depositing thereon on the PIN semiconductor diode. This configuration results in fewer defects and recombination centers, and improves performance. | 11-12-2009 |
20090277502 | SOLAR CELL, SOLAR CELL MODULE USING THE SOLAR CELL AND METHOD FOR MANUFACTURING THE SOLAR CELL MODULE - In a solar cell, a body portion that includes at least one PN junction portion that is formed by laminating a P layer and an N layer in the front to back direction is formed. End faces of the PN junction portion form part of side faces of the body portion, and a surface electrode is formed on a surface of the body portion and a back surface electrode is formed on a back surface of the body portion. The surface electrode includes a terminal attachment portion to which a surface electrode connecting lead wire through which an electromotive force is extracted is bonded by wire-bonding or spot-welding. An anti-reflection film is formed on a surface of the surface electrode that includes the terminal attachment portion and the surface of the body portion other than a portion where the surface electrode is formed. | 11-12-2009 |
20090277503 | Solar Cell with Current Blocking Layer - A solar cell includes an active layer, a blocking layer and a contact layer. The blocking layer is disposed between a portion of the top surface of the active layer and the bottom surface of the contact layer. The blocking layer serves to reduce current flow between the contact layer and the portion of the active layer covered by the blocking layer. Current flow to the contact layer may occur via gridlines electrically connecting the active layer to the contact layer. | 11-12-2009 |
20090283140 | METHOD OF MAKING CONTACT TO A SOLAR CELL EMPLOYING A GROUP IBIIIAVIA COMPOUND ABSORBER LAYER - A solar cell manufacturing method which forms a Group IBIIAVIA absorber layer over a front side of a metallic substrate. The back side of the metallic substrate is coated with a conductive protection layer, such as a metal nitride material, that that does not form a high resistivity selenide or sulfide films when exposed to Se and S species at temperatures in the range of 400-600 C. Additionally, the protection material layer is stable in highly acidic and basic electroplating solutions that are employed to deposit layers or precursor layers comprising Cu and at least one of In, Ga, Se and S. | 11-19-2009 |
20090283141 | Solar Cells and Methods for Manufacturing Same - This invention relates to a method for contacting solar wafers containing one or more layers of temperature sensitive passivation layers by first creating local openings in the passivation layer(s) and then fill the openings with an electric conducting material. In this way, it becomes possible to avoid the relatively high temperatures needed in the conventional method for contacting solar wafers containing one or more passivation layer(s), and thus maintain the excellent passivation properties of newly developed temperature sensitive passivation layer(s) during and after the contacting. | 11-19-2009 |
20090283142 | QUANTUM DOT SOLAR CELL - A solar cell including a quantum dot and an electron conductor, and a bifunctional ligand disposed between the quantum dot and the electron conductor. The bifunctional ligand molecule may include an electron conductor anchor that bonds to the electron conductor and a first quantum dot anchor that bonds to the quantum dot. A hole conductor such as a conductive polymer may include a second quantum dot anchor. | 11-19-2009 |
20090283143 | POINT CONTACT SOLAR CELL - A semiconductor component comprises a semiconductor substrate comprising a front surface, a back surface which is opposite thereto, and a surface normal which is perpendicular to the front and back surfaces, a first contact structure which is electrically conductive and is electrically connected to the front surface of the semiconductor substrate via at least one point-shaped front contact, and a second contact structure which is electrically conductive and is electrically connected to the back surface of the semiconductor substrate. | 11-19-2009 |
20090288705 | PHOTOVOLTAIC POWER GENERATION AND SOLAR HEAT COLLECTOR - Provided is a photovoltaic power generation and solar heat collector which can be installed in a small area and can simultaneously maintain a high collection efficiency and a high photoelectric conversion efficiency. The photovoltaic power generation and solar heat collector ( | 11-26-2009 |
20090288706 | Hybrid Photovoltaic Cell Module - A hybrid photovoltaic cell module includes a substrate and a photopolymer composition disposed on the substrate. The photopolymer composition includes an organic photopolymer, a plurality of nanoparticles, and a dendrimer that disperses the nanoparticles in the composition. The dendrimer has a number average molecular weight of from 300 to 10,000 g/mol and a core having a carbon atom directly bonded to X | 11-26-2009 |
20090293947 | Photoelectric Conversion Device and Method of Manufacturing the Same, and Photoelectric Power Generation Device - This invention provides a photoelectric transducer comprising a light transparent substrate, a light transparent conductive layer provided on the light transparent substrate and a porous semiconductor layer provided on the light transparent conductive layer. The porous semiconductor layer can absorb coloring matter and contains an electrolyte. The photoelectric transducer further comprises a porous spacer layer containing an electrolyte provided on the porous semiconductor layer and a counter electrode layer provided on the porous spacer layer. According to the above constitution, the thickness of the electrolyte layer is determined by the thickness of the spacer layer containing the electrolyte unlike the prior art technique in which the thickness of the electrolyte layer is determined by spacing between two substrates. Accordingly, the electrolyte layer can be formed thinly and evenly and can enhance the photoelectric conversion efficiency and the reliability. | 12-03-2009 |
20090293948 | METHOD OF MANUFACTURING AN AMORPHOUS/CRYSTALLINE SILICON HETEROJUNCTION SOLAR CELL - A method for manufacturing a solar cell includes
| 12-03-2009 |
20090293949 | Methods For Crosslinking Nanoparticles And Coated Substrates Made According To The Methods - Methods for crosslinking nanoparticles and coated substrates made according to the methods are described. The crosslinked nanoparticles on substrates can be used in electrochromic devices and/or photovoltaic devices. | 12-03-2009 |
20090293950 | Photovoltaic Cell - A photovoltaic cell, particularly a color-sensitized solar cell, comprises a conductive support substrate, coated with a metal oxide semiconductor layer, a color layer embodied so as to electronically interact with the metal oxide semiconductor layer, an electrolyte later that is applied to the color layer, and a counter-electrode which is connected to the electrolyte layer. The support substrate and/or the counter-electrode is/are made from a flexible fabric composed of a plurality of interwoven fibers. | 12-03-2009 |
20090293951 | DYE FOR DYE-SENSITIZED SOLAR CELL AND DYE-SENSITIZED SOLAR CELL INCLUDING THE SAME - A dye for a dye-sensitized solar cell according to embodiments of the present invention includes a compound having a silane group. The dye according to embodiments of the present invention may be used in a light absorption layer to improve photovoltaic efficiency and increase open-circuit voltage. | 12-03-2009 |
20090293952 | Thin Film Photovoltaic Module - The present invention provides a thin film photovoltaic device comprising a poly(vinyl butyral) layer that provides excellent adhesion, resistivity, sealing, processability, and durability to the device. | 12-03-2009 |
20090293953 | ELECTROLYTE COMPOSITION, PHOTOELECTRIC CONVERSION ELEMENT USING THE SAME, AND DYE-SENSITIZED PHOTOVOLTAIC CELL - An electrolyte composition containing an ionic liquid and conductive particles, an electrolyte composition containing an ionic liquid and oxide semiconductor particles and optionally containing conductive particles, and an electrolyte composition containing an ionic liquid and insulating particles are provided. Furthermore, a photoelectric conversion element comprising: a working electrode, the working electrode comprising an electrode substrate and an oxide semiconductor porous film formed on the electrode substrate and sensitized with a dye; a counter electrode disposed opposing the working electrode; and an electrolyte layer made of these electrolyte compositions is provided. | 12-03-2009 |
20090301556 | MULTILAYER ORGANIC SOLAR CELL - Disclosed is a multilayer organic solar cell having a structure wherein an inter-layer ( | 12-10-2009 |
20090301557 | METHOD FOR PRODUCING PHOTOVOLTAIC CELLS AND PHOTOVOLTAIC CELLS OBTAINED BY SUCH METHOD - A method for the production of a photovoltaic device, for instance a solar cell, is disclosed. In one aspect, the method comprises providing a substrate having a front main surface and a rear surface. The method further comprises depositing a dielectric layer on the rear surface, wherein the dielectric layer has a thickness larger than about 100 nm. The method further comprises depositing a passivation layer comprising hydrogenated SiN on top of the dielectric layer and forming back contacts through the dielectric layer and the passivation layer. In another aspect, corresponding photovoltaic devices, for instance solar cell devices, are also disclosed. | 12-10-2009 |
20090301558 | Photoelectric Converter and Method for Producing the Same - A photoelectric converter includes a lower electrode layer, a compound semiconductor thin film of a chalcopyrite structure functioning as a photoabsorption layer and a light transmitting electrode layer that are sequentially laminated on a substrate. An end portion of the of compound semiconductor thin film is positioned outward beyond an end of the light transmitting electrode layer. | 12-10-2009 |
20090301559 | SOLAR CELL HAVING A HIGH QUALITY REAR SURFACE SPIN-ON DIELECTRIC LAYER - A thin silicon solar cell having a high quality spin-on dielectric layer is described. Specifically, the solar cell may be fabricated from a crystalline silicon wafer having a thickness from 50 to 500 micrometers. A first dielectric layer is applied to the rear surface of the silicon wafer using a spin-on process. A high temperature furnace operation provides simultaneous emitter diffusion and front and rear surface passivation. During this high temperature operation, the front emitter is formed, the rear spin-on dielectric layer is cured, and the front dielectric layer is thermally grown. Barrier layers are formed on the dielectric layers. Openings are made in the barrier layers. Contacts are formed in the openings and on the back surface barrier layer. | 12-10-2009 |
20090301560 | PHOTOVOLTAIC ELEMENT, PHOTOVOLTAIC MODULE AND METHOD OF MANUFACTURING PHOTOVOLTAIC ELEMENT - A photovoltaic element includes a power generating region having a photoelectric conversion layer, a collector formed on a surface of the power generating region and a protective layer formed on the power generating region, wherein at least a part of the protective layer is formed at a prescribed interval from a side surface of the collector without contact with the side surface. | 12-10-2009 |
20090301561 | Coating composition, substrates coated therewith and methods of making and using same - Multilayer radiation curable liquid coating compositions are provided that include one or more UV oligomers including at least one aliphatic urethane acrylic oligomer; at least one acrylate diluent monomer selected from a mono-, bi-, and tri-functional reactive acrylate diluent monomers; at least one photo-initiator; at least one UV absorber; at least one hindered amine light stabilizer; and at least one antioxidant, where the liquid composition does not comprise a solvent and does not comprise an adhesion promoter. The UV oligomer in the base coat composition has elongation higher than 200% and tensile strength lower than 1000 psi. The UV oligomer in the top coat composition has tensile strength higher than 5000 psi. A coating system is provided that includes a liquid primer coat composition, a liquid base coat composition and a liquid top coat composition. The cured film has good electrical insulation and UV resistance, and passes thermal cycle, damp heat and humidity freezing tests that are part of UL certification processes. The coating compositions are useful for coating substrates including SiOx substrates. | 12-10-2009 |
20090301562 | HIGH EFFICIENCY PHOTOVOLTAIC CELL AND MANUFACTURING METHOD - A method for forming a thin film photovoltaic device includes providing a transparent substrate comprising a surface region and forming a first electrode layer overlying the surface region. Additionally, the method includes forming a copper indium material comprising an atomic ratio of Cu:In ranging from about 1.35:1 to about 1.60:1 by at least sputtering a target comprising an indium copper material. The method further includes subjecting the copper indium material to thermal treatment process in an environment containing a sulfur bearing species. Furthermore, the method includes forming a copper indium disulfide material from at least the thermal treatment process of the copper indium material. Moreover, the method includes forming a window layer overlying the copper indium disulfide material. | 12-10-2009 |
20090301563 | SELF-CLEANING COATINGS APPLIED TO SOLAR THERMAL DEVICES - A solar device and a process for preparing a self-cleaning coating on the solar device is disclosed, the process comprises providing a coating composition, adding to the coating composition nanocrystals of a photoactive material, and applying the mixture of coating composition and photoactive material to a surface of a substrate at an elevated temperature, to deposit a self-cleaning coating on the surface of the substrate. The solar device comprises a solar energy conversion device, including a transparent substrate, and a self-cleaning coating adhered to a surface of the substrate. | 12-10-2009 |
20090308441 | Silicon Nanoparticle Photovoltaic Devices - A photovoltaic device for converting light into electrical power includes a film ( | 12-17-2009 |
20090308442 | NANOSTRUCTURE ENABLED SOLAR CELL ELECTRODE PASSIVATION VIA ATOMIC LAYER DEPOSITION - A system and method for reducing charge recombination within nanostructure enabled solar cells. A nanostructure enabled solar cell includes a nanoporous electron conductor and a hole conductor. The surface of the nanoporous electron conductor includes a sensitizer of nanoparticles, such as quantum dots and also a thin and conformal passivation layer that can be selectively coated onto the electron conductor surface. The passivation layer coats the electron conductor surface without covering the surface of the nanoparticles. | 12-17-2009 |
20090308443 | Apparatus and system for a single element solar cell - A device for receiving and converting incident radiation into DC current, the device including a transparent conductor, at least one point-contact diode, the at least one point-contact diode having a nanowire/mCNT providing a receiving antenna function and a rectification function, a thin insulating layer situated between the transparent conductor and the nanowire/mCNT, and a point contact junction at which the nanowire/mCNT contacts the thin insulating layer. | 12-17-2009 |
20090308444 | PHOTOVOLTAIC CELL AND PHOTOVOLTAIC CELL SUBSTRATE - Method of fabricating a transparent electrode based on zinc oxide, characterized in that a layer based on zinc oxide is deposited on at least one of the faces of a substrate or on at least one layer in contact with one of the faces of said substrate, and in that this layer is subjected to a heat treatment so as to over-oxidize a portion of the surface of said layer to a fraction of its thickness. | 12-17-2009 |
20090308445 | PHOTOVOLTAIC CELL AND PHOTOVOLTAIC CELL SUBSTRATE - Method of fabricating a transparent electrode based on zinc oxide, possibly doped, characterized in that a layer based on zinc oxide is deposited on at least one of the faces of a substrate or on at least one layer in contact with one of the faces of said substrate, and in that this layer is subjected to a controlled oxidation so as to over-oxidize a portion of the surface of said layer to a fraction of its thickness. | 12-17-2009 |
20090308446 | BACKSIDE ELECTRODE LAYER AND FABRICATING METHOD THEREOF - A backside electrode layer and a fabricating method thereof are applicable for fabricating a solar cell. The backside electrode layer includes a first electrode layer and a second electrode layer. The first electrode layer is formed on a substrate and has a thickness smaller than 15 μm. The second electrode layer having patterns is formed on the first electrode layer. The first and second electrode layers are fabricated by a cofiring process. As the thickness of the first electrode layer is decreased and the second electrode layer is not a full coverage layer, the material usage of each electrode layer is reduced and the fabrication cost thereof is leveled down. Besides, a thinner electrode layer may avoid warp after the cofiring process. | 12-17-2009 |
20090308447 | PHOTOVOLTAIC MODULE WITH AT LEAST ONE CRYSTALLINE SOLAR CELL - The invention relates to a photovoltaic module, in which at least one crystalline solar cell, by means of which light energy can be converted into electrical energy, is arranged on a carrier substrate, characterized in that a contact area is formed between the carrier substrate and the at least one crystalline solar cell, wherein, in the region of the contact area, an outer surface on a light entry side of the at least one crystalline solar cell is in contact with a rear surface of the carrier substrate, and in that electrical connection contacts are arranged on the rear side of the at least one crystalline solar cell facing away from the light entry side. | 12-17-2009 |
20090308448 | STACKED-LAYERED THIN FILM SOLAR CELL AND MANUFACTURING METHOD THEREOF - Disclosed are a stacked-layered thin film solar cell and a manufacturing method thereof. The stacked-layered thin film solar cell includes plural unit cells connected together electrically, each including a substrate, a first electrode layer, a first photoconductive layer, an interlayer, a second photoconductive layer and a second electrode layer, wherein the first electrode layer is divided by plural first grooves; plural second grooves are formed through the second photoconductive layer, the interlayer, and the first photoconductive layer; and plural third grooves are formed in the second electrode layer and extended downward through the first photoconductive layer. The first, second and third grooves are offset with respect to one another. The stacked-layered thin film solar cell is characterized by plural recesses formed at intersections between the interlayer and the second grooves for preventing leakage of electrical current from the first or second photoconductive layer to the interlayer through the second grooves. | 12-17-2009 |
20090308449 | Thin film type solar cell and method for manufacturing the same - A thin film type solar cell and a method for manufacturing the same is disclosed, wherein the thin film type solar cell includes a first anti-oxidation layer formed on a front electrode, and a semiconductor layer formed on the first anti-oxidation layer, so that it is possible to prevent an oxide from being formed in the interface between the front electrode and the semiconductor layer by preventing a reaction between an oxidant contained in the front electrode and silicon of the semiconductor layer, to thereby realize improved cell efficiency, wherein the method for manufacturing the thin film type solar cell comprises forming the front electrode on a substrate; forming the first anti-oxidation layer on the front electrode; forming the semiconductor layer on the first anti-oxidation layer; and forming a rear electrode on the semiconductor layer. | 12-17-2009 |
20090308450 | SOLAR CELL FABRICATION WITH FACETING AND ION IMPLANTATION - Solar cells in accordance with the present invention have reduced ohmic losses. These cells include photo-receptive regions that are doped less densely than adjacent selective emitter regions. The photo-receptive regions contain multiple four-sided pyramids that decrease the amount of light lost to the solar cell by reflection. The smaller doping density in the photo-receptive regions results in less blue light that is lost by electron-hole recombination. The higher doping density in the selective emitter region allows for better contacts with the metallic grid coupled to the multiple emitter regions. Preferably, the selective emitter and photo-receptive regions are both implanted using a narrow ion beam containing the dopants. | 12-17-2009 |
20090308451 | ARRANGEMENT FOR THE INDIRECT INTENSITY-SELECTIVE ILLUMINATION OF SOLAR CELLS - An arrangement and method for illumination of solar cells. The arrangement includes at least one mirror with at least one predetermined surface geometry and at least one solar cell. The at least one predetermined surface geometry is structured and arranged to distribute primary radiation striking the at least one mirror in one of a targeted manner and homogenously on the at least one solar cell. | 12-17-2009 |
20090308452 | INTEGRATED IMODS AND SOLAR CELLS ON A SUBSTRATE - Embodiments of the present invention relate to interferometric display devices comprising an interferometric modulator and a solar cell and methods of making thereof. In some embodiments, the solar cell is configured to provide energy to the interferometric modulator. The solar cell and the interferometric modulator may be formed above the same substrate. A layer of the solar cell may be shared with a layer of the interferometric modulator. | 12-17-2009 |
20090314339 | DYE-SENSITIZED SOLAR CELL AND PROCESS FOR PRODUCING THE SAME - A dye-sensitized solar cell is provided, wherein it can be produced by a relatively easy and simple process and ensures high conversion efficiency even in cases where the thickness of the porous semiconductor layer is increased. The dye-sensitized solar cell | 12-24-2009 |
20090314340 | POLYMER-BASED SOLAR CELL - Described are processes for enlarging the surface area of a polymer-based solar cell relative to a flat surface and/or for enlarging the active surface area of the organic semiconductor layer of the solar cell in relation to a flat surface. There is further described a polymer-based solar cell ( | 12-24-2009 |
20090314341 | SIMPLIFIED BACK CONTACT FOR POLYSILICON EMITTER SOLAR CELLS - The present invention relates to forming contacts for solar cells. According to one aspect, an interdigitated back contact (IBC) cell design according to the invention requires only one patterning step to form the interdigitated junctions (vs. two for alternate designs). According to another aspect, the back contact structure includes a silicon nitride or a nitrided tunnel dielectric. This acts as a diffusion barrier, so that the properties of the tunnel dielectric can be maintained during a high temperature process step, and boron diffusion through the tunnel dielectric can be prevented. According to another aspect, the process for forming the back contacts requires no deep drive-in diffusions. | 12-24-2009 |
20090314342 | Self-organizing nanostructured solar cells - A method of forming a self-organized nanostructured solar cell is provided. The method includes depositing a semiconductor film on a substrate, where the semiconductor film includes a mixture of at least two constituents, then activating the semiconductor film during or after the deposition. Here, the activated semiconductor film self-assembles into an organized nanostructure geometry on the substrate, where the organized nanostructure includes a first structure of the at least one constituent having a first polarity and a second structure of the at least one constituent having a second polarity opposite to the first polarity. Further, the invention includes depositing a contact on a top surface of the organized nanostructure geometry. | 12-24-2009 |
20090314343 | PV MODULE AND METHOD FOR MANUFACTURING PV MODULE - Provided is a photovoltaic (PV) module by which electric power generation efficiency can be improved by improving light use rate. An encapsulant ( | 12-24-2009 |
20090314344 | Solar Cell Production Using Non-Contact Patterning And Direct-Write Metallization - Photovoltaic devices (i.e., solar cells) are formed using non-contact patterning apparatus (e.g., a laser-based patterning systems) to define contact openings through a passivation layer, and direct-write metallization apparatus (e.g., an inkjet-type printing or extrusion-type deposition apparatus) to deposit metallization into the contact openings and over the passivation surface. The metallization includes two portions: a contact (e.g., silicide-producing) material is deposited into the contact openings, then a highly conductive metal is deposited on the contact material and between the contact holes. The device wafers are transported between the patterning and metallization apparatus in hard tooled registration using a conveyor mechanism. Optional sensors are utilized to align the patterning and metallization apparatus to the contact openings. An extrusion-type apparatus is used to form grid lines having a high aspect central metal line that is supported on each side by a transparent material. | 12-24-2009 |
20090314345 | ATOMIC LAYER DEPOSITED TITANIUM-DOPED INDIUM OXIDE FILMS - An apparatus and methods of forming the apparatus include a film of transparent conductive titanium-doped indium oxide for use in a variety of configurations and systems. The film of transparent conductive titanium-doped indium oxide may be structured as one or more monolayers. The film of transparent conductive titanium-doped indium oxide may be formed using atomic layer deposition. | 12-24-2009 |
20090320916 | Techniques for Enhancing Performance of Photovoltaic Devices - Techniques for improving energy conversion efficiency in photovoltaic devices are provided. In one aspect, an antimony (Sb)-doped film represented by the formula, Cu | 12-31-2009 |
20090320917 | SOLAR CELL PASSIVATION AND LEVELING - A device and a system to fabricate a device including a semiconductor mesa extending from a semiconductor base, the semiconductor mesa comprising an optically-active semiconductor area and a top surface, conductive material disposed on the top surface of the mesa, and substantially optically-transparent material disposed on the conductive material and on the top surface, wherein a surface of the substantially optically-transparent material above the conductive material and the top surface is substantially planar. In some aspects, the semiconductor mesa includes a side wall with one or more exposed p-n junctions, and material is disposed on the side wall to cover the one or more exposed p-n junctions. | 12-31-2009 |
20090320918 | Photoelectric conversion device - The present invention provides a photoelectric conversion device capable of improving durability without using particular material. The photoelectric conversion device includes a working electrode in which dye is carried by a metal oxide semiconductor layer and a facing electrode having a conductive layer, and a semi-solid electrolyte containing layer supported between the working electrode and the facing electrode. The electrolyte containing layer contains an electrolyte solution in which a solid electrolyte salt is dissolved in an organic solvent, and a particle. Thereby, liquid leakage or the like hardly occurs even under a high-temperature environment in comparison with the case where the electrolyte containing layer does not contain a particle. | 12-31-2009 |
20090320919 | Photoelectric conversion device - The present invention provides a photoelectric conversion device capable of improving conversion efficiency. The photoelectric conversion device includes a working electrode and a facing electrode, and a semi-solid electrolyte containing layer supported between the working electrode and the facing electrode. The electrolyte containing layer contains a particle, an organic solvent, and ionic liquid. An electron is efficiently injected from dye excited by absorbing light to a metal oxide semiconductor layer, and the electron quickly travels from the metal oxide semiconductor layer to an external circuit in comparison with the case where the electrolyte containing layer does not contain the organic solvent. | 12-31-2009 |
20090320920 | HIGH EFFICIENCY PHOTOVOLTAIC CELL AND MANUFACTURING METHOD FREE OF METAL DISULFIDE BARRIER MATERIAL - A method for forming a thin film photovoltaic device includes providing a transparent substrate comprising a surface region and forming a first electrode layer overlying the surface region. Additionally, the method includes forming a copper indium material comprising an atomic ratio of Cu:In ranging from about 1.35:1 to about 1.60:1 by at least sputtering a target comprising an indium copper material. The method further includes subjecting the copper indium material to thermal treatment process in an environment containing a sulfur bearing species. Furthermore, the method includes forming a copper indium disulfide material from at least the thermal treatment process of the copper indium material and maintaining an interface region between the copper indium disulfide material and electrode substantially free from a metal disulfide layer, which has different semiconductor characteristics from the copper indium disulfide material. Moreover, the method includes forming a window layer overlying the copper indium disulfide material. | 12-31-2009 |
20090320921 | Photovoltaic Glazing Assembly and Method - A photovoltaic glazing assembly including first and second substrates, at least one being formed of a light transmitting material. The assembly includes a photovoltaic coating over at least the central region of a surface of the first substrate or the second substrate. In some embodiments, a seal system encloses a gas space between the substrates and optionally has a thickness of between approximately 0.01 inch and approximately 0.1 inch. Certain embodiments provide a flexible and electrically non-conductive retention film over the photovoltaic coating. Additionally or alternatively, the assembly can have a peripheral seal system with relative dimensions in certain ranges. Advantageous manufacturing methods are also provided. | 12-31-2009 |
20090320922 | Contact Fabrication of Emitter Wrap-Through Back Contact Silicon Solar Cells - Back contact solar cells including rear surface structures and methods for making same. The rear surface has small contact areas through at least one dielectric layer, including but not limited to a passivation layer, a nitride layer, a diffusion barrier, and/or a metallization barrier. The dielectric layer is preferably screen printed. Large grid areas overlay the dielectric layer. The methods provide for increasing efficiency by minimizing p-type contact areas and maximizing n-type doped regions on the rear surface of a p-type substrate. | 12-31-2009 |
20100000600 | THERMOPLASTIC RESIN COMPOSITION FOR SEALING SOLAR CELL, SHEET FOR SEALING SOLAR CELL AND SOLAR CELL - An object of the present invention is to provide a material used for producing a sheet for sealing a solar cell which is excellent in mechanical strength, solar cell sealing property and transparency if the material is not cross-linked. | 01-07-2010 |
20100000601 | PHOTOVOLTAIC MODULES HAVING A POLYVINYLIDENE FLUORIDE SURFACE - The invention relates to a photovoltaic module for capturing and using solar radiation having as a transparent glazing a thermoplastic structural component covered by a thin polyvinylidene fluoride layer The polyvinylidene fluoride layer is exposed to the environment and provides a chemical resistant and dirt shedding surface. The structure may contain a tie layer between the polyvinylidene fluoride layer and the structural thermoplastic to aid in adhesion. | 01-07-2010 |
20100000602 | Photovoltaic Cell with Efficient Finger and Tab Layout - A photovoltaic cell has a photosensitive substrate, a plurality of fingers in ohmic contact with the substrate, and a plurality of pads on the substrate. The plurality of pads effectively form a plurality of discontinuous busbars. Two of the fingers extend from a first pad of the plurality of pads. Specifically, a given one of the two fingers (“given finger”) may connect with a second pad of the plurality of pads. This given finger may have an inter-pad portion between the first and second pads. The cell further has a tab at least partially covering the inter-pad portion of the given finger. | 01-07-2010 |
20100006146 | Wafer-Specific Line Patterning For Solar Cells And The Like - A semiconductor or similar body used, for example, for a solar cell is examined for the physical locations of characteristics effecting its performance, such as grain boundaries, areas of relatively higher sheet resistance, bulk resistance, shortened carrier lifetime, etc. A grid array layout for conductive lines may be specifically tailored such it is positioned over less efficient photo-generative regions of the body to, for example, minimize shadowing of more efficient regions, provide a short conduction path for regions of shortened carrier lifetime, etc. The grid array layout may then be formed on the surface of the body, for example by a digital lithographic process, to accommodate cell-by-cell and/or body-by-body variations in the performance characteristics. The tailored grid array provides increased overall photo-generative efficiency of the completed solar cell. | 01-14-2010 |
20100006147 | SOLAR CELL MODULE - A solar cell module includes: a photoelectric conversion body | 01-14-2010 |
20100006148 | SOLAR CELL WITH POROUS INSULATING LAYER - Disclosed are solar cells and methods for making solar cells. An example solar cell may include an electron conductor layer. The solar cell may also include a hole conductor layer. An insulating layer may be disposed between the electron conductor layer and the hole conductor layer. The insulating layer may have a plurality of pores. Absorber material may be disposed at least partially within at least some of the plurality of pores. | 01-14-2010 |
20100006149 | WETTING RESISTANT MATERIALS AND ARTICLES MADE THEREWITH - Ceramic materials with relatively high resistance to wetting by various liquids, such as water, are presented, along with articles made with these materials, methods for making these articles and materials, and methods for protecting articles using coatings made from these materials. One particular embodiment is an article that comprises a coating having a surface connected porosity content of up to about 5 percent by volume. The coating comprises a material that comprises a primary oxide and a secondary oxide, wherein (i) the primary oxide comprises a cation selected from the group consisting of cerium, praseodymium, terbium, and hafnium, and (ii) the secondary oxide comprises a cation selected from the group consisting of the rare earth elements, yttrium, and scandium. The material is transparent to electromagnetic radiation of at least one type selected from the group consisting of ultraviolet radiation, visible light, and infrared radiation. | 01-14-2010 |
20100012177 | PHOTON-CONVERSION MATERIALS (PCMs) IN POLYMER SOLAR CELLS-ENHANCEMENT EFFICIENCY AND PREVENTION OF DEGRADATION - A photovoltaic device has a photovoltaic cell and a photon-conversion component. The photon-conversion component has a photon-conversion material in its composition. The photon-conversion material, while the photovoltaic device is in operation, converts photons in a spectral region including a first wavelength to photons in a spectral region including a second wavelength, the second wavelength being longer then the first wavelength. The photons having the second wavelength are at least one of less damaging to the photovoltaic cell than photons having the first wavelength or converted more efficiently to an electrical current than photons having the first wavelength. | 01-21-2010 |
20100012178 | SOLUTION PROCESSABLE MATERIAL FOR ELECTRONIC AND ELECTRO-OPTIC APPLICATIONS - An electro-optic device has a first electrode, a second electrode spaced apart from the first electrode, an active layer disposed between the first electrode and the second electrode, and an interfacial layer in contact with the active layer. The interfacial layer is a blend of a metal oxide and a second material that at least one of reduces a work function or increases an electrical conductivity of the interfacial layer according to an embodiment of this invention. A composition for electro-optic devices is a blend of at least one metal oxide and at least one salt in a ratio, by volume, of at least 1:0.1 and less than 1:1.2. | 01-21-2010 |
20100012179 | SOLAR CELL WITH HIGH PHOTON UTILIZATION AND METHOD OF MANUFACTURING THE SAME - A solar cell with high photon utilization includes a substrate, a transparent conductive oxide layer, an anti-reflection coating (ARC) layer and at least one main charge collecting line. The substrate has a front side and a back side. The substrate has a first-type semiconductor layer close to the back side and a second-type semiconductor layer close to the front side. The transparent conductive oxide layer is formed on the front side. The ARC layer is formed on the transparent conductive oxide layer. The main charge collecting line penetrates through the ARC layer and projects from the ARC layer, and the main charge collecting line is electrically connected to the transparent conductive oxide layer. A method of manufacturing the solar cell is also disclosed. | 01-21-2010 |
20100012180 | ENCAPSULATING AND TRANSFERRING LOW DIMENSIONAL STRUCTURES - A method of encapsulating low dimensional structures comprises forming a first group ( | 01-21-2010 |
20100012181 | Dye-sensitized solar cell and method of manufacturing same - A method of readily forming a dye-sensitized solar cell having a porous layer of increased thickness. The dye-sensitized solar cell includes a translucent substrate, and a plurality of collecting electrode traces formed on the translucent substrate. The solar cell also includes a trench that is trapezoidal in cross-section and is formed on the translucent substrate between the collecting electrode traces. The solar cell also includes a porous layer upon which a sensitizing dye is adsorbed. The porous layer covers the translucent substrate within the trench and the collecting electrode traces. | 01-21-2010 |
20100012182 | Dye sensitized solar cell and method of fabricating the same - A method for easily forming a dye-sensitized solar cell having a thick porous layer without increasing a thickness of a collector electrode. The dye-sensitized solar cell includes a light transmissive substrate and a plurality of recesses formed on the light transmissive substrate. Each recess has an opening partitioned by a partition wall. The solar cell also includes a collector electrode that covers the partition wall. The collector electrode has an end face on a bottom surface of the recess. The solar cell also includes a porous layer that covers the light transmissive substrate within each recess and the collector electrode. At least one kind of sensitizing dye is absorbed in the porous layer. | 01-21-2010 |
20100012183 | Thin Film Solar Cell Having Photo-Luminescent Medium Coated Therein And Method For Fabricating The Same - A thin film solar cell having a photo-luminescent medium coated therein and a method for fabricating the same are provided. The thin film solar cell at least includes a transparent layer, a front electrode layer, a photoconductive layer, and a back electrode layer, which are sequentially stacked in that order from a light incident surface of the thin film solar cell. The transparent layer is a cover glass or a transparent substrate. The thin film solar cell further includes a photo-luminescent medium disposed on outer surface or inner surface of the transparent layer for absorbing the rest short wavelength light contained in the incident light and is then excited to emit a long wavelength light which can be effectively absorbed by the photoconductive layer. In such a way, the spectrum of the incident light is shifted, and thus an improved energy conversion efficiency is achieved. | 01-21-2010 |
20100012184 | PHOTOELECTRIC CONVERTING DEVICE - A photoelectric converting device comprises: a first electrode layer having conductivity; a metal filled dielectric layer formed on said first electrode layer and comprising a dielectric base material in which a plurality of micropores are formed, and a plurality of conductive fine metal bodies made of a metal material which fills said plurality of micropores formed in said dielectric base material; a photoelectric converting layer that is formed on said metal filled dielectric layer and is made of a photoelectric converting material; and a second electrode layer having conductivity that is formed on said photoelectric converting layer; each of said fine metal bodies including a protruding unit that protrudes from said dielectric base material to within said photoelectric converting layer, and being electrically connected to said first electrode layer; said photoelectric converting layer covering said protruding unit of each of said fine metal bodies. | 01-21-2010 |
20100012185 | Method for the Manufacture of a Solar Cell and the Resulting Solar Cell - In a method for the production of a solar cell, a flat aluminium layer is applied to the back of a solar cell substrate. The aluminium is alloyed into the silicon substrate by the effect of the temperature and forms an aluminium BSF. The remaining aluminium that has not been alloyed into the silicon is subsequently removed. The aluminium BSF is transparent to light. | 01-21-2010 |
20100018576 | SOLAR CELL - A solar cell is disclosed. The solar cell includes a semiconductor substrate on which a p-n junction is formed, a first electrode contacting a first conductive type semiconductor of the semiconductor substrate, a second electrode contacting a semiconductor of a second conductive type opposite the first conductive type, a plurality of first projections on a light receiving surface of the semiconductor substrate, and at least one second projection inside each of the plurality of first projections. A height of the second projection is less than a height of the first projection. | 01-28-2010 |
20100018577 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - Provided is a solar-cell manufacturing method that is capable of preventing a conductive paste from bleeding and spreading on a photoelectric conversion body. In the provided method of manufacturing a solar cell, a first printing speed at which a first conductive material is printed is faster than a second printing speed at which a second conductive material is printed on the first conductive material. | 01-28-2010 |
20100024874 | Titania coating and method of making same - Methods of making titania coatings having self-cleaning properties, and associated articles are provided. In certain example instances, a substrate supports a layer comprising titanium dioxide. The substrate may support multiple layers. After curing using ultraviolet radiation and/or electron beams, the resulting coating may inhibit fouling. | 02-04-2010 |
20100024875 | DYE-SENSITIZED SOLAR CELL MODULE AND METHOD OF MANUFACTURING THE SAME (AS AMENDED) - A dye-sensitized solar cell module which is characterized by providing a pair of opposed substrates | 02-04-2010 |
20100024876 | Photon trapping solar cell - A nano structure CdTe/CdS Photon Trapping Solar Cell (PTSC) comprising an ultra thin film stack construction of 2 layers, one each of Cadmium Sulfide and Cadmium Telluride, and wherein the bottom electrode is a reflecting sheet or plate that serves to reflect Photons unabsorbed by their pass through the material back through the layers of Cadmium Telluride and Cadmium Sulfide. The bottom metallic plate also serves as an electron conductor for allowing the photoelectric current to exit the device and perform useful work. The cell has a maximum efficiency of 40%. | 02-04-2010 |
20100024877 | METHOD OF PREPARING A POROUS SEMICONDUCTOR FILM ON A SUBSTRATE - A method of preparing a porous semiconductor film on a substrate comprising the steps: a) preparing, on a first substrate, an adhesion layer capable of providing electrical and mechanical contact between a porous semiconductor layer attached to said adhesion layer and said first substrate, b) applying on a second substrate that is capable of withstanding temperatures >=300° C. a spacer layer and applying a porous semiconductor layer on said spacer layer, c) applying an assisting layer on said porous semiconductor layer, said assisting layer providing support for said porous semiconductor layer, d) removing said spacer layer e) transferring said porous semiconductor layer supported by said assisting layer onto said ashesion layer, f) pressing said porous semiconductor layer onto said adhesion layer, g) removing said assisting layer from said porous semiconductor layer, thereby obtaining said first substrate having as a porous semiconductor film said porous semiconductor layer attached thereon by way of said adhesion layer. | 02-04-2010 |
20100024878 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD OF PRODUCING THE SAME - A photoelectric conversion device which can improve photoelectric conversion efficiency is provided. | 02-04-2010 |
20100024879 | TITANIA NANOTUBES PREPARED BY ANODIZATION IN CHLORIDE-CONTAINING ELECTROLYTES - A method of preparing titania nanotubes involves anodization of titanium in the presence of chloride ions and at low pH (1-7) in the absence of fluoride. The method leads to rapid production of titania nanotubes of about 25 nm diameter and high aspect ratio. The nanotubes can be organized into bundles and tightly packed parallel arrays. Inclusion of organic acids in the electrolyte solution leads to the incorporation into the nanotubes of up to 50 atom percent of carbon. In a two-stage method, a titanium anode is pre-patterned using a fluoride ion containing electrolyte and subsequently anodized in a chloride ion containing electrolyte to provide more evenly distributed nanotube arrays. The titania nanotubes have uses in composite materials, solar cells, hydrogen production, and as hydrogen sensors. | 02-04-2010 |
20100024880 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - The present invention relates to a solar cell. The solar cell includes a substrate of a first conductive type, an emitter layer of a second conductive type opposite the first conductive type on the substrate, first and second anti-reflection layers that are sequentially positioned on the emitter layer, a first electrode electrically connected to the emitter layer, first to third passivation layers that are sequentially positioned on the substrate, each of the first to third passivation layers including a plurality of exposed portions, and a plurality of second electrodes electrically connected to portions of the substrate exposed by the plurality of exposed portions. | 02-04-2010 |
20100024881 | Interconnect Technologies for Back Contact Solar Cells and Modules - Methods and systems for interconnecting back contact solar cells. The solar cells preferably have reduced area busbars, or are entirely busbarless, and current is extracted from a variety of points on the interior of the cell surface. The interconnects preferably relieve stresses due to solder reflow and other thermal effects. The interconnects may be stamped and include external or internal structures which are bonded to the solder pads on the solar cell. These structures are designed to minimize thermal stresses between the interconnect and the solar cell. The interconnect may alternatively comprise porous metals such as wire mesh, wire cloth, or expanded metal, or corrugated or fingered strips. The interconnects are preferably electrically isolated from the solar cell by an insulator which is deposited on the cell, placed on the cell as a discrete layer, or laminated directly to desired areas of the interconnect. | 02-04-2010 |
20100032009 | Multilayered photovoltaic device on envelope surface - A multilayered photovoltaic device ( | 02-11-2010 |
20100032010 | METHOD TO MITIGATE SHUNT FORMATION IN A PHOTOVOLTAIC CELL COMPRISING A THIN LAMINA - A photovoltaic cell can be formed from a thin semiconductor lamina cleaved from a substantially crystalline wafer. Shunts may inadvertently be formed through such a lamina, compromising device performance. By physically severing the lamina into a plurality of segments, the segments of the lamina preferably electrically connected in series, loss of efficiency due to shunt formation may be substantially reduced. In some embodiments, adjacent laminae are connected in series into strings, and the strings are connected in parallel to compensate for the reduction in current caused by severing the lamina into segments. | 02-11-2010 |
20100032011 | BACK CONTACTED SOLAR CELL - This invention relates to a cost effective method of producing a back contacted silicon solar cell and the cell made by the method, where the method comprises applying a silicon substrate, wafer or thin film, doped on the back side with alternating P-type and N-type conductivity in an interdigitated pattern and optionally a layer of either P- or N-type on the front side of the wafer, depositing one or more surface passivation layers on both sides of the substrate, creating openings in the surface passivation layers on the back side of the substrate, depositing a metallic layer covering the entire back side and which fills the openings in the surface passivation layers, and creating openings in the deposited metallic layer such that electric insulated contacts with the doped regions on the back side of the substrate is obtained. | 02-11-2010 |
20100032012 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell ( | 02-11-2010 |
20100032013 | SEMICONDUCTOR COMPONENT - A semiconductor component, in particular in the form of a solar cell, comprises a two-dimensional semiconductor substrate with a first side, a second side which is arranged opposite thereto, a surface normal which is perpendicular to said first and second sides, and a plurality of recesses which are at least arranged on the second side and extend in the direction of the surface normal, at least one dielectric passivation layer which is arranged on the second side, an electrically conducting contact layer arranged on the passivation layer, a plurality of contact elements for electrically connecting the contact layer with the semiconductor substrate, which contact elements are electrically conductive, are in electrically conducting connection with both the semiconductor substrate and with the contact layer, fill at least 50 %, in particular at least 90%, preferably 100% of in each case one of the recesses, project beyond the recesses with a projection in the direction perpendicular to the surface normal and are of an easily solderable material. | 02-11-2010 |
20100037945 | BLACK-CERAMIC-DECORATED SOLAR CELL MODULE - The electrode parts of a solar cell module which differ in color form other parts of the module are hidden without increasing the number of module assembly/production steps to thereby enable the whole CIS based thin-film solar cell module to have an even color throughout and hence an improved appearance. | 02-18-2010 |
20100037946 | Solar Cell Element and Method for Manufacturing Solar Cell Element - [Object] To provide a method for manufacturing a solar cell element including a semiconductor substrate that includes a high-concentration dopant layer located near the surface of the semiconductor substrate and a low-concentration dopant layer located more inside the semiconductor substrate than the high-concentration dopant layer | 02-18-2010 |
20100037947 | Thin film type solar cell and method for manufacturing the same - A thin film type solar cell and a method for manufacturing the same is disclosed, the thin film type solar cell comprising a first electrode in a predetermined pattern on a substrate; a first semiconductor layer on the first electrode; a second electrode in a predetermined pattern on the first semiconductor layer; a second semiconductor layer on the second electrode; and a third electrode in a predetermined pattern on the second semiconductor layer, the first and third electrodes being electrically connected with each other, wherein a first solar cell is composed of a combination of the first electrode, the first semiconductor layer, and the second electrode; a second solar cell is composed of a combination of the second electrode, the second semiconductor layer, and the third electrode; and the first and second solar cells are connected in parallel, whereby it is possible to realize improved efficiency of the entire thin film type solar cell without performing a process for a current matching between the first and second solar cells. | 02-18-2010 |
20100037948 | SOLAR CELLS PROVIDED WITH COLOR MODULATION AND METHOD FOR FABRICATING THE SAME - Solar cells provided with color modulation and a method for fabricating the same are disclosed. The solar cell includes a photoelectric conversion layer and a color-modulating layer provided over the photoelectric conversion layer. The photoelectric conversion layer is employed for generating electrical energy from incident light and the color-modulating layer is used to modulate colorful appearance. | 02-18-2010 |
20100037949 | DYE SENSITIZED SOLAR CELL AND A WORKING ELECTRODE THEREOF - A dye sensitized solar cell contains a working electrode including a filamentous conductive substrate and a sensitized semi-conductor film, in which the sensitized semi-conductor film has a porous film structure, which is made of semi-conductor particles of different sizes absorbed with sensitizing dye molecules. The sensitized semi-conductor film is coated over the outer surface of the filamentous conductive substrate. The filamentous conductive substrate has rich source and small volume, and is easy to be deformed, convenient for processing, and assessable for series-parallel connection. Therefore, the solar cell is suitable for narrow and irregular-shaped space that needs specific driving power. | 02-18-2010 |
20100037950 | Method For The Production Of Mechanically Prestressed Solar Cell Composites And Also A Mechanically Prestressed Solar Cell Module - The present invention relates to a method for the production of a solar cell composite which has a solar cell which is applied on a substrate and/or is covered by a superstrate, the substrate and/or the superstrate being connected to the solar cell via a fixing. The substrate and/or superstrate thereby has a higher thermal coefficient of expansion than the solar cell, higher process temperatures being applied during the production method before the fixing of the solar cell on the substrate and/or the superstrate. After curing of the fixing and cooling to room temperature, the solar cell is under tangential pressure which emanates from the substrate and/or superstrate and is transmitted to the solar cell by the fixing, which pressure endows the entire solar cell composite with significantly increased stability. | 02-18-2010 |
20100037951 | MULTI-ELEMENT METAL POWDERS FOR SILICON SOLAR CELLS - Disclosed are methods of making multi-element, finely divided, metal powders containing one or more reactive metals and one or more non-reactive metals. Reactive metals include metals or mixtures thereof from titanium (Ti), zirconium (Zr), hafnium (Hf), tantalum (Ta), niobium (Nb), vanadium (V), nickel (Ni), cobalt (Co), molybdenum (Mo), manganese (Mn), and iron (Fe). Non-reactive metals include metals or mixtures such as silver (Ag), tin (Sn), bismuth (Bi), lead (Pb), antimony (Sb), zinc (Zn), germanium (Ge), phosphorus (P), gold (Au), cadmium (Cd), berrylium (Be), tellurium (Te). | 02-18-2010 |
20100037952 | Selective Emitter Solar Cell and Fabrication Method Thereof - A fabrication method of a selective emitter solar cell, including: forming a selective emitter solar cell base having a buried grid electrode; forming an anti-reflection layer on the emitter surface of the solar cell base; forming a bus-bar on the anti-reflection layer; and connecting the buried grid electrode with the bus-bar in the traversing direction underneath through the anti-reflection layer. Accordingly, the invention provides a selective emitter solar cell. With the method of the invention, emitters and bus-bars are made separately, the width of the emitters can be reduced according to actual needs, the area that is unnecessarily taken may be reduced, the effective area for a solar cell panel to receive sunlight may be increased. The invention improves conversion efficiency of a selective emitter solar cell panel from 16.5% to 18% or more. | 02-18-2010 |
20100043874 | NANOSTRUCTURED SOLAR CELL - A solar cell having a nanostructure. The nanostructure may include nanowire electron conductors having a fractal structure with a relatively large surface area. The electron conductors may be loaded with nanoparticle quantum dots for absorbing photons. The dots may be immersed in a carrier or hole conductor, initially being a liquid or gel and then solidifying, for effective immersion and contact with the dots. Electrons may move flow via a load from the electron conductors to the holes of the carrier conductor. The solar cell may be fabricated, for example, with an additive process using roll-to-roll manufacturing. | 02-25-2010 |
20100043875 | LUMINESCENT SOLAR COLLECTOR - A luminescent solar collector comprises a sheet and a light energy converter operatively connected to the sheet, the sheet comprising a thermoplastic polymer and dispersed therein at least two dyes A and B; wherein dye A is a fluorescent dye of Formula (I) and dye B is either a perylene dye of Formula (II) or a diketopyrrolopyrrole dye of Formula (III): | 02-25-2010 |
20100043876 | SOLVENT SYSTEM - Improved organic photovoltaic cells including a composition useful for forming an active layer which comprises (a) at least one p-type material, (b) at least one n-type material, (c) at least one first solvent and (d) at least one second solvent, wherein the first solvent is different from the second solvent, and the first solvent comprises at least one alkylbenzene or benzocyclohexane, and the second solvent comprises at least one carbocyclic compound. The second solvent can be used in lesser amounts but can improve efficiency in cells. | 02-25-2010 |
20100043877 | Hetero-Nanostructures for Solar Energy Conversions and Methods of Fabricating Same - The embodiments disclosed herein relate to hetero-nanostructures for efficient solar energy conversions, and more particularly to the fabrication of titanium dioxide hetero-nanostructures and methods of using same for water splitting. In an embodiment, a hetero-nanostructure includes a plurality of connected and spaced-apart nanobeams linked together at an about 90-degree angle, the plurality of nanobeams including a conductive silicide core having an n-type photoactive titanium dioxide shell. In an embodiment, a device for splitting water to generate hydrogen and oxygen includes a first compartment two-dimensional hetero-nanostructure having a plurality of connected and spaced-apart nanobeams, each nanobeam substantially perpendicular to another nanobeam, the plurality of nanobeams including an n-type photoactive titanium dioxide shell having a highly conductive core; and a second compartment copper-doped titanium dioxide nanostructure, wherein the first compartment and the second compartment are separated by a semi-permeable membrane. | 02-25-2010 |
20100051093 | GLASS PANE WITH LIGHT-CAPTURING SURFACE STRUCTURE - Transparent panes made of glass or of synthetic material, which simultaneously create good light trapping properties by linear structural elements and allow a thermal treatment and hardening of the glass without warping. Groups of parallel elements for which the orientation of the longitudinal extension of the elements alternates from one group to another are formed globally on the surface of the substrate. Moreover, the parallel elements can include a curvature superimposed on their longitudinal extension, which makes it possible to obtain a non-oriented reflected image with a weak screen effect. These panes can be used as covering for photovoltaic components designed to use solar energy, and can also serve a decorative purpose in the construction industry, for example for glazed doors or panes for furniture. | 03-04-2010 |
20100051094 | COPLANAR SOLAR CELL METAL CONTACT ANNEALING IN PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION - A solar cell fabrication process is described that includes etching a cap layer into a front surface of a semiconductor structure, depositing an anti-reflective coating onto the front surface of the semiconductor structure, forming a front electrical contact on the front surface of the semiconductor structure, forming a first back metal contact on a back surface of the semiconductor structure, utilizing a plasma enhanced chemical vapor deposition (PECVD) process to apply a dielectric layer to the first back metal contact, the PECVD process performed at within a temperature environment and for a duration that allows for the annealing of metal associated with the front electrical contact and the first back metal contact, and attaching at least one secondary electrical contact to the dielectric layer. | 03-04-2010 |
20100051095 | Hybrid Photovoltaic Cell Using Amorphous Silicon Germanium Absorbers With Wide Bandgap Dopant Layers and an Up-Converter - A photovoltaic apparatus includes an absorber including a p-layer having a bandgap greater than about 2 eV, an n-layer having a bandgap greater than about 2 eV, and an amorphous SiGe intrinsic layer between the p-layer and the n-layer; a first electrode adjacent to a first side of the absorber; a second electrode adjacent to a second side of the absorber; and an up-converter layer positioned adjacent to the second electrode on an opposite side of the second electrode from the absorber, wherein the up-converter layer includes a plurality of quantum dots of a first material in a matrix of a second material. | 03-04-2010 |
20100051096 | SILICON CARBONITRIDE ANTIREFLECTIVE COATING - An antireflective coating for silicon-based solar cells comprising amorphous silicon carbonitride, wherein the amount of carbon in the silicon carbonitride is from 5 to 25%, a solar cell comprising the antireflective coating, and a method of preparing the antireflective coating. | 03-04-2010 |
20100051097 | GEL ELECTROLYTE AND DYE-SENSITIZED SOLAR CELL USING THE SAME - A gel electrolyte including a non-volatile polymer solvent including hydrogen bonding groups with at least two hydrogen bonding sites, and a dye-sensitized solar cell including the gel electrolyte. The dye-sensitized solar cell includes: opposing first and second electrodes; a porous layer disposed between the first and second electrodes, including an adsorbed dye; and the gel electrolyte, which is disposed between the first and second electrodes. | 03-04-2010 |
20100051098 | HIGH QUALITY TCO-SILICON INTERFACE CONTACT STRUCTURE FOR HIGH EFFICIENCY THIN FILM SILICON SOLAR CELLS - A method and apparatus for forming solar cells is provided. In one embodiment, a photovoltaic device includes a first TCO layer disposed on a substrate, a second TCO layer disposed on the first TCO layer, and a p-type silicon containing layer formed on the second TCO layer. In another embodiment, a method of forming a photovoltaic device includes forming a first TCO layer on a substrate, forming a second TCO layer on the first TCO layer, and forming a first p-i-n junction on the second TCO layer. | 03-04-2010 |
20100051099 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A method of manufacturing a solar cell includes forming jagged portions non-uniformly on a surface of a substrate, forming a first type semiconductor and a second type semiconductor in the substrate, forming a first electrode to contact the first type semiconductor, and forming a second electrode to contact the second type semiconductor. An etchant used in a wet etching process in manufacturing the solar cell includes about 0.5 wt % to 10 wt % of HF, about 30 wt % to 60 wt % of HNO | 03-04-2010 |
20100051100 | PHOTOVOLTAIC WINDABLE COMPOSITE AND SOLAR PROTECTIVE DEVICE COMPRISING SUCH A COMPOSITE - The object of the present invention is a photovoltaic windable composite comprising at least one photovoltaic cell, a textile panel and a bond layer providing a bonding between said at least one photovoltaic cell and said textile panel. Typically, the exterior side of the textile panel comprises at least two electrically conductive areas separated by a non electrically conductive area, and the bond layer comprises at least two electrically conductive areas emerging on the exterior and interior sides of said bond layer and separated by a non conductive area. Both conductive areas of the bond layer are arranged between the photovoltaic cell and the superior side of the textile panel in order that the positive and negative poles said photovoltaic cell are in electrically conductive connection with both conductive areas of the textile panel. | 03-04-2010 |
20100051101 | Electrode of flexible dye-sensitized solar cell, manufacturing method thereof and flexible dye-sensitized solar cell - A flexible dye-sensitized solar cell, an electrode of a flexible dye-sensitized solar cell and a method of manufacturing the flexible dye-sensitized solar cell are disclosed. The method of manufacturing the flexible dye-sensitized solar cell in accordance with an embodiment of the present invention includes: forming a separation layer on a carrier; forming a dye-absorption layer on the separation layer; forming a carbon-nanotube layer on the dye-absorption layer; forming a cathode polymer layer on the carbon-nanotube layer, in which the cathode polymer layer is flexible; and separating the carrier by removing the separation layer. Although the high temperature annealing process associated with the dye-sensitized solar cell is required, a flexible cathode transparent electrode can be manufactured by using the carbon nanotube, because the cathode can be manufactured by using the carbon nanotube and a flexible transparent board is used. | 03-04-2010 |
20100059111 | Solar Cell Module having Multiple Module Layers and Manufacturing Method Thereof - A solar cell module includes a bottom module layer formed on a first substrate and absorbing a greater fraction of light energy in a first wavelength band than in a second wavelength band. The first wavelength band includes a shorter wavelength than any wavelength in the second wavelength band. A top module layer is formed on the bottom module layer to absorb a greater fraction of light energy in the second wavelength band than in the first wavelength band. A second substrate is formed on the top module layer. A reflecting filter is provided between the bottom module layer and the top module layer. The reflecting filter reflects a greater fraction of light energy in the first wavelength band than in the second wavelength band and transmits a greater fraction of light energy in the second wavelength band than in the first wavelength band. | 03-11-2010 |
20100059112 | Photovoltaic Devices Including Mg-Doped Semiconductor Films - A photovoltaic cell can include a dopant in contact with a semiconductor layer. | 03-11-2010 |
20100059113 | DYE-SENSITIZED SOLAR CELL - A dye-sensitized solar cell is provided, which includes glass substrates ( | 03-11-2010 |
20100059114 | SOLAR CELL - A solar cell includes a p-n structure having a first conductive semiconductor substrate, a second conductive semiconductor layer formed on the first conductive semiconductor substrate and having a conduction opposite to the first conductive semiconductor substrate, and a p-n junction formed at an interface between the first conductive semiconductor substrate and the second conductive semiconductor layer; a passivated layer formed on the second conductive semiconductor layer and composed of silicon oxynitride with a refractive index of 1.45 to 1.70; an anti-reflection film formed on the passivated layer and composed of silicon nitride; a front electrode connected to the second conductive semiconductor layer with passing through a part of the passivated layer and the anti-reflection film and exposed outward; and a rear electrode formed at an opposite side to the front electrode with the first conductive semiconductor substrate being interposed therebetween to be connected to the first conductive semiconductor substrate. | 03-11-2010 |
20100059115 | Coated Substrates and Semiconductor Devices Including the Substrates - A photovoltaic cell can include a substrate having a transparent conductive oxide layer and an antireflective layer. The layers can be deposited by sputtering or by chemical vapor deposition. | 03-11-2010 |
20100059116 | ALUMINUM PASTES AND USE THEREOF IN THE PRODUCTION OF SILICON SOLAR CELLS - Described are aluminum pastes comprising spherical-shaped and nodular-shaped particulate aluminum and an organic vehicle and their use in forming p-type aluminum back electrodes of silicon solar cells. | 03-11-2010 |
20100065113 | Grooved dye-sensitized solar cell structure and method for fabricating the same - The present invention discloses a grooved dye-sensitized solar cell structure and a method for fabricating the same. The method of the present invention comprises providing a titanium plate having at least one groove; forming insulation layers on the grooves; forming a plurality of titanium dioxide units on the titanium plate each containing a plurality of titanium dioxide nanotubes, wherein each groove is arranged in between two adjacent titanium dioxide units; making the titanium dioxide units absorb a photosensitive dye; forming a transparent conductive film over the insulation layers and the titanium dioxide units; and filling an electrolyte into spaces each enclosed by the transparent conductive film, the titanium dioxide unit, the insulation layers. The present invention not only increases the electron transmission efficiency and photoelectric conversion efficiency but also promote the uniformity of the semiconductor layer. | 03-18-2010 |
20100065114 | Dye-sensitized solar cell structure and method for fabricating the same - The present invention discloses a dye-sensitized solar cell structure and a method for fabricating the same. The method of the present invention comprises forming insulation layers on a titanium plate; forming a plurality of titanium dioxide units on the titanium plate each containing a plurality of titanium dioxide nanotubes, wherein each insulation layer is arranged in between two adjacent titanium dioxide units; making the titanium dioxide units absorb a photosensitive dye; forming a transparent conductive film over the insulation layers and the titanium dioxide units; and filling an electrolyte into spaces each enclosed by the transparent conductive film, the titanium dioxide unit, the insulation layers. The present invention not only increases the electron transmission efficiency and photoelectric conversion efficiency but also promote the uniformity of the semiconductor layer. | 03-18-2010 |
20100065115 | SOLAR CELL MODULE AND SOLAR CELL MODULE MANUFACTURING METHOD - Provided are a solar cell module and a solar cell module manufacturing method, the solar cell module being capable of maintaining a higher power generation capacity even if water infiltrates. In a solar cell module | 03-18-2010 |
20100065116 | Impact Resistant Thin-Glass Solar Modules - Methods and devices are provided for solar module designs. In one embodiment, a durable thin glass solar module is provided. The system comprises of a photovoltaic module with at least one layer comprised of a thin glass layer with protection which protects against microcracks (radial and concentric) which may form during hail impacts. | 03-18-2010 |
20100065117 | SOLAR CELL AND TEXTURING METHOD THEREOF - The present invention relates to a solar cell. The solar cell includes a substrate of a first conductive type, the substrate having a textured surface on which a plurality of projected portions are formed, and surfaces of the projected portions having at least one of a plurality of particles attached thereto and a plurality of depressions formed thereon; an emitter layer of a second conductive type opposite the first conductive type, the emitter layer being positioned in the substrate so that the emitter layer has the textured surface; an anti-reflection layer positioned on the emitter layer which has the textured surface and including at least one layer; a plurality of first electrodes electrically connected to the emitter layer; and at least one second electrode electrically connected to the substrate. | 03-18-2010 |
20100065118 | COMPOSITION AND METHOD OF PREPARING NANOSCALE THIN FILM PHOTOVOLTAIC MATERIALS - A photo-absorbing layer for use in an electronic device; the layer including metal alloy nanoparticles copper, indium and/or gallium made preferably from a vapor condensation process or other suitable process, the layer also including elemental selenium and/or sulfur heated at temperatures sufficient to permit reaction between the nanoparticles and the selenium and/or sulfur to form a substantially fused layer. The reaction may result in the formation of a chalcopyrite material. The layer has been shown to be an efficient solar energy absorber for use in photovoltaic cells. | 03-18-2010 |
20100065119 | ELECTRODE HAVING A CoS LAYER THEREON, PROCESS OF PREPARATION, AND USES THEREOF - The present invention relates to an electrode comprising a non-conductive substrate, a first layer and a second layer. The first layer is disposed on the substrate and comprises indium tin oxide or fluorine-doped SnO | 03-18-2010 |
20100071759 | Electrochemical Device and Method of Fabricating the Same - A method of forming an electrode including an electrochemical catalyst layer is disclosed, which comprises forming a graphitized porous conductive fabric layer, optionally conditioning the graphitized porous conductive fabric layer, and dipping the graphitized porous conductive fabric layer into a solution containing polymer-capped noble metal nanoclusters dispersed therein. The polymer-capped noble metal nanoclusters as an electrochemical catalyst layer are adsorbed onto the graphitized porous conductive fabric layer. An electrochemical device with the electrode made thereby is also contemplated. | 03-25-2010 |
20100071760 | ULTRATHIN FILM MULTI-CRYSTALLINE PHOTOVOLTAIC DEVICE - A solar cell photovoltaic device using ultrathin films of polycrystalline silicon and deep uneven surface structures is disclosed. According to one embodiment, the uneven structures include one or more pits having a depth of at least 10 microns. According to another embodiment, the uneven structures include one or more cones or columns having a height or at least 10 microns. Because the unevenness of the structures, the photovoltaic device is able to use a very thin layer of polycrystalline silicon to effectively trap and absorb light. | 03-25-2010 |
20100071761 | Solar Cell Element and Method for Manufacturing the Same - [Object] An object is to provide a solar cell element exhibiting a reduced warp, a low resistance loss and a high adhesion between the silicon substrate and the electrode, and a method for manufacturing the same. | 03-25-2010 |
20100071762 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a p-n structure having a first conductive semiconductor substrate, a second conductive semiconductor layer formed on the first conductive semiconductor substrate and having a conduction opposite to the first conductive semiconductor substrate, and a p-n junction formed at an interface between the first and second conductive semiconductor substrate/layer; a first anti-reflection film formed on the second conductive semiconductor layer and composed of SiNx:H thin film with 40-100 nm thickness; a second anti-reflection film formed on the first anti-reflection film and composed of silicon oxy-nitride; a front electrode formed on the second anti-reflection film in a predetermined pattern and connected to the second conductive semiconductor layer through the first and second anti-reflection films; and a rear electrode formed at an opposite side to the front electrode with the first conductive semiconductor substrate being interposed therebetween to be connected to the first conductive semiconductor substrate. | 03-25-2010 |
20100071763 | NOBLE RUTHENIUM-TYPE SENSITIZER AND METHOD OF PREPARING THE SAME - The present invention relates to a ruthenium-type dye and a making method thereof, and more particularly, to a ruthenium-type dye which is used to manufacture a dye-sensitized solar cell, drastically improves a molar extinction coefficient to enhance efficiency of a solar cell with only a small amount of a dye and oxide semiconductor particles, allows a thin film solar cell element to be manufactured without difficulty and sharply reduces manufacturing costs of a solar cell, and a making method thereof. | 03-25-2010 |
20100071764 | SOLAR CELLS AND METHODS OF FORMING THE SAME - A solar cell is provided with a semiconductor substrate including a light-receiving surface, a back surface, a first region of a first conductivity type disposed on the back surface, a second region of a second conductivity type disposed on the light-receiving surface, and a PN junction at the boundary between the first and second regions. An electrode is provided on the light-receiving surface to expose a portion of the light-receiving surface, and the semiconductor substrate includes a plurality of recesses formed by recessing the exposed portion of the light-receiving surface. The recesses may function as a texturing structure. | 03-25-2010 |
20100078066 | HYBRID SOLAR CELLS VIA UV-POLYMERIZATION OF POLYMER PRECURSOR - A hybrid photovoltaic cell comprising a composite substrate of a nanotube or nanorod array of metal oxide infiltrated with a monomer precursor and subsequently polymerized in situ via UV irradiation. In an embodiment, the photovoltaic cell comprises an electron accepting TiO | 04-01-2010 |
20100078067 | CARBON NANOTUBE FILM BASED SOLAR CELL AND FABRICATING METHOD THEREOF - A carbon nanotube-based solar cell and fabricating method thereof are provided. The method is achieved by applying carbon nanotube film ( | 04-01-2010 |
20100078068 | SOLAR CELL WITH EMBEDDED ELECTRODE - A solar cell includes a silicon substrate, an anti-reflection coating (ARC) layer, an embedded electrode and a back-side electrode. The silicon substrate has a front side and a back side. The silicon substrate has a P | 04-01-2010 |
20100078069 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a solar cell, including: forming a first conductivity type semiconductor layer extending along a predetermined direction on aback surface of a semiconductor substrate that has a light-receiving surface and the back surface opposite to the light-receiving surface, the first-conductivity-type semiconductor layer being divided into plural island-shaped sections arranged side by side in the predetermined direction; forming a semiconductor layer of a second conductivity type in the predetermined direction on the back surface; and forming conductive layers respectively on the first-conductivity-type semiconductor layer and the second-conductivity-type semiconductor layer by using a conductive paste, the conductive layer to be formed on the first-conductivity-type semiconductor layer being formed by a printing method such that the conductive layer to be formed on the first-conductivity-type semiconductor layer extends on a line of the plural island-shaped sections to bridge adjacent two of the plural island-shaped sections. | 04-01-2010 |
20100078070 | SOLAR BATTERY - A solar battery, which contains a transparent conductive layer having an average transmittance of 80% or more with an electromagnetic wave having a wavelength of 1,100 nm to 2,000 nm, and a sheet resistance of 20 ohm/sq. or less, in which the transparent conductive layer contains metal nanowires. | 04-01-2010 |
20100084014 | PHOTOVOLTAIC DEVICES FABRICATED FROM NANOSTRUCTURED TEMPLATE - Photovoltaic devices, such as solar cells, and methods for their manufacture are disclosed. A device may be characterized by an architecture having a nanostructured template made from an n-type first charge transfer material with template elements between about 1 nm and about 500 nm in diameter with about 10 | 04-08-2010 |
20100084015 | THIN-FILM SOLAR CELL - This invention discloses a thin-film solar cell, provided with a plurality of unit cells, comprising a substrate, a front electrode layer, an absorber layer and a back electrode layer stacked in such a sequence. The thin-film solar cell further includes at least a defect formed at least in the back electrode layer, and the defect has at least an isolation groove of a closed curve formed around the defect. | 04-08-2010 |
20100089444 | Method of making front electrode of photovoltaic device having etched surface and corresponding photovoltaic device - Certain example embodiments of this invention relate to a photovoltaic (PV) device including an electrode such as a front electrode/contact, and a method of making the same. In certain example embodiments, the front electrode has a textured (e.g., etched) surface that faces the photovoltaic semiconductor film of the PV device. The front electrode has a transparent conductive oxide (TCO) film having first and second layers (continuous or discontinuous) of the same material (e.g., zinc oxide, zinc aluminum oxide, indium-tin-oxide, or tin oxide), where the first TCO layer is sputter-deposited using a ceramic sputtering target(s) and the second TCO layer of the same material is sputter-deposited using a metallic or substantially metallic sputtering target(s). This allows the better quality TCO of the film, deposited more slowly via the ceramic target(s), to be formed using the ceramic target and the lesser quality TCO of the film to be deposited more quickly and cost effectively via the metallic target(s). After the etching, most or all of the better quality ceramic-deposited TCO remains whereas much of the lesser quality metallic-deposited TCO of the film was removed during the etching process. | 04-15-2010 |
20100089445 | BACK SIDE PROTECTIVE SHEET FOR SOLAR CELL AND SOLAR CELL MODULE COMPRISING THE SAME - Provided are a back side protective sheet for a solar cell, which is capable of enhancing performance of adhesion to an EVA resin as a filler used to seal the solar cell elements, of maintaining weather resistance for a long period of time, and of reducing a weight thereof; and a solar cell module including the back side protective sheet for a solar cell. The back side protective sheet ( | 04-15-2010 |
20100089446 | SOLAR CELL SEALING FILM AND SOLAR CELL INCLUDING THE SEALING FILM - An object of the present invention is to provide a solar cell sealing film which can suppress expansion of the solar cell. A solar cell sealing film including ethylene-vinyl acetate copolymer and a crosslinker is provided, wherein the crosslinker is an organic peroxide having an activation energy of 140 kJ/mol or more, and a value obtained by multiplying the content of the organic peroxide based on 100 parts by weight of the ethylene-vinyl acetate copolymer by the theoretical active oxygen content of the organic peroxide is in the range of 3 to 18. | 04-15-2010 |
20100089447 | CONDUCTIVE GRIDS FOR SOLAR CELLS - Embodiments of the present inventions provide structures and methods for manufacturing high electrical conductivity grid patterns having minimum shadowing effect on the illuminated side of the solar cells. To manufacture a conductive grid for a solar cell, a first conductive layer is initially formed over a transparent conductive oxide layer of a solar cell. The first conductive layer has a pattern including a busbar and fingers connected to the busbar. Next, a second conductive layer is formed on the first conductive layer. In one embodiment, the first conductive layer includes silver and the second conductive layer includes carbon nano tube material, or the first conductive layer includes carbon nano tube material and the second conductive layer includes silver. | 04-15-2010 |
20100096004 | SOLAR CELL WITH NANOSTRUCTURE ELECTRODE(S) - A solar cell comprising at least one nanostructure-film electrode is discussed. The solar cell may further comprise a different conducting material, such as a conducting polymer, to fill pores in the nanostructure-film. Additionally or alternatively, the solar cell may comprise an electrode grid superimposed on the nanostructure-film. Likewise, the solar cell may have a single or multiple active layer(s), wherein nanostructure-film(s) may form at least semi-transparent anode(s) and/or cathode(s) through use of buffer layer(s). | 04-22-2010 |
20100096005 | Ruthenium complex and photoelectric component using the same - The present invention relates to a ruthenium complex represented by the following formula (I): | 04-22-2010 |
20100096006 | MONOLITHIC IMOD COLOR ENHANCED PHOTOVOLTAIC CELL - Devices incorporating an interferometric stack in a photovoltaic device and method of manufacturing a photovoltaic device comprising an interferometric stack. In one example, a photovoltaic device includes a photovoltaic active layer, an absorber layer, and a first optical resonant cavity layer. The optical resonant cavity layer is disposed between the absorber layer and photovoltaic active layer forming an interferometric modulator. The interferometric modulator is configured to reflect a uniform color. In another example, a method of manufacturing a photovoltaic device includes depositing a photovoltaic active layer on an interferometric stack. The interferometric stack can include an absorber layer and a first optical resonant cavity. The photovoltaic active layer is deposited on the optical resonant cavity and the formed photovoltaic device is reflects a uniform color. | 04-22-2010 |
20100096007 | PHOTOVOLTAIC CELL FRONT FACE SUBSTRATE AND USE OF A SUBSTRATE FOR A PHOTOVOLTAIC CELL FRONT FACE - The invention relates to a photovoltaic cell comprising a photovoltaic absorbent material, said cell including a front face substrate ( | 04-22-2010 |
20100096008 | SEMITRANSPARENT CRYSTALLINE SILICON THIN FILM SOLAR CELL - Provided is a semitransparent crystalline silicon thin film solar cell using a crystalline silicon thin film, including a transparent substrate, an antireflection layer, first transparent electrodes, electricity generation regions, second transparent electrodes, insulating layers. The electricity generation regions include crystalline silicon thin films. Accordingly, the semitransparent crystalline silicon thin film solar cell has a simpler manufacturing process as compared with a semitransparent thin film solar cell using a conventional amorphous thin film and can control transmittance by controlling a thickness of the crystalline thin film without additional apparatuses. | 04-22-2010 |
20100096009 | POROUS SILICA, OPTICAL-PURPOSE LAYERED PRODUCT AND COMPOSITION, AND METHOD FOR PRODUCING POROUS SILICA - There is provided porous silica having a low refractive index and being stable when exposed to water. | 04-22-2010 |
20100096010 | INGAP HETEROJUNCTION BARRIER SOLAR CELLS - A new solar cell structure called a heterojunction barrier solar cell is described. As with previously reported quantum-well and quantum-dot solar cell structures, a layer of narrow band-gap material, such as GaAs or indium-rich InGaP, is inserted into the depletion region of a wide band-gap PN junction. Rather than being thin, however, the layer of narrow band-gap material is about 400-430 nm wide and forms a single, ultrawide well in the depletion region. Thin (e.g., 20-50 nm), wide band-gap InGaP barrier layers in the depletion region reduce the diode dark current. Engineering the electric field and barrier profile of the absorber layer, barrier layer, and p-type layer of the PN junction maximizes photogenerated carrier escape. This new twist on nanostructured solar cell design allows the separate optimization of current and voltage to maximize conversion efficiency. | 04-22-2010 |
20100101639 | Optoelectronic device having a multi-layer solder and manufacturing method thereof - An optoelectronic device having a multi-layer solder is disclosed. It included a semiconductor stack, an ohmic layer and a multi-layer solder including a plurality of first type conductive material layers and a plurality of second type conductive material layers. The plurality of first type conductive material layers and the plurality of second type conductive material layers are interlaced each other and the first type conductive material layer is an alloy layer and the second type conductive material layer is a metal layer. | 04-29-2010 |
20100101640 | Optical structure and solar cell using the same - An optical structure is characterized by improving a primary lens of a photovoltaic concentrator system. The optical structure is accomplished by properly dividing the primary lens, determining required optical operational regions, and arranging the optical operational regions basing on an identical location into an annular array, thereby forming the complete optical structure. The optical structure facilitates enhancing uniformity of light distribution throughout the optical operational regions, improving photoelectric conversion efficiency of a solar cell having the optical structure, and reducing operational distance between the primary lens and the solar cell. | 04-29-2010 |
20100101641 | SOLAR CELL COATING AND METHOD FOR MANUFACTURING THE SAME - A solar cell coating and a method for manufacturing the solar cell coating. The solar cell coating is formed by adding a low bandgap material, a semiconductor material and a conductive polymer to a solvent or performing high-temperature milling on a mixture formed by mixing a conductive polymer material, a low bandgap material and a semiconductor material so that the solar cell coating exhibits high capability in transporting carriers effectively to transmit the electrons and holes to respective electrodes rapidly. Since the low bandgap material exhibits a small bandgap, MEG takes place to generate a plurality of electro-hole pairs when a photon is absorbed by the low bandgap material. Besides, by mixing the three materials corresponding to different conductive and valence bands respectively, a ladder structure formed by the HOMO and the LUMO corresponding to the three materials respectively will assist effective and rapid carrier transport. | 04-29-2010 |
20100101642 | Large-Area Transparent Electroconductive Film and Method of Making the Same - A large-area transparent electroconductive film having a high visible light transmittance, a suitable haze and a low sheet resistance and having an excellent in uniformity on a film surface and which does not require special crystal orientation. The large-area transparent electroconductive film according to the present invention is characterized in that the film is a fluorine-doped tin oxide film having a film thickness of 0.3 to 1 μm, an average light transmittance is 70 to 90% in a wavelength range of 400 to 800 nm, a haze is 2 to 20% and a sheet resistance is 2 to 15 Ω/□. | 04-29-2010 |
20100101643 | COMPOUND, PHOTOELECTRIC CONVERTER AND PHOTOELECTROCHEMICAL CELL - A complex compound (I) obtained by coordinating a compound represented by the following formula (II), hereinafter abbreviated as compound (II), to a metal atom. In the formula, R | 04-29-2010 |
20100101644 | ELECTROLYTE COMPOSITION AND DYE-SENSITIZED SOLAR CELL (DSSC) COMPRISING THE SAME - Disclosed herein is a dye-sensitized solar cell. The dye-sensitized solar cell includes a semiconductor electrode with a dye adsorbed thereon; a counter electrode; and an electrolyte composition provided between the semiconductor electrode and the counter electrode; wherein the electrolyte composition comprises an oxidation-reduction mediator and a eutectic ionic liquid including a choline halide or derivatives thereof mixed with alcohols or urea. | 04-29-2010 |
20100101645 | ELECTRODE OF DYE-SENSITIZED SOLAR CELL, MANUFACTURING METHOD THEREOF AND DYE-SENSITIZED SOLAR CELL - A dye-sensitized solar cell, an electrode of the dye-sensitized solar cell, a method of manufacturing the electrode of the dye-sensitized solar cell are disclosed. The method of manufacturing the electrode of the dye-sensitized solar cell in accordance with an embodiment of the present invention includes: forming a metal transparent electrode on one surface of a transparent polymer board, in which the metal transparent electrode has holes formed therein; forming a electron transfer layer on the metal transparent electrode; and absorbing photosensitive dye into the electron transfer layer. According to the method as set forth above, a flexible solar cell can be implemented by using a flexible electrode, and another transparent electrode layer using ITO can be omitted by using the nano-patterned metal transparent electrode. Therefore, the highly efficient dye-sensitized solar cell can be implemented by the excellent conductivity of metals and the plasmon effect. | 04-29-2010 |
20100108132 | NANO-DEVICES AND METHODS OF MANUFACTURE THEREOF - Disclosed herein is a nanodevice. Disclosed herein too is a method of manufacturing a nanodevice. In one embodiment the nanodevice includes a first substrate; a second substrate; a nanowire; the nanowire contacting the first substrate and the second substrate; the nanowire comprising a metal, a semi-conductor or a combination thereof. | 05-06-2010 |
20100108133 | Thin Film Semiconductor Photovoltaic Device - A substantially transparent substrate having first and second major surfaces and a plurality of side surfaces; a thin-film semiconductor layer coupled to the first major surface of the substrate and including first and second major surfaces and at least one photo-sensitive p-n junction therein; and a light directing feature operable to cause incident light to propagate through the substrate and into the semiconductor layer in a waveguide mode such that the light reflects a plurality of times between the first and second major surfaces of the semiconductor layer and impinges upon the p-n junction a plurality of times | 05-06-2010 |
20100108134 | Thin two sided single crystal solar cell and manufacturing process thereof - A design and manufacturing method for a photovoltaic (PV) solar cell less than 100 μm thick are disclosed. A porous silicon layer is formed on a wafer substrate. Portions of the PV cell are then formed using diffusion, epitaxy and autodoping from the substrate. All front side processing of the solar cell (junctions, passivation layer, anti-reflective coating, contacts to the N | 05-06-2010 |
20100108135 | DYE-SENSITIZED PHOTOELECTRIC CONVERSION ELEMENT MODULE AND A METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - A dye-sensitized photoelectric conversion device is formed by sequentially arranging a dye-sensitized semiconductor layer ( | 05-06-2010 |
20100108136 | SOLAR CELL - A solar cell includes a photoelectric conversion module having a light incident surface for receiving light and converting the light into electric energy, and glass layer containing europium therein applied on the light incident surface. The glass layer modulates wavelength of received light to a higher level and transmits modulated light to the light incident surface. | 05-06-2010 |
20100108137 | CRYSTALLINE SOLAR CELL HAVING STACKED STRUCTURE AND METHOD OF MANUFACTURING THE CRYSTALLINE SOLAR CELL - Provided are a crystalline solar cell having a stacked structure capable of increasing light absorption efficiency and preventing deterioration in a semiconductor and a method of manufacturing the crystalline solar cell. The crystalline solar cell having a stacked structure includes a non-conductive lattice buffer layer which is made of a non-conductive material and formed between crystalline solar cell layers, wherein the non-conductive lattice buffer layer electrically connects the solar cell layers to each other by a tunneling effect. The method of manufacturing the crystalline solar cell includes steps of forming a crystalline first solar cell layer, forming a non-conductive lattice buffer layer using a non-conductive material on the first solar cell layer, and forming a crystalline second solar cell layer on the non-conductive lattice buffer layer. | 05-06-2010 |
20100108138 | Photovoltaic silicon solar cells - A photovoltaic semiconductor solar cell with a backside semiconductor-oxide-nitride-oxide nonvolatile charge storage structure (referred to as a “PHONOS solar cell”) is disclosed. The PHONOS solar cell includes a semiconductor surface region, a semiconductor bulk region, and a backside structure that includes the SONO nonvolatile charge storage structure and a backside contact. The backside SONO nonvolatile charge storage structure greatly improves solar cell efficiency gains by eliminating “backside” losses, i.e., losses due to the recombination of photo-generated minority charge carriers created by the incident sunlight. The PHONOS solar cell is a highly efficient, ultra-thin, semiconductor solar cell that can be manufactured at low cost. | 05-06-2010 |
20100108139 | Gallium-Doped Monocrystalline Silicon Solar Cell and Manufacture Method for the Same - A manufacture method for a gallium-doped monocrystalline silicon solar cell is provided. The method includes classifying the sheets of gallium-doped monocrystalline silicon according to resistivity; texturing and washing the sheets of gallium-doped monocrystalline silicon; diffusing the classified, textured and washed sheets of gallium-doped monocrystalline silicon; etching and depositing the sheets of gallium-doped monocrystalline silicon; and metalizing the sheets of gallium-doped monocrystalline silicon. Advantageously, Light Induced Degradation (LID) is efficiently, economically and conveniently suppressed, the light induced efficiency degradation of monocrystalline silicon solar cell can be controlled within 1%, and meanwhile, the effect of the uneven resistivity distribution of gallium-doped monocrystalline on the cell process is reduced. | 05-06-2010 |
20100108140 | DEVICE CAPABLE OF THERMALLY COOLING WHILE ELECTRICALLY INSULATING - The present disclosure relates to a device for thermally cooling while electrically insulating. The device contains a first adhesive layer, substrate, a second adhesive layer and a heat sink. The first adhesive layer and the second adhesive layer are a vinyl or acrylic based polymer. The adhesive layers and the substrate may contain thermally conductive fillers, light absorbing pigments or mixtures of both. | 05-06-2010 |
20100108141 | METHOD FOR CONNECTING CONDUCTOR, MEMBER FOR CONNECTING CONDUCTOR, CONNECTING STRUCTURE AND SOLAR CELL MODULE - The electric conductor connection method of the invention is a method for electrical connection between a mutually separated first electric conductor and second electric conductor, comprising a step of hot pressing a metal foil, a first adhesive layer formed on one side of the metal foil and a first electric conductor, arranged in that order, to electrically connect and bond the metal foil and first electric conductor, and hot pressing the metal foil, the first adhesive layer or second adhesive layer formed on the other side of the metal foil, and the second electric conductor, arranged in that order, to electrically connect and bond the metal foil and the second electric conductor. | 05-06-2010 |
20100116328 | Process For Producing Photovoltaic Device And Photovoltaic Device - A process for producing a photovoltaic device having a high conversion efficiency with improved productivity. The process for producing a photovoltaic device includes an n-layer formation step of depositing an n-layer composed of crystalline silicon on a substrate disposed inside a deposition chamber under reduced pressure conditions by heating the substrate with a heating device to convert the substrate to a heated state, supplying a raw material gas to the inside of the deposition chamber, and then supplying power to a discharge electrode positioned opposing the substrate, wherein the n-layer formation step comprises depositing the n-layer with the pressure inside the deposition chamber set to not less than 500 Pa and not more than 1,000 Pa, and the distance between the substrate and the discharge electrode set to not less than 6 mm and not more than 12 mm. | 05-13-2010 |
20100116329 | METHODS OF FORMING HIGH-EFFICIENCY SOLAR CELL STRUCTURES - Methods for forming solar cells include forming, over a substrate, a first junction comprising at least one III-V material and having a threading dislocation density of less than approximately 10 | 05-13-2010 |
20100116330 | SOLAR CELL MODULE, SOLAR CELL WIRING MEMBER, AND METHOD OF MANUFACTURING SOLAR CELL MODULE - A solar cell module of the present invention is provided with: a unit solar cell ( | 05-13-2010 |
20100116331 | PHOTOVOLTAIC DEVICE AND PROCESS FOR PRODUCING SAME - A photovoltaic device and a process for producing the device that enables a higher level of performance to be achieved at low cost. The photovoltaic device includes at least two laminated photovoltaic layers, and an intermediate layer that is disposed between the two photovoltaic layers and connects the two photovoltaic layers electrically and optically, wherein the surface of the intermediate layer has a plasma-resistant protective layer. | 05-13-2010 |
20100116332 | TRANSPARENT SUBSTRATE PROVIDED WITH AN IMPROVED ELECTRODE LAYER - This substrate, having a glass function, is combined with a textured electrode comprising at least one transparent conductive layer based on one or more metal oxides, said layer being covered with at least one functional layer of an element capable of collecting light, and is covered with an interface layer having a textured part comprising a repetition of periodic or aperiodic features in relief. | 05-13-2010 |
20100116333 | InGaN Columnar Nano-Heterostructures For Solar Cells - Methods, devices, and compositions of matter related to high efficiency InGaN-based photovoltaic devices. The disclosed synthesis of semiconductor heterostructures may be exploited to produce higher efficiency, longer lasting, photovoltaic cells. | 05-13-2010 |
20100122727 | Method for fabricating III-V compound semiconductor solar cell and structure thereof - A method for fabricating a III-V compound semiconductor solar cell includes forming a window layer made of III-V compound material over a top surface of an solar cell structure; forming a periodic array of hole textures of the window layer by using a lithography and etching process; and depositing an anti-reflection coating film to cover the window layer. A III-V compound solar cell structure is also provided to enhance the conversion efficiency of photovoltaic. | 05-20-2010 |
20100122728 | Photovoltaic device using low iron high transmission glass with antimony and reduced alkali content and corresponding method - A high transmission low iron glass includes antimony, has reduced total alkali content, and increased silica content, and is suitable for use in photovoltaic devices (e.g., solar cells) or the like. A method of making the glass is also provided. In certain example embodiments, the glass composition may be made on a pattern line with a highly positive batch redox. | 05-20-2010 |
20100122729 | Dye compound and photoelectric component using the same - The present invention relates to a dye compound represented by the following formula (I), or a salt thereof: | 05-20-2010 |
20100126574 | Melt Planarization Of Solar Cell Bus Bars - Solar cells include bus bars and high aspect-ratio gridlines that are printed on a substrate, and localized melting is induced to slump or flatten the gridline “vertex” portions that are disposed on the bus bars, while maintaining the high aspect-ratio of gridlines portions disposed on the substrate between the bus bars. The localized melting process is induced using one of several disclosed methods, such as rheological melting in which the two printed inks produce a compound that is relatively liquid. Localized melting is also induced using a deliquescing material (e.g., a flux or a solvent film) that is applied to the bus bar or gridline material. Also, eutectic melting is induced using a processing temperature that is between a melting point of the combined gridline/bus bar inks and the individual melting points of the inks alone. Laser-based melting and the use of copolymers is also disclosed. | 05-27-2010 |
20100126575 | TEXTURED TRANSPARENT CONDUCTIVE LAYER AND METHOD OF PRODUCING IT - The textured transparent conductive layer according to the invention is deposited on a substrate intended for a photoelectric device and exhibiting a surface morphology formed from a sequence of humps and hollows. It is characterized in that its hollows have a rounded base with a radius of more than 25 nm; the said hollows are virtually smooth, which is to say that, where they exhibit microroughnesses, these microroughnesses have a height on average of less than 5 nm; and its flanks form an angle with the plane of the substrate whose median of the absolute value is between 30° and 75°. | 05-27-2010 |
20100126576 | SILICON MATERIAL SURFACE ETCHING FOR LARGE POLYSILICON THIN FILM DEPOSITION AND STRACTURE - A method for forming a photovoltaic cell. The method includes providing a first silicon material characterized by a resistivity less than about 0.5 ohm cm | 05-27-2010 |
20100126577 | GUIDED MODE RESONANCE SOLAR CELL - A guided mode resonance solar cell includes a solar cell body and a guided mode resonance unit. The solar cell body is used for converting optical energy into electrical energy. The guided mode resonance unit is formed on the solar cell body, and includes a grating structure and a waveguide structure. The grating structure includes multiple sub-wavelength light pillars. When a light emitted from a light source is incident onto the grating structure, a resonant of the light occurs in the grating structure to facilitate trapping the light in the waveguide structure and elongating an optical path length. | 05-27-2010 |
20100126578 | WORKING ELECTRODE, DYE-SENSITIZED SOLAR CELL HAVING SAME AND METHOD FOR MAKING SAME - An exemplary working electrode includes a transparent conductive substrate, a nanorod layer formed on the transparent conductive substrate, and a porous semiconductor layer formed on the nanorod layer. The nanorod layer includes a plurality of nanorods. Each nanorod is comprised of a material selected from the group consisting of iridium-iridium oxide and ruthenium-ruthenium oxide. The porous semiconductor layer has a dye sensitizer adsorbed thereon. | 05-27-2010 |
20100126579 | SOLAR CELL HAVING REFLECTIVE STRUCTURE - A solar cell having a reflective structure is provided, which includes a front contact, a P layer, an I layer, an N layer, and a back contact that are stacked together. The solar cell having the reflective structure is characterized in that the N layer is a layer of low refraction index, and a refraction index of the layer of low refraction index is lower than that of the I layer. Furthermore, the N layer may be a multi-layer structure consisting of several films in which films with low refraction indexes and films with high refraction indexes are stacked alternately. The film in contact with the I layer in the multi-layer structure is a film of low refraction index. A refraction index of the film of low refraction index is lower than that of the I layer. | 05-27-2010 |
20100126580 | CdTe deposition process for solar cells - An inexpensive system is provided for manufacturing a CdTe solar cell in a single pass using sputtering without the need for a wet process and without the need for high temperature gas diffusion. Thus, toxic gases and wet chemical baths are advantageously eliminated. A halogen gas, such as chlorine, and oxygen are added during the sputtering of a CdTe film, so that a wet process is eliminated and the deposited CdTe film can be annealed rapidly, such as by a rapid thermal anneal process (RTA). | 05-27-2010 |
20100126581 | SOLAR CELL MODULE - There are provided a frameless solar cell module and a manufacturing method thereof, in which the frameless solar cell module includes a sub-module including a substrate glass, a thin-film solar cell device formed on the substrate glass, a cover glass attached to a light receiving surface side of the thin-film solar cell device, and a filler for adhering and holding the substrate glass and the cover glass, and is characterized in that lamination surfaces at side ends of the sub-module are sealed with a metal sealing material. | 05-27-2010 |
20100126582 | CONDUCTIVE REFLECTIVE FILM AND PRODUCTION METHOD THEREOF - A conductive reflective film which is formed by calcining a substrate on which a composition containing metal nanoparticles is coated, the conductive reflective film including pores which appear on the film contact surface in the substrate side having an average diameter of 100 nm or less, an average depth of 100 nm or less in terms of position of the pores, and a number density of the pores of 30 pores/μm | 05-27-2010 |
20100126583 | THIN FILM SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A thin film solar cell and a method of manufacturing the same are provided. The thin film solar cell includes a substrate; a transparent layer positioned on the substrate and comprising a plurality of microlenses; a first electrode positioned on the transparent layer; an absorption layer to generate electron-hole pairs from incident light, and positioned on the first electrode; and a second electrode positioned on the absorption layer. | 05-27-2010 |
20100132782 | ORGANIC PHOTOVOLTAIC DEVICES COMPRISING FULLERENES AND DERIVATIVES THEREOF - Photovoltaic cells comprising an active layer comprising, as p-type material, conjugated polymers such as polythiophene and regioregular polythiophene, and as n-type material at least one fullerene derivative. The fullerene derivative can be C60, C70, or C84. The fullerene also can be functionalized with indene groups. Improved efficiency can be achieved. | 06-03-2010 |
20100132783 | TRANSPARENT CONDUCTIVE FILM WITH HIGH SURFACE ROUGHNESS FORMED BY A REACTIVE SPUTTER DEPOSITION - Methods for sputter depositing a transparent conductive layer are provided in the present invention. The transparent conductive layer may be utilized as a contact layer on a substrate or a back reflector in a photovoltaic device. In one embodiment, the method includes supplying a gas mixture into a processing chamber, sputtering source material from a target disposed in the processing chamber, wherein the target has dopants doped into a base material, wherein the dopants are selected from a group consisting of boron containing materials, titanium containing materials, tantalum containing materials, tungsten containing materials, alloys thereof, or combinations thereof, and reacting the sputtered material with the gas mixture to deposit a transparent conductive layer on a substrate disposed in the processing chamber. | 06-03-2010 |
20100132784 | DYE SENSITIZED SOLAR CELL WITH SEPARATION MEMBRANE AND METHOD THEREOF - Disclosed herein is a dye-sensitized solar cell provided with a separation membrane between a photoelectrode and a counter electrode, in which, because the separation membrane serves as a support, it is possible to prevent damage thereto, the shorting between the two electrodes, and the leaning phenomenon of an electrolyte, and in which, because the separation membrane serves as a support, unit cells having large areas can be fabricated, so that the effective area thereof is increased, thereby realizing a highly efficient cell. | 06-03-2010 |
20100132785 | DYE-SENSITIZED PHOTOELECTRIC CONVERSION ELEMENT MODULE AND A METHOD OF MANUFACTURING THE SAME, AND PHOTOELECTRIC CONVERSION ELEMENT MODULE AND A METHOD OF MANUFACTURING THE SAME, AND ELECTRONIC APPARATUS - The present invention provides a dye-sensitized photoelectric conversion element module, such as a dye-sensitized solar cell module, which can be structured so as to be lightweight, thin and flexible, and with which a high electric power generation efficiency can be obtained, and a method of manufacturing the same. In a dye-sensitized photoelectric conversion element module having a plurality of dye-sensitized photoelectric conversion elements on a supporting base material, a filmy glass substrate | 06-03-2010 |
20100132786 | PHOTOELECTRIC CONVERSION ELEMENT AND METHOD OF PRODUCING THE SAME - The present invention provides a photoelectric conversion element having a high power generation efficiency, raising no problem of corrosion, and being applicable to a substrate having a low heat resistance, as well as a method of producing the same. It is a photoelectric conversion element formed in such a manner that a reference electrode serving as a negative electrode and a counter electrode serving as a positive electrode are arranged to oppose each other. The reference electrode is constructed by forming a photocatalyst film ( | 06-03-2010 |
20100132787 | ACTIVE SOLAR CELL AND METHOD OF MANUFACTURE - Devices and methods for improving the efficiency of solar cells, and a solar cell thereof. One aspect involves a solar cell with a semiconductor layer ( | 06-03-2010 |
20100132788 | PYROGENIC ZINC OXIDE-COMPRISING COMPOSITE OF LAYERS AND FIELD-EFFECT TRANSISTOR COMPRISING THIS COMPOSITE - Composite of layers which comprises a dielectric layer and a layer which comprises pyrogenic zinc oxide and is bonded to the dielectric layer. Process for producing the composite of layers, in which the pyrogenic zinc oxide is applied to the dielectric layer in the form of a dispersion in which the zinc oxide particles are present with a mean aggregate diameter of less than 200 nm, and the zinc oxide layer is dried and then treated at temperatures of less than 200° C. Process for producing the composite of layers, in which the pyrogenic zinc oxide is applied to a substrate layer or a composite of substrate layers in the form of a dispersion in which the zinc oxide particles are present with a mean aggregate diameter of less than 200 nm to form a zinc oxide layer, and then the zinc oxide layer and the substrate layer are treated at temperatures of less than 200° C., and then a dielectric layer is applied to the zinc oxide layer. Field-effect transistor which has the composite of layers. | 06-03-2010 |
20100132789 | PHOSPHOR, WHITE LIGHT ILLUMIANTION DEVICE AND SOLAR CELL UTILIZING THE SAME - The invention provides phosphors composed of Eu | 06-03-2010 |
20100132790 | Rechargeable Dye Sensitized Solar Cell - A method of using a dye sensitized solar cell includes providing a dye sensitized solar cell having a first electrode having a transparent substrate of a first refractive index, a second electrode having a second transparent substrate of a second refractive index comparable to the first refractive index, and an electrolyte solution in a gap between the first electrode and second electrode. The electrolyte solution is removed from the gap and replaced with an inert fluid having a third refractive index comparable to the first refractive index and the second refractive index to allow light to pass through the cell substantially unrefracted. Alternatively, the inert fluid is in the gap between the first electrode and second electrode, and the inert fluid is removed from the gap and replaced with an electrolyte solution. | 06-03-2010 |
20100139753 | SEMICONDUCTOR DEVICE AND METHOD OF PRODUCING A SEMICONDUCTOR DEVICE - A solar cell module comprises a transparent substrate, e.g., a glass substrate. On top of the glass substrate a layer system is deposited. The layer system comprises a front electrode which may be a transparent conductive oxide (TCO) layer. Furthermore, the layer system comprises a thin film semiconductor layer deposited on the front electrode layer. A back electrode is formed on the thin film semiconductor layer which includes a very thin metal layer having a thickness d smaller than 50 nm. A Lambertian reflective layer is deposited on the thin metal layer in order to reflect light transmitted through the metal layer. | 06-10-2010 |
20100139754 | Solar Cell With Co-Planar Backside Metallization - A solar cell includes two backside metallization materials that are simultaneously extrusion deposited on a semiconductor substrate such that both a back surface field (BSF) metal layer (e.g., Al) and a solder pad metal structure (e.g., AgAl) are coplanar and non-overlapping, and the two metals abut each other to form a continuous metal layer that extends over the backside surface of the substrate. In one embodiment, the solder pad metal is formed directly on the backside surface of the substrate, either by co-extruding the two materials in the form of a continuous sheet, or by depositing spaced apart structures that are then flattened to contact each other by way of an air jet device. In another embodiment, the solder pad metal is disposed over a thin layer of the BSF metal (i.e., either disposed directly on the BSF metal, or disposed on an intervening barrier layer) using a co-extrusion head. | 06-10-2010 |
20100139755 | FRONT CONNECTED PHOTOVOLTAIC ASSEMBLY AND ASSOCIATED METHODS - A photovoltaic device is disclosed herein that, in various aspects, includes a conductive layer, and a substantially crystalline lamina with a first surface oriented toward the conductive layer and a second surface oriented away from the conductive layer. The lamina thickness is within the range between about 0.2 microns and about 50 microns. An aperture passes through the lamina from the first surface to the second surface. A connector in electrical communication with the conductive layer is disposed through the aperture. Methods of manufacture of the photovoltaic devise are also disclosed. | 06-10-2010 |
20100139756 | Simultaneously Writing Bus Bars And Gridlines For Solar Cell - A method for efficiently producing closely-spaced parallel gridlines and perpendicular bus bar structures on a substrate during a single pass of a multi-nozzle printhead assembly over the substrate. A first section of the parallel gridlines is printed adjacent to one edge of the substrate while moving the printhead assembly in a first direction. The printhead assembly is then reciprocated in a second direction (X-axis) orthogonal to the first direction, whereby the extruded material forms a bus bar structure extending perpendicular to the gridlines. Movement of the printhead assembly in the first direction is then resumed to form a second section of the gridlines. The second direction reciprocation process is repeated for each desired bus bar structure. The entire gridline/bus bar printing process is performed without halting the extrusion of material (i.e., using a continuous bead). | 06-10-2010 |
20100139757 | PHOTOVOLTAIC CELL STRUCTURE - A photovoltaic cell structure includes a substrate, a metal layer, a high resistivity layer, a p-type semiconductor layer, an n-type semiconductor layer and a transparent conductive layer. The metal layer may include molybdenum and be formed on the substrate to be a back contact metal layer of the cell. The high resistivity layer (e.g., V | 06-10-2010 |
20100139758 | PHOTOVOLTAIC CELL STRUCTURE AND MANUFACTURING METHOD THEREOF - A photovoltaic cell structure includes a substrate, a metal layer, a p-type semiconductor layer, an n-type semiconductor layer and a transparent conductive layer. The substrate has a rough surface. The metal layer may include molybdenum and be formed on the rough surface. The p-type semiconductor layer is formed on the metal layer and may include CIGSS, CIGS, CIS, or compound of two or more of copper, selenium, sulfur. The n-type semiconductor layer is formed on the p-type semiconductor layer thereby forming a rough p-n junction surface. The n-type semiconductor layer may include CdS. The transparent conductive layer is formed on the n-type semiconductor layer. In an embodiment, the roughness Ra of the rough surface is between 0.01 to 100 μm. | 06-10-2010 |
20100139759 | OPTICAL DEVICE - The present invention relates to an optical device and to a method of fabricating the same. In embodiments, the invention relates to a photovoltaic device or solar cell. The optical device comprises a first electrode and a second electrode and an active element disposed between the first and second electrodes. The active element comprising a plurality of semiconducting structures extending in a lengthwise direction from the first electrode and being in contact with the first and second electrodes; the active element comprises an np-junction. For the semiconducting structures, at least a part of the structures is of a general plate or flake shape. In embodiments, the semiconducting structures have at least one characteristic dimension in the nanometer range. | 06-10-2010 |
20100139760 | Connection and Junction Box for a Solar Module - The invention relates to a connection and junction box ( | 06-10-2010 |
20100139761 | DYE-SENSITIZED SOLAR CELL AND METHOD OF FABRICATING THE SAME - Provided are a dye-sensitized solar cell and a method of fabricating the same. The dye-sensitized solar cell includes a lower substrate, an upper substrate, a dielectric, a semiconductor electrode layer, a dye layer, and an electrolyte. The upper substrate is spaced from the lower substrate and has a light emitting surface facing a surface of the lower substrate and a light incident surface opposite to the light emitting surface. The dielectric is disposed on the surface of the lower substrate. The semiconductor electrode layer includes electrode dots disposed on the dielectric. The dye layer is disposed on surfaces of the electrode dots. The electrolyte is disposed between the lower substrate and the upper substrate. | 06-10-2010 |
20100139762 | COMPOUND-TYPE THIN FILM, METHOD OF FORMING THE SAME, AND ELECTRONIC DEVICE USING THE SAME - An organometal material gas is supplied into a low electron temperature and high density plasma excited by microwaves to form a thin film of a compound on a substrate as a film forming object. In this case, the temperature of a supply system for the organometal material gas is controlled by taking advantage of the relationship between the vapor pressure and temperature of the organometal material gas. | 06-10-2010 |
20100139763 | METHOD FOR PRODUCING AN EMITTER STRUCTURE AND EMITTER STRUCTURES RESULTING THEREFROM - A method for forming an emitter structure on a substrate and emitter structures resulting therefrom is disclosed. In one aspect, a method includes forming, on the substrate, a first layer comprising semiconductor material. The method also includes texturing a surface of the first layer, thereby forming a first emitter region from the first layer, wherein the first emitter region has a first textured surface. The method also includes forming a second emitter region at the first textured surface, the second emitter region having a second textured surface. | 06-10-2010 |
20100139764 | Backside Contact Solar Cell With Formed Polysilicon Doped Regions - A solar cell includes abutting P-type and N-type doped regions in a contiguous portion of a polysilicon layer. The polysilicon layer may be formed on a thin dielectric layer, which is formed on a backside of a solar cell substrate (e.g., silicon wafer). The polysilicon layer has a relatively large average grain size to reduce or eliminate recombination in a space charge region between the P-type and N-type doped regions, thereby increasing efficiency. | 06-10-2010 |
20100147373 | Thin Film Photovoltaic Module With Contoured Deairing Substrate - The present invention provides a thin film photovoltaic module that has a protective substrate, such as glass, that has been contoured to define a space that allows air to avoid entrapment by a bus bar on the thin film photovoltaic device. The contouring of the protective substrate greatly facilitates the deairing and lamination of the module because it reduces or eliminates the amount of trapped air during lamination. | 06-17-2010 |
20100147374 | ELECTRODE OF SOLAR CELL AND FABRICATING METHOD THEREOF - A fabricating method of an electrode of a solar cell includes forming a first electrode layer on a photoelectric conversion layer, forming an antireflective layer on the photoelectric conversion layer to cover the first electrode layer, forming a second electrode layer on the antireflective layer, and performing a sintering process. A material of the first electrode layer does not react with the photoelectric conversion layer and the antireflective layer during the sintering process, while at least a material of the second electrode layer reacts with the antireflective layer during the sintering process. The sintering process is performed, such that the second electrode layer reacts with the antireflective layer, and the second electrode layer penetrates the antireflective layer to electrically connect the first electrode layer. | 06-17-2010 |
20100147375 | MICRO-CONCENTRATORS FOR SOLAR CELLS - A solar cell system comprises a solar cell comprising a grid and a photo-sensitive area, wherein the grid includes a conductor, and an optical micro-structure positioned between the conductors, wherein the micro-structure covers at least a portion of the conductors. | 06-17-2010 |
20100147376 | SOLAR BATTERY DEVICE, METHOD OF PRODUCING SAME, AND ELECTRONIC DEVICE - A solar battery device includes: a substrate; a plurality of solar cells on the substrate; and a surface protector on the solar cell, so as to protect a surface of the solar battery device. The surface protector has an incident surface to which light enters, and which is subjected to an anti-reflection process for preventing reflection of the light, thereby to improve power generation efficiency. The anti-reflection process for examples gives the surface protector a saw-tooth-like shape. The surface protector is made of a synthetic resin. In this way, the present invention provides a solar battery device in which reduction in the power generation efficiency due to the surface protector or the like on the surface thereof is prevented, and which has excellent transportability and applicability to portable devices. | 06-17-2010 |
20100147377 | SOLAR CELL MODULE AND METHOD FOR PRODUCING THE SAME - An object of the present invention is to provide a solar cell module in which a solar cell element connected with a substrate by wire bonding is sealed and which is capable of preventing deformation of a bonding wire. For this object, the solar cell module of the present invention is designed such that the bonding wire is sealed with potting resin so that a surface of the solar cell element, which surface is opposite to the substrate, is exposed. | 06-17-2010 |
20100147378 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell and a method of manufacturing the same are disclosed. The method includes simultaneously forming a first doping region of a first conductive type and a second doping region of a second conductive type opposite the first conductive type into a substrate of the first conductive type, forming a back passivation layer on the first doping region and the second doping region, removing portions of the back passivation layer to expose a portion of the first doping region and a portion of the second doping region, and forming a first electrode and a second electrode that are connected to the exposed portion of the first doping region and the exposed portion of the second doping region, respectively. | 06-17-2010 |
20100154877 | Semiconductor Core, Integrated Fibrous Photovoltaic Device - A cane having optical properties includes: a core formed of a semiconductor material; and a transparent cladding formed of glass, glass-ceramic, or polymer coaxially oriented about the core, the cane may be used to produce a photovoltaic device, including: a semiconductor core including at least one p-n junction, defined by respective n-type and p-type regions; a substantially transparent cladding in coaxial relationship with the semiconductor core, forming a longitudinally oriented cane; and first and second electrodes, each being electrically coupled to a respective one of the n-type and p-type regions. | 06-24-2010 |
20100154878 | Electrode Structure and Fabrication of the Dye-Sensitized Solar Cell - The electrode according to the invention comprises a substrate, an indium tin oxide film and a semiconductor layer and is produced under a processing condition that the substrate is subjected to ITO sputtering in a sputter chamber at a temperature of less than 200° C., preferably without being treated with heat, and then undergoes a high temperature treatment so as to form a stable ITO film. By this way, a semiconductor layer could be also formed on the indium tin oxide film. The electrode structure so produced is resistant to high temperature and has a reduced resistance change ratio. The electrode structure is especially suited for being used in a dye-sensitized solar cell to enhance the photoelectric conversion efficiency thereof. | 06-24-2010 |
20100154879 | Dye-Sensitized Solar Cell, Photo-Sensitized Anode Electrode Thereof, and Method of Manufacturing the Same - A dye-sensitized solar cell (DSSC), photo-sensitized anode electrode thereof, and method of manufacturing the same are disclosed, which includes the photo-sensitized anode electrode having a titanium dioxide layer coated by a protonized food dye layer that is an environmentally friendly photosensitizer instead of prior dyes. Therefore, the resultant DSSC can be recycled for reducing environmental pollution. | 06-24-2010 |
20100154880 | DYE-SENSITIZED SOLAR CELL, ANODE THEREOF, AND METHOD OF MANUFACTURING THE SAME - A dye-sensitized solar cell (DSSC), anode thereof, and method of manufacturing the same are disclosed. The anode has a titanium dioxide layer mixed with a desired ratio of carbon black nanoparticles to increase the conductivity of the anode. Thereby, the conversion efficiency of the solar energy to electricity for the DSSC is effectively improved. | 06-24-2010 |
20100154881 | TRANSPARENT SOLAR CELL MODULE AND METHOD OF FABRICATING THE SAME - A transparent solar cell module is provided. The transparent solar cell module includes a transparent substrate, a first transparent electrode on the transparent substrate, a p-type layer on the first transparent electrode, an intrinsic layer on the p-type layer, an n-type stacked layer on the intrinsic layer, and a second transparent electrode on the n-type stacked layer. The n-type stacked layer includes at least two n-type material layers with different refractive indexes. | 06-24-2010 |
20100154882 | SOLAR CELL - A solar cell is provided and includes a front contact, a first conductive type layer, an intrinsic (I) layer, a second conductive type layer, and a back contact. The first conductive type layer is a material layer of low refractive index which has a refractive index lower than 3. The material layer with low refractive index was used to increase light transmittance of the solar cell and decrease reflection which occurs at interfaces in the solar cell, and thus the solar cell has an optimum sunlight utility rate. Therefore, the solar cell has a large short circuit current (Jsc) and high efficiency. | 06-24-2010 |
20100154883 | METHOD OF MANUFACTURING CRYSTALLINE SILICON SOLAR CELLS WITH IMPROVED SURFACE PASSIVATION - The present invention provides a method of manufacturing a crystalline silicon solar cell, comprising: —providing a crystalline silicon substrate having a front side and a back side; —forming a thin silicon oxide film on at least one of the front and the back side by soaking the crystalline silicon substrate in a chemical solution; —forming a dielectric coating film on the thin silicon oxide film on at least one of the front and the back side. The thin silicon oxide film may be formed with a thickness of 0.5-10 nm. By forming a oxide layer using a chemical solution, it is possible to form a thin oxide film for surface passivation wherein the relatively low temperature avoids deterioration of the semiconductor layers. | 06-24-2010 |
20100154884 | Zinc Ferrite Thin Film, Method for Manufacturing the Same and Application Thereof - Electrochemical methods for manufacturing a zinc ferrite (ZnFe | 06-24-2010 |
20100154885 | THIN FILM SOLAR CELL AND MANUFACTURING METHOD THEREOF - The invention discloses a thin film solar cell and the manufacturing method thereof. The thin film solar cell comprises a substrate, a back electrode layer, an absorber layer, a buffer layer, and a transparent electrode layer. The buffer layer is a compound consisted essentially of a metal and at least two elements of Group VIA. The compound has a chemical formula of M | 06-24-2010 |
20100154886 | COATED POLYMERIC SUBSTRATES HAVING IMPROVED SURFACE SMOOTHNESS SUITABLE FOR USE IN FLEXIBLE ELECTRONIC AND OPTO-ELECTRONIC DEVICES - The use of a coating composition comprising:
| 06-24-2010 |
20100163101 | Thick Film Conductor Formulations Comprising Silver And Nickel Or Silver And Nickel Alloys And Solar Cells Made Therefrom - Formulations and methods of making solar cells and solar cell contacts are disclosed. In general, the invention presents a solar cell contact made from a mixture wherein the mixture comprises a metal portion, which, prior to firing, comprises nickel and silver. | 07-01-2010 |
20100163102 | SOLAR CELL AND THE METHOD OF MANUFACTURING THEREOF - A solar cell comprises a substrate, a titanium oxide sputtering layer, at least one titanium oxide porous layer, a counter electrode and an electrolyte. The titanium oxide sputtering layer is sputtered on the substrate. The titanium oxide porous layer comprises a stack of titanium dioxide particles on the titanium oxide sputtering layer. The counter electrode is arranged on the titanium oxide porous layer. The electrolyte is filled between the counter electrode and the substrate. | 07-01-2010 |
20100163103 | Organic Thin-Film Solar Cell Using Fullerene Derivative for Electron Acceptor and Method of Manufacturing the Same - A fullerene derivative for electron acceptor is disclosed. Introducing a benzylalkyl group into the fullerene derivative can increase the affinity of the fullerene derivative with electron donors, and introducing an alkyl group into the fullerene derivative can increase the solubility of the fullerene derivative with an organic solvent. In addition, an organic thin-film solar cell and a method of manufacturing the same are further disclosed. An annealing process can be employed to improve the crystallization and to reduce the phase separation state of a photoactive layer that is formed by the fullerene derivative and the electron acceptor. Thereby, the fullerene derivative is facilitated to enhance the solar energy to electricity conversion efficiency of the resultant organic thin-film solar cell. | 07-01-2010 |
20100163104 | SOLAR CELL - A solar cell includes a semiconductor substrate, an emitter layer, an anti-reflective coating, a first electrode, a second electrode, and a first light conversion layer. The emitter layer is formed on a light-receiving side of the semiconductor substrate. A p-n junction is formed between the emitter layer and the semiconductor substrate. The anti-reflective coating is formed on the emitter layer. The first electrode is connected to the emitter layer. The second electrode is formed on a back-lighted side of the semiconductor substrate. The first light conversion layer is formed on the anti-reflective coating. The first light conversion layer absorbs a first light with a first wavelength and emits a second light with a second wavelength, thereby performing a photoelectric converting operation. | 07-01-2010 |
20100163105 | SOLAR CELL PACKAGE TYPE WITH SURFACE MOUNT TECHNOLOGY STRUCTURE - A solar cell package type with surface mount technology structure, comprising: a solar cell having a first electric terminal at the bottom thereof and a second electric terminal at the top thereof; at least a connection electric terminal capped at both sides of the solar cell in such a way that the top of the connection electric terminal is connected to the second electric terminal; and at least an insulation layer capped at both sides and partially placed at the bottom of the solar cell in such a way that it is interposed between the electric terminal and the solar cell for avoiding the short current and the water penetration. In this way, this package in accordance with the invention tends to increase the array density of the solar cells on the substrate and to minimize the manufacturing cost. | 07-01-2010 |
20100163106 | THIN FILM SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A highly reliable thin film solar cell and a method of manufacturing the same are provided to improve bonding strength between a back-surface electrode layer and a bus bar without limiting the kind of metal film of the back-surface electrode layer. The thin film solar cell at least includes a light-transmitting insulating substrate, a transparent conductive film, a photoelectric conversion layer, and a back-surface electrode layer provided on the light-transmitting insulating substrate, and a bus bar provided on the back-surface electrode layer. The bus bar is electrically connected with the back-surface electrode layer with a conductive tape interposed whereby the back-surface electrode layer is used as a take-out electrode. The conductive tape preferably includes a thermosetting resin and a conductive particle. Furthermore, the conductive tape is preferably an anisotropic conductive tape. | 07-01-2010 |
20100170564 | HIGH-THROUGHPUT PRINTING OF SEMICONDUCTOR PRECURSOR LAYER BY USE OF CHALCOGEN-RICH CHALCOGENIDES - A high-throughput method of forming a semiconductor precursor layer by use of a chalcogen-rich chalcogenides is disclosed. The method comprises forming a precursor material comprising group IB-chalcogenide and/or group IIIA-chalcogenide particles, wherein an overall amount of chalcogen in the particles relative to an overall amount of chalcogen in a group IB-IIIA-chalcogenide film created from the precursor material, is at a ratio that provides an excess amount of chalcogen in the precursor material. The excess amount of chalcogen assumes a liquid form and acts as a flux to improve intermixing of elements to form the group IB-IIIA-chalcogenide film at a desired stoichiometric ratio, wherein the excess amount of chalcogen in the precursor material is an amount greater than or equal to a stoichiometric amount found in the IB-IIIA-chalcogenide film. | 07-08-2010 |
20100170565 | PHOTOVOLTAIC DEVICE AND METHOD FOR PRODUCING THE SAME - A photovoltaic device having improved conversion efficiency as a result of an increase in the open-circuit voltage is provided. The photovoltaic device comprises a photovoltaic layer having a stacked p-layer, i-layer and n-layer, wherein the p-layer is a nitrogen-containing layer comprising nitrogen atoms at an atomic concentration of not less than 1% and not more than 25%, and the crystallization ratio of the p-layer is not less than 0 but less than 3. Alternatively, the n-layer may be a nitrogen-containing layer comprising nitrogen atoms at an atomic concentration of not less than 1% and not more than 20%, wherein the crystallization ratio of the n-layer is not less than 0 but less than 3. Alternatively, an interface layer may be formed at the interface between the p-layer and the i-layer, wherein the interface layer is a nitrogen-containing layer comprising nitrogen atoms at an atomic concentration of not less than 1% and not more than 30%. Alternatively, an interface layer may be formed at the interface between the n-layer and the i-layer, wherein the interface layer is a nitrogen-containing layer comprising nitrogen atoms at an atomic concentration of not less than 1% and not more than 20%. | 07-08-2010 |
20100170566 | APPARATUS AND METHOD FOR MANUFACTURING POLYMER SOLAR CELLS - The present disclosure provides an apparatus and method for manufacturing polymer solar cells. The apparatus is designed to adapt many techniques used in the compact disc manufacturing industry to the manufacture of polymer solar cells. The apparatus comprises: means for creating a polymer substrate for a solar cell with a polycarbonate injection molding machine; means for depositing a cathodic contact layer on the polymer substrate; means for depositing a photonic energy absorbing layer on the polymer substrate with directed energy; means to use a thermal process chamber for formation of a CIGS absorber layer; means for depositing a buffer layer on the polymer substrate; means for depositing a highly resistive transmissive intrinsic layer with directed energy; means for depositing a transmissive contact layer on the polymer substrate; means for adding anodic contacts to one of the layers; means for depositing an anti-reflective coating layer on the polymer substrate; and means for encapsulating the solar cell to provide environmental protection. | 07-08-2010 |
20100170567 | CONDUCTIVE PASTE AND GRID ELECTRODE FOR SILICON SOLAR CELLS - A conductive paste for grid electrodes in solar cells includes a conductive component, glass frit, and resin binder, wherein the conductive component is selected from the group consisting of (i) silver particles and metal particles selected from the group consisting of Pd, Ir, Pt, Ru, Ti, and Co, (ii) alloy particles comprising silver and metal selected from the group consisting of Pd, Ir, Pt, Ru, Ti, and Co, and (iii) silver particles and core-shell particles in which a metal selected from the group consisting of Pd, Ir, Pt, Ru, Ti, and Co is coated on the surface of silver or copper. | 07-08-2010 |
20100170568 | AG ELECTRODE PASTE, SOLAR BATTERY CELL, AND METHOD OF MANUFACTURING THE SAME - Ag electrode paste for forming a light-reception-surface-side electrode, with which a solar battery cell having a light-reception-surface-side electrode low in line resistance and achieving high conversion efficiency can be obtained, a solar battery cell having good characteristics manufactured therewith, and a method of manufacturing the same are provided. A silver electrode paste contains (a) Ag particles, (b) an organic vehicle, and (c) lead-free glass fit containing 13 to 17 weight % SiO | 07-08-2010 |
20100175747 | Multilayer photovoltaic electric energy generating compound and process for its preparation and application - A multilayer photovoltaic compound to be applied to outer surfaces of any movable and/or stationary support for absorption and conversion of light radiation into electrical energy comprising, in the following order, at least one first layer ( | 07-15-2010 |
20100175748 | NANOWIRE MULTIJUNCTION SOLAR CELL - A solar cell includes a substrate layer and a plurality of nanowires grown outwardly from the substrate layer, at least two of the nanowires including a plurality of sub-cells. The solar cell also includes one or more light guiding layers formed of a transparent, light scattering material and filling the area between the plurality of nanowires. | 07-15-2010 |
20100175749 | SOLAR CELL AND METHOD FOR MANUFACTURING METAL ELECTRODE LAYER TO BE USED IN THE SOLAR CELL - A solar cell includes: a first electrode layer formed on a substrate; a generating layer formed on the first electrode layer; and a second electrode layer formed on the generating layer, at least one of the first electrode layer and the second electrode layer being a metal electrode layer having optical transparency, the metal electrode layer having a plurality of openings that penetrate through the metal electrode layer. The metal electrode layer includes metal parts, any two metal parts of the metal electrode layer continues to each other without a cut portion, the metal electrode layer has a film thickness in the range of 10 nm to 200 nm, and sizes of the openings are equal to or smaller than ½ of the wavelength of light to be used for generating electricity. | 07-15-2010 |
20100175750 | ENHANCED EFFICIENCY SOLAR CELLS AND METHOD OF MANUFACTURE - Enhanced efficiency solar cells and methods of manufacture of such cells are described herein. In an illustrative example, the solar cell includes at least one or more collector lens bars each of which extend on sides of front contacts and positioned over a respective active area of one or more active areas in such as position as to guide light onto the one or more active areas. A protective layer covers the at least one or more collector lens bars. | 07-15-2010 |
20100175751 | Dilute Group III-V Nitride Intermediate Band Solar Cells with Contact Blocking Layers - An intermediate band solar cell (IBSC) is provided including a p-n junction based on dilute III-V nitride materials and a pair of contact blocking layers positioned on opposite surfaces of the p-n junction for electrically isolating the intermediate band of the p-n junction by blocking the charge transport in the intermediate band without affecting the electron and hole collection efficiency of the p-n junction, thereby increasing open circuit voltage (V | 07-15-2010 |
20100175752 | High-Efficiency Thin-Film Solar Cells - A three-dimensional solar cell comprising a semiconductor substrate with an inverted pyramidal cavity, emitter metallization regions on ridges on the surface of the semiconductor substrate which define an opening of the inverted pyramidal cavity, and base metallization regions on a region which form the apex of the inverted pyramidal cavity. A method for fabricating a three-dimensional thin-film solar cell from an inverted pyramidal three-dimensional thin-film silicon substrate by doping ridges on the surface of the semiconductor substrate which define an opening of an inverted pyramidal cavity on the substrate to form an emitter region, and doping a region which forms the apex of the inverted pyramidal cavity to form a base region. Adding a surface passivation layer to the surface of the substrate. Selectively etching the passivation layer from the emitter region and base region. Then concurrently metallizing the emitter region and base region. | 07-15-2010 |
20100175753 | SOLAR CELL MODULE - The present invention provides a solar cell module including: a solar cell element; a wiring which is connected to the solar cell element; a cover member which is disposed around the solar cell element; a protective resin which seals a space between the solar cell element and the cover member; a hole portion which is formed to the cover member, the wiring being ejected to an external portion via the hole portion; a terminal portion which is provided at an external portion of the cover member, the wiring being connected to the terminal portion; and an adsorbent which is provided on a surface of the wiring and absorbs water. | 07-15-2010 |
20100175754 | PASTE FOR SOLAR CELL ELECTRODES, METHOD FOR THE MANUFACTURE OF SOLAR CELL ELECTRODES, AND THE SOLAR CELL - Disclosed is an electrically conducting paste comprising a silver powder, a glass frit, a resin binder and a sintering inhibitor. The paste is used in the manufacture of solar cell electrodes by applying the electrically conducting paste to a substrate and then firing of the coated substrate. | 07-15-2010 |
20100180938 | COATING COMPOSITION FOR PROTECTION COVER OF SOLAR CELL - There is provided a protection cover of solar cell having processability at room temperature, solvent resistance, weather resistance and durability without impairing its transparency, and also a curable fluorine-containing coating composition being capable of forming the protection cover. The curable fluorine-containing coating composition for a protection cover of solar cell comprises (A) a curable fluorine-containing resin, (B) a curing agent and (C) a solvent and is used for forming a top coat layer (III) of a cured article comprising the fluorine-containing resin, in which the top coat layer is provided, directly or via a primer layer (IV), on a transparent resin layer (II) provided on the sunlight irradiation side of a solar cell module (I). | 07-22-2010 |
20100180939 | Heat treatable magnesium fluoride inclusive coatings, coated articles including heat treatable magnesium fluoride inclusive coatings, and methods of making the same - Certain example embodiments of this invention relate to optical coatings including magnesium fluoride and/or organosilane based coatings, which may be disposed on textured or un-textured substrates (e.g., glass substrates). Surprisingly and unexpectedly, the magnesium fluoride coatings are temperable, while the organosilane based coatings are low temperature heat treatable. Additionally, surprisingly and unexpectedly, such coatings on textured and un-textured glass surfaces result in superior transmission increases. | 07-22-2010 |
20100180940 | Photovoltaic Module With Stabilized Polymer - The present invention provides a photovoltaic device comprising metal and a poly(vinyl butyral) layer that incorporates a suitable amount of 1H-benzotriazole. When electrical bias is applied to the photovoltaic device, 1H-benzotriazole forms a barrier layer at the metal/poly(vinyl butyral) interface, which, for example, unexpectedly virtually eliminated the yellowing of poly(vinyl butyral) in photovoltaic devices comprising silver components. | 07-22-2010 |
20100180941 | ANTIREFLECTION FILM OF SOLAR CELL, SOLAR CELL, AND METHOD OF MANUFACTURING SOLAR CELL - Provided are an antireflection film of a solar cell, the solar cell, and a method of manufacturing the solar cell. The antireflection film of a solar cell includes a low dielectric film formed of a material having a first dielectric constant; a high dielectric film formed of a material having a second dielectric constant higher than the first dielectric constant; and a gradient layer disposed between the low dielectric film and the high dielectric film, and formed so as to gradually increase a dielectric constant from the first dielectric constant to the second dielectric constant. According to the present invention, light absorption efficiency of a solar cell can be increased. | 07-22-2010 |
20100180942 | POLY(VINYL BUTYRAL) ENCAPSULANT COMPRISING HINDERED AMINES FOR SOLAR CELL MODULES - Provided is a solar cell module that comprises a solar cell assembly. The solar cell assembly is encapsulated by a poly(vinyl butyral) encapsulant and contains an oxidizable metal component that is at least partially in contact with the poly(vinyl butyral) encapsulant. The poly(vinyl butyral) encapsulant comprises poly(vinyl butyral), about 15 to about 45 wt % of one or more plasticizers, and about 0.5 to about 2 wt % of one or more hindered amine, based on the total weight of the poly(vinyl butyral) encapsulant. Further provided are an assembly for preparing the solar cell module; a process for preventing or reducing the discoloration of a poly(vinyl butyral) encapsulant in contact with an oxidizable metal component in the solar cell module; and the use of the solar cell module to convert solar energy to electricity. | 07-22-2010 |
20100180943 | POLY(VINYL BUTYRAL) ENCAPSULANT COMPRISING CHELATING AGENTS FOR SOLAR CELL MODULES - Provided is a solar cell module that comprises a solar cell assembly. The solar cell assembly is encapsulated by a poly(vinyl butyral) encapsulant and contains an oxidizable metal component that is at least partially in contact with the poly(vinyl butyral) encapsulant. The poly(vinyl butyral) encapsulant comprises poly(vinyl butyral), about 15 to about 45 wt % of one or more plasticizers, and about 0.5 to about 2 wt % of one or more chelating agent, based on the total weight of the poly(vinyl butyral) encapsulant. Further provided are an assembly for preparing the solar cell module; a process for preventing or reducing the discoloration of a poly(vinyl butyral) encapsulant in contact with an oxidizable metal component in the solar cell module; and the use of the solar cell module to convert solar energy to electricity. | 07-22-2010 |
20100180944 | Polymers with low band gaps and high charge mobility - Polymers with low band gaps and high charge mobility, as well as related systems, methods and components are disclosed. | 07-22-2010 |
20100180945 | Method and Structure for Fabricating Solar Cells - A photovoltaic cell device, e.g., solar cell, solar panel, and method of manufacture. The device has an optically transparent substrate comprises a first surface and a second surface. A first thickness of material (e.g., semiconductor material, single crystal material) having a first surface region and a second surface region is included. In a preferred embodiment, the surface region is overlying the first surface of the optically transparent substrate. The device has an optical coupling material provided between the first surface region of the thickness of material and the first surface of the optically transparent material. A second thickness of semiconductor material is overlying the second surface region to form a resulting thickness of semiconductor material. | 07-22-2010 |
20100186806 | PHOTOVOLTAIC MODULE - A solar cell has a non-light-receiving side and a light-receiving side that faces a backside of an optically-transparent cover plate. A heatsink has a backside that faces the non-light-receiving side of the solar cell. The heatsink is formed of a graphite-containing material having a concave and convex texture as a radiating fin. | 07-29-2010 |
20100186807 | POINT CONTACTS FOR POLYSILICON EMITTER SOLAR CELL - The present invention relates to electrical contacts in a semiconductor device, and more particularly to methods and apparatuses for providing point contacts in a polysilicon emitter or HIT type solar cell. According to certain aspects, the invention uses a dielectric layer interposed between the substrate and a conductive layer to provide a limited area over which junction current can flow. The benefit is that the metal grid conductors do not need to align to the contacts, and can be applied freely without registration. Another benefit of the invention is that it provides increased efficiency for poly emitter and HIT cells through use of point contacts to increase current density. A further benefit is that patterning can be accomplished using low cost methods such as inclusion masking, screen printing or laser ablation. A still further benefit is that final contacts do not need alignment to the point contacts, eliminating registration required for conventional point contact designs. | 07-29-2010 |
20100186808 | PLATING THROUGH TUNNEL DIELECTRICS FOR SOLAR CELL CONTACT FORMATION - In general, the present invention relates to forming electrical contacts in a semiconductor device, including contact regions in solar cells. According to certain aspects, the invention provides methods and apparatuses for forming plated contacts in the presence of a thin tunnel oxide. Preferably, the tunnel oxide dielectric layer is thin enough to sustain a tunnel current. Plating over the tunnel dielectric is then performed. The benefits of the invention include that no annealing is required to form the metal-silicide contact. Moreover, there is no requirement for special metals for n- or p-type contacts. Another advantage is that shallow contacts according to the invention avoid punching through a shallow junction, thereby enabling the use of shallower emitters with improved blue response. Still further, there is no need to control the amount of silicide metal plated in order to prevent driving the silicide alloy through the junction. | 07-29-2010 |
20100186809 | NANOWIRE- BASED SOLAR CELL STRUCTURE - The solar cell structure according to the present invention comprises a nanowire ( | 07-29-2010 |
20100186810 | METHOD FOR THE FORMATION OF A NON-RECTIFYING BACK-CONTACT A CDTE/CDS THIN FILM SOLAR CELL - A method of forming a non-rectifying, ohmic contact on a p-type semiconductor CdTe thin film, which comprises the steps of depositing a layer of As | 07-29-2010 |
20100186811 | Silicon Carbonitride Antireflective Coating - An antireflective coating for silicon-based solar cells comprising amorphous silicon carbonitride, wherein the amount of carbon in the silicon carbonitride is from 5 to 25%, a solar cell comprising the antireflective coating, and a method of preparing the antireflective coating. | 07-29-2010 |
20100186812 | PHOTOVOLTAIC DEVICES INCLUDING COPPER INDIUM GALLIUM SELENIDE - A copper indium gallium selenide photovoltaic cell can include a substrate having a transparent conductive oxide layer. The copper indium gallium selenide can be deposited using sputtering and vapor transport deposition. | 07-29-2010 |
20100186813 | Photovoltaic module - For fastening the contact strip ( | 07-29-2010 |
20100186814 | Coating which is applied to a substrate, a solar cell, and method for applying the coating to the substrate - The invention relates to a coating which has been applied to a substrate, comprising at least a first film and a second film which have been applied on top of each other and each comprise a transparent conducting oxide and an electron donor, wherein the second film comprises relatively at least 10 percent less electron donor than the first film. The invention also relates to a solar cell comprising a coating according to the invention. The invention further relates to a method for applying the coating according to the invention to a substrate, wherein at least a first and a second mixture which each comprise one or more precursors for a transparent conducting oxide and an electron donor are applied to the substrate, wherein the second mixture is composed such that relatively at least 10 percent less electron donor is incorporated in the film compared with the film deposited by the first mixture. | 07-29-2010 |
20100186815 | Photovoltaic Device With Improved Crystal Orientation - A photovoltaic device can include a semiconductor absorber layer with improved cadmium telluride orientation. | 07-29-2010 |
20100186816 | SOLAR CELL - A solar cell, including a substrate, a first electrode disposed on the substrate, a photoelectric conversion layer disposed on the first electrode, and a second electrode disposed on the photoelectric conversion layer, wherein a grating is disposed on at least one of the first electrode and the second electrode. | 07-29-2010 |
20100193020 | Photovoltaic Cells Including Spaced Ramps and Methods of Manufacture - Photovoltaic cells and methods for the manufacture of photovoltaic cells are described. Operative layers of the photovoltaic cell are deposited onto a superstrate having a plurality of spaced ramps, allowing for the individual cells to be connected in series with minimal loss of the efficiency due to dead space between the cells. | 08-05-2010 |
20100193021 | THIN FILM SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A thin film solar cell includes a first substrate, a transparent conductive layer on an inner surface of the first substrate, the transparent conductive layer having an uneven top surface and including through-holes, a light-absorbing layer on the transparent conductive layer, a reflection electrode on the light-absorbing layer, a second substrate facing and attached with the first substrate, and a polymeric material layer on an inner surface of the second substrate. | 08-05-2010 |
20100193022 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - Provided are a solar cell and a method of manufacturing the same. The solar cell includes a transparent substrate. A first electrode and a transparent insulating layer are sequentially stacked over a plurality of first regions of the transparent substrate. A first electrode, a light-converting layer, a transparent insulating layer, and a second electrode are sequentially stacked over a second region of the transparent substrate other than the first regions. Therefore, light incident from the substrate can penetrate between the light-converting layers spaced apart from each other, thus manufacturing a transparent solar cell. Also, since light scattered by the transparent insulating layer is also incident into the side of the light-converting layer, the light-receiving area is not reduced and thus the efficiency of the solar cell can be increased. | 08-05-2010 |
20100193023 | PHOTOVOLTAIC MODULES COMPRISING PLASTICIZED FILMS BASED ON POLYVINYL ACETAL HAVING A HIGH SPECIFIC RESISTANCE - Plasticizer-containing films based on polyvinyl acetal having a glass transition temperature Tg of at least 20° C. are useful for the production of photovoltaic modules. The films preferably have a plasticizer content of a maximum of | 08-05-2010 |
20100193024 | PHOTOVOLTAIC MODULES COMPRISING PLASTICIZED FILMS HAVING A LOW MOISTURE ABSORPTION - Plasticizer-containing films based on polyvinyl acetals having a polyvinyl alcohol content of less than 20% by weight for the production of photovoltaic modules exhibit less water absorption at the edges of the modules. The films preferably have a glass transition temperature Tg of at least 20° C. and/or a plasticizer content of a maximum of 26% by weight. | 08-05-2010 |
20100193025 | QUANTUM DOT SOLAR CELL - A solar cell is disclosed that may include a quantum dot, an electron conductor, and a bifunctional ligand disposed between the quantum dot and the electron conductor. The bifunctional ligand may include a first anchor group that bonds to the quantum dot and a second anchor group that bonds to the electron conductor. The solar cell may include a hole conductor that is configured to reduce the quantum dot once the quantum dot absorbs a photon and ejects an electron through the bifunctional ligand and into the electron conductor. The hole conductor may be a p-type polymer. | 08-05-2010 |
20100193026 | QUANTUM DOT SOLAR CELL - A solar cell is disclosed that may include a quantum dot, an electron conductor, and a bifunctional ligand disposed between the quantum dot and the electron conductor. The bifunctional ligand may include a first anchor group that bonds to the quantum dot and a second anchor group that bonds to the electron conductor. The solar cell may include a hole conductor that is configured to reduce the quantum dot once the quantum dot absorbs a photon and ejects an electron through the bifunctional ligand and into the electron conductor. The hole conductor may be a p-type polymer. | 08-05-2010 |
20100193027 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell and a method for manufacturing the same are disclosed. The solar cell includes a substrate of a first conductive type, an anti-reflection layer that is positioned on the substrate and is formed of a transparent conductive oxide material, a plurality of emitter layers on the substrate, the plurality of emitter layers being of a second conductive type opposite the first conductive type, a plurality of first electrodes on the plurality of emitter layers, and a plurality of second electrodes that are electrically connected to the substrate and are positioned to be spaced apart from the plurality of first electrodes. The first electrodes and the second electrodes are positioned on the same surface of the substrate. | 08-05-2010 |
20100193028 | SOLAR CELL - A solar cell includes a photoelectric conversion layer, a first electrode on one surface of the photoelectric conversion layer, a second electrode provided on other surface of the photoelectric conversion layer, and a third electrode on the other surface of the photoelectric conversion layer. The third electrode is substantially rectangular with its corners rounded off in the in-plane direction of the photoelectric conversion layer, and overlaps the second electrode at the periphery thereof. | 08-05-2010 |
20100200053 | PHOTOVOLTAIC DEVICE HAVING A PROTECTIVE LAYER AND METHODS FOR MANUFACTURING THAT DEVICE - Disclosed herein is a method of making a photovoltaic device having a protective layer affixed to a top surface thereof. The protective layer is comprised of a polymeric material having a fluorinated first surface and a second, opposed, surface which is non-fluorinated or less fluorinated. The protective layer is affixed to the photovoltaic device so that the first surface is farthest therefrom. In some instances, the fluorination may extend to edge portions of the protective layer as well as to any intermediate layers. Further disclosed are devices which incorporate the fluorinated protective layers. | 08-12-2010 |
20100200054 | Compound for organic photoelectric device and organic photoelectric device including the same - A compound for an organic photoelectric device, represented by the following Chemical Formula 1: | 08-12-2010 |
20100200055 | METHOD OF MANUFACTURING A DYE SENSITIZED SOLAR CELL BY ATMOSPHERIC PRESSURE ATOMIC LAYER DEPOSITION (ALD) - A method of laying down one or more layers of material to reduce electrolytic reaction whilst allowing electron transfer between a conductive substrate and a light collecting charge separating layer, the layer being deposited between the conductive substrate and the light collecting charge separating layer and/or over the light collecting charge separating layer, the layer being deposited by atmospheric pressure atomic layer deposition. | 08-12-2010 |
20100200056 | DYE-SENSITIZED SOLAR CELL - [Problems] To provide an electrode substrate for a dye-sensitized solar cell having a dye-sensitized semiconductor porous layer of a structure capable of improving conversion efficiency. | 08-12-2010 |
20100200057 | Solar Cell Module - A solar cell module includes a substrate including a first surface receiving a light and a second surface disposed at a back side of the first surface, a first electrode provided on the first surface of the substrate, and a second electrode provided on the second surface of the substrate and including a first opening immediately below the first electrode, wherein a part of the periphery of the first electrode is disposed in the first opening as seen in a perspective plain view. | 08-12-2010 |
20100200058 | SOLAR BATTERY, METHOD FOR MANUFACTURING SOLAR BATTERY, METHOD FOR MANUFACTURING SOLAR CELL MODULE, AND SOLAR CELL MODULE - The present invention provides a solar battery including a solar cell; a wiring substrate having a wire to be electrically connected to an electrode provided in the solar cell; and an adhesive agent for adhering the solar cell and the wiring substrate to each other. The present invention also provides a method for manufacturing the solar battery, a method for manufacturing a solar cell module using the solar battery, and the solar cell module. | 08-12-2010 |
20100200059 | DUAL-SIDE LIGHT-ABSORBING THIN FILM SOLAR CELL - The present invention discloses a dual-side light-absorbing thin film solar cell that comprises a substrate, a p-type transparent conductive layer, a semiconductive film and a transparent conductive layer. The p-type transparent conductive layer is formed on the substrate and its material is a p-type transparent conductive material, for example, CuMO | 08-12-2010 |
20100200060 | SOLUTION BASED NON-VACUUM METHOD AND APPARATUS FOR PREPARING OXIDE MATERIALS - A high quality, highly adherent layer of a metal and oxygen material such as a transparent electrically conductive oxide material is electro deposited onto a substrate in a solution deposition process. The substrate is activated prior to the electro deposition of the metal and oxygen material thereonto by contacting it with a multidentate activating agent which promotes the adhesion of the metal and oxygen material to the substrate. Use of the activation agent eliminates the need to pre-deposit a “seed” layer of the metal and oxygen material onto the substrate by a vacuum deposition process. Process parameters are controlled so as to result in the deposition of a high quality layer of material which is suitable for use in a back reflector structure of a high efficiency photovoltaic device In particular instances the activation method may be implemented in a continuous, roll-to-roll process. Further disclosed are semiconductor devices and components of semiconductor devices made by the present process, as well as apparatus for carrying out the process. | 08-12-2010 |
20100200061 | Encapsulated Solar Cell - The present invention relates to an encapsulated solar cell having the following layer structure: a lower layer of thermoplastic silicone; a solar cell; an upper layer of thermoplastic silicone; a cover layer of a fluoropolymer, wherein the solar cell is sealed all around between the lower layer and the upper layer and wherein the upper layer is bonded to the cover layer. | 08-12-2010 |
20100206370 | Photovoltaic Cell Efficiency Using Through Silicon Vias - A photovoltaic cell includes a photovoltaic layer having a first node and a second node. A first conductive layer is electrically coupled to the second node of the photovoltaic layer so the first conductive layer does not block light from the photovoltaic layer. A second conductive layer is adjacent to but electrically insulated from the first conductive layer, so the second conductive layer is positioned where it does not block light from the photovoltaic layer. At least one through silicon via is electrically coupled to the first node of the photovoltaic layer and the second conductive layer, but is electrically insulated from at least a portion of the photovoltaic layer and the first conductive layer. | 08-19-2010 |
20100206371 | REFLECTIVELY COATED SEMICONDUCTOR COMPONENT, METHOD FOR PRODUCTION AND USE THEREOF - The invention relates to a reflectively coated semiconductor component which has a semiconductor layer, a functional layer which substantially comprises silicon and carbon, and at least one further layer which substantially comprises silicon and carbon. This further layer functions as reflector for light incident upon the semiconductor component. The invention also relates to a method for the production of semiconductor components of this type. Semiconductor components are used in particular as solar cells or as components of sensors or optical filters. | 08-19-2010 |
20100206373 | PHOTOVOLTAIC DEVICE - A large surface area photovoltaic device having high conversion efficiency and excellent mass productivity is provided. A photovoltaic device | 08-19-2010 |
20100206374 | PLASTICIZED FILMS BASED ON POLYVINYL ACETAL HAVING AN INCREASED GLASS TRANSITION TEMPERATUARE AND IMPROVED FLOW PROPERTIES - Plasticizer-containing films of polyvinyl acetal having a plasticizer content of a maximum of 26% by weight and containing a mixture of at least one high-viscosity polyvinyl acetal having a viscosity of 40-300 mPas and at least one low-viscosity polyvinyl acetal having a viscosity of 5-500 mPas are suitable for the production of photovoltaic modules. | 08-19-2010 |
20100206375 | THIN FILM SOLAR CELL HAVING OPAQUE AND HIGHLY REFLECTIVE PARTICLES AND MANUFACTURING METHOD THEREOF - A thin film solar cell having opaque and highly reflective particles and a manufacturing method thereof are provided. The thin film solar cell at least includes a substrate, a front electrode layer, a first photo-electric converting layer, a second photo-electric converting layer, and a back electrode layer. The particles are made of a highly conductive material, disposed between the first photo-electric converting layer and the second photo-electric converting layer, and distributed in a discontinuous manner. When an incident light strikes the surfaces of the particles, the incident light is reflected within the first photo-electric converting layer and the second photo-electric converting layer so as to increase the propagation path of the incident light through the first photo-electric converting layer and the second photo-electric converting layer. | 08-19-2010 |
20100206376 | SOLAR CELL, METHOD AND APPARATUS FOR MANUFACTURING SOLAR CELL, AND METHOD OF DEPOSITING THIN FILM LAYER - A solar cell, a method and apparatus for manufacturing a solar cell, and a method of depositing a thin film layer are disclosed. The manufacturing apparatus of a solar cell includes a substrate; a first electrode disposed on the substrate; a second electrode; and a photoelectric conversion layer disposed between the first electrode and the second electrode, wherein the photoelectric conversion layer includes a micro-crystalline silicon layer, and sensitivity of the micro-crystalline silicon layer is about 100 to about 1,000, the sensitivity being a ratio expressed as photo conductivity (PC)/dark conductivity (DC). | 08-19-2010 |
20100206377 | ATTACHMENT SYSTEM OF PHOTOVOLTAIC CELL TO FLUOROPOLYMER STRUCTURAL MEMBRANE - The invention describes an extensible membrane system to which a photovoltaic device is removably attached via hook and loop fabric, or equivalent thereof. | 08-19-2010 |
20100212732 | Protective layer for large-scale production of thin-film solar cells - A solar cell includes a substrate, a protective layer located over a first surface of the substrate, a first electrode located over a second surface of the substrate, at least one p-type semiconductor absorber layer located over the first electrode, an n-type semiconductor layer located over the p-type semiconductor absorber layer, and a second electrode over the n-type semiconductor layer. The p-type semiconductor absorber layer includes a copper indium selenide (CIS) based alloy material, and the second electrode is transparent and electrically conductive. The protective layer has an emissivity greater than 0.25 at a wavelength of 2 μm, has a reactivity with a selenium-containing gas lower than that of the substrate, and may differ from the first electrode in at least one of composition, thickness, density, emissivity, conductivity or stress state. The emissivity profile of the protective layer may be uniform or non-uniform. | 08-26-2010 |
20100212733 | Protective layer for large-scale production of thin-film solar cells - A solar cell includes a substrate, a protective layer located over a first surface of the substrate, a first electrode located over a second surface of the substrate, at least one p-type semiconductor absorber layer located over the first electrode, an n-type semiconductor layer located over the p-type semiconductor absorber layer, and a second electrode over the n-type semiconductor layer. The p-type semiconductor absorber layer includes a copper indium selenide (CIS) based alloy material, and the second electrode is transparent and electrically conductive. The protective layer has an emissivity greater than 0.25 at a wavelength of 2 μm, has a reactivity with a selenium-containing gas lower than that of the substrate, and may differ from the first electrode in at least one of composition, thickness, density, emissivity, conductivity or stress state. The emissivity profile of the protective layer may be uniform or non-uniform. | 08-26-2010 |
20100212734 | Encapsulation process for thin-film solar cells - An encapsulation process for thin-film solar cells comprises the steps of: coating a resin on an electrode of a TCO glass of a thin film solar cell by a coating machine, and coating edges of the thin film solar cell synchronously by a frame coating machine. The resin has a predetermined rigidity, stickness, aging resistance, abrasion resistance and puncture resistance after solidification. | 08-26-2010 |
20100212735 | SOLAR CELL AND METHOD FOR FABRICATING THE SAME - This invention discloses a high-efficiency solar cell structure which enables high throughput manufacturing process thereof. The solar cell is accomplished by forming a plurality of first emitter regions in a front surface of a substrate, a plurality of second emitter regions in the front surface, and a plurality of fingers. Each of the fingers is formed over a least a portion of the second emitter region and a portion of the first emitter region. The first emitter regions and the second emitter regions have a depth not less than 0.2 μm. | 08-26-2010 |
20100212736 | SOLAR ENERGY UTILIZATION DEVICE AND METHOD FOR MANUFACTURING THE SAME - A solar energy utilization device wherein the surface of the incident light side of the transparent base material | 08-26-2010 |
20100212737 | DYE INCLUDING AN ANCHORING GROUP IN ITS MOLECULAR STRUCTURE - A dye including an anchoring group in its molecular structure, said anchoring group allowing a covalent coupling of said dye to a surface, for example a surface of a nanoporous semiconductor layer, said anchoring group being represented by formula 1 wherein attachment of said anchoring group within said molecular structure of said dye is at the terminal carbon marked with an asterisk in above formula, wherein G is selected from —COOH, —SO3H, —PO3H2, —BO2H2, —SH, —OH, —NH2, preferably —COOH, wherein A is selected from the group comprising H, —CN, —NO2, —COOR, —COSR, —COR, —CSR, —NCS, —CF3, —CONR2-OCF3, C6H5-mFm, wherein m=1-5, R being H or any straight or branched alkyl chain of general formula —CnH2 | 08-26-2010 |
20100218814 | METHOD OF CONTROLLING THE COMPOSITION OF A PHOTOVOLTAIC THIN FILM - A method of reducing the loss of elements of a photovoltaic thin film structure during an annealing process, includes depositing a thin film on a substrate, wherein the thin film includes a single chemical element or a chemical compound, coating the thin film with a protective layer to form a coated thin film structure, wherein the protective layer prevents part of the single chemical element or part of the chemical compound from escaping during an annealing process, and annealing the coated thin film structure to form a coated photovoltaic thin film structure, wherein the coated photovoltaic thin film retains the part of the single chemical element or the part of the chemical compound that is prevented from escaping during the annealing by the protective layer. | 09-02-2010 |
20100218815 | HOLEY ELECTRODE GRIDS FOR PHOTOVOLTAIC CELLS WITH SUBWAVELENGTH AND SUPERWAVELENGTH FEATURE SIZES - A photovoltaic cell and a method of forming an electrode grid on a photovoltaic semiconductor substrate of a photovoltaic cell are disclosed. In one embodiment, the photovoltaic cell comprises a photovoltaic semiconductor substrate; a back electrode electrically connected to a back surface of the substrate; and a front electrode electrically connected to a front surface of the substrate. The substrate, back electrode, and front electrode form an electric circuit for generating an electric current when said substrate absorbs light. The front electrode is comprised of a metal grid defining a multitude of holes. These holes may be periodic, aperiodic, or partially periodic. The front electrode may be formed by depositing nanospheres on the substrate; forming a metallic layer on the substrate, around the nanospheres; and removing the nanospheres, leaving an electrode grid defining a multitude of holes on the substrate. | 09-02-2010 |
20100218816 | GRID-LINE-FREE CONTACT FOR A PHOTOVOLTAIC CELL - Electrical contact to the front side of a photovoltaic cell is provided by an array of conductive through-substrate vias, and optionally, an array of conductive blocks located on the front side of the photovoltaic cell. A dielectric liner provides electrical isolation of each conductive through-substrate via from the semiconductor material of the photovoltaic cell. A dielectric layer on the backside of the photovoltaic cell is patterned to cover a contiguous region including all of the conductive through-substrate vias, while exposing a portion of the backside of the photovoltaic cell. A conductive material layer is deposited on the back surface of the photovoltaic cell, and is patterned to form a first conductive wiring structure that electrically connects the conductive through-substrate vias and a second conductive wiring structure that provides electrical connection to the backside of the photovoltaic cell. | 09-02-2010 |
20100218817 | SOLAR CONCENTRATION SYSTEM - A solar concentration system includes an optically clear shell member having an outer surface and an inner surface, with the inner surface defining a hollow interior portion, a liquid contained within the hollow interior portion of the optically clear shell, and a solar collection system contained within the hollow interior portion of the optically clear shell. The solar collection system includes a tracking system configured and disposed to selectively shift within the hollow interior portion, a reflector member mounted to the tracking system, and a solar receiver mounted to the tracking system. The tracking system being configured and disposed orient the reflector member and the solar receiver to follow a path of the sun enhancing the collection of solar energy. | 09-02-2010 |
20100218818 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell and a method of manufacturing the same are disclosed. The solar cell includes a substrate of a first conductive type having at least one via hole, an emitter layer of a second conductive type opposite the first conductive type formed in the substrate, at least one first electrode formed on the emitter layer, at least one current collector positioned opposite the at least one first electrode with the substrate interposed between the at least one first electrode and the at least one current collector and is electrically connected to the at least one first electrode through the at least one via hole, and a second electrode that is spaced apart from the at least one current collector and is electrically connected to the substrate. A plurality of uneven portions are formed in the at least one via hole. | 09-02-2010 |
20100218819 | SEMICONDUCTOR OPTOELECTRONIC DEVICES AND METHODS FOR MAKING SEMICONDUCTOR OPTOELECTRONIC DEVICES - A semiconductor-based optoelectronic device such as a solar cell has an n-type layer and a p-type layer, together forming a p-n junction. Contact regions are formed on the device, with light-receiving regions between contact regions. A window layer is formed over the n-type layer or the p-type layer at the light-receiving region, the window layer promoting reduced carrier recombination at the surface of the n-type or p-type layer, and/or reflection of minority carriers in the n-type or p-type layer towards the p-n junction. The device has a window protection layer formed over the window layer, the window protection layer providing protection from degradation of the window layer during manufacture and/or operation of the device. For GaAs-based devices the window layer may be Al0.9Ga0.1As and the window protection layer may be GaAs. Additionally, an AlAs etch stop layer may be provided over the window protection layer. | 09-02-2010 |
20100218820 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - Provided are a solar cell and a method of fabricating the same. The solar cell includes: a substrate; a rear electrode layer which is formed on the substrate and includes molybdenum (Mo); a protective layer which is formed on the rear electrode layer and includes silicon (Si); a light-absorbing layer which is formed on the protective layer and includes selenium (Se) and at least one of copper (Cu), gallium (Ga), and indium (In); and a transparent electrode layer formed on the light-absorbing layer. | 09-02-2010 |
20100218821 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell and a method for manufacturing the same are disclosed. The solar cell includes a substrate having a first conductive type, at least one impurity region connected to the substrate, a passivation layer positioned on the at least one impurity region, the passivation layer including at least one opening exposing a portion of the at least one impurity region, the at least one opening having at least one straight portion, a first electrode connected to the exposed portion of the at least one impurity region exposed through the at least one opening, and a second electrode connected to the substrate. | 09-02-2010 |
20100218822 | COMPPSITE FILM FOR SUPERSTRATE SOLAR CELL, METHOD FOR PRODUCING THE COMPOSITE FILM FOR SUPERSTRATE SOLAR CELL, COMPOSITE FILM FOR SUBSTRATE SOLAR CELL, AND METHOD FOR PORDUCING THE COMPOSITE FILM FOR SUBSTRATE SOLAR CELL - A composite film for a superstrate solar cell or a substrate solar cell has a transparent conductive film and a conductive reflective film, wherein the transparent conductive film is formed by using a wet coating method to apply a transparent conductive film composition or dispersion containing microparticles of a conductive oxide, the conductive reflective film is formed by using a wet coating method to apply a conductive reflective film composition containing metal nanoparticles, the average diameter of holes occurring at the contact surface of the conductive reflective film on either the side of the photovoltaic layer or the side of the transparent conductive film is not more than 100 nm, the average depth at which the holes are positioned is not more than 100 nm, and the number density of the holes is not more than 30 holes/μm | 09-02-2010 |
20100218823 | ELECTRODE SUBSTRATE FOR PHOTOELECTRIC CONVERSION ELEMENT, METHOD OF MANUFACTURING ELECTRODE SUBSTRATE FOR PHOTOELECTRIC CONVERSION ELEMENT, AND PHOTOELECTRIC CONVERSION ELEMENT - A method of manufacturing an electrode substrate for a photoelectric conversion element which includes: forming a transparent conductive layer and a collector wire on a substrate; forming an oxide semiconductor layer in a region of the transparent conductive layer different from a region in which the collector wire is formed; forming an porous oxide semiconductor layer by firing the oxide semiconductor layer; after firing, forming a protective layer covering the collector wires, the protective layer composed of an insulating resin having a thermal resistance at 250° C. or higher; heating the substrate at 250° C. or higher during or after the formation of the protective layer; and after the heating, allowing adsorption of dyes in the porous oxide semiconductor layer. | 09-02-2010 |
20100218824 | Substrate structures for integrated series connected photovoltaic arrays and process of manufacture of such arrays - This invention comprises manufacture of photovoltaic cells by deposition of thin film photovoltaic junctions on metal foil substrates. The photovoltaic junctions may be heat treated if appropriate following deposition in a continuous fashion without deterioration of the metal support structure. In a separate operation, an interconnection substrate structure is provided, optionally in a continuous fashion. Multiple photovoltaic cells are then laminated to the interconnection substrate structure and conductive joining methods are employed to complete the array. In this way the interconnection substrate structure can be uniquely formulated from polymer-based materials employing optimal processing unique to polymeric materials. Furthermore, the photovoltaic junction and its metal foil support can be produced in bulk without the need to use the expensive and intricate material removal operations currently taught in the art to achieve series interconnections. | 09-02-2010 |
20100224241 | Solar Cell and Solar Cell Manufacturing Method - It is possible to provide a solar cell of sophisticated characteristic capable of reducing warp of a semiconductor substrate which causes crack of the solar cell and a manufacturing method of the solar cell. In order to achieve the aforementioned object, the solar cell ( | 09-09-2010 |
20100224242 | PHOTOELECTRIC CONVERTING DEVICE AND METHOD FOR FABRICATING THE SAME - A photoelectric converting device which includes a substrate layer and an active layer is proposed. The active layer, which is disposed over the substrate layer, has a light receiving surface with a textured structure. The textured structure includes multiple indented units and each of the indented units includes three planes, which form an indentation tip at the intersection point between the three planes. The three planes are perpendicular or about perpendicular to each other. | 09-09-2010 |
20100224243 | ADHESION BETWEEN AZO AND AG FOR THE BACK CONTACT IN TANDEM JUNCTION CELL BY METAL ALLOY - Methods of promoting adhesion between a reflective backing layer and a solar cell substrate are provided. The reflective backing layer is formed over a conductive metal oxide layer as an alloy using reflective and adhesive components, the adhesive components being present in levels generally below about 5 atomic percent. Techniques are disclosed for depositing varying the concentration of the reflective backing layer to localize the adhesive components in an adhesion region near the conductive metal oxide layer. Techniques are also disclosed for boosting bonding species in the conductive metal oxide layer to further enhance adhesion. | 09-09-2010 |
20100224244 | PHOTOVOLTAIC CONVERTER DEVICE AND ELECTRONIC DEVICE - A photovoltaic converter device includes a photovoltaic conversion layer containing a plurality of nanoparticles in a first material in a dispersed state, wherein the nanoparticles include a second material in particles and a third material that coats the second material, the third material having a band gap E | 09-09-2010 |
20100224245 | Deposition of Photovoltaic Thin Films by Plasma Spray Deposition - In particular embodiments, a method is described for depositing thin films, such as those used in forming a photovoltaic cell or device. In a particular embodiment, the method includes providing a substrate suitable for use in a photovoltaic device and plasma spraying one or more layers over the substrate, the grain size of the grains in each of the one or more layers being at least approximately two times greater than the thickness of the respective layer. | 09-09-2010 |
20100224246 | METHOD AND APPARATUS FOR GENERATING ELECTRICAL POWER USING SUNLIGHT AND MICROORGANISMS - Systems and methods are presented for generating and storing electric power in which a microbial solar cell is provided in a sealed container with photosynthetic organisms that generate reactants of the microbial fuel cell and the products of the microbial fuel cell from sunlight received through the container. | 09-09-2010 |
20100224247 | Enhancement of Semiconducting Photovoltaic Absorbers by the Addition of Alkali Salts Through Solution Coating Techniques - In particular embodiments, a method is described for forming photovoltaic devices that includes providing a substrate suitable for use in a photovoltaic device, depositing a conductive contact layer over the substrate, depositing a salt solution over the surface of the conductive contact layer, the solution comprising a volatile solvent and an alkali metal salt solute, and depositing a semiconducting absorber layer over the solute residue left by the evaporated solvent. | 09-09-2010 |
20100229933 | Inverted Metamorphic Multijunction Solar Cells with a Supporting Coating - A method of manufacturing a solar cell comprising providing a growth substrate; depositing on said growth substrate a sequence of layers of semiconductor material forming a solar cell; applying a coating layer over said sequence of layers; and removing the semiconductor substrate. | 09-16-2010 |
20100229934 | Solar cell and method for the same - A polycrystalline silicon solar cell and its manufacturing method are disclosed. The polycrystalline silicon solar cell in according with the present invention is formed by crystallizing amorphous silicon, in which a metal catalyst is used to lower crystallization temperature. The solar cell in according with the present invention is characterized by comprising a plurality of polycrystalline silicon layers, wherein at least one of the plurality of polycrystalline silicon layers contains a metal component. | 09-16-2010 |
20100229935 | PHOTOVOLTAIC DEVICE - The short-circuit current of a photovoltaic device is improved by optimizing the transparent conductive layer. A photovoltaic device comprising a first transparent electrode layer, an electric power generation layer, a second transparent electrode layer and a back electrode layer on a substrate, wherein the film thickness of the second transparent electrode layer is not less than 80 nm and not more than 100 nm, and the light absorptance for the second transparent electrode layer in a wavelength region from not less than 600 nm to not more than 1,000 nm is not more than 1.5%. Also, a photovoltaic device wherein the film thickness of the second transparent electrode layer is not less than 80 nm and not more than 100 nm, and the reflectance for light reflected at the second transparent electrode layer and the back electrode layer is not less than 91% in the wavelength region from not less than 600 nm to not more than 1,000 nm. | 09-16-2010 |
20100229936 | SUBSTRATE FOR SOLAR CELL AND SOLAR CELL - A substrate for a solar cell, containing a metal substrate and an anodic oxidation film provided on the metal substrate, wherein on a surface of the anodic oxidation film, pores in a diameter of 10 nm to 600 nm are formed; and a solar cell using the same. | 09-16-2010 |
20100229937 | SOLAR CELL MODULE - A solar cell module comprises a solar cell having a light receiving surface and a rear surface provided on the opposite side of the light receiving surface; a light-receiving-surface-side protection member disposed on the light-receiving-surface-side of the solar cell; a rear-surface-side protection member disposed on the rear-surface-side of the solar cell and having a metal layer sandwiched between a plurality of resin layers; and a output lead configured to draw electric power from the solar cell, wherein the rear-surface-side protection member has an opening portion formed by folding back a first area in the rear-surface-side protection member, one end portion of the output lead is electrically connected to the solar cell, and the other end portion of the output lead is drawn out from the opening portion. | 09-16-2010 |
20100229938 | ALUMINUM ALLOY SUBSTRATE AND SOLAR CELL SUBSTRATE - An aluminum alloy substrate having excellent insulating performance and withstand voltage characteristics and high strength at elevated temperatures, and a method of efficiently producing a flexible thin-film solar cell by a roll-to-roll process using the aluminum alloy substrate are provided. The substrate has an oxide film of more than 1 μm to 30 μm thickness having insulating properties on a surface of an aluminum alloy containing 2.0 to 7.0 wt % of magnesium, the balance being aluminum and inevitable impurities. | 09-16-2010 |
20100229939 | THIN-FILM SOLAR CELL - A thin-film solar cell includes an optical conduction cylinder, a transparent electrically conducting layer evenly plated on an axially extending peripheral surface of the optical conduction cylinder, at least one middle reaction layer plated on a peripheral surface of the electrically conducting layer, and a reflective layer plated on a peripheral surface of the middle reaction layer. Thus, the reflective layer can reflect the sun light to prevent from permeation of the sun light so that the sun light is enveloped in the optical conduction cylinder completely and is reflected successively in the reflective layer until the solar energy is exhausted such that the thin-film solar cell can absorb the solar energy to the maximum extent to enhance the light enveloping effect largely and to enhance the generating efficiency of the thin-film solar cell. | 09-16-2010 |
20100229940 | TECHNIQUE FOR PREPARING PRECURSOR FILMS AND COMPOUND LAYERS FOR THIN FILM SOLAR CELL FABRICATION AND APPARATUS CORRESPONDING THERETO - The present invention advantageously provides for, in different embodiments, improved contact layers or nucleation layers over which precursors and Group IBIIIAVIA compound thin films adhere well and form high quality layers with excellent micro-scale compositional uniformity. It also provides methods to form precursor stack layers, by wet deposition techniques such as electroplating, with large degree of freedom in terms of deposition sequence of different layers forming the stack. | 09-16-2010 |
20100229941 | ELECTRODE SUBSTRATE FOR PHOTOELECTRIC CONVERSION ELEMENT - An electrode substrate for a photoelectric conversion element includes: current collecting wires; and a protective layer covering the current collecting wires, wherein the protective layer includes a first protective layer containing glass components and a second protective layer which is composed of an insulating resin layer and provided on the first protective layer. | 09-16-2010 |
20100229942 | Substrate structures for integrated series connected photovoltaic arrays and process of manufacture of such arrays - This invention comprises manufacture of photovoltaic cells by deposition of thin film photovoltaic junctions on metal foil substrates. The photovoltaic junctions may be heat treated if appropriate following deposition in a continuous fashion without deterioration of the metal support structure. In a separate operation, an interconnection substrate structure is provided, optionally in a continuous fashion. Multiple photovoltaic cells are then laminated to the interconnection substrate structure and conductive joining methods are employed to complete the array. In this way the interconnection substrate structure can be uniquely formulated from polymer-based materials employing optimal processing unique to polymeric materials. Furthermore, the photovoltaic junction and its metal foil support can be produced in bulk without the need to use the expensive and intricate material removal operations currently taught in the art to achieve series interconnections. | 09-16-2010 |
20100236616 | CIGS SOLAR CELL HAVING THERMAL EXPANSION BUFFER LAYER AND METHOD FOR FABRICATING THE SAME - A copper/indium/gallium/selenium (CIGS) solar cell including a thermal expansion buffer layer, and a method for fabricating the same are provided. The thermal expansion buffer layer is configured between an alloy thin film layer and a CIGS thin film layer. The thermal expansion buffer layer is deposited by executing a thin film deposition process with a continuous sputtering machine bombarding a cuprous sulphide (Cu | 09-23-2010 |
20100236617 | Stacked Structure Solar Cell Having Backside Conductive Contacts - A solar cell having back side conductive contacts and method for forming the solar cell is provided. One embodiment is a solar cell having back side conductive contacts. The solar cell has a first region of a first material having a first conductivity over a front side of a substrate, a second region of a second material conformably on the first material, and a third region of a third material having a second conductivity conformably on the second material. The first region, the second region, and the third region form a structure that generates charge carriers from solar radiation. The solar cell has a first conductive contact and a second conductive contact exposed on the back side of the substrate. The first conductive contact is in electrical contact with the first material and the second conductive contact is in electrical contact with the third material. | 09-23-2010 |
20100236618 | METHOD FOR MANUFACTURING A PHOTOVOLTAIC CELL AND A PHOTOVOLTAIC CELL OBTAINED WITH SUCH A METHOD - A method for manufacturing a photovoltaic cell, such as a solar cell is disclosed. The method includes: providing a silicon substrate; applying to a side of the silicon substrate, a first layer of a metal with a relatively high optical reflectance, such as a layer of silver; applying to the first layer, a second layer of a metal with a relatively high electrical conductivity coefficient, such as a layer of aluminum or an Al alloy; and then firing the substrate having the first and second layers in order to obtain an alloy of the metals of the first and second layers and the silicon, wherein the alloy formed comprises a maximum amount of metal dissolved in the silicon in amounts up to the eutectic point of the alloy. In one implementation, the alloy is substantially an n-type Si—Al—Ag alloy. Thus, an improved Back Surface Field is formed in the substrate. The invention further relates to a photo voltaic cell obtained with the aid of such method. | 09-23-2010 |
20100236619 | LIGHT TRANSMISSION TYPE SOLAR CELL AND METHOD FOR PRODUCING THE SAME - The present invention provides a light transmission type solar cell excellent in both power generation efficiency and light transparency, and also provides a method for producing that solar cell. The solar cell of the present invention comprises a photoelectric conversion layer, a light-incident side electrode layer, and a counter electrode layer. The incident side electrode layer is provided with plural openings bored through the layer, and has a thickness of 10 nm to 200 nm. Each of the openings occupies an area of 80 nm | 09-23-2010 |
20100236620 | THIN FILM SOLAR CELL AND METHOD FOR PRODUCING THE SAME - According to one aspect of the present invention, there is provided a thin film solar cell comprising a substrate, a photoelectric conversion layer formed on said substrate, said photoelectric conversion layer having a thickness of 1 μm or less, and said photoelectric conversion layer comprising a p-type semiconductor layer, an n-type semiconductor layer, and are i-type semiconductor layer placed between said p-type semiconductor layer and said n-type semiconductor layer, a light-incident side electrode layer formed on a light-incident surface of said photoelectric conversion layer and a counter electrode layer formed on the surface opposite to the light-incident surface. Said light-incident side electrode layer has plural openings bored though said layer, and the thickness thereof is in the range of 10 nm to 200 nm. Each of said openings occupies an area of 80 nm | 09-23-2010 |
20100236621 | GLASS COMPOSITIONS USED IN CONDUCTORS FOR PHOTOVOLTAIC CELLS - An objective of this present invention is to provide a conductive paste that could obtain good electrical property, for example series resistance in an electrode. An aspect of the present invention relates to a conductive paste which comprises electrically conductive powder; glass frit which comprises, based on weight percent (wt %) of the glass frit, 8-26 wt % of SiO | 09-23-2010 |
20100236622 | REFLECTIVE LIGHT WAVELENGTH MODULATOR - A reflective light wavelength modulator includes a reflection module with a reflective arc surface, at least one thermal conducting column installed at the reflective arc surface, at least one optoelectric conversion module, particularly an illumination module, a solar cell or an photocell, installed on a distal surface of the thermal conducting column, and a reflective mask installed at an appropriate distance from the optoelectric conversion module. The reflection module or the reflective mask is made of a wavelength modulation material, such that when the light produced by the optoelectric conversion module or the received light source is reflected from the reflective mask, the wavelength of the light source is changed to achieve the effects of providing a wavelength modulation function of a light source with a color and a cost-effective optoelectric equipment. | 09-23-2010 |
20100236623 | SOLAR CELL MODULE - In the solar cell module | 09-23-2010 |
20100236624 | INTERFEROMETRIC PHOTOVOLTAIC CELL - Certain embodiments include interferometrically tuned photovoltaic cells wherein reflection from interfaces of layered photovoltaic devices coherently sum to produce an increased field in an active region of the photovoltaic cell where optical energy is converted into electrical energy. Such interferometrically tuned or interferometric photovoltaic devices (iPV) increase the absorption of optical energy in the active region of the interferometric photovoltaic cell and thereby increase the efficiency of the device. In various embodiments, one or more optical resonant cavities and/or optical resonant layers is included in the photovoltaic device to increase the electric field concentration and the absorption in the active region. | 09-23-2010 |
20100243043 | Light Absorbing Layer Of CIGS Solar Cell And Method For Fabricating The Same - A light absorbing layer of a CIGS solar cell and a method for fabricating the same are provided. According to the present invention, a cuprous sulfide layer is prepared by a sputtering process. Then, a CIGS sol-gel solution is provided onto the cuprous sulfide layer by an immersion coating, spin coating, printing, or spray coating process. The CIGS sol-gel solution is then baked to form a plurality of a CIGS stack layers containing copper (Cu), indium (In), gallium (Ga), and selenium (Se). A rapid thermal process is then conducted for melting the cuprous sulfide layer and the CIGS stack layers to form a copper/indium/gallium/sulfur/selenium (CIGSS) light absorbing layer. The CIGSS light absorbing layer is provided for a solar cell to improve the photoelectric transformation efficiency and the light absorbance. | 09-30-2010 |
20100243044 | PHOTOVOLTAIC CELL STRUCTURE - A photovoltaic cell structure includes a substrate, a metal layer, a p-type semiconductor layer, an n-type semiconductor layer, a transparent conductive layer and a high resistivity layer. The metal layer is formed on the substrate. The p-type semiconductor layer is formed on the metal layer and may include a compound of copper indium gallium selenium sulfur (CIGSS), copper indium gallium selenium (CIGS), copper indium sulfur (CIS), copper indium selenium (CIS) or a compound of at least two of copper, selenium or sulfur. The n-type semiconductor layer exhibits photo catalyst behavior that can increase carrier mobility by receiving light, and is formed on the p-type semiconductor layer, thereby forming a p-n junction. The transparent conductive layer is formed on the n-type semiconductor layer. The high resistivity layer is formed between the metal layer and the transparent conductive layer. | 09-30-2010 |
20100243045 | Photoelectric conversion device and manufacturing method of the same - To provide a photoelectric conversion device that has excellent photoelectric conversion efficiency and enhanced reliability without wide variations in performance. A manufacturing method of a photoelectric conversion device that includes a working electrode having a dye-supported metal oxide layer, a counter electrode disposed so as to face the working electrode, and an electrolyte layer enclosed between the working electrode and the counter electrode, includes: a step of preparing an electrolyte sheet in which an electrolyte is retained by a reticulated support member; and a step of enclosing the electrolyte sheet between the working electrode and the counter electrode. | 09-30-2010 |
20100243046 | METHOD OF FORMING A PROTECTIVE LAYER ON THIN-FILM PHOTOVOLTAIC ARTICLES AND ARTICLES MADE WITH SUCH A LAYER - Chalcogenide based photovoltaic devices cells with good resistance to environmental elements can be formed by direct low temperature deposition of inorganic barrier layers onto the film. A unique multilayer barrier can be formed in a single step when reactive sputtering of the silicon nitride onto an inorganic oxide top layer of the PV device. | 09-30-2010 |
20100243047 | MADE TO A JUNCTION BOX FOR ELEMENTS CAPABLE OF COLLECTING LIGHT - The invention related to an element capable of collecting light, comprising a first substrate ( | 09-30-2010 |
20100243048 | METAL PASTES AND USE THEREOF IN THE PRODUCTION OF SILICON SOLAR CELLS - Metal pastes comprising (a) at least one electrically conductive metal powder selected from the group consisting of silver, copper and nickel, (b) at least one lead-free glass frit with a softening point temperature in the range of 550 to 611° C. and containing 11 to 33 wt.-% of SiO | 09-30-2010 |
20100243049 | FORMATION OF SOLAR CELLS WITH CONDUCTIVE BARRIER LAYERS AND FOIL SUBSTRATES - Methods and devices are provided for absorber layers formed on foil substrate. In one embodiment, a method of manufacturing photovoltaic devices may be comprised of providing a substrate comprising of at least one electrically conductive aluminum foil substrate, at least one electrically conductive diffusion barrier layer, and at least one electrically conductive electrode layer above the diffusion barrier layer. The diffusion barrier layer may prevent chemical interaction between the aluminum foil substrate and the electrode layer. An absorber layer may be formed on the substrate. In one embodiment, the absorber layer may be a non-silicon absorber layer. In another embodiment, the absorber layer may be an amorphous silicon (doped or undoped) absorber layer. Optionally, the absorber layer may be based on organic and/or inorganic materials. | 09-30-2010 |
20100243050 | SOLAR CELL DEVICE - A photovoltaic cell including: (a) a housing including an at least partially transparent cell wall having an interior surface; (b) an electrolyte, disposed within the cell wall, and containing an iodide based species; (c) a transparent electrically conductive coating disposed on the interior surface; (d) an anode disposed on the conductive coating, the anode including: (i) a porous film containing titania, the porous film adapted to make intimate contact with the iodide based species, and (ii) a dye, absorbed on a surface of the porous film, the dye and the porous film adapted to convert photons to electrons; (e) a cathode disposed on an interior surface of the housing, and disposed substantially opposite the anode; (f) electrically-conductive metallic wires, disposed at least partially within the cell, the wires electrically contacting the anode and the electrically conductive coating, and (g) a second electrically conductive coating including an inorganic binder and an inorganic electrically conductive filler, the second coating bridging between and electrically communicating between each of the wires and the transparent coating, the wires adapted to boost collection of a current generated by the cell. | 09-30-2010 |
20100252096 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell in which an n-type fine silicon particle film is formed in a lamination layer on the surface of a transparent substrate via a transparent electrode, and the n-type fine silicon particle film is covalently bound to the transparent electrode via the first organic coating formed on the surface of the transparent electrode and the second organic coating formed on the surface of the n-type fine silicon particle film and the n-type fine silicon particle film is covalently bound to the p-type fine silicon particle film via the second organic coating formed on the surface of the n-type fine silicon particle film and the third organic coating formed on the surface of the p-type fine silicon particle film. | 10-07-2010 |
20100252097 | SEMICONDUCTOR MATERIAL, SOLAR CELL USING THE SEMICONDUCTOR MATERIAL, AND METHODS FOR PRODUCING THE SEMICONDUCTOR MATERIAL AND THE SOLAR CELL - A method for producing a semiconductor material, comprises a step of allowing impurity atoms, Ba atoms and Si atoms to react with each other, the impurity atoms being at least one atom selected from the group consisting of As atom, Sb atom, Bi atom and N atom; and a solar cell comprises the semiconductor material. | 10-07-2010 |
20100252098 | Cord Plate Attachment to Photovoltaic Modules - A method of attaching a cord plate to a photovoltaic module is disclosed. The photovoltaic module has a cover plate. | 10-07-2010 |
20100252099 | HIGH EFFICIENCY COLORED SOLAR CELL AND MANUFACTURING METHOD THEREOF - A high efficiency colored solar cell is described. The high efficiency colored solar cell includes a substrate, a first antireflection layer formed on the substrate, a second antireflection layer formed on the first antireflection layer. A color of a reflecting light of the high efficiency colored solar cell can be controlled according to the combination of the first antireflection layer and the second antireflection layer. | 10-07-2010 |
20100252100 | MULTI-LAYER THIN FILM FOR PHOTOVOLTAIC CELL - A multilayer thin film for a photovoltaic cell includes a plurality of low-refractivity thin film layers and a plurality of high-refractivity thin film layers alternately coating a transparent substrate. The thickest layer of the low-refractivity thin film layers is thicker than all of the high-refractivity thin film layers and is one and half times thicker than all of the other layers of the low-refractivity thin film layers. | 10-07-2010 |
20100252101 | BACK PROTECTIVE SHEET FOR SOLAR CELL MODULE AND SOLAR CELL MODULE PROTECTED THEREBY - A back protective sheet for a solar cell module, including a three-layered composite film ( | 10-07-2010 |
20100252102 | METHOD FOR PRINTING A CONDUCTOR IN TWO SUPERIMPOSED LAYERS BY SCREEN-PRINTING - Method for printing on a wafer ( | 10-07-2010 |
20100252103 | PHOTOELECTRONIC ELEMENT HAVING A TRANSPARENT ADHESION STRUCTURE AND THE MANUFACTURING METHOD THEREOF - A photoelectronic element having a transparent adhesion structure includes a supporting substrate; a first transparent adhesion layer formed on the supporting substrate; a second transparent adhesion layer formed on the first transparent adhesion layer; and a first semiconductor stack layer formed on the second transparent adhesion layer wherein the first semiconductor stack layer includes a first active layer; wherein the interface between the first transparent adhesion layer and the second transparent adhesion layer contains hydrogen-oxygen bond after being treated by an activator. | 10-07-2010 |
20100252104 | Solar Cell With High Aspect Ratio Gridlines Supported Between Co-Extruded Support Structures - A solar cell structure formed by extruding/dispensing materials on a substrate such that centrally disposed conductive high aspect ratio line structures (gridlines) are formed on the substrate surface with localized support structures coincidentally disposed on opposing side surfaces of the gridlines such that the gridlines are surrounded or otherwise supported by the localized support structures. In one embodiment the localized support structures are transparent, remain on the substrate after the co-extrusion process, and are covered by a layer of material. In another embodiment, the localized support structures are sacrificial support structures that are removed as part of the solar cell structure manufacturing process. In both cases the co-extrusion process is performed such that both the central gridline and the localized support structures are in direct contact with the surface of the substrate. | 10-07-2010 |
20100252105 | Cell Structure With High Aspect Ratio Gridlines - A cell structure (e.g., a battery or solar cell) is formed by extruding/dispensing materials on a substrate such that centrally disposed conductive high aspect ratio line structures (gridlines) are formed on the substrate surface such that each gridline has an aspect ratio greater than 2:1. Each gridline is formed with localized support structures coincidentally disposed on opposing side surfaces of the gridlines such that the gridlines are surrounded or otherwise supported by the localized support structures. The localized support structures are sacrificial in the sense that they are removed as part of the solar cell structure manufacturing process (e.g., after subsequent processing hardens the gridline material). In one embodiment each gridline has a width in the range of 100 nanometers to 100 microns. The co-extrusion process is performed such that both the central gridline and the localized support structures are in direct contact with the surface of the substrate. | 10-07-2010 |
20100258173 | POLISHING A THIN METALLIC SUBSTRATE FOR A SOLAR CELL - A method for fabricating a solar cell. The method includes providing a thin metallic substrate in roll form. The method also includes applying an abrasive grit to a surface of the thin metallic substrate. The method includes mechanical-polishing the surface with the abrasive grit such that the surface is polished to remove at least one defect from the surface. Mechanical-polishing the surface of the thin metallic substrate is by a roll-to-roll polishing process of the surface of the thin metallic substrate. Moreover, the method includes depositing an absorber layer of the solar cell on the thin metallic substrate. | 10-14-2010 |
20100258174 | GLOBAL OPTIMIZATION OF THIN FILM PHOTOVOLTAIC CELL FRONT COATINGS - A solar cell includes a thin film photovoltaic material structure used in absorbing light of a selective bandwidth. A multitude of dielectric front coatings are positioned on the thin film photovoltaic material structure so as to maximize admittance over the selected bandwidth. The thicknesses and indices of each of the front coatings are chosen by a global-optimization procedure to maximize the short-circuit current of the solar cell. | 10-14-2010 |
20100258175 | PANCHROMATIC PHOTOSENSITIZERS AND DYE-SENSITIZED SOLAR CELL USING THE SAME - Panchromatic photosensitizers having a Formula of ML | 10-14-2010 |
20100258176 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell and a method of manufacturing the same are disclosed. The solar cell includes a substrate of a first conductive type having at least one via hole, an emitter layer of a second conductive type opposite the first conductive type on the substrate, a first conductor electrically connected to the emitter layer, a second conductor electrically connected to the first conductor through the via hole, and a third conductor electrically connected to the substrate. The third conductor is electrically separated from the second conductor. A portion of the first conductor and a portion of the second conductor are positioned inside the via hole. | 10-14-2010 |
20100258177 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell and a method of manufacturing the same are disclosed. The solar cell includes a substrate of a first conductive type having at least one via hole; an emitter layer only on at least a portion of the via hole and at least one selected from a group consisting of an incident surface and side surfaces of the substrate, the emitter layer having a second conductive type opposite the first conductive type; at least one first electrode on the incident surface, the first electrode being electrically connected to the emitter layer; a second electrode connected to an opposite surface to the incident surface; and at least one first electrode current collector on the opposite surface, the at least one first electrode current collector being insulated from the second electrode and being electrically connected to the at least one first electrode through the via hole. | 10-14-2010 |
20100258178 | SOLAR CELL - A solar cell comprises a substrate configured to have a plurality of via holes and a first conductive type, an emitter layer placed in the substrate and configured to have a second conductive type opposite to the first conductive type, a plurality of first electrodes electrically coupled to the emitter layer, a plurality of current collectors electrically coupled to the first electrodes through the plurality of via holes, and a plurality of second electrodes electrically coupled to the substrate. The plurality of via holes comprises at least two via holes having different angles. | 10-14-2010 |
20100258179 | THIN FILM SODIUM SPECIES BARRIER METHOD AND STRUCTURE FOR CIGS BASED THIN FILM PHOTOVOLTAIC CELL - A method for fabricating a thin film solar cell includes providing a soda lime glass substrate comprising a surface region and a concentration of sodium oxide of greater than about 10 wt % and treating the surface region with one or more cleaning process, using a deionized water rinse, to remove surface contaminants having a particles size of greater than three microns. The method also includes forming a barrier layer overlying the surface region, forming a first molybdenum layer in tensile configuration overlying the barrier layer, and forming a second molybdenum layer in compressive configuration using a second process overlying the first molybdenum layer. Additionally, the method includes patterning the first molybdenum layer and the second molybdenum layer to form a lower electrode layer and forming a layer of photovoltaic material overlying the lower electrode layer. Moreover, the method includes forming a first zinc oxide layer overlying the layer of photovoltaic materials. | 10-14-2010 |
20100258180 | Method of forming an indium-containing transparent conductive oxide film, metal targets used in the method and photovoltaic devices utilizing said films - A method of forming an indium-containing transparent conductive oxide by reactive sputtering a metal target containing indium in an oxygen containing atmosphere and then depositing the resulting indium oxide on a substrate. Metal targets used in the method and photovoltaic devices utilizing the transparent conductive oxides are also disclosed. | 10-14-2010 |
20100258181 | HIGH EFFICIENCY SOLAR CELL STRUCTURES - Solar cell structures and methods of fabricating solar cell structures having increased efficiency are provided. | 10-14-2010 |
20100258182 | SOLAR CELL ELECTRODE - This invention relates to an electrode used in a solar cell that exhibits good conductivity at the N layer and P layer and to a conductive paste used for producing such an electrode. | 10-14-2010 |
20100258183 | PHOTOVOLTAIC MODULES HAVING REDUCED WEIGHT - The construction principles according to the present invention make possible large sheet-like solar modules with low weight, which have great mechanical toughness, are inured to rough climatic environment influences and withstand thermal stress due to solar irradiation and shadowing effects. The solar modules have front and back panes, one of which has a thickness of at least 3 mm and the other of which has a thickness of at most 2 mm. The coefficient of thermal expansion of the thicker pane is preferably greater than that of the thinner pane. In preferred embodiments burling or a wavy structure is provided on one side of the front pane and/or the back pane. | 10-14-2010 |
20100258184 | GLASS COMPOSITIONS USED IN CONDUCTORS FOR PHOTOVOLTAIC CELLS - The invention relates to glass compositions useful in conductive pastes for silicon semiconductor devices and photovoltaic cells. | 10-14-2010 |
20100258185 | TEXTURED SUBSTRATE FOR THIN-FILM SOLAR CELL - Provided herein are textured substrates for thin-film solar cells. According to various embodiments, the textured substrates are characterized by substrate patterns exhibiting low-frequency roughness or flatness and long range order. The substrates may be metallic or non-metallic substrates, and in certain embodiments are stainless steel foils. According to various embodiments, the substrates may be provided in the form of a web, ready for deposition of thin-film photovoltaic stacks. Also provided are textured back contact thin films. | 10-14-2010 |
20100263719 | Thin-Film Solar Cell Module - The invention relates to a thin-film solar cell module ( | 10-21-2010 |
20100263720 | PHOTOVOLTAIC DEVICE - A photovoltaic device is described. The photovoltaic device comprises an organic-based antireflection layer. A method of making a photovoltaic device is also described. | 10-21-2010 |
20100263721 | TRANSPARENT SOLAR CELL - Provided is a transparent solar cell. The transparent solar cell includes a transparent substrate, a selective transparent reflection layer, a first electrode, a photovoltaic conversion layer and a second electrode. The selective transparent reflection layer includes a first surface contacting the transparent substrate, and the second surface facing the first surface. The first electrode, the photovoltaic conversion layer and the second electrode are sequentially stacked on the second surface of the selective transparent reflection layer. The selective transparent reflection layer transmits at least a portion of wavelength of a visible ray and reflects an infrared ray. | 10-21-2010 |
20100263722 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - The invention provides a solar cell of increased manufacturing productivity. An aspect of the invention provides a solar cell that comprises a semiconductor substrate having a light-receiving surface and a back surface disposed at the opposite side from the light-receiving surface; a n-type semiconductor region and a p-type semiconductor region both formed on the back surface; and a protection layer formed on the light-receiving surface, the protection layer includes a first surface formed on the semiconductor substrate side and a second surface formed on the opposite side from the first surface, and the second surface has a higher acid-resistance than the first surface. | 10-21-2010 |
20100269900 | PHOTOVOLTAIC CELL FRONT FACE SUBSTRATE AND USE OF A SUBSTRATE FOR A PHOTOVOLTAIC CELL FRONT FACE - The invention relates to a photovoltaic cell ( | 10-28-2010 |
20100269901 | Method of making a photovoltaic device with scratch-resistant coating and resulting product - A method of making an anti-reflection coating using a sol-gel process, for use in a photovoltaic device or the like. The method may include the following steps in certain example embodiments: forming a polymeric component of silica by mixing silane(s) with one or more of a first solvent, a catalyst, and water; forming a silica sol gel by mixing the polymeric component with a colloidal silica, and optionally a second solvent; forming a metal oxide sol by mixing silane(s) with a metal oxide, a second catalyst, and a third solvent; forming a combined sol by mixing the metal oxide sol with the silica sol; casting the mixture by spin coating or the like to form a silica and metal oxide containing layer on a substrate; and curing and/or heat treating the layer. This layer may make up all or only part of an anti-reflection coating which may be used in a photovoltaic device or the like. | 10-28-2010 |
20100269902 | Collector grid and interconnect structures for photovoltaic arrays and modules - An interconnected arrangement of photovoltaic cells is achieved using laminating current collector electrodes. The electrodes comprise a pattern of conductive material extending over a first surface of sheetlike substrate material. The first surface comprises material having adhesive affinity for a selected conductive surface. Application of the electrode to the selected conductive surface brings the first surface of the sheetlike substrate into adhesive contact with the conductive surface and simultaneously brings the conductive surface into firm contact with the conductive material extending over first surface of the sheetlike substrate. Use of the laminating current collector electrodes allows facile and continuous production of expansive area interconnected photovoltaic arrays. | 10-28-2010 |
20100275985 | ELECTRON COLLECTOR AND ITS APPLICATION IN PHOTOVOLTAICS - Photovoltaic cells and methods for manufacturing photovoltaic cells. An example photovoltaic cell may include an electron conductor, a hole conductor and an active region situated therebetween. The electron conductor may include a nanowire array and a sheath disposed over the nanowire array. The nanowire array may include a material having an electron mobility that is greater than the electron mobility of the sheath. The sheath may have a density of states that is greater than the density of states of the nanowire array. | 11-04-2010 |
20100275986 | ORGANIC DYE AND DYE-SENSITIZED SOLAR CELL USING THE SAME - An organic dye used in a dye-sensitized solar cell is described, having general formula (1): | 11-04-2010 |
20100275987 | Solar Cell and Solar Cell Manufacturing Method - A solar cell with a simple configuration and high efficiency, and a manufacturing method therefor are provided. A solar cell of the present invention includes a semiconductor substrate that has a first surface receiving sunlight and a second surface on the back side of the first surface and that includes a through hole passing through between the first surface and the second surface; and a first electrode that includes a main electrode portion containing a glass component and formed on the first surface of the semiconductor substrate and a conducting portion electrically connected to the main electrode portion, formed in the through hole of the semiconductor substrate, and having a lower glass-component content than the main electrode portion. | 11-04-2010 |
20100275988 | PHOTOELECTRIC CONVERSION ELEMENT AND SOLAR CELL - A dye-sensitized photoelectric conversion element, comprises a pair of electrodes arranged opposite to each other; a semiconductor layer including a semiconductor supporting a sensitizing dye; and an electrolyte layer. At least the semiconductor layer and the electrolyte layer are provided between the pair of electrodes, and the sensitizing dye contains a compound represented by the following Formula (1). | 11-04-2010 |
20100275989 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - An object relates to an electrode of a semiconductor device or a method for manufacturing a semiconductor device, which includes a bonding step, and problems are: (1) high resistance of a semiconductor device due to the use of an Al electrode, (2) formation of an alloy by Al and Si, (3) high resistance of a film formed by a sputtering method, and (4) defective bonding in a bonding step which is caused if a bonding surface has a large unevenness. A semiconductor device includes a metal substrate or a substrate provided with a metal film, a copper (Cu) plating film over and bonded to the metal substrate or the metal film by employing a thermocompression bonding method, a barrier film over the Cu plating film, a single crystal silicon film over the barrier film, and an electrode layer over the single crystal silicon film. | 11-04-2010 |
20100275990 | PHOTOELECTRIC CONVERSION DEVICE AND MANUFACTURING METHOD THEREOF - To provide a novel photoelectric conversion device and a manufacturing method thereof. Over a base substrate having a light-transmitting property, a light-transmitting insulating layer and a single crystal semiconductor layer over the insulating layer are formed. A plurality of first impurity semiconductor layers each having one conductivity type is provided in a band shape in a surface layer of the single crystal semiconductor layer or on a surface of the single crystal semiconductor layer, and a plurality of second impurity semiconductor layers each having a conductivity type which is opposite to the one conductivity type is provided in a band shape in such a manner that the first impurity semiconductor layers and the second impurity semiconductor layers are alternately provided and do not overlap with each other. First electrodes in contact with the first impurity semiconductor layers and second electrodes in contact with the second impurity semiconductor layers are provided, and a back contact cell is formed, whereby a photoelectric conversion device provided with a photo acceptance surface on the base substrate side is formed. | 11-04-2010 |
20100275991 | Photovoltaic System, a Terminal Box Thereof and a Voltage Converting Device - A photovoltaic system, a terminal box and a voltage converting device thereof is provided. The terminal box and the voltage converting device have a connector plug or a connector socket, wherein said connector plug and connector socket are electrically connected by plugging. The photovoltaic system comprises a solar module, the terminal box and the voltage converting device, the terminal box and the voltage converting device being electrically connected by plugging the connector plug and connector socket, and the converting device being fixed to the solar module through a support member. With this photovoltaic system, the installation manner, which is free from conducting wires, is convenient, reliable and cost-effective. | 11-04-2010 |
20100275992 | Solar Cell Module and Process for its Production - An ultrahigh durability solar cell module that can be used semi-permanently, with an ultrahigh durability transparent substrate, solar cell element and filler, wherein the solar cell element and a liquid substance or a gel obtained by reacting the liquid substance as the filler, are sealed by a fast sealed structure comprising a high durability crosslinking reactive adhesive provided between a glass panel and back side protective substrate, and a hot-melt adhesive. The module is produced by placing the sealing compound, solar cell element and liquid substance on the glass panel and finally laying the back side protective substrate to form a provisional laminated body, and then compression bonding the provisional laminated body at room temperature in a vacuum for sealing. | 11-04-2010 |
20100282311 | Solar Cell Device Having Low Electrical and Thermal Impedance - A solar cell is fabricated. Its light-absorbing part is close to a heat-diffusing device. Thus, the solar cell has low electrical and thermal Impedance. The solar cell has a strong structure, high efficiency and is fit for mass production. | 11-11-2010 |
20100282312 | ELECTRONIC DEVICE HOUSING WITH SOLAR PAINT AND MANUFACTURING METHOD THEREOF - The invention provides an electronic device housing with solar paint and a manufacturing method thereof. Solar-powered paint layers are transferred onto the housing by the IMD technology. Therefore, the housing of the electronic device can generate electric energy via solar power to prolong the operation time of the electronic device and achieve environmental protection and energy conservation effects. | 11-11-2010 |
20100282313 | DYE-SENSITIZED SOLAR CELL USING COMPOSITE SEMICONDUCTOR MATERIAL - The invention relates to a dye-sensitized solar cell using composite semiconductor materials, said composite semiconductor materials comprising semiconductor material particles and inorganic particulates coated on the surfaces of the semiconductor material particles, wherein the composite semiconductor materials have a surface area in the range from about 15 to about 80 m | 11-11-2010 |
20100288347 | SEALING FILM FOR SOLAR CELL - Sealing film for solar cell which prevents conducting wires and electrodes of the solar cell from rusting and thereby enable the solar cell to retain its high photovoltaic performance for a long period. A light receiving surface sealing film for solar cell comprising ethylene-vinyl acetate copolymer, a cross-linking agent and an acid acceptor. A rear surface sealing film for solar cell comprising ethylene-vinyl acetate copolymer, a cross-linking agent and an acid acceptor, wherein the acid acceptor is contained in the range of not less than 0.5 parts by weight based on 100 parts by weight of ethylene-vinyl acetate copolymer. | 11-18-2010 |
20100288348 | SOLAR CELL DEVICE AND METHOD FOR FABRICATING THE SAME - A solar cell device is provided, including a transparent substrate, a composite transparent conductive layer disposed over the transparent substrate, a photovoltaic element formed over the composite transparent conductive layer, and an electrode layer disposed over the photovoltaic element. In one embodiment, the composite transparent conductive layer includes a first transparent conductive layer and a second transparent conductive layer sequentially stacked over the transparent substrate, and the first transparent conductive layer is made of lithium and fluorine-codoped tin oxide and the second transparent conductive layer is made of a material selected from a group consisting of zinc oxide and titanium dioxide. | 11-18-2010 |
20100288349 | THIN FILM SOLAR CELL AND FABRICATION METHOD THEREOF - A thin film solar cell having an active area and a dead area is provided. The thin film solar cell includes a first substrate, a first conductive layer, an photovoltaic layer, a second conductive layer, a first passivation layer, and a second passivation layer. The first conducting layer, the photovoltaic layer, the second conductive layer, and the first passivation layer are respectively disposed on the first substrate, the first conductive layer, the photovoltaic layer, and the second conductive layer, and all of them are located in the active area. The second passivation layer is disposed on a peripheral of the photovoltaic layer and located in the dead area, so as to avoid the photovoltaic layer from contacting with moisture in air. A fabrication method of the thin film solar cell is also provided. | 11-18-2010 |
20100288350 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - The present invention discloses a solar cell and a manufacturing method. A top surface of a substrate is transformed into an active surface with a waved shape. Next, a conductive layer, a CIGS compound layer and a transparent conductive layer are sequentially formed on the active surface. The active surface with the waved shape is formed by a destructive forming method, so that the conductive layer, the CIGS compound layer and the transparent conductive layer formed on the active surface in the following step also have the waved shape. Accordingly, a light-absorbing area and a reacting area can be increased, and conversion efficiency of light energy being converted into the electric energy is raised. | 11-18-2010 |
20100288351 | THIN-FILM SOLAR CELL - The thin-film solar cell includes at least one Na | 11-18-2010 |
20100288352 | INTEGRATED SOLAR CELL NANOARRAY LAYERS AND LIGHT CONCENTRATING DEVICE - An integrated energy conversion device includes a nanoarray layer having a plurality of nanofeatures disposed in a pattern. The nanoarray layer is configured to modify a selected one of a direction and a wavelength of photons of light incident on a surface of the nanoarray layer. The nanoarray layer has a surface. A first material is disposed adjacent to and optically coupled to one region of the surface of the nanoarray layer. A second material is disposed adjacent to and optically coupled to a second region of the surface of the nanoarray layer. At least a selected one of the first material and the second material includes a photovoltaic layer which is configured to provide an integrated solar cell electrical output voltage and an integrated solar cell electrical output current between an integrated solar cell positive output terminal and an integrated solar cell negative output terminal. | 11-18-2010 |
20100288353 | Coextruded, biaxially oriented polyester films with improved adhesion properties, reverse-side laminates for solar modules, and solar modules - The invention relates to a coextruded, biaxially oriented polyester film including a base layer (B) and at least one outer layer (A), in which the base layer (B) is mainly formed from thermoplastic polyester and the outer layer (A) is mainly formed from a mixture of from 50 to 97% by weight of ethylene-acrylate copolymer and from 3 to 50% by weight of polyester, where the proportion of acrylate in the ethylene-acrylate copolymer is from 2.5 to 15 mol %, based on the monomers of the copolymer. A process for the production of the film, and the use of the film are also described. | 11-18-2010 |
20100288354 | CADMIUM STANNATE TCO STRUCTURE WITH DIFFUSION BARRIER LAYER AND SEPARATION LAYER - A photovoltaic device can include a transparent conductive oxide layer adjacent to a substrate and one or more barrier layers, which can include a silicon oxide or a silicon nitride. | 11-18-2010 |
20100288355 | SILICON NITRIDE DIFFUSION BARRIER LAYER FOR CADMIUM STANNATE TCO - A photovoltaic device can include a transparent conductive oxide layer adjacent to a substrate and a barrier layer, which can include a silicon-containing material. | 11-18-2010 |
20100288356 | Photoactive compositions containing plasmon-resonating nanoparticles - Disclosed herein are photoactive compositions that include a semiconductor and plasmon-resonating nanoparticles that are capable of concentrating light at a wavelength that is substantially the same as the wavelength of light necessary to promote an electron from a valance band to a conduction band in the semiconductor. As such, the plasmon-resonating nanoparticles direct light to the band gap of the semiconductor at an increased intensity (relative to when such nanoparticles are not present). And because of that increased intensity, the photoactive composition can be more efficiently used to catalyze a photochemical reaction or generate electrical potential in a photovoltaic cell. | 11-18-2010 |
20100294352 | METAL PATTERNING FOR ELECTRICALLY CONDUCTIVE STRUCTURES BASED ON ALLOY FORMATION - Layered metal structures are patterned to form a surface with some locations having an alloy along the top surface at some locations and the original top metal layer at other locations along the surface. The alloy and original top metal layer can be selected to have differential etching properties such that the pattern of the alloy or original metal can be selectively etched to form a patterned metal interconnect. In general, the patterning is performed by localized heating that drives formation of the alloy at the heated locations. The metal patterning can be useful for solar cell applications as well as for electronics applications, such as display applications. | 11-25-2010 |
20100294353 | CONDUCTIVE PASTE FOR SOLAR CELL ELECTRODE - An electrode formed on the light-receiving side of photovoltaic cell, comprising conductive component, glass binder, and carbon fiber or metal fiber. By including a carbon fiber and a metal fiber, an electrode having a high aspect ratio can be formed, and improvement of optical conversion efficiency through an increase in light-receiving area can be expected. | 11-25-2010 |
20100294354 | PATTERNED PHOTOVOLTAIC DEVICES - A patterned photovoltaic device includes at least one photovoltaic cell, at least one carrier substrate attached to the cell, and at least one opening extending through the cell and the carrier substrate. | 11-25-2010 |
20100294355 | SOLAR CELL DEVICE COMPRISING A CONSOLIDATED CORE/SHELL POLYMER-QUANTUM DOT COMPOSITE AND METHOD OF THE PREPARATION THEREOF - A high-efficiency solar cell device of the present invention comprising an active layer composed of a p-i-n form polymer-quantum dot composite having a consolidated core/shell structure which is formed by heating a coating layer of a solution of an organic-inorganic mixture of a p-type organic polymer, an n-type organic compound, and a semiconductor quantum dot dissolved in an organic solvent is capable of overcoming the shortcoming of the conventional solar cell devices having a multi-layered thin film structure. | 11-25-2010 |
20100294356 | INTEGRATED 3-DIMENSIONAL AND PLANAR METALLIZATION STRUCTURE FOR THIN FILM SOLAR CELLS - A method operable to produce integrated 3-dimension and planar metallization structure for thin film solar cells is provided. This method involves depositing a thin film on a template mask, the template mask having both substantially flat and textured areas. The thin film is then released from the template mask. Emitters are formed on the thin film. Finally, metallization of the substantially flat areas takes place. | 11-25-2010 |
20100294357 | Solar Cell and Method for Manufacturing the Same - A solar cell capable of improving efficiency, and a method for manufacturing the same is disclosed, wherein the method for manufacturing the solar cell comprises forming seeds in a predetermined surface portion of a semiconductor substrate doped with a first dopant through the use of silicon source gas; forming an irregularity structure on the semiconductor substrate by growing the seeds through a heat-treatment process; forming a first semiconductor layer doped with a second dopant in the semiconductor substrate with the irregularity structure, wherein the second dopant is different from the first dopant; and forming a front electrode at one side of the semiconductor substrate, the front electrode electrically connected to the first semiconductor layer. | 11-25-2010 |
20100294358 | SEMICONDUCTOR PACKAGE - A semiconductor chip and an interposer are bonded by a conductive die bonding material. Between the semiconductor chip and the interposer, an application region in which the die bonding material resides and a region in which a sealing resin resides are provided. This allows adhesivity between the semiconductor chip and the interposer to be higher than that in conventional semiconductor packages, thereby causing no detachment at the adhesive interface. As a result, it becomes possible to improve electrical property and long-term reliability as compared to conventional semiconductor packages. Moreover, it is also possible to prevent the semiconductor chip from warping. | 11-25-2010 |
20100294359 | PROCESS OF FORMING A GRID ELECTRODE ON THE FRONT-SIDE OF A SILICON WAFER - A process of forming a front-grid electrode on a silicon wafer having an ARC layer, comprising the steps:
| 11-25-2010 |
20100294360 | PROCESS OF FORMING A GRID ELECTRODE ON THE FRONT-SIDE OF A SILICON WAFER - A process of forming a front-grid electrode on a silicon wafer having an ARC layer, comprising the steps:
| 11-25-2010 |
20100294361 | PROCESS OF FORMING A GRID ELECTRODE ON THE FRONT-SIDE OF A SILICON WAFER - A process of forming a front-grid electrode on a silicon wafer having an ARC layer, comprising the steps: | 11-25-2010 |
20100300519 | PHOTOVOLTAIC CELL FRONT FACE SUBSTRATE AND USE OF A SUBSTRATE FOR A PHOTOVOLTAIC CELL FRONT FACE - The invention relates to a photovoltaic cell having an absorbent photovoltaic material, said cell comprising a front face substrate ( | 12-02-2010 |
20100300520 | PHOTOVOLTAIC CELL HAVING NANODOTS AND METHOD FOR FORMING THE SAME - The present invention provides a photovoltaic cell comprising a photovoltaic conversion layer and a pair of electrodes. The photovoltaic conversion layer, being capable of converting incident light into a plurality hole-electron pairs, comprises a hole transport layer including a plurality of nanodots mixed therein for transporting the holes generated from the photovoltaic effect. The pair of electrodes are coupled respectively to two sides of the photovoltaic conversion layer for conducting holes and electrons. In another embodiment, the present invention further provides a method for forming the photovoltaic cell, wherein the nanodots are mixed in a solution formed of a hole transport material and then a hole transport layer having the nanodots is formed on a conductive substrate. In the photovoltaic cell having nanodots of the present invention, the hole mobility is enhanced so as to improve the efficiency of the photovoltaic cell. | 12-02-2010 |
20100300521 | SQUARYLIUM DYES INCLUDING AN ANCHORING GROUP - The present invention relates to squarylium dyes including an anchoring group, to a method of synthesis of such dye, to an electronic device comprising such dye, and to uses of such dye. | 12-02-2010 |
20100300522 | FABRICATION OF CONTACTS FOR SILICON SOLAR CELLS INCLUDING PRINTING BURN THROUGH LAYERS - A method for fabricating a contact ( | 12-02-2010 |
20100300523 | DYE-SENSITIZED SOLAR CELL AND METHOD OF FABRICATING THE SAME - Provided are dye-sensitized solar cells in which a transparent conductive oxide is not used as a light receiving substrate and methods of fabricating the same. The dye-sensitized solar cell includes an upper electrode layer, which is disposed between a lower electrode layer and a photovoltaic conversion part and has through-holes, and a supporter disposed between the lower electrode layer and the light receiving substrate. The supporter may be a pore layer. | 12-02-2010 |
20100300524 | ATOMIC LAYER DEPOSITION OF METAL SULFIDE THIN FILMS USING NON-HALOGENATED PRECURSORS - A method for preparing a metal sulfide thin film using ALD and structures incorporating the metal sulfide thin film. The method includes providing an ALD reactor, a substrate, a first precursor comprising a metal and a second precursor comprising a sulfur compound. The first and the second precursors are reacted in the ALD precursor to form a metal sulfide thin film on the substrate. In a particular embodiment, the metal compound comprises Bis(N,N′-di-sec-butylacetamidinato)dicopper(I) and the sulfur compound comprises hydrogen sulfide (H | 12-02-2010 |
20100300525 | INTEGRATED THIN-FILM SOLAR CELL AND MANUFACTURING METHOD THEREOF - An integrated thin-film solar cell and a method of manufacturing the same. In one aspect, the invention can be a method of manufacturing a thin-film solar cell comprising: providing a substrate on which trenches are formed separately from each other by a predetermined interval; forming a first electrode layer on a portion or the bottom side and one side of each of the trenches by using a first conductive material; forming a solar cell layer on the first electrode layer and on a portion of the trench on which the first electrode layer is not formed; forming a second electrode layer by obliquely emitting a second conductive material so that the second conductive material is deposited on the solar cell layer; etching the solar cell layer formed on the trenches such that the first electrode layer is exposed; and forming a conductive layer by obliquely emitting a third conductive material and depositing the third conductive material on the second electrode layer such that the exposed first electrode layer is electrically connected to the second electrode layer. | 12-02-2010 |
20100300526 | SOLAR CELL AND METHOD FOR MANUFACTURING SOLAR CELL - A solar cell includes a substrate, a first electrode layer formed on the substrate, a semiconductor layer formed on the first electrode layer, a second electrode layer formed on the semiconductor layer, and a conductive contact layer formed in a groove portion extending from the first electrode layer to the second electrode layer in a portion of the semiconductor layer. | 12-02-2010 |
20100300527 | SUBSTRATE FOR COMPOUND SEMICONDUCTOR SOLAR CELL - [Problem] A substrate for a compound semiconductor solar cell which maintains excellent elasticity even after a high temperature process at the time of forming a thin film is provided. | 12-02-2010 |
20100300528 | Adhesive seal material for end portion of frameless solar cell module, frameless solar cell module, and sealed structure of end portion thereof - An adhesive seal material for an end portion of a frameless solar cell module is an adhesive sealing member for an end portion of a frameless solar cell module which is bonded to an end portion of a frameless solar cell module, and includes a base material and an adhesive layer formed on a surface of the base material. The base material includes a reinforcement layer having a top surface on which the adhesive layer is laminated, and a barrier layer formed on a back surface of the reinforcement layer. | 12-02-2010 |
20100300529 | DYE-SENSITIZED SOLAR CELL - Disclosed is a dye-sensitized solar cell which can simultaneously realize an excellent photoelectric conversion efficiency and excellent durability. The dye-sensitized solar cell is also suitable when a resin film is used as a base material. The dye-sensitized solar cell comprises an electroconductive base material, and a metal oxide semiconductor layer formed of a semiconductor film with a dye adsorbed on the surface thereof, a charge transfer layer, and a counter electrode provided in that order on the electroconductive base material and is characterized in that a metal oxide intermediate layer formed of fine particles of a metal oxide is provided between the electroconductive base material and the metal oxide semiconductor layer and the electroconductive base material comprises a transparent base material, and a metallic current collecting layer formed of metallic fine wires and an electroconductive polymer-containing transparent electroconductive layer provided on the transparent base material. | 12-02-2010 |
20100307575 | SOLAR CELL AND METHOD MANUFACTURING THE SAME - Disclosed is a solar cell and a manufacturing method thereof. The solar cell includes: a substrate; an adhesive electrode disposed on the substrate; a first electrode adhered to the substrate by the adhesive electrode; a light absorption layer disposed on the first electrode; a window layer disposed on the light absorption layer; and a second electrode disposed on the window layer. | 12-09-2010 |
20100307576 | Photovoltaic device and method for manufacturing the same - The present invention relates to a photovoltaic device and a method for manufacturing the same. The photovoltaic device includes: a first semiconductor layer; a second semiconductor layer, disposed on the first semiconductor layer; a first electrode layer, connected to the first semiconductor layer; a second electrode layer, connected to the second semiconductor layer, in which the second electrode layer has an open area to expose the second semiconductor layer; and a low reflective conductive film, disposed in the open area and connected to the second electrode layer and the second semiconductor layer, in which the resistivity of the low reflective conductive film is less than or equal to that of the second semiconductor layer. Accordingly, the photovoltaic device provided by the present invention exhibits effectively reduced parasitic series resistance effect and thereby improved photoelectric conversion efficiency. | 12-09-2010 |
20100307577 | DYE-SENSITIZED SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A dye-sensitized solar cell and its preparation method are provided. The dye-sensitized solar cell comprises a first electrode, an electrolyte layer and a second electrode. The electrode layer comprises an electrolyte with non-fluidity and the second electrode comprises a conductive material with a proviso of including no substrate. Also, the electrolyte layer and the second electrode are formed in that order on the first electrode. | 12-09-2010 |
20100307578 | SOLAR BATTERY MODULE AND FORMING METHOD THEREOF - A solar battery module comprises a substrate over a surface of which a solar battery unit is formed, and a resin structure which contacts the substrate and which covers a part of a side surface and a back surface of the substrate. The resin structure comprises a resin and a buffer material having a lower thermal expansion coefficient than the resin, and a mixture ratio of the buffer material with respect to the resin is reduced as a distance from the substrate is increased. | 12-09-2010 |
20100307579 | Pseudo-Periodic Structure for Use in Thin Film Solar Cells - A method of manufacturing a photovoltaic cell includes providing an active absorption layer, forming a pseudo-periodic grating adjacent to the active absorption layer, and forming a reflector adjacent to the pseudo-periodic grating. A photovoltaic cell includes an active absorption layer, a pseudo-periodic grating adjacent to the active absorption layer, and a reflector adjacent to the pseudo-periodic grating. | 12-09-2010 |
20100307580 | Lateral Organic Optoelectronic Devices And Applications Thereof - The present invention provides organic optoelectronic devices including organic photovoltaic devices. In some embodiments of the present invention, organic optoelectronic devices are operable to convert electromagnetic energy received at one or more points at the side or circumferential area of an optical fiber core into electrical energy. | 12-09-2010 |
20100307581 | LARGE AREA DYE CELLS, AND METHODS OF PRODUCTION THEREOF - A photovoltaic cell for converting a light source into electricity, including an at least partially transparent cell wall having an intenor surface, an electrolyte, disposed within the cell wall, containing a redox species, and at least partially transparent conductive coating disposed on the intenor surface, an anode adapted to convert photons to electrons, including a porous titania film disposed on the conductive coating and adapted to contact the redox species, the film having a plurality of continuous areas separated by gaps disposed along a length of the film, and a dye, absorbed on a surface of the film, a cathode disposed opposite the anode, to effect electrolytic communication, via the electrolyte, with the porous film, and at least two conductor structures, disposed within the gaps, electrically connected to the anode and to the conductive coating, and abutting the film | 12-09-2010 |
20100307582 | PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device which is thin, lightweight, and flexible even in the case of using a crystalline semiconductor such as single crystal silicon. A photoelectric conversion layer is provided in contact with an insulating film provided on one surface of a support substrate. An electrode (rear electrode) which is in contact with one surface of the photoelectric conversion layer is provided in accordance with a opening which passes through the support substrate and the insulating film. The rear electrode is in electrical contact with the photoelectric conversion layer and the support substrate. On the other surface of the photoelectric conversion layer, an electrode (surface electrode) on a light incidence side is provided. The photoelectric conversion layer is formed using a semiconductor material; preferably, a single crystal semiconductor or a polycrystalline semiconductor is used. | 12-09-2010 |
20100313945 | Solar Cell Substrate and Methods of Manufacture - Photovoltaic cells and methods for making photovoltaic cells are described. The methods include disposing an intermediate layer within the back contact at a thickness that does not negatively impact reflection or transmission of light through the solar cell. The intermediate layer prevents peeling of metal from the back contact during laser scribing. | 12-16-2010 |
20100313946 | SOLAR CELL MODULE, LAMINATE, AND METHOD FOR PRODUCTION OF SOLAR CELL MODULE - Provided is a solar cell module which has a PCTFE film as the light-transmitting surface layer and/or back side protective sheet and is excellent in interlayer adhesion. The invention consists in a solar cell module comprising a light-transmitting surface layer, a solar cell element embedded in a filler and a back side protective sheet, wherein at least one of the light-transmitting surface layer and back side protective sheet is a polychlorotrifluoroethylene film (A) having a treated surface layer obtained by electric discharge treatment in an inert gas containing a reactive organic compound and the treated surface layer is disposed on the solar cell element side. | 12-16-2010 |
20100313947 | METHOD AND APPARATUS FOR APPLYING A MATERIAL ON A SUBSTRATE - The invention provides a method for applying a material onto a substrate using a droplet printing technique wherein a gas stream is released into the direction of a substrate, which gas stream comprises a carrier gas and droplets of a suspension of the material or droplets of a solution of a precursor of the material or droplets of a precursor of the material as such, whereby the droplets in the gas stream are first maintained in a steady flow and subsequently in a converging flow before the droplets are contacted with the substrate. The invention further provides an apparatus for carrying out said method. | 12-16-2010 |
20100313948 | Photovoltaic Device and Manufacturing Method Thereof - A photovoltaic device with a low degradation rate and a high stability efficiency. In one aspect, the photovoltaic device includes: a substrate; a first electrode disposed on the substrate; at least one photoelectric transformation layer disposed on the first electrode, the photoelectric transformation layer including a light absorbing layer; and a second electrode disposed on the photoelectric transformation layer; and wherein the light absorbing layer included in at least the one photoelectric transformation layer includes a first sub-layer and a second sub-layer, each of which includes hydrogenated amorphous silicon based material and a crystalline silicon grain respectively. | 12-16-2010 |
20100313949 | Photovoltaic Device and Manufacturing Method Thereof - A photovoltaic device with a low degradation rate and a high stability efficiency. In one aspect, the photovoltaic device includes: a substrate; a first electrode disposed on the substrate; a plurality of photoelectric transformation layers disposed on the first electrode, the photoelectric transformation layer comprising a light absorbing layer; and a second electrode disposed on a plurality of the photoelectric transformation layers; wherein the light absorbing layer comprised in at least one of a plurality of the photoelectric transformation layers comprises a first sub-layer and a second sub-layer, each of which comprises hydrogenated amorphous silicon and hydrogenated proto-crystalline silicon respectively, and wherein a thickness of the first sub-layer is actually the same as a thickness of the second sub-layer. | 12-16-2010 |
20100313950 | ANTI-REFLECTIVE COATINGS FOR OPTICALLY TRANSPARENT SUBSTRATES - Anti-reflective coatings and coating solutions, optically transparent elements and improved processes for preparing AR coatings and coating solutions are described. The anti-reflective coatings are formed from at least two different alkoxy silane materials in a base catalyzed reaction. | 12-16-2010 |
20100313951 | CARBON NANOTUBE-BASED SOLAR CELLS - Solar cells are provided with carbon nanotubes (CNTs) which are used: to define a micron/sub-micron geometry of the solar cells; and/or as charge transporters for efficiently removing charge carriers from the absorber layer to reduce the rate of electron-hole recombination in the absorber layer. A solar cell may comprise: a substrate; a multiplicity of areas of metal catalyst on the surface of the substrate; a multiplicity of carbon nanotube bundles formed on the multiplicity of areas of metal catalyst, each bundle including carbon nanotubes aligned roughly perpendicular to the surface of the substrate; and a photoactive solar cell layer formed over the carbon nanotube bundles and exposed surfaces of the substrate, wherein the photoactive solar cell layer is continuous over the carbon nanotube bundles and the exposed surfaces of the substrate. The photoactive solar cell layer may be comprised of amorphous silicon p/i/n thin films; although, concepts of the present invention are also applicable to solar cells with absorber layers of microcrystalline silicon, SiGe, carbon doped microcrystalline silicon, CIS, CIGS, CISSe and various p-type II-VI binary compounds and ternary and quaternary compounds. | 12-16-2010 |
20100319765 | PHOTOVOLTAIC DEVICES - A photovoltaic device includes a photoactive layer which has at least one embossed pattern on a surface thereof. This embossed pattern varies traveling directions of light in the photoactive layer. | 12-23-2010 |
20100319766 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes; a semiconductor substrate including a first conductive type part selected from one of a p-type and n-type material and a second conductive type part selected from p-type and n-type material different from the first conductive type part, and a plurality of contact holes penetrating from a first surface to a second surface of the semiconductor substrate, a first electrode disposed on the first surface of the semiconductor substrate and electrically connected to the second conductive type part, a second electrode disposed on the second surface of the semiconductor substrate and electrically connected to the first conductive type part, and a dielectric layer disposed between the semiconductor substrate and the second electrode in the contact hole, and a method of manufacturing the solar cell. | 12-23-2010 |
20100319767 | METHOD FOR PROVIDING A CONTACT ON THE BACK SURFACE OF A SOLAR CELL, AND A SOLAR CELL WITH CONTACTS PROVIDED ACCORDING TO THE METHOD - The present invention relates to a solar cell which includes a silicon layer ( | 12-23-2010 |
20100319768 | THIN-FILM SOLAR CELL AND PROCESS FOR ITS MANUFACTURE - The present invention refers to a thin-film solar cell which is contacted from the rear-side. The invention is based on a combination of thin-film solar cells, e.g. wafer equivalents, with the emitter wrap-through (EWT) technology. The present invention also provides a process for manufacturing these solar cells. | 12-23-2010 |
20100319769 | PROCESSES FOR FABRICATING ALL-BACK-CONTACT HETEROJUNCTION PHOTOVOLTAIC CELLS - Processes for fabricating back contacts for photovoltaic cell devices are disclosed. The processes involve depositing a passivation layer on the back surface of a wafer, depositing an emitter layer on the passivation layer, depositing a metal layer on the emitter layer, laser firing selected areas of the metal layer to form base contacts, laser cutting the metal layer to create at least one isolation region between emitter contacts and base contacts, and applying a stream of reactive gas to form a second passivation layer in the isolation region. The process may further involve inkjetting a resist on the passivation layer in a pattern corresponding to a boundary between the one or more emitter contacts and the one or more base contacts, and laser cutting the metal layer over the resist to create the isolation region. | 12-23-2010 |
20100319770 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell and a method for manufacturing the same are disclosed. The solar cell includes a first conductive type substrate, an emitter layer of a second conductive type opposite the first conductive type, the emitter layer and the substrate forming a p-n junction, a plurality of first electrodes electrically connected to the emitter layer, at least one current collector connected to the plurality of first electrodes, and a second electrode electrically connected to the substrate. Each of the plurality of first electrodes includes a first electrode layer and a second electrode layer on the first electrode layer. The at least one current collector includes a plurality of first current collector layers having a plurality of first portions and at least one second current collector layer on the plurality of first current collector layers. | 12-23-2010 |
20100326509 | Doped Diamond Solar Cell - Implementations and techniques for doped diamond solar cells are generally disclosed. | 12-30-2010 |
20100326510 | THIN SEMICONDUCTOR LAMINA ADHERED TO A FLEXIBLE SUBSTRATE - A semiconductor donor body such as a wafer is implanted with ions to form a cleave plane. The donor wafer is affixed to a polyimide receiver element, for example by applying polyimide in liquid form to the donor wafer, then curing, or by affixing the donor wafer to a preformed polyimide sheet. Annealing causes a lamina to cleave from the donor wafer at the cleave plane. The resulting adhered lamina and polyimide body are not adhered to another rigid substrate and can be jointly flexed. | 12-30-2010 |
20100326511 | SOLAR CELL WHEREIN SOLAR PHOTOVOLATIC THIN FILM IS DIRECTLY FORMED ON BASE - Disclosed is a solar cell comprising a solar cell semiconductor thin film formed on a base, a transparent conductive film formed on the semiconductor thin film, and a nitride-containing moisture diffusion-preventing film which covers the upper surface of the transparent conductive film. The moisture diffusion-preventing film is preferably composed of at least a silicon nitride film or a silicon carbide nitride (SiCN) film. | 12-30-2010 |
20100326512 | MULTI-LAYER SYSTEM WITH CONTACT ELEMENTS AND A METHOD FOR CONSTRUCTING A CONTACT ELEMENT FOR A MULTI-LAYER SYSTEM - The invention relates to a layer system comprising a contact element ( | 12-30-2010 |
20100326513 | INVERSE OPAL STRUCTURE HAVING DUAL POROSITY, METHOD OF MANUFACTURING THE SAME, DYE-SENSITIZED SOLAR CELL, AND METHOD OF MANUFACTURING THE DYE-SENSITIZED SOLAR CELL - An inverse opal structure having dual porosity, a method of manufacturing the inverse opal structure, a dye-sensitized solar cell, and a method of manufacturing the dye-sensitized solar cell improve the light scattering effects of an included light scattering layer and improve functions of included electrodes. The inverse opal structure includes a plurality of first pores regularly arranged in a photonic crystal structure and a plurality of second pores formed on walls of the first pores in which the second pores have a nano-sized diameter. | 12-30-2010 |
20100326514 | SOLAR CELL - A solar cell includes: a photoelectric conversion body having a light receiving surface through which irradiation light may enter to the photoelectric conversion body; a light transmission body provided on the light receiving surface of the photoelectric conversion body, the light transmission body having a light receiving surface; and an electrode provided on the light receiving surface of the photoelectric conversion body, the electrode having a portion extending to and provided on a part of the light receiving surface of the light transmission body. | 12-30-2010 |
20100326515 | BASE MATERIAL FOR SOLAR CELL - It is to provide a base material for a solar cell, constituted by a stretched film of a composition containing a thermoplastic crystalline resin and inert particles, in which the base material for a solar cell has, on at least one side, a surface that has a center plane average surface roughness Ra of 30 to 500 nm and an average interval between local crests S on the surface of 40 to 5,000 nm, by which the base material for a solar cell provided has a surface capable of providing a light trapping effect and is useful for producing a solar cell exhibiting an excellent photoelectric conversion efficiency upon using as a base material of a thin film solar cell. | 12-30-2010 |
20100326516 | PHOTOELECTRIC TRANSFER DEVICE - In a photoelectric transfer device having a semiconductor electrode composed of semiconductor nanoparticles and an electrolyte layer between a pair of transparent conductive substrates, a transparent conductive substrate at the light-receiving side is made by stacking a transparent substrate, conductive wiring layer and a metal oxide layer in order from the light-receiving side and having sheet resistance equal to or lower than 10Ω/□. The metal oxide layer is made of an In—Sn composite oxide, SnO | 12-30-2010 |
20100326517 | HIGH EFFICIENCY PHOTOVOLTAIC MODULES - The invention relates to high efficiency solar collection devices having a matte surface, a low refractive index surface, or both, that increases solar radiation transmission into the device. The matte surface is on a thermoplastic and may be provided in many ways, such as by a matting agent, by the use of a matte chill roll, embossing, or other techniques. The matte and/or low refractive index surface may be a coating, film (single or multi-layer) or sheet (single or multi-layer). The invention is especially useful in both rigid and flexible photovoltaic modules. | 12-30-2010 |
20100326518 | SOLAR CELL AND METHOD OF MANUFACTURING SOLAR CELL - A solar cell includes a rear surface electrode layer a semiconductor layer formed on a surface of rear surface electrode layer a front surface electrode layer formed on a surface of semiconductor layer and a support layer on a surface of rear surface electrode layer at a side opposite the side where semiconductor layer is formed. Semiconductor layer includes at least one p-n junction. A plurality of through holes are provided, which through holes are cavities connecting support layer openings provided on a surface of support layer at a side opposite the side where rear surface electrode layer is formed with semiconductor layer openings provided on a surface of semiconductor layer at a side opposite the side where rear surface electrode layer is formed. Front surface electrode layer is formed in a region where semiconductor layer openings are not provided. A method of manufacturing the solar cell is also disclosed. | 12-30-2010 |
20110000534 | Elongated photovoltaic cells in casings with a filling layer - A solar cell unit comprising a cylindrical shaped solar cell and a transparent tubular casing is provided. The tubular shaped solar cell comprises a back-electrode, a semiconductor junction circumferentially disposed on the back-electrode and a transparent conductive layer disposed on the semiconductor junction. The transparent tubular casing is circumferentially sealed onto the transparent conductive layer of the cylindrical shaped solar cell. A solar cell unit comprising a cylindrical shaped solar cell, a filler layer, and a transparent tubular casing is provided. The cylindrical shaped solar cell comprises a cylindrical substrate, a back-electrode circumferentially disposed on the cylindrical substrate, a semiconductor junction circumferentially disposed on the back-electrode, and a transparent conductive layer disposed on the semiconductor junction. The filler layer is circumferentially disposed on the transparent conductive layer and the transparent tubular casing is circumferentially disposed onto the filler layer. | 01-06-2011 |
20110000535 | Spanish shingles with photovoltaic cells, method of producing and method of installation - A photovoltaic shingle having a photovoltaic assembly with a photovoltaic cell or cells. The substrate has an outward face and an inward face and a profile having a plurality of traverse parallel ridges with each ridge separated from the next ridge by a traverse parallel trough. The substrate facilitates vertical and horizontal nesting and alignment. The photovoltaic cell substantially spans the outward face except for a portion thereof that is intended to be overlapped by another similar shingle. The substrate can have two tiers or more, each tier being separated by an integral riser that creates the appearance of two rows or more of shingles. The substrate can be produced from recyclable plastic. The shingles have a translucent color enhancing means for imparting an uniform color and can be produced in many colors and shapes. The shingle is attached directly to a building or roof structure without an intermediary support or framing structure therebetween. | 01-06-2011 |
20110000536 | Solar cell and method of manufacturing the same - The present invention relates to solar cells. Such solar cells include a substrate containing a first impurity of a first conductive type and having a textured surface with a plurality of jagged portions. Such solar cells also have an emitter layer positioned on the textured surface and containing a second impurity of a second conductive type opposite to the first conductive type, a first electrode having a plurality of first metal particles, electrically connected to the emitter layer, and a second electrode electrically connected to the substrate. The diameter of the first metal particles is larger than the peak-to-peak distance between adjacent jagged portions. | 01-06-2011 |
20110000537 | Photovoltaic Device and Manufacturing Method Thereof - A photovoltaic device with a low degradation rate and a high stability efficiency. In one aspect, the photovoltaic device includes: a substrate; a first electrode disposed on the substrate; at least one photoelectric transformation layer disposed on the first electrode, the photoelectric transformation layer including a light absorbing layer; and a second electrode disposed on the photoelectric transformation layer, wherein the light absorbing layer includes a first sub-layer and a second sub-layer, each of which includes a hydrogenated amorphous silicon based material respectively; and wherein the first sub-layer and the second sub-layer include a non-silicon based element, and the second sub-layer includes a crystalline silicon grain surrounded by the hydrogenated amorphous silicon based element. | 01-06-2011 |
20110000538 | NON-IMAGING SOLAR CONCENTRATOR REFLECTOR FOR PHOTOVOLTAIC CELLS - The invention discloses a non-imaging reflecting surface optimized for concentrating solar energy onto a high efficiency solar cell. It provides for accurate mapping of solar radiation from the reflector to the cell. Additionally it provides for using only that portion of the surface that participates in the radiation transfer and it creates substantially uniform radiation intensity on the cell surface. The uniformity applies to both the spectral and the intensity distribution of the radiation on the cell. The reflecting surface is an off-axis parabolic surface trimmed to include only ray intercepts that travel to the solar cell surface. The solar cell is located off-focus so that rays from the reflector intercept the cell surface according to a predetermined mapping. | 01-06-2011 |
20110000539 | Self-cleaning protective coatings for use with photovoltaic cells - Systems and materials to improve photovoltaic cell efficiency by implementing a self-cleaning function on photovoltaic cells and on albedo surfaces associated with photovoltaic cell assemblies are provided. Materials for protecting albedo surfaces that surround photovoltaic cell assemblies, thereby maximizing energy input into the photovoltaic cell assemblies, are provided. Materials for self-cleaning photovoltaic cell panels, thereby maintaining their efficiency, are provided. Portable albedo collecting devices associated with photovoltaic cell assemblies are provided. | 01-06-2011 |
20110000540 | Back Side Contact Solar Cell Structures And Fabrication Processes - In one embodiment, active diffusion junctions of a solar cell are formed by diffusing dopants from dopant sources selectively deposited on the back side of a wafer. The dopant sources may be selectively deposited using a printing method, for example. Multiple dopant sources may be employed to form active diffusion regions of varying doping levels. For example, three or four active diffusion regions may be fabricated to optimize the silicon/dielectric, silicon/metal, or both interfaces of a solar cell. The front side of the wafer may be textured prior to forming the dopant sources using a texturing process that minimizes removal of wafer material. Openings to allow metal gridlines to be connected to the active diffusion junctions may be formed using a self-aligned contact opening etch process to minimize the effects of misalignments. | 01-06-2011 |
20110005586 | Electrochemical Deposition Methods for Fabricating Group IBIIIAVIA Compound Absorber Based Solar Cells - A method of forming a Group IBIIIAVIA absorber layer on a base for manufacturing a solar cell is provided. The method, in one embodiment, includes forming a precursor stack by electroplating a first metallic layer on the base. The first metallic layer includes at least one of copper, indium and gallium. A first selenium layer is deposited on the first metallic layer, and an interlayer is electrodeposited on the selenium layer. The interlayer includes one of gold and silver. A second metallic layer is electrodeposited on the interlayer, the second metallic layer comprising at least one of copper indium and gallium. The interlayer inhibits dissolution of selenium during the electrodeposition of the second metallic layer. Such prepared precursor stack is reacted at a temperature range of 300-600° C. to form the Group IBIIIAVIA absorber layer. | 01-13-2011 |
20110005587 | MADE TO ELEMENTS CAPABLE OF COLLECTING LIGHT - A substrate ( | 01-13-2011 |
20110005588 | Photovoltaic Device and Manufacturing Method Thereof - A photovoltaic device with a low degradation rate and a high stability efficiency. In one aspect, the photovoltaic device includes: a substrate; a first electrode disposed on the substrate; at least one photoelectric transformation layer disposed on the first electrode, the photoelectric transformation layer including a light absorbing layer; and a second electrode disposed on the photoelectric transformation layer; wherein the light absorbing layer includes the first sub-layer and the second sub-layer, the first sub-layer including hydrogenated micro-crystalline silicon germanium (μc-SiGe:H) and an amorphous silicon germanium network (a-SiGe:H) formed among the hydrogenated micro-crystalline silicon germaniums, the second sub-layer including hydrogenated micro-crystalline silicon (μc-Si:H) and an amorphous silicon network (a-Si:H) formed among the hydrogenated micro-crystalline silicons. | 01-13-2011 |
20110005589 | ASPHALTENE BASED PHOTOVOLTAIC DEVICES - Photovoltaic devices and methods of making the same, are disclosed herein. The cell comprises: a first electrically conductive layer; at least one photoelectrochemical layer comprising metal-oxide particles, an electrolyte solution, an asphaltene dye, and a second electrically conductive layer. | 01-13-2011 |
20110005590 | Tandem Photoelectrochemical Cell for Water Dissociation - A tandem photoelectrochemical (PEC) cell including a nitride PEC semiconductor connected in series with a current matched photovoltaic (PV) Si solar cell that provides an internal biasing voltage. A low resistance tunnel junction is formed between the PEC semiconductor and PV cell. The tandem PEC cell is placed together with a counter electrode in contact with an aqueous solution, such that, when exposed to solar radiation, the PEC semiconductor utilizes high energy photons to split water while the PV cell utilizes low energy photons to bias the tandem PEC cell to eliminate the barrier between Fermi energy and redox potentials, thereby initiating the spontaneous dissociation of water in the aqueous solution into hydrogen and oxygen. The conduction band edge (CBE) for n-type PEC semiconductor is located in the vicinity of the Fermi stabilization energy to reduce the barriers for the charge transfer between the PEC semiconductor and the aqueous solution. | 01-13-2011 |
20110005591 | Solar Cell Front Contact Doping - A method of doping solar cell front contact can improve the efficiency of CdTe-based or other kinds of solar cells. | 01-13-2011 |
20110005592 | SEALING FILM FOR SOLAR CELL AND SOLAR CELL OBTAINED BY USE OF THE SEALING FILM - A sealing film having excellent adhesive characteristics for a solar cell is provided, which contains an inorganic filler in the sealing film. The sealing film for a solar cell contains ethylene-polar monomer copolymer, a crosslinking agent, and an inorganic filler. The sealing film is characterized by further containing a phosphite compound represented by formula (I): P(OR | 01-13-2011 |
20110005593 | PHOTOVOLTAIC DEVICE - A photovoltaic device including an active layer of an amorphous material in which the active layer is in the shape of an array of defined and repeating geometrical structures, wherein the geometrical structures include a base and a single apex that are connected by at least three n-polygonal surfaces where n is equal to 4 or higher. | 01-13-2011 |
20110011452 | Concentration Photovotaic Apparatus - A concentration photovoltaic apparatus includes a substrate, a bypass diode, a solar cell and an adhesion layer. The substrate includes five conduction regions. The solar cell is provided on the fourth conduction region, on a side of the cutouts, and connected to the third and fifth conduction regions through wires. The adhesion layer is provided between the solar cell and the fourth conduction region. | 01-20-2011 |
20110011453 | SOLAR CELL MODULE AND METHOD FOR MANUFACTUIRNG THE SAME - A solar cell module includes a substrate, a first electrode disposed on the substrate and including a first groove extending in a first direction in a plan view of the substrate, a semiconductor layer disposed on the first electrode and including a second groove extending in the first direction and a third groove extending in the first direction, a second electrode disposed on the semiconductor layer and including the third groove, and a fourth groove disposed extending through the semiconductor layer and the second electrode and disposed between the second groove and the third groove in the plan view of the substrate. | 01-20-2011 |
20110011454 | SOLAR CELL MODULE AND SOLAR CELL - A ratio of an area in which a first exposed portion | 01-20-2011 |
20110017287 | SUBSTRATES FOR PHOTOVOLTAICS - Light scattering substrates, superstrates, and/or layers for photovoltaic cells are described herein. Such structures can be used for volumetric scattering in thin film photovoltaic cells. | 01-27-2011 |
20110017288 | Thin film type solar cell and method of manufacturing the same - There is provided a thin film type solar cell including: a crystalline silicon wafer subject to surface texturing and forming an n-type semiconductor layer; a pn junction formed of a non-crystalline p-type silicon layer deposited on one surface of the crystalline silicon wafer and a non-crystalline n-type silicon layer deposited on the other surface thereof; a transparent surface electrode formed outward of the pn junction; a water repellent light transmitting layer formed on the pn junction, the surface electrode, or both the pn junction and the surface electrode and allowing for an increase in light transmittance; and a pattern electrode formed on the surface electrode or the water repellent light transmitting layer. | 01-27-2011 |
20110017289 | CIGS SOLAR CELL AND METHOD OF FABRICATING THE SAME - Provided are a CIGS solar cell and a method of fabricating the CIGS solar cell. In the method, a buffer layer exposing protrusions is formed. Then, a window electrode layer having an uneven surface conforming with the protrusions of the buffer layer is formed. Thus, an additional process for making the upper surface of a window electrode layer rough is unnecessary in order to decrease surface reflectance of incident sunlight and increase the solar cell efficiency, so that productivity can be improved. | 01-27-2011 |
20110017290 | METHOD FOR MANUFACTURING SOLAR CELL AND SOLAR CELL MANUFACTURED BY THE METHOD - A method for manufacturing a solar cell includes (S1) forming, on a first conductive semiconductor substrate, a second conductive semiconductor layer having an opposite conduction type by means of ion implantation to form a pn junction in an interface thereof; (S2) treating an alkali solution on the second conductive semiconductor layer for texturing; (S3) forming an antireflection film on the textured second conductive semiconductor layer; (S4) forming a front electrode to pass through a partial region of the antireflection film and connect to a part of the second conductive semiconductor layer; and (S5) forming a rear electrode at an opposite side to the front electrode with the first conductive semiconductor substrate being interposed therebetween such that the rear electrode is connected to the first conductive semiconductor substrate. The second conductive semiconductor layer, namely an emitter layer, functions as an etch stop layer. | 01-27-2011 |
20110017291 | DIFFUSING AGENT COMPOSITION FOR INK-JET, AND METHOD FOR PRODUCTION OF ELECTRODE OR SOLAR BATTERY USING THE COMPOSITION - Provided are: a diffusing agent composition for ink-jet; a method for production of electrode and solar battery using the diffusing agent composition; and a solar battery produced by the method for production. The diffusing agent composition for ink-jet includes (a) a silicon compound, (b) an impurity-diffusing component and (c) a solvent, in which: the solvent (c) contains (c1) a solvent having a boiling point of no higher than 100° C. and (c2) a solvent having a boiling point of 180 to 230° C.; and the solvent (c1) is contained at a ratio of 70 to 90% by mass and the solvent (c2) is contained at a ratio of 1 to 20% by mass both relative to the total mass of the composition. | 01-27-2011 |
20110023953 | Solar Cell Device - A solar cell is provided Its light absorption area is close to a heat-dissipation apparatus. Thus, an excellent dissipation efficiency is obtained. The solar cell has a strong structure, an easy fabrication method and a low cost. Thus, the present invention is fit for mass-production. | 02-03-2011 |
20110023954 | SOLAR CELL AND METHOD FOR FABRICATING THE SAME - A solar cell includes a first electrode disposed on a substrate, a first light absorption layer disposed on the first electrode, an interlayer disposed on the first light absorption layer, a second light absorption layer disposed on the interlayer, and a second electrode disposed on the second light absorption layer. The solar cell further includes a groove penetrating through the first light absorption layer, the interlayer, and the second light absorption layer. The groove is filled with the second electrode. The interlayer is spaced apart from the second electrode filling the groove, to define a spacer layer which electrically insulates the interlayer from the second electrode filling the groove. | 02-03-2011 |
20110023955 | LATERAL COLLECTION PHOTOVOLTAICS - Lateral collection photovoltaic (LCP) structures based on micro- and nano-collecting elements are used to collect photogenerated carriers. In one set of embodiments, the collecting elements are arrayed on a conducting substrate. In certain versions, the collecting elements are substantially perpendicular to the conductor. In another set of embodiments, the micro- or nano-scale collecting elements do not have direct physical and electrical contact to any conducting substrate. In one version, both anode and cathode electrodes are laterally arrayed. In another version, the collecting elements of one electrode are a composite wherein a conductor is separated by an insulator, which is part of each collector element, from the opposing electrode residing on the substrate. In still another version, the collection of one electrode structure is a composite containing both the anode and the cathode collecting elements for collection. An active material is positioned among the collector elements. | 02-03-2011 |
20110023956 | REAR-CONTACT SOLAR CELL HAVING EXTENSIVE REAR SIDE EMITTER REGIONS AND METHOD FOR PRODUCING THE SAME - The invention relates to a rear-contact solar cell and to a method for producing the same. The rear-contact solar cell comprises a semiconductor substrate on the rear side surface of which emitter regions, contacted by emitter contacts, and base regions, contacted by base contacts, are defined. The emitter regions and the base regions overlap at least in overlap regions, the emitter regions in the overlap regions reaching deeper into the semiconductor substrate than the base regions, when seen from the rear side surface of the solar cell. As a result, a large area percentage of the rear side of the semiconductor substrate can be covered with a charge-collecting emitter, said emitter being at least partially buried in the interior of the semiconductor substrate so that there is no risk of the base contacts provoking a short circuit towards the buried emitter regions. | 02-03-2011 |
20110023957 | PHOTOVOLTAIC CELLS INCLUDING PEAKS AND METHODS OF MANUFACTURE - Photovoltaic module and methods for the manufacture of photovoltaic modules are described. Operative layers of the photovoltaic cell are deposited onto a superstrate having one or more of at least one peak allowing for electrical isolation of a portion of a photovoltaic module and at least one ramp creating a series connection between individual photovoltaic cells with minimal loss of the efficiency due to dead space between the cells. | 02-03-2011 |
20110023958 | SOLAR CELL AND METHOD OF FABRICATION THEREOF - A solar cell and a method of fabricating solar cells. The method includes a step of separating neighbor solar cells formed on a semiconductor wafer by scribing the wafer to form scribe lines on the wafer and applying a force at, or adjacent to, the scribed lines to separate the solar cells. The scribing is effected on a cap layer covering a window layer of solar cells, thereby minimizing damage to the window layer and mitigating propagation of defects into p-n junctions formed in the solar cells. | 02-03-2011 |
20110023959 | Photovoltaic Cell Substrate And Method Of Manufacturing The Same - A photovoltaic cell substrate and a method of manufacturing the same. The photovoltaic cell substrate includes a transparent substrate and a transparent conductive film. The transparent conductive film includes zinc oxide (ZnO) which is doped with a dopant and is formed over the transparent substrate. A surface charge activated layer is formed on a surface of the transparent conductive film by Rapid-Thermal-Annealing. | 02-03-2011 |
20110023960 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a p-n structure having a first conductive semiconductor substrate, a second conductive semiconductor layer formed on the first conductive semiconductor substrate and having a conduction opposite to the first conductive semiconductor substrate, and a p-n junction formed at an interface between the first and second conductive semiconductor substrate/layer; a first anti-reflection film formed on the second conductive semiconductor layer and composed of SiNx:H thin film with 40-100 nm thickness; a second anti-reflection film formed on the first anti-reflection film and composed of silicon oxy-nitride; a front electrode formed on the second anti-reflection film in a predetermined pattern and connected to the second conductive semiconductor layer through the first and second anti-reflection films; and a rear electrode formed at an opposite side to the front electrode with the first conductive semiconductor substrate being interposed therebetween to be connected to the first conductive semiconductor substrate. | 02-03-2011 |
20110023961 | Melt Planarization Of Solar Cell Bus Bars - Solar cells include bus bars and high aspect-ratio gridlines that are printed on a substrate, and localized melting is induced to slump or flatten the gridline “vertex” portions that are disposed on the bus bars, while maintaining the high aspect-ratio of gridlines portions disposed on the substrate between the bus bars. The localized melting process is induced using one of several disclosed methods, such as rheological melting in which the two printed inks produce a compound that is relatively liquid. Localized melting is also induced using a deliquescing material (e.g., a flux or a solvent film) that is applied to the bus bar or gridline material. Also, eutectic melting is induced using a processing temperature that is between a melting point of the combined gridline/bus bar inks and the individual melting points of the inks alone. Laser-based melting and the use of copolymers is also disclosed. | 02-03-2011 |
20110023962 | Solar Cell Element and Solar Cell Module - A solar cell element comprising a semiconductor substrate including a first surface to receive light, and a second surface provided on a back side of the first surface, a plurality of base collector electrodes provided on the second surface of the semiconductor substrate, a plurality of collector electrodes provided on the plurality of base collector electrodes, a connector electrode to electrically connect the collector electrodes adjacent to each other across a region between the plurality of base collector electrodes among the plurality of collector electrodes. A first extracting electrode provided between the plurality of base collector electrodes, and a second extracting electrode electrically connected to at least one of the plurality of collector electrodes. | 02-03-2011 |
20110030771 | Organic photosensitive optoelectronic device with near-infrared sensitivity - An organic photosensitive optoelectronic device having near infrared sensitivity and the method of fabrication thereof are described. The organic photosensitive optoelectronic device comprises a first electrode and a second electrode and organic photoactive materials comprising ClAlPc. | 02-10-2011 |
20110030772 | Electronic device including graphene-based layer(s), and/or method or making the same - Certain example embodiments of this invention relate to the use of graphene as a transparent conductive coating (TCC). In certain example embodiments, graphene thin films grown on large areas hetero-epitaxially, e.g., on a catalyst thin film, from a hydrocarbon gas (such as, for example, C | 02-10-2011 |
20110030773 | PHOTOVOLTAIC CELL WITH BACK-SURFACE REFLECTIVITY SCATTERING - Crystal oriented photovoltaic cells with increased efficiency are disclosed herein. In an exemplary embodiment, a photovoltaic device includes a metal substrate with a crystalline orientation comprising a diffracting structure integrated into a surface of the metal substrate. The photovoltaic device includes a heteroepitaxial crystal silicon layer having the crystalline orientation of the metal substrate and a heteroepitaxially grown buffer layer having the crystalline orientation. The buffer layer is positioned adjacent to the surface of the metal substrate having the diffracting structure. | 02-10-2011 |
20110030774 | Inverted Metamorphic Multijunction Solar Cells with Back Contacts - A method of manufacturing a solar cell by providing a first substrate; depositing sequentially on the first substrate a plurality of semiconductor layers, the plurality of semiconductor layers comprising a first layer and a last layer in the direction of deposition; forming a backside contact layer on the last semiconductor layer; forming on the last semiconductor layer a back cathode contact isolated from at least a first portion of the backside contact layer, the first portion forming the anode contact; attaching a second substrate on the backside contact layer and removing the first substrate to expose the first semiconductor layer and to define a front surface and an opposite back surface of a solar cell; forming a front cathode contact on the front surface of the solar cell; etching a first trench through the plurality of semiconductor layers to define an active portion of the solar cell with a first mesa structure including the front cathode contact and the anode contact and being surrounded by the first trench, the first mesa having a first sidewall in the first trench and a lateral peripheral region beyond the sidewall, and forming in the lateral peripheral region an electrically conductive layer extending from the front surface where it is electrically connected to the front cathode contact along the first sidewall of the first trench to be electrically connected to the back cathode contact. | 02-10-2011 |
20110030775 | SOLAR CELL MODULE AND METHOD OF MANUFACTURING THE SAME - A method for fabricating a solar cell module includes disposing a reflective layer on one side of a thin film solar cell, and laminating the reflective layer with the thin film solar cell. The reflective layer is prepared separately from the thin film solar cell. | 02-10-2011 |
20110030776 | Photovoltaic device back contact - A photovoltaic device back contact is disclosed. The back contact can include an indium nitride. | 02-10-2011 |
20110030777 | PHOTOVOLTAIC DEVICE AND METHOD FOR MANUFACTURING THEREOF - Disclosed is a method for manufacturing a photovoltaic device. The method for manufacturing a photovoltaic device includes providing substrates having trenches formed therein, forming a first electrode layer, and forming an auxiliary electrode layer in areas between the trenches such that the auxiliary electrode layer is located on or under the first electrode layer, the auxiliary electrode layer having electrical resistance less than that of the first electrode layer, and contacting with a portion of an area of the first electrode layer, forming a photovoltaic layer on the first electrode layer or the auxiliary electrode layer, forming a second electrode layer by obliquely depositing a second conductive material on the photovoltaic layer, etching the photovoltaic layer formed in the trenches such that the first electrode layer or the auxiliary electrode layer are exposed and forming a conductive layer by obliquely depositing a third conductive material on the second electrode layer such that the second electrode layer and either the first electrode layer or the auxiliary electrode layer are electrically connected to each other within the trench, the first electrode layer or the auxiliary electrode layer formed in one area generating electricity from light, and the second electrode layer formed in another area generating electricity from light. | 02-10-2011 |
20110030778 | Method of Passivating and Reducing Reflectance of a Photovoltaic Cell - Disclosed is a method of passivating and reducing reflectance of a silicon photovoltaic cell. The method includes the step of providing a silicon wafer of a solar cell having a major surface. A passivation layer of silicon nitride is applied on at least 98 percent of the major surface through a vacuum deposition process. An index-matching film structure, different from silicon nitride, is applied on top of the passivation layer. The index matching film structure provides the majority of the antireflective property of the combination of the passivation layer and the index matching film structure. | 02-10-2011 |
20110030779 | SILICON SOLAR CELL - A silicon solar cell includes a silicon substrate ( | 02-10-2011 |
20110030780 | SOLAR CELL - A solar cell includes: a substrate having optical transparency; a photoelectric converter provided on the substrate, including a top-face electrode having optical transparency, a photoelectric conversion layer, and a back-face electrode having light reflectivity; and a low-refractive conductive layer whose refractive index is less than or equal to 2.0, the low-refractive conductive layer being made of a conductive material having optical transparency, being adjacent to the photoelectric conversion layer, and being disposed on a side of the photoelectric conversion layer opposite to the substrate. | 02-10-2011 |
20110030781 | PASTE FOR DYE-SENSITIZED SOLAR CELL, TRANSPARENT INSULATION FILM FOR DYE-SENSITIZED SOLAR CELL, DYE-SENSITIZED SOLAR CELL, AND DYE-SENSITIZED SOLAR CELL FABRICATION METHOD - A paste containing a silica polymer, made by substituting at least some of the surface functional groups thereof with alkyl groups, and solvent-removable inorganic particles is prepared, and the paste is applied and fired to form a transparent insulating film in a dye-sensitized solar cell. | 02-10-2011 |
20110041905 | ORGANIC SOLAR CELL AND METHOD FOR FORMING THE SAME - The invention provides an organic solar cell, containing a substrate having a first electrode formed thereon, an organic photoactive layer including a crystalline, first organic molecule of a first conductive type and a second molecule of a second conductive type opposite to the first conductive type; and a second electrode overlying the organic photoactive layer. The invention further provides a method for forming the organic solar cell. | 02-24-2011 |
20110041906 | SOLAR CELL - A solar cell includes a substrate of a first conductive type having at least one via hole; an emitter layer of a second conductive type opposite to the first conductive type; and at least one first electrode positioned from a first surface of the substrate to the at least one via hole, and at least one first electrode current collector positioned from the at least one via hole to a second surface of the substrate, wherein the at least one via hole has a radius of about 10 μm to about 40 μm, and at least one of a portion of the at least one first electrode and a portion of the at least one electrode current collector, in the at least one via hole, includes at least one cavity. | 02-24-2011 |
20110041907 | ORGANIC SENSITIZERS - The present invention relates to new organic sensitizer compounds and to photoelectric conversion devices, in particular dye-sensitised solar cells comprising the new sensitizers. The present invention also relates to flexible photoelectric conversion devices, which are based on ionic liquid electrolytes or organic charge transporting materials. | 02-24-2011 |
20110041908 | REAR-CONTACT SOLAR CELL HAVING ELONGATE, INTER-DIGITATED EMITTER AND BASE REGIONS ON THE REAR SIDE AND METHOD FOR PRODUCING THE SAME - The invention relates to a rear-contact solar cell and to a method for producing the same, wherein elongate emitter regions ( | 02-24-2011 |
20110041909 | DYE-SENSITIZED SOLAR CELL - There is provided a dye-sensitized solar cell that may improve power generation efficiency by suppressing light absorption of a wiring part. | 02-24-2011 |
20110041910 | PHOTOELECTRIC CONVERSION DEVICE AND MANUFACTURING METHOD THEREOF - A novel photoelectric conversion device and a manufacturing method thereof are provided. The photoelectric conversion device includes an insulating layer over a light-transmitting base substrate; a single crystal semiconductor layer provided with a plurality of depressions which are filled with the insulating layer; a plurality of first impurity semiconductor layers formed in stripes having one conductivity type and a plurality of second impurity semiconductor layers formed in stripes having a conductivity type which is opposite to the one conductivity type, which are arranged alternately and do not overlap with each other, in a surface layer or over a surface of the single crystal semiconductor layer; first electrodes which are in contact with the first impurity semiconductor layers; and second electrodes which are in contact with the second impurity semiconductor layers. | 02-24-2011 |
20110041911 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell and a method of manufacturing the same are disclosed. The solar cell includes a first doped region of a first conductive type formed on a semiconductor substrate of the first conductive type, a second doped region of a second conductive type opposite the first conductive type formed on the semiconductor substrate at a location adjacent to the first doped region, a passivation layer exposing a portion of each of the first and second doped regions, a first electrode formed on the exposed portion of the first doped region, and a second electrode formed on the exposed portion of the second doped region. The first electrode includes a metal seed layer directly contacting the first doped region, and the second electrode includes a metal seed layer directly contacting the second doped region. | 02-24-2011 |
20110041912 | PHOSPHONIUM IONIC LIQUIDS AND COATINGS MADE THEREFROM - The present invention provides phosphonium ionic liquids (11) and coatings made therefrom. Highly fluorinated phosphonium ionic liquids based on (11) having been produced exhibiting high thermal stabilities, low melting points and temperature dependent solvent miscibilities. These salts and derivatives of (11) have also been employed in the preparation of superhydrophobic surfaces, indicating that ionic liquids are not only new alternative solvents, but also viable functional materials. All derivatives of (11) form biphasic systems with common laboratory solvents of ranging polarity at room temperature. Based on the solvent miscibility experiments with water and the high fluorine loading, the PILs showed obvious evidence of being hydrophobic. Coatings made with these phosphonium ionic liquids (11), salts and derivatives thereof were superhydrophobic with water contact angles were measured and all of the surfaces were determined to be superhydrophobic with contact angles >150°. | 02-24-2011 |
20110041913 | Use of Hydrophobic Solvent-Based Pigment Preparations in Electronic Displays - The invention relates polysilazane-containing coatings for increasing the light permeability of sun-facing covers of solar cells. The coating for surfaces contains at least one polysilazane of formula (1) —(SiRR′R″—NR′″1)n— (1), wherein R′,R″,R′″ are the same or different or represent an optionally substituted alkyl, aryl, vinyl or (trialkoxysilyl)alkyl group, n being an integer and n being chosen in such a manner that the perhydropolysilazane has a number average molecular weight of 150 to 150,000 g/mol, a solvent and a catalyst. The cured coating has a thickness of at least 0.50-10 micrometer, preferably 0.2 to 5 micrometer, especially preferred 0.5 to 1.5 micrometer. It is especially suitable as transmission-promoting coating for use in sun-facing covers of solar cells. | 02-24-2011 |
20110041914 | DYE-SENSITIZED SOLAR CELL - A dye-sensitized solar cell is provided, which includes: a pair of electrode substrates ( | 02-24-2011 |
20110041915 | DYE-SENSITIZED PHOTOELECTRIC CONVERSION ELEMENT - A dye-sensitized photoelectric conversion device of the present invention has high energy conversion efficiency, even if the amount of iodine added into the electrolyte solution is significantly reduced. The dye-sensitized photoelectric conversion device has a porous photoelectrode layer comprising dye-sensitized semiconductor particles, an electrolyte solution layer, and a counter electrode layer in order. The electrolyte solution layer comprises an electrolyte solution containing 0.05 to 5 M of an aliphatic quarternary ammonium ion, 0.05 to 5 M of an imidazolium ion, and 0.1 to 10 M of iodide ion. The ions are dissolved in an organic solvent. Consequently, the amount of iodine added into the electrolyte solution can be reduced significantly. | 02-24-2011 |
20110048521 | Thin Film Solar Cell Structure Having Light Absorbing Layer Made Of Chalcopyrite Powders - A thin film solar cell structure having light absorbing layer made of chalcopyrite powders is provided. The thin film solar cell structure includes a substrate, a back electrode layer, a light absorbing layer, and a transparent conductive layer stacked one on another in that sequence. The light absorbing layer includes at least one layer of chalcopyrite powder stack structure constituted of a p-type chalcopyrite powder layer and an n-type chalcopyrite powder layer stacked on each other. The p-type chalcopyrite powder layer includes a plurality of single phase p-type chalcopyrite powders, and the n-type chalcopyrite powder layer includes a plurality of single phase n-type chalcopyrite powders. The p-type chalcopyrite powders and the n-type chalcopyrite powders are I-III-VI | 03-03-2011 |
20110048522 | SOLAR CELL - The invention provides a solar cell. The solar cell has the following structures: a substrate; a first electrode formed on the substrate; a light absorbing layer formed on the first electrode, wherein the light absorbing layer includes a first compound thin film and a second compound thin film, and a band gap of the second compound thin film is larger than that of the first compound thin film; a buffer layer formed on the light absorbing layer; a transparent conducting layer formed on the buffer layer; and a second electrode formed on the transparent conducting layer. | 03-03-2011 |
20110048523 | DYE-SENSITIZED SOLAR CELL - Provided is a dye-sensitized solar cell including a first substrate and a second substrate facing each other; a first electrode unit and a second electrode unit disposed between the first substrate and the second substrate and respectively including at least one or more grid electrodes; an electrolyte filled in the first electrode unit and the second electrode unit; a sealing material for sealing the electrolyte between the first substrate and the second substrate; a collector electrode unit including a first collector electrode and a second collector electrode electrically connected to the first electrode unit and the second electrode unit, respectively; and a protruding terminal unit including a first protruding terminal and a second protruding terminal electrically connected to the first collector electrode and the second collector electrode, respectively. At least a portion of at least one of the collector electrodes is disposed in an internal area sealed by the sealing material, and the first electrode unit includes an oxide layer including dye molecules. | 03-03-2011 |
20110048524 | THIN FILM SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A thin film solar cell, includes: a first electrode; a light absorption layer including a first light absorption layer including a group I element-group III element-group VI element compound, a second light absorption layer including a group I element-group III element-group VI element compound, and a third light absorption layer including a group I element-group III element-group VI element compound; and a second electrode, wherein the first light absorption layer has a band gap, which is less a band gap of the second light absorption layer, the band gap of the second light absorption layer is less than a band gap of the third light absorption layer, and the second light absorption layer has a band gap gradient, which increases in a direction from the first light absorption layer to the third light absorption layer. | 03-03-2011 |
20110048525 | FUNCTIONAL DEVICE AND METHOD FOR PRODUCING THE SAME - The present invention relates to a functional device in which it is possible to improve durability by inhibiting corrosion due to an electrolyte solution, and it is possible to reduce series resistance, and also relates to a method for producing the same. | 03-03-2011 |
20110048526 | INTERCONNECTOR FOR A SOLAR BATTERY AND MATERIAL OF THE SAME - A material of an interconnector for a solar battery used as an interconnector for a solar battery which connects cells with each other in a solar battery module, in which at least one of Zr and Mg is contained in a range of 3 ppm or more and 20 ppm or less, O is contained at 5 ppm or less in parts per million by mass, the balance consisting of Cu and inevitable impurities, and the residual resistance ratio is 300 or more. Further, an interconnector for a solar battery ( | 03-03-2011 |
20110048527 | SILVER THICK FILM PASTE COMPOSITIONS AND THEIR USE IN CONDUCTORS FOR PHOTOVOLTAIC CELLS - This invention provides a silver thick film paste composition comprising a silver powder comprising silver particles, each said silver particle comprising silver components 100-2000 nm long, 20-100 nm wide and 20-100 nm thick assembled to form a spherically-shaped, open-structured particle, wherein the d | 03-03-2011 |
20110048528 | STRUCTURE OF A SOLAR CELL - A structure of a solar cell is provided. The structure of the solar cell includes a substrate, a base and a plurality of nanostructures. The base is disposed on the substrate. The nanostructures are disposed on a surface of the base, or a surface of the base includes the nanostructures, so as to increase light absorption of the structure. | 03-03-2011 |
20110048529 | SOLAR CELL - A solar cell includes a semiconductor substrate having a plurality of contact holes penetrating therethrough, from one surface to the opposing surface and including a part having a first conductive layer selected from p-type and n-type and a part having a second conductive layer different from the first conductive layer and selected from p-type and n-type semiconductor, a first electrode formed on one surface of the semiconductor substrate and electrically connected with the part having the first conductive layer, a second electrode formed on the other surface of the semiconductor substrate and electrically connected with the first electrode, and a third electrode formed on the same surface as in the second electrode and electrically connected with the part having the second conductive layer of the semiconductor substrate, wherein the plurality of contact holes form a contact hole group, and the first electrode and the second electrode are connected through one or more of the plurality of contact holes of the contact hole group. | 03-03-2011 |
20110048530 | SURFACE NUCLEATED GLASSES FOR PHOTOVOLTAIC DEVICES - Surface nucleated glass ceramics and more particularly photovoltaic devices comprising surface nucleated glass ceramics as the superstrate in the devices are described. | 03-03-2011 |
20110048531 | SOLAR CELL AND FABRICATING METHOD THEREOF - Discussed herein are a solar cell and a fabricating method thereof. The solar cell includes a first conductivity-type semiconductor substrate, a second conductivity-type semiconductor layer formed on a front surface of the first conductivity-type semiconductor substrate, and having a conductivity opposite to that of the first conductivity-type semiconductor substrate, an anti-reflection film including at least one opening exposing a part of a surface of the second conductivity-type semiconductor layer, and formed on the second conductivity-type semiconductor layer, at least one front electrode contacting a part of the surface of the second conductivity-type semiconductor layer exposed through the at least one opening, and at least one rear electrode formed on a rear surface of the first conductivity-type semiconductor substrate, wherein the at least one front electrode includes a metal containing silver and lead-free glass frit. | 03-03-2011 |
20110048532 | PHOTOVOLTAIC DEVICE - Methods and apparatus are provided for converting electromagnetic radiation, such as solar energy, into electric energy with increased efficiency when compared to conventional solar cells. A photovoltaic (PV) unit, according to embodiments of the invention, may have a very thin absorber layer produced by epitaxial lift-off (ELO), all electrical contacts positioned on the back side of the PV device to avoid shadowing, and/or front side and back side light trapping employing a diffuser and a reflector to increase absorption of the photons impinging on the front side of the PV unit. Several PV units may be combined into PV banks, and an array of PV banks may be connected to form a PV module with thin strips of metal or conductive polymer applied at low temperature. Such innovations may allow for greater efficiency and flexibility in PV devices when compared to conventional solar cells. | 03-03-2011 |
20110056548 | Wafer-Based Solar Cell with Deeply Etched Structure - The present invention provides a solar cell fabricated with a single-crystalline, polycrystalline or amorphous semiconductor wafer. The semiconductor wafer has etched holes or a groove array on it. The depthes of the holes or grooves are larger than one fourth thickness of the wafer. Or, the bottom areas of the holes or grooves are within 50 micrometers to the opposite side of the wafer. Without forming a buried contact structure, the present invention shortens diffusion distance of carriers, and thus enhances opto-electric conversion efficiency. | 03-10-2011 |
20110056549 | Thin-film solar module and method of making - In a thin-film solar module comprising a transparent substrate ( | 03-10-2011 |
20110056550 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell and a method for manufacturing the same are disclosed. The solar cell includes a substrate that contains first impurities of a first conductive type and is formed of a crystalline semiconductor, a first field region that is positioned on an incident surface of the substrate and contains second impurities of a second conductive type, an emitter region that contains third impurities of a third conductive type, is formed of a non-crystalline semiconductor, and is positioned on a non-incident surface of the substrate opposite the incident surface of the substrate, a first electrode electrically connected to the emitter region, and a second electrode electrically connected to the substrate. | 03-10-2011 |
20110056551 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell and a method for manufacturing the same are discussed. The solar cell includes a semiconductor substrate, a first doped region of a first conductive type, a second doped region of a second conductive type opposite the first conductive type, a back passivation layer having contact holes exposing a portion of each of the first and second doped regions, a first electrode formed on the first doped region exposed through the contact holes, a second electrode formed on the second doped region exposed through the contact holes, an alignment mark formed at one surface of the semiconductor substrate, and a textured surface that is formed at a light receiving surface of the semiconductor substrate opposite the one surface of the semiconductor substrate in which the first and second doped regions are formed. | 03-10-2011 |
20110056552 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell comprises a photoelectric conversion body configured to generate photogenerated carriers upon receiving light; a first transparent conductive film formed on a first major surface of the photoelectric conversion body; and a second transparent conductive film formed on a second major surface provided on an side opposite to the first major surface, wherein the first major surface is formed by an n-type semiconductor layer, the second major surface is formed by a p-type semiconductor layer, and a hydrogen atom content of a part of the first transparent conductive film on a side close to the n-type semiconductor layer is lower than a hydrogen atom content of the second transparent conductive film. | 03-10-2011 |
20110056553 | PHOTOVOLTAIC DEVICE - Methods and apparatus are provided for converting electromagnetic radiation, such as solar energy, into electric energy with increased efficiency when compared to conventional solar cells. A photovoltaic (PV) unit, according to embodiments of the invention, may have a very thin absorber layer produced by epitaxial lift-off (ELO), all electrical contacts positioned on the back side of the PV device to avoid shadowing, and/or front side and back side light trapping employing a diffuser and a reflector to increase absorption of the photons impinging on the front side of the PV unit. Several PV units may be combined into PV banks, and an array of PV banks may be connected to form a PV module with thin strips of metal or conductive polymer applied at low temperature. Such innovations may allow for greater efficiency and flexibility in PV devices when compared to conventional solar cells. | 03-10-2011 |
20110056554 | SOLAR CELL AND METHOD OF MANUFACTURING SOLAR CELL - A solar cell including a semiconductor substrate having a pn junction, a silver electrode and an aluminum electrode on a rear surface of the semiconductor substrate, and an overlap region where the silver electrode and the aluminum electrode overlap each other, a glass softening point temperature of a glass component contained in the silver electrode being equal to or higher than a glass softening point temperature of a glass component contained in the aluminum electrode, and a method of manufacturing the solar cell are provided. | 03-10-2011 |
20110056555 | Photovoltaic Modules Containing Plasticized Intermediate Layer Films With High Volume Resistivity and Good Penetration Resistance - Plasticizer-containing films based on polyvinyl acetal and comprising more than 10 ppm of metal ions selected from the group of alkaline earth metals, zinc and aluminum and less than as 150 ppm of alkali metal ions are used for the production of photovoltaic modules. The films preferably exhibit an electrical volume resistivity of more than 1E11 ohm·cm in an ambient of 85% RH/23° C. The photovoltaic modules may be used as facade elements, roof surfaces, winter garden coverings, sound-insulating walls, balcony or balustrade elements, or as components of window surfaces. | 03-10-2011 |
20110056556 | DYE-SENSITIZED SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - Disclosed is a dye-sensitized solar cell wherein an improved photoelectric conversion efficiency is realized by suppressing reverse electron transfer and improving conductivity of electrodes. Corrosion of electrodes by an electrolyte solution is greatly suppressed in the dye-sensitized solar cell. A method for manufacturing the dye-sensitized solar cell is also disclosed. The dye-sensitized solar cell comprises: an anode electrode wherein a conductive base containing at least a metal collector grid and a semiconductor porous film layer to which a sensitizing dye is adsorbed are arranged on a light-transmitting substrate; a cathode electrode so arranged as to face the semiconductor porous film layer of the anode electrode; and an electrolyte sealed between two electrode pieces, namely between the anode electrode and the cathode electrode. The dye-sensitized solar cell is characterized in that an intermediate layer is arranged between the conductive base and the semiconductor porous film layer and the intermediate layer has a water vapor transmission rate of not more than 0.1 g/(m | 03-10-2011 |
20110061727 | DYE-SENSITIZED SOLAR CELLS AND MOBILE DEVICE INCLUDING THE SAME - A dye-sensitized solar cell is disclosed. The dye-sensitized solar cell includes a first substrate, being transparent, a first electrode, formed on a rear surface of the first substrate, a second electrode, formed apart from the first electrode, a catalytic layer, formed on a rear surface of the first electrode, a light absorption layer, which is formed on a front surface of the second electrode and includes a dye absorbed into metal oxide such that the dye forms a specific pattern with the metal oxide, an electrolyte, interposed between the first electrode and the second electrode, a base layer, which is formed on a rear surface of the second electrode and forms a background against the pattern formed by the dye such that the pattern can be identified when viewed from the outside, and a second substrate, formed on a rear surface of the base layer. | 03-17-2011 |
20110061728 | PROTECTIVE FILM AND FRONT SHEET FOR SOLAR CELL - Provided is a protective film having high transparency, high ultraviolet absorption ability, high weatherability and flexibility, and not being peeled from its coating layers from a plastic film even if irradiated with ultraviolet. the protective film. The protective film comprises a plastic film and a coating layer on the surface of the plastic film, wherein the coating layer comprises a binder and core-shell type zinc oxide particles dispersed in the binder, the core-shell type zinc oxide particles have an average particle size of 50 nm or less and comprises a shell having a thickness of 1.5 nm to 20 nm. | 03-17-2011 |
20110061729 | Solar Cell and Method of Manufacturing the Same - Provided are a solar cell and a method of manufacturing the same. The method includes implanting impurities of a second conductivity type opposite to a first conductivity type on the entire surface of a semiconductor substrate of the first conductivity type to form an emitter layer, forming a first anti-reflective coating (ARC) layer on the emitter layer, patterning a portion of the first anti-reflective coating (ARC) layer where a front electrode will be formed, forming a second anti-reflective coating (ARC) layer on the first anti-reflective coating (ARC) layer and the emitter layer, and forming the front electrode and a rear electrode on front and rear surfaces of the semiconductor substrate. In this method, a double structure of two anti-reflective coating (ARC) layers with different thicknesses may be formed to make electrode patterns distinct, thereby facilitating alignment of electrodes. | 03-17-2011 |
20110061730 | Textured rear electrode structure for use in photovoltaic device such as CIGS/CIS solar cell - A photovoltaic device including a rear electrode which may also function as a rear reflector. In certain example embodiments, the rear electrode comprises a reflective film (e.g., of Mo or the like) including one or more layers provided on an interior surface of a rear glass substrate of the photovoltaic device. In certain example embodiments, the interior surface(s) of the rear glass substrate and/or reflective film is/are textured so as to provide desirable electrical and reflective characteristics. The rear glass substrate and textured rear electrode/reflector are used in a photovoltaic device (e.g., CIS or CIGS solar cell) where an active semiconductor film is provided between the rear electrode/reflector and a front electrode(s). | 03-17-2011 |
20110061731 | Array Of Small Contacts For Solar Cell Fabrication - Fabrication of a solar cell using a printed contact mask. The contact mask may include dots formed by inkjet printing. The dots may be formed in openings between dielectric layers (e.g., polyimide). Intersections of overlapping dots may form gaps that define contact regions. The spacing of the gaps may be dictated by the alignment of nozzles that dispense the dots. Using the dots as a contact mask, an underlying dielectric layer may be etched to form the contact regions through the underlying dielectric layer. Metal contact fingers may be formed over the wafer to form electrical connections to corresponding diffusion regions through the contact regions. | 03-17-2011 |
20110067754 | Substrate structures for integrated series connected photovoltaic arrays and process of manufacture of such arrays - This invention comprises manufacture of photovoltaic cells by deposition of thin film photovoltaic junctions on metal foil substrates. The photovoltaic junctions may be heat treated if appropriate following deposition in a continuous fashion without deterioration of the metal support structure. In a separate operation, an interconnection substrate structure is provided, optionally in a continuous fashion. Multiple photovoltaic cells are then laminated to the interconnection substrate structure and conductive joining methods are employed to complete the array. In this way the interconnection substrate structure can be uniquely formulated from polymer-based materials employing optimal processing unique to polymeric materials. Furthermore, the photovoltaic junction and its metal foil support can be produced in bulk without the need to use the expensive and intricate material removal operations currently taught in the art to achieve series interconnections. | 03-24-2011 |
20110067755 | METHOD FOR MANUFACTURING CIS-BASED THIN FILM SOLAR CELL - A method of manufacturing a CIS-based thin film solar cell that achieves high photoelectric conversion efficiency comprises: forming a backside electrode layer on a substrate; forming a p-type CIS-based light absorbing layer thereon; and further forming an n-type transparent and electrically conductive film. The above-mentioned forming a p-type CIS-based light absorbing layer comprises: forming a metal precursor film ( | 03-24-2011 |
20110073174 | MULTI-LAYER ANTI-REFLECTIVE COATINGS AND PROCESSES THEREFOR - Methods for making multi-layered anti-reflective coatings are disclosed. Un-solgel precursor compositions may be prepared having inorganic oxide precursors and UV curable acrylic monomer mixtures, deposited on a substrate, and subsequently the coated substrate may be cured by exposure to electromagnetic radiation, such as UV radiation. The coating layers may be heated using a temperature sufficient to burn off organic content and form a multi-layer anti-reflective coating. Substrates comprising such coatings and photovoltaic devices comprising such substrates and coatings are also disclosed. | 03-31-2011 |
20110073175 | PHOTOVOLTAIC CELL COMPRISING A THIN LAMINA HAVING EMITTER FORMED AT LIGHT-FACING AND BACK SURFACES - A photovoltaic cell is described having emitter portions formed at both a light-facing surface and a back surface of the cell. In some embodiments, heavily doped emitter regions extend between the front and back emitter regions, connecting them electrically. Use of this structure is particularly well-adapted to a cell formed by implanting a semiconductor donor body with hydrogen and/or helium ions, affixing the donor body to a receiver element, cleaving a lamina from the donor body, and completing fabrication of a photovoltaic cell comprising the lamina. The emitter portion formed at the unbonded surface may comprise amorphous silicon. The lamina may be thin, for example 10 microns thick or less. | 03-31-2011 |
20110073176 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a semiconductor substrate including; a p-type layer, and an n-type layer disposed adjacent to the p-type layer, a dielectric layer positioned on one surface of the semiconductor substrate, a protective layer positioned on one surface of the dielectric layer, a first electrode electrically connected to the p-type layer of the semiconductor substrate and a second electrode electrically connected to the n-type layer of the semiconductor substrate. | 03-31-2011 |
20110073177 | DYE-SENSITIZED SOLAR CELL, MANUFACTURING METHOD OF THE SAME, AND MANUFACTURING METHOD OF WORKING ELECTRODE FOR DYE-SENSITIZED SOLAR CELL - It is an object of the present invention to provide a dye-sensitized solar cell, etc. capable of preventing, even when a highly-conductive electrolyte is employed, a short circuit between a transparent conductive film of a working electrode and the electrolyte, and thereby enhancing reliability with improved photoelectric conversion characteristics and improved durability. | 03-31-2011 |
20110073178 | ELECTRICALLY CONDUCTIVE PASTE, SOLAR CELL CONTAINING SAME AND METHOD - An electrically conductive paste for a solar cell comprises a metal powder, an inorganic adhesive, an aqueous adhesive and an auxiliary agent. The aqueous adhesive comprises a water-soluble polymer. | 03-31-2011 |
20110073179 | ILLUMINANT TYPE TRANSPARENT SOLAR CELL DEVICE - An illuminant transparent solar cell device, comprising a transparent substrate and the following layers disposed from bottom up sequentially on the transparent substrate: a transparent fluorescent layer, a p-type transparent conductive oxide layer, an intrinsic-type transparent conductive oxide layer, a n-type transparent conductive oxide layer, and an anti-reflection layer serving as a protection layer. In the illuminant transparent solar cell device, the characteristics of a p-type and an n-type transparent conductive oxide layers as well as a transparent fluorescent layer are utilized so that sunlight can not only be used to provide natural lighting in daytime but also be used to generate electricity which is stored in an electricity storage device by transmitting through this device while the electricity stored therein can be used to provide indoor lighting at night, thus saving the consumption of fossil fuel energy. | 03-31-2011 |
20110073180 | LEAD FREE GLASS FRIT POWDER FOR MANUFACTURING SILICON SOLAR CELL, ITS PRODUCING METHOD, METAL PASTE COMPOSITION CONTAINING THE SAME AND SILICON SOLAR CELL - Disclosed are lead free glass frit powder for manufacturing a silicon solar cell, its producing method, a metal paste composition containing the same and a silicon solar cell. The lead free glass frit powder for manufacturing a silicon solar cell includes Bi | 03-31-2011 |
20110073181 | PATTERNING ELECTRODE MATERIALS FREE FROM BERM STRUCTURES FOR THIN FILM PHOTOVOLTAIC CELLS - A method for forming a thin film photovoltaic device having patterned electrode films includes providing a soda lime glass substrate with an overlying lower electrode layer comprising a molybdenum material. The method further includes subjecting the lower electrode layer with one or more pulses of electromagnetic radiation from a laser source to ablate one or more patterns associated with one or more berm structures from the lower electrode layer. Furthermore, the method includes processing the lower electrode layer comprising the one or more patterns using a mechanical brush device to remove the one or more berm structures followed by treating the lower electrode layer comprising the one or more patterns free from the one or more berm structures. The method further includes forming a layer of photovoltaic material overlying the lower electrode layer and forming a first zinc oxide layer overlying the layer of photovoltaic material. | 03-31-2011 |
20110079278 | Method of Manufacturing a Photovoltaic Compound Semiconductor Printing Solution to Produce Solar Cells - A photovoltaic semiconductor solution comprising at least an equimolar mixture of cadmium, tellurium, gallium and indium; propylene glycol flux; carbon; resin in an organic solvent; strontium titanate; and high molecular weight polymer. The photovoltaic semiconductor solution provides charged free electrons on application of light to the photovoltaic semiconductor solution. Another embodiment relates to a solar cell comprising first and second electrode layers; a photovoltaic semiconductor layer disposed between the first and second electrodes; a first membrane disposed between the first electrode and the semiconductor layer and a second membrane disposed between the second electrode and the semiconductor layer. The first membrane is an electron acceptor layer and the second membrane in an insulator. The PV semiconductor layer includes the PV semiconductor solution. Each of the layers of the solar cell are formed on a substrate. Photoelectric power is generated due to light that is incident from the first electrode layer. | 04-07-2011 |
20110079279 | SELF-REMEDIATING PHOTOVOLTAIC MODULE - A method for manufacturing a photovoltaic module may include forming a photovoltaic device including a constituent material; forming a hydrophilic material adjacent to the constituent material, where the hydrophilic material includes cellulose; and depositing a remediation agent adjacent to the hydrophilic material, such that the remediation agent is proximate to, but not contacting the constituent material. | 04-07-2011 |
20110079280 | SELF-REMEDIATING PHOTOVOLTAIC MODULE - A method for manufacturing a photovoltaic module may include forming a photovoltaic device including a constituent material; forming a hydrophilic material adjacent to the constituent material, where the hydrophilic material includes polyethylene; and depositing a remediation agent adjacent to the hydrophilic material, such that the remediation agent is proximate to, but not contacting the constituent material. | 04-07-2011 |
20110079281 | PHOTOVOLTAIC SOLAR CELL AND METHOD OF PRODUCTION THEREOF - A solar cell comprising a base layer of p-doped silicon and an emitter layer of n-doped silicon, where an electrode is arranged regionally on the emitter layer and optionally it passivation layer is arranged regionally on the back surface of the base layer and a layer of a dielectric, the entire area of which is covered with a metal layer, is arranged regionally thereon, where the metal layer is in electrically conducting contact via an interlayer with the base layer over the regions not covered by the layer of dielectric and the interlayer comprises a mixed phase from the material of the passivation layer and the material of the metal layer. The present invention further relates to a method of production of said solar cell. | 04-07-2011 |
20110083730 | DERIVATIVES OR DIPYRANNYLIDENE TYPE AS ANODE INTERFACE LAYER IN ELECTRONIC DEVICES - The present invention relates to substrates coated with films comprising compounds of general formula (I) below: | 04-14-2011 |
20110083731 | Solar-cell device with efficiency-improving nanocoating and method of manufacturing thereof - A solar cell device of improved efficiency consists of a photovoltaic solar cell and an efficiency-improving antireflective nanocoating film that is applied on the solar cell and interacts with the photovoltaic process of the cell. The coating film has a thickness ranging from 100 nm to 100 μm, and comprises a dielectric material that contains metal nanoparticles having dimensions from 4.5 to 10 nm and concentration ranging from 1 to 5%. The effect of improved efficiency is presumably obtained due to organization of nanoparticles into specific clusters. The method of manufacturing the solar-cell device of the invention comprises preparation of the polymer solution that contains uniformly dispersed metal nanoparticles of silver, gold, or another diamagnetic metal and forming the aforementioned coating film by heat-treating and drying the applied solution under specific conditions. | 04-14-2011 |
20110083732 | Novel ruthenium complex and photoelectric component using the same - The present invention relates to a ruthenium complex and a photoelectric component using the same, and the ruthenium complex is represented by the following formula (I): | 04-14-2011 |
20110083733 | POWER INVERTER DOCKING SYSTEM FOR PHOTOVOLTAIC MODULES - An electronics module docking system includes docking member removably coupled to a photovoltaic module. The docking system includes a first connector port electrically coupled to one or more photovoltaic cells of the photovoltaic module. The photovoltaic module is selectively coupleable to the docking member. The docking system includes a housing to enclose an electronics module. The housing may include second connector port that is selectively engageable to the power electronics module. The power electronics module and the photovoltaic cells are electrically coupled to one another upon selective engagement of the connector ports. The inverter housing is receivable by and removably coupleable to the docking member allowing the inverter housing to be removably coupleable to the photovoltaic module. | 04-14-2011 |
20110083734 | MODULE MOISTURE BARRIER - A photovoltaic module may include a substrate; a semiconductor layer adjacent to the substrate; a lead foil adjacent to the semiconductor layer; a cover glass adjacent to the lead foil, where the cover glass includes a top surface, a bottom surface, and an opening, where the opening penetrates the top and bottom surfaces of the cover glass, and the opening includes an opening lateral dimension; and a barrier layer between the cover glass and the semiconductor layer, where the barrier layer includes a barrier lateral dimension, where the barrier lateral dimension is greater than the opening lateral dimension. | 04-14-2011 |
20110083735 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - A solar cell and a fabricating method thereof are provided. In the method of fabricating the solar cell, a p-type semiconductor substrate on whose light-receiving surface an anti-reflection coating is formed is loaded into a processing chamber. In this case, the p-type semiconductor substrate may be loaded on a substrate support of an apparatus of processing a plurality of substrates along the circumference of the substrate support, in the state where the back surface of the p-type semiconductor substrate faces upward. Then, a back surface field (BSF) layer having the characteristic of Negative Fixed Charge (NFC) is formed with AlO, AlN or ALON on the back surface of the p-type semiconductor substrate. At this time, the BSF layer may be formed by simultaneously injecting an Al source gas, a first purge gas, an oxidizing agent gas and/or a ntiriding agent gas, and a second purge gas through injection holes of individual gas injection units while relatively rotating the substrate support with respect to the shower head. Thereafter, a back surface electrode is formed on the BSF layer such that the back surface electrode is electrically connected to the BSF layer. | 04-14-2011 |
20110083736 | WETTING RESISTANT MATERIALS AND ARTICLES MADE THEREWITH - Ceramic materials with relatively high resistance to wetting by various liquids, such as water, are presented, along with articles made with these materials, methods for making these articles and materials, and methods for protecting articles using coatings made from these materials. One particular embodiment is an article that comprises a coating having a surface connected porosity content of up to about 5 percent by volume. The coating comprises a material that comprises a primary oxide and a secondary oxide, wherein (i) the primary oxide comprises a cerium cation, and (ii) the secondary oxide comprises a cation selected from the group consisting of the praseodymium and neodymium. The material is transparent to electromagnetic radiation of at least one type selected from the group consisting of ultraviolet radiation, visible light, and infrared radiation. | 04-14-2011 |
20110083737 | TITANIUM OXIDE-COVERED CARBON FIBER AND POROUS TITANIUM OXIDE-COVERED CARBON MATERIAL COMPOSITION - With a view to realizing a titanium oxide composite that has a large surface area and that enables efficient transfer of electrons by covering a surface of rod-like or fibrous carbon with a covering layer comprising titanium oxide particles connected to one another, an object of the invention is to develop a material useful as an active material for dye-sensitized solar cells, and a process for producing the material; a porous titanium oxide-covered carbon material composition, and a process for producing the composition; and a photoelectric conversion element comprising the titanium oxide-covered carbon material or porous titanium oxide-covered carbon material composition. | 04-14-2011 |
20110088765 | Solar Cell Structure - A solar cell structure is provided. The solar cell structure includes a substrate, a front transparent conductive oxide (TCO) layer, a primary light absorbing layer, a back TCO layer, and a metal thin film layer stacked from bottom to top. The back TCO layer includes a coarse upper surface. Or alternatively, the solar cell structure includes a substrate, a front TCO layer, at least one first light absorbing layer, at least one interface layer, at least one second light absorbing layer, a back TCO layer, and a metal thin film layer stacked from bottom to top. The interface layer includes a coarse upper surface. Because of the coarse upper surface of the back TCO layer or the interface layer, the light is facilitated for further scattering, so that the light absorbing efficiency can be improved, thus improving the efficiency of the solar cell. | 04-21-2011 |
20110088766 | Thin-Film Photovoltaic Device and Method for Manufacturing the Same - A thin-film photovoltaic device comprising at least: a substrate, a transparent electrode layer, a p-type semiconductor as the ohmic contact layer, an intrinsic semiconductor as the light absorption layer, and a magnesium alloy substituted for the n-type semiconductor as the other ohmic contact layer. A method for manufacturing the thin-film photovoltaic device is also provided in the present invention. | 04-21-2011 |
20110088767 | Surface structure of crystalline silicon solar cell and manufacturing method thereof - The present invention provides a surface structure of a crystalline silicon solar cell and a manufacturing method thereof. The surface structure of the crystalline silicon solar cell comprises a main body having a front side microstructure and a back side microstructure. A surface morphology of the front side microstructure includes a plurality of cone structures, a surface morphology of the back side microstructure includes a plurality of arc structures, and a surface roughness of the front side microstructure is greater than that of the back side structure. | 04-21-2011 |
20110088768 | METHOD OF ANNEALING CADMIUM TELLURIDE PHOTOVOLTAIC DEVICE - A method of manufacturing a photovoltaic device may include forming a cadmium zinc sulfide layer on a substrate; depositing a cadmium telluride layer on the cadmium zinc sulfide layer; contacting a cadmium chloride to the cadmium telluride layer; and annealing one or more layers, where the one or more layers includes at least the cadmium telluride layer. | 04-21-2011 |
20110088769 | PROCESS OF FORMING AN ELECTRODE ON THE FRONT-SIDE OF A NON-TEXTURED SILICON WAFER - A process for the production of a front-side electrode on a non-textured silicon wafer having an ARC layer on its front-side, wherein the front-side electrode is printed from a silver paste and fired, wherein the silver paste comprises (i) an inorganic content comprising (a) 93 to 95 wt.-% of electrically conductive metal powder comprising 90 to 100 wt.-% of silver powder, (b) 1 to 7 wt.-% of at least one glass frit, (c) 0 to 6 wt.-% of at least one solid inorganic oxide and (d) 0 to 6 wt.-% of at least one compound capable of forming a solid inorganic oxide on firing and (ii) an organic vehicle, wherein the weight ratio between the electrically conductive metal powder and the glass frit plus solid inorganic oxide is >13 to 19 in the fired state. | 04-21-2011 |
20110088770 | NANOWIRE-BASED TRANSPARENT CONDUCTORS AND APPLICATIONS THEREOF - A transparent conductor including a conductive layer coated on a substrate is described. More specifically, the conductive layer comprises a network of nanowires that may be embedded in a matrix. The conductive layer is optically clear, patternable and is suitable as a transparent electrode in visual display devices such as touch screens, liquid crystal displays, plasma display panels and the like. | 04-21-2011 |
20110088771 | PROCESS OF MANUFACTURING TCO SUBSTRATE WITH LIGHT TRAPPING FEATURE AND THE DEVICE THEREOF - A new process of manufacturing a transparent conductive oxide (TCO) substrate with light trapping feature and the device thereof is described. The process comprises: forming a metal layer on a substrate, annealing the metal layer so that metal elements are self-aggregated, thereby forming a plurality of island-structure metal protrusions; and forming a transparent conductive oxide layer on the island-structure metal protrusions and the substrate. | 04-21-2011 |
20110088772 | METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION ELEMENT, PHOTOELECTRIC CONVERSION ELEMENT MANUFACTURED BY THE SAME, METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION ELEMENT MODULE, AND PHOTOELECTRIC CONVERSION ELEMENT MODULE MANUFACTURED BY THE SAME - A method of manufacturing a photoelectric conversion element includes: a first step of forming a porous oxide semiconductor layer on a surface of a catalytic layer of a first electrode including a metal plate made of titanium or a titanium alloy and the catalytic layer, or a surface of a transparent conductor of a second electrode including the transparent conductor; a second step of supporting a photo-sensitized dye on the porous oxide semiconductor layer; a third step of surrounding and sealing the porous oxide semiconductor layer and an electrolyte between the first electrode and the second electrode with a sealing material; and a fourth step of forming a terminal on the metal plate. In the fourth step, the terminal is formed by pressing a metal member including at least one of copper and nickel against the metal plate and applying an ultrasonic wave to the metal member. | 04-21-2011 |
20110088773 | METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION ELEMENT, PHOTOELECTRIC CONVERSION ELEMENT MANUFACTURED BY THE SAME, METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION ELEMENT MODULE, AND PHOTOELECTRIC CONVERSION ELEMENT MODULE MANUFACTURED BY THE SAME - A method of manufacturing a photoelectric conversion element includes: a semiconductor forming step of forming a porous oxide semiconductor layer on a surface of a catalytic layer of a first electrode including a metal plate made of titanium or an alloy including titanium and the catalytic layer, or a surface of a transparent conductor of a second electrode including the transparent conductor; a dye supporting step of supporting a photo-sensitized dye on the porous oxide semiconductor layer; a sealing step of surrounding and sealing the porous oxide semiconductor layer and an electrolyte between the first electrode and the second electrode with a sealing material; and a terminal forming step of forming a terminal on the metal plate. In the terminal forming step, the terminal is formed by applying an ultrasonic wave to a high-melting-point solder while the high-melting-point solder is heated to melt. | 04-21-2011 |
20110088774 | PHOTOVOLTAIC CELL AND PHOTOVOLTAIC CELL SUBSTRATE - The invention relates to a photovoltaic cell ( | 04-21-2011 |
20110088775 | BENZOINDOLE-BASED COMPOUND AND DYE-SENSITIZED SOLAR CELL USING THE SAME - A benzoindole-based compound represented by Formula 1 below, a dye including the benzoindole-based compound, and a dye-sensitized solar cell including the dye: | 04-21-2011 |
20110088776 | SOLAR CELL STRUCTURE AND MANUFACTURING METHOD THEREOF - A solar cell structure including a photovoltaic layer, an upper electrode, a lower electrode, and a passivation layer is provided. The photovoltaic layer has an upper surface, a lower surface and a plurality of side surfaces, wherein the photovoltaic layer includes a first type and a second type semiconductor layer. The upper electrode is disposed at the upper surface of the photovoltaic layer and electrically connected with the second type semiconductor layer, wherein the second type semiconductor layer is between the upper electrode and the first type semiconductor layer. The bottom electrode is disposed at the bottom surface of the photovoltaic layer and electrically connected with the first type semiconductor layer, wherein the first type semiconductor layer is between the bottom electrode and the second type semiconductor. The passivation layer covers at least one of the side surfaces so as to reduce the leakage current formed on the side surfaces. | 04-21-2011 |
20110088777 | USE OF SILANE-MODIFIED POLYOLEFINS AS ADHESION PROMOTERS FOR THE PRODUCTION OF FLAT LAMINATES - The invention relates to the use of polyolefins modified with one or more silanes as adhesion promoters for the production of flat glass/glass, glass/plastic, or plastic/plastic laminates, to corresponding laminates, and to displays containing the laminates according to the invention. | 04-21-2011 |
20110094574 | Polarization Resistant Solar Cell Design Using SiCN - A polarization resistant solar cell is provided. The solar cell uses a dual layer dielectric stack disposed on the front surface of the cell. The dielectric stack consists of a passivation layer disposed directly on the front cell surface and comprised of either SiO | 04-28-2011 |
20110094575 | Polarization Resistant Solar Cell Design Using an Oxygen-Rich Interface Layer - A polarization resistant solar cell using an oxygen-rich interface layer is provided. The oxygen-rich interface layer may be comprised of SiO | 04-28-2011 |
20110094576 | STRUCTURE AND PROCESS FOR SOLAR CELL ELECTRODES - Methods and devices are described for thin film solar cell manufacturing. In one embodiment, the method includes displacing the residual insulator in vias with the pins of the present invention, which may greatly reduce the amount of material to be removed and hence make the laser more cost-effective. It is still desirable to use a laser or other device to completely clear the bottom of the via of residual material (to prepare for making a good electrical connection) but the film remaining under the pins would be microns in thickness, compared to the hundreds of microns of via depth. | 04-28-2011 |
20110094577 | CONDUCTIVE METAL OXIDE FILMS AND PHOTOVOLTAIC DEVICES - Article comprising a substrate; and a conductive metal oxide film adjacent to a surface of the substrate, wherein the conductive metal oxide film has an electron mobility (cm | 04-28-2011 |
20110094578 | Solar cell device and manufacturing method therefor - A solar cell device including an electrode formed by applying a conductive paste containing at least a conductive powder, glass frit and an organic vehicle onto a semiconductor substrate provided with a silicon nitride layer on a surface thereof and firing the applied conductive paste, wherein the electrode has a structure comprising a front electrode layer comprising silver as a main component, a glass layer comprising tellurium glass as a main component, and a silicon oxide layer containing plural silver particles precipitated by the firing. The solar cell device is provided with an electrode formed using a conductive paste not containing lead glass and has good solar cell characteristics. | 04-28-2011 |
20110094579 | Electrode substrate, method of preparing same, and photoelectric conversion device including same - An electrode substrate for a photoelectric conversion device includes a current-collecting electrode on a transparent conductive substrate and a coating film coating a surface of the current-collecting electrode substrate, wherein the coating film is formed by coating the surface of the current-collecting electrode with a glass paste composition and baking the current-collecting electrode coated with the glass paste composition, and when a thickness of the coating film is a μm and a maximal length of a pore in the coating film is b μm, a condition of b≦0.5a is satisfied. | 04-28-2011 |
20110094580 | Photovoltaic device including front electrode having titanium oxide inclusive layer with high refractive index - Certain example embodiments of this invention relate to an electrode (e.g., front electrode) for use in a photovoltaic device or the like. In certain example embodiments, a transparent conductive oxide (TCO) of the front electrode for use in a photovoltaic device is of or includes titanium oxide doped with one or more of Nb, Zn and/or Al. Additional layers may also be provided in the front electrode in certain example embodiments. It has been found that the use of transparent conductive TiO | 04-28-2011 |
20110094581 | GLASS COMPOSITION FOR DYE-SENSITIZED SOLAR CELL AND MATERIAL FOR DYE-SENSITIZED SOLAR CELL - A dye-sensitized solar cell having high long-term reliability is provided by inventing a glass composition, which is hardly eroded by an iodine electrolyte solution and has a low-melting point property, and a material using the glass composition. The glass composition for a dye-sensitized solar cell of the present invention is characterized by including as a glass composition, in terms of mass %, 20 to 70% of V | 04-28-2011 |
20110094582 | PHOTOCHEMICAL ELECTRODE, CONSTRUCTION AND USES THEREOF - Provided is an electrode including a conductive surface connected to a matrix; the matrix including a plurality of semiconductor nanoparticles and noble metal nanoparticles, substantially each of which is connected to another nanoparticle of the plurality of nanoparticles by at least one matrix connecting group and at least a portion of the plurality of nanoparticles of the matrix is each connected to the conductive surface by at least one surface connecting group. Further provided are photovoltaic cells and devices including electrode of the invention. | 04-28-2011 |
20110094583 | ELECTROPLATING ADDITIVE FOR THE DEPOSITION OF METAL, A BINARY, TERNARY, QUATERNARY OR PENTANARY ALLOY OF ELEMENTS OF GROUP 11 (IB)-GROUP 13 (IIIA)-GROUP 16 (VIA) - The invention relates to electroplating additives for the deposition of a group IB metal/binary or ternary group IB-group IIIA/ternary, quaternary or pentanary group IB-group IIIA-group VIA alloy on substrates useful for thin film solar cells. The additives have the general formula (A): | 04-28-2011 |
20110094584 | SOLAR CELL SUBSTRATE AND OXIDE SEMICONDUCTOR ELECTRODE FOR DYE-SENSITIZED SOLAR CELL - The present invention provides a solar cell substrate having a transparent conductive film formed on a glass substrate, wherein the thermal expansion coefficient of the glass substrate is from 50×10 | 04-28-2011 |
20110100445 | High haze transparent contact including insertion layer for solar cells, and/or method of making the same - Certain example embodiments of this invention relate to a front transparent conductive electrode for solar cell devices (e.g., amorphous silicon or a-Si solar cell devices), and/or methods of making the same. Advantageously, certain example embodiments enable high haze to be realized in the top layer of the thin film stack. In certain example embodiments, an insertion layer comprising ITO or AZO is provided between a layer of AZO and a layer of ITO. The AZO may be deposited at room temperature. The insertion layer is provided with an oxygen content selected so that the insertion layer sufficient to alter the crystalline growth of the layer of AZO compared to a situation where no insertion layer is provided. In certain example embodiments, the layer of ITO may be ion-beam treated so as to roughen a surface thereof. The ion beam treating may be performed a voltage sufficient to alter the crystalline growth of the layer of AZO compared to a situation where no insertion layer is provided. | 05-05-2011 |
20110100446 | High haze transparent contact including ion-beam treated layer for solar cells, and/or method of making the same - Certain example embodiments of this invention relate to a front transparent conductive electrode for solar cell devices (e.g., amorphous silicon or a-Si solar cell devices), and/or methods of making the same. Advantageously, certain example embodiments enable high haze to be realized in the top layer of the thin film stack. In certain example embodiments, an insertion layer comprising ITO or AZO is provided between a layer of AZO and a layer of ITO. The AZO may be deposited at room temperature. The insertion layer is provided with an oxygen content selected so that the insertion layer sufficient to alter the crystalline growth of the layer of AZO compared to a situation where no insertion layer is provided. In certain example embodiments, the layer of ITO may be ion-beam treated so as to roughen a surface thereof. The ion beam treating may be performed a voltage sufficient to alter the crystalline growth of the layer of AZO compared to a situation where no insertion layer is provided. | 05-05-2011 |
20110100447 | LAYER FOR THIN FILM PHOTOVOLTAICS AND A SOLAR CELL MADE THEREFROM - A photovoltaic device is provided. The photovoltaic device comprises an absorber layer comprising a p-type semiconductor, wherein at least one layer is disposed over the absorber layer. The at least one layer is a semiconductor having a higher carrier density than the carrier density of the absorber layer. The at least one layer comprises silicon. The at least one layer comprises a p+-type semiconductor. The absorber layer is substantially free of silicon. A method of forming the photovoltaic device is provided. | 05-05-2011 |
20110100448 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell including: a semiconductor substrate including a p-type layer and an n-type layer; a dielectric layer disposed on the semiconductor substrate and including a silicate represented by the following Chemical Formula 1 | 05-05-2011 |
20110100449 | PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device may effectively prevent an electrolyte from leaking and have a high durability. A photoelectric conversion device includes a first substrate and a second substrate spaced from the first substrate. A plurality of first electrodes are on a side of the first substrate facing the second substrate and extend from a sealing region of the first substrate, and the first electrodes are spaced from each other. A protective layer is on the first electrodes, and an end portion of the protective layer on the sealing region extends continuously across at least two of the first electrodes. | 05-05-2011 |
20110100450 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A method of manufacturing a solar cell includes providing a semiconductor substrate including a p-type layer and an n-type layer. A dielectric layer including aluminum oxynitride is disposed on one side of the semiconductor substrate. A first electrode is in electrical communication with the p-type layer of the semiconductor substrate. A second electrode is in electrical communication with the n-type layer of the semiconductor substrate. The disposing the dielectric layer comprises repeatedly forming an aluminum nitride layer and substituting a part of nitrogen of the aluminum nitride layer with oxygen. | 05-05-2011 |
20110100451 | Silicon solar cell manufacture - A silicon solar cell is manufactured by providing a carrier plate, and by applying a first contact pattern to the carrier plate. The first contact pattern includes a set of first laminar contacts. The silicon solar cell is further manufactured by applying a multitude of silicon slices to the first contact pattern, and by applying a second contact pattern to the multitude of silicon slices. Each first laminar contact of the set of first laminar contacts is in spatial laminar contact with maximally two silicon slices. The second contact pattern includes a set of second laminar contacts. Each second laminar contact of the set of second laminar contacts is in spatial laminar contact with maximally two silicon slices. | 05-05-2011 |
20110100452 | SOLAR ENERGY COLLECTOR AND METHOD OF MANUFACTURING THE SAME - A solar energy collector and a method for manufacturing the same. The solar energy collector has a solar chip, conductive wires connected to the solar chip, and a securing line that secures the solar chip and the conductive wires. The solar energy collector is rollable, foldable and expandable. | 05-05-2011 |
20110100453 | Electrically contactable grids manufacture - A method for manufacturing one or more electrically contactable grids on at least one surface of a semiconductor substrate for use in a solar cell product includes the following. A heat-sensitive masking agent layer is deposited on the surface of the substrate of the solar cell product. The masking agent layer is locally heated to form a grid mask. Selected parts of the masking agent layer defined by locally heating are removed to form openings in the grid mask. A contact metallization is applied on the grid mask. | 05-05-2011 |
20110100454 | Coated and Planarised Polymeric Films - A composite film comprising a polymeric substrate and a planarising coating layer wherein the surface of the planarised substrate exhibits an Ra value of less than 0.7 run and/or an Rq value of less than 0.9 nm, and wherein the composite film further comprises a gas-permeation barrier deposited by atomic layer deposition on a planarised surface of the substrate; an electronic device comprising said composite film; and processes for the production thereof. | 05-05-2011 |
20110100455 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element includes: a first electrode having a porous oxide semiconductor layer which supports a sensitizing dye on a surface thereof and functioning as a photo electrode; a second electrode disposed so as to oppose the first electrode; an electrolyte disposed in at least a part of a space between the first electrode and the second electrode; and a sump portion for the electrolyte disposed in at least a part of the space between the first electrode and the second electrode. According to the present invention, air bubbles can be exhausted effectively from power generating area, so that a photoelectric conversion element possessing an improved power generation property and a long-term durability can be provided. | 05-05-2011 |
20110108101 | Coated article comprising colloidal silica inclusive anti-reflective coating, and method of making the same - Certain example embodiments of this invention relate to coated articles that include anti-reflective (AR) coatings produced from colloidal silica with variable size particles in formulation, and/or methods of making the same. In certain example embodiments, the AR coatings advantageously exhibit high transmission, high transmission gain with respect to uncoated articles, and high b* values, before and/or after heat treatment. The AR coatings of certain example embodiments may be temperable or otherwise heat treatable (e.g., at temperatures of 500 degrees C. or greater) together with their supporting substrates. In certain example embodiments, the particle size for the colloidal silica is 10-110 nm, and the b* values are at least about 0.8. Certain example embodiments may be used in connection with photovoltaic devices and/or the like. | 05-12-2011 |
20110108102 | SOLAR CELL WITH ENHANCED EFFICIENCY - Solar cells and methods for manufacturing solar cells are disclosed. An example solar cell may include a substrate, which in some cases may act as an electrode, a nano-pillar array coupled relative to the substrate, a self-assembled monolayer disposed on the nano-pillar array, an active layer provided on the self-assembled monolayer, and an electrode electrically coupled to the active layer. In some cases, the self-assembled monolayer may include alkanedithiol, and the active layer may include a photoactive polymer, but this is not required. | 05-12-2011 |
20110108103 | SOLAR CELL - The invention relates to a solar cell ( | 05-12-2011 |
20110108104 | PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device that includes: a light-receiving substrate, on which a photoelectrode is formed; a counter substrate that is disposed facing the light-receiving substrate, on which a counter electrode is formed; a semiconductor layer that is formed on the photoelectrode, into which a photosensitive dye is absorbed; and an electrolyte layer that is formed between the semiconductor layer and the counter electrode. The counter electrode includes a catalyst layer formed directly on the counter substrate. | 05-12-2011 |
20110108105 | METHOD FOR DEPOSITING A TRANSPARENT CONDUCTIVE OXIDE (TCO) FILM ON A SUBSTRATE AND THIN-FILM SOLAR CELL - A method is provided for depositing a transparent conductive oxide (TCO) layer on a substrate, in which contaminations of the layers of the layer system is reduced through the diffusion of material from the substrate, and whose layer properties in respect to coupling and transmission of light are optimized. For that purpose, a barrier layer, a seed layer and a transparent conductive oxide layer are directly successively deposited on the substrate. Also, a thin-film solar cell is described which comprises such a transparent conductive oxide layer. | 05-12-2011 |
20110108106 | Dye-sensitized solar cell electrode and dye-sensitized solar cell - A dye-sensitized solar cell electrode includes a substrate; a conductive layer formed on one side surface of the substrate and is surrounded by a sealing layer for sealing in an electrolyte; a current collecting layer formed on the other side surface of the substrate; and a conductive portion that allows electrical conduction between the conductive layer and the current collecting layer in the thickness direction of the substrate. | 05-12-2011 |
20110108107 | Thin-Film Solar Battery Module and Method of Manufacturing the Same - [Object] To provide a thin-film solar battery module and a method of manufacturing the thin-film solar battery module that are capable of improving connection reliability of an external connection terminal and reducing connection resistance thereof. | 05-12-2011 |
20110114165 | Photoelectric conversion device - A photoelectric conversion device including a light receiving substrate on which an optical electrode is formed, a counter substrate facing the light receiving substrate, and a semiconductor layer formed on the optical electrode. A counter electrode is formed on the counter substrate. Photosensitive dyes, which is excited by visible light, adhere to the semiconductor layer, and an electrolyte layer is disposed between the semiconductor layer and the counter electrode. Each of the light receiving substrate and the counter substrate includes chamfered units at corners of external surfaces thereof. | 05-19-2011 |
20110114166 | Photoelectric conversion device - A photoelectric conversion device capable of increasing an adhesive force between substrates is provided. The photoelectric conversion device includes a first and second substrates facing each other, a photoelectrode including a first transparent conductive layer and formed on a surface of the first substrate facing the second substrate, a counter electrode including a second transparent conductive layer and formed on a surface of the second substrate facing the first substrate, a semiconductor layer formed on the photoelectrode and including a photosensitive dye that generates electrons when excited by light, an electrolyte disposed between the semiconductor layer and the counter electrode, and a sealing member disposed between the first and second transparent conductive layers. At least one of the first and second transparent conductive layers has a first stepped portion in which the sealing member is disposed. The sealing member seals a space between the first and second transparent conductive layers. Accordingly, an adhesive force and a sealing force between the sealing member and the light receiving substrate may be increased. | 05-19-2011 |
20110114167 | GEL ELECTROLYTE COMPOSITION, METHOD OF FABRICATING THEREOF AND DYE-SENSITIZED SOLAR CELL USING THE SAME - A gel electrolyte composition is provided. The composition of the gel electrolyte includes an unsubstituted or substituted pyridine, a metal salt, a halogen molecule, an unsubstituted or substituted nicotinic acid and a solvent. The composition may be free from or substantially free from one or more of a polymer and a low molecular gelling agent. Also provided is a dye-sensitized solar cell containing the composition as well as a method for preparing the composition. | 05-19-2011 |
20110114168 | Method for the Selective Doping of Silicon and Silicon Substrate Treated Therewith - A method for the selective doping of silicon of a silicon substrate ( | 05-19-2011 |
20110114169 | DYE SENSITIZED SOLAR CELLS AND METHODS OF MAKING - Dye sensitized solar cells having conductive metal oxide layers with nano-whiskers and methods of making the dye sensitized solar cells having conductive metal oxide layers with nano-whiskers are described. The method for making a dye sensitized solar cell comprises providing a conductive metal oxide layer comprising nano-whiskers, applying a porous semi-conducting layer on the conductive metal oxide layer, applying a dye to at least a portion of the porous semi-conducting layer, and applying an electrolyte adjacent to at least a portion of the dye. | 05-19-2011 |
20110114170 | CONDUCTIVE PASTE AND SOLAR CELL - Disclosed is a conductive paste including; a conductive powder including a plurality of conductive particles, a metallic glass disposed between adjacent conductive particles of the conductive powder, and an organic vehicle in which the conductive powder and metallic glass are disposed, and a solar cell using the conductive paste. | 05-19-2011 |
20110114171 | SOLAR CELL INCLUDING SPUTTERED REFLECTIVE LAYER - Solar cells and methods for their manufacture are disclosed. An exemplary method may include providing a semiconductor substrate and introducing dopant atoms to a front surface of the substrate. The substrate may be annealed to drive the dopant atoms deeper in the substrate to produce a p-n junction while also forming front and back passivation layers. A reflective surface is sputtered on the back surface of the solar cell. It protects and generates hydrogen to passivate one or more substrate-passivation layer interfaces at the same time as forming an anti-reflective layer on the front surface of the substrate. Fire-through of front and back contacts as well as metallization with contact connections may be performed in a single co-firing operation. Associated solar cells are also provided. | 05-19-2011 |
20110114172 | POLYBUTYLENE TEREPHTHALATE RESIN MIXTURE AND FILM - The present invention provides a polybutylene terephthalate resin material which exhibits less deterioration of polymer, decreases the number of fisheyes, has excellent resistance to hydrolysis, thus being suitably used as films, especially as the back sheet film for solar cell module. Specifically, the material is a polybutylene terephthalate resin mixture for film production, obtained by mixing (A) polybutylene terephthalate resin, having an amount of carboxyl terminal group of 20 meq/kg or less and an intrinsic viscosity of 0.9 dL/g or more, with (B) a carbodiimide compound in any form of powder, granule and masterbatch. | 05-19-2011 |
20110114173 | METHOD OF MAKING SOLAR CELLS - A method of creating a patterned particulate layer of a photovoltaic device comprises the steps of providing a dry powder to a fluidising unit, fluidising the powder to form a fluid flow and conveying the fluid flow to a printing unit. The printing unit has means to divert a variable amount of flow to a substrate and the remainder of the flow back to the fluidising unit. | 05-19-2011 |
20110120542 | METHOD FOR SELECTIVE DEPOSITION AND DEVICES - A chemical vapor deposition method such as an atomic-layer-deposition method for forming a patterned thin film includes applying a deposition inhibitor material to a substrate. The deposition inhibitor material is a hydrophilic poly(vinyl alcohol) having a degree of hydrolysis of less than 95%. The deposition inhibitor material is patterned simultaneously or subsequently to its application to the substrate, to provide selected areas of the substrate effectively not having the deposition inhibitor material. A thin film is substantially deposited only in the selected areas of the substrate not having the deposition inhibitor material. | 05-26-2011 |
20110120543 | METHOD FOR SELECTIVE DEPOSITION AND DEVICES - A chemical vapor deposition method such as an atomic-layer-deposition method for forming a patterned thin film includes applying a deposition inhibitor material to a substrate. The deposition inhibitor material is a hydrophilic polymer that that has in its backbone, side chains, or both backbone and side chains, multiple hydrophilic groups that are represented by the following structure: | 05-26-2011 |
20110120544 | DEPOSITION INHIBITOR COMPOSITION AND METHOD OF USE - A deposition inhibitor composition includes two compatible solvents. The first solvent has a vapor pressure of at least 10 mm Hg at room temperature and the second solvent has a vapor pressure of less than that of the first solvent. The composition further includes a hydrophilic deposition inhibitor material that is dissolved in the composition. This material is soluble in an aqueous solution that comprises at least 50% by weight of water and has a free acid content of less than 2.5 meq/g. This composition is useful to provide a deposition inhibitor pattern for chemical vapor deposition methods such as an atomic-layer-deposition method for forming a patterned thin film includes applying a hydrophilic deposition inhibitor material to a substrate. | 05-26-2011 |
20110120545 | PHOTOVOLTAIC COMPOSITIONS OR PRECURSORS THERETO, AND METHODS RELATING THERETO - A process for forming at least one photovoltaic component on a substrate is described. The substrate comprises a polyimide and a sub-micron filler. The polyimide is derived substantially or wholly from rigid rod monomers and the sub-micron filler has an aspect ratio of at least 3:1. The substrates of the present disclosure are particularly well suited for photovoltaic applications, due at least in part to high resistance to hygroscopic expansion and relatively high levels of thermal and dimensional stability. | 05-26-2011 |
20110120546 | ENVIRONMENTALLY-FRIENDLY COATINGS AND ENVIRONMENTALLY-FRIENDLY SYSTEMS AND METHODS FOR GENERATING ENERGY - UV-curable coatings for photovoltaic systems, photovoltaic systems having a UV-curable coating, and methods of generating energy through photovoltaic systems having a UV-curable coating are disclosed. The UV-curable coating includes a urethane acrylate blend, a montmorillonite platelet, a light stabilizer, a UV absorber, and a photoinitiator. The coating is substantially transparent to visible and near infrared light and provides a barrier to oxygen and moisture and the coating is configured to adhere to an acrylic film. | 05-26-2011 |
20110120547 | Photoelectric device - The present invention provides a photoelectric device, including a photoelectric semiconductor thin film having a light facing surface and a back light surface; and a photoelectric converter having a medium and photoelectric converting particles mounted on the medium, wherein the photoelectric converter is disposed at an outer side of the light facing surface of the photoelectric semiconductor film for absorbing and converting solar energy so as to enhance photoelectric conversion efficacy. The photoelectric converter absorbs the wavelength that the photoelectric semiconductor thin film cannot absorb, and emits the frequency band that the photoelectric semiconductor thin film can absorb. Thus, the photoelectric device of the present invention decreases the interference of light absorption, increases the light emission, eliminates the wastes of incident light, and increases the photoelectric conversion efficacy. Hence, the fabrication method of the solar cell is simplified, and the cost is decreased in the present invention. | 05-26-2011 |
20110120548 | SOLAR CELL STRUCTURE AND METHOD OF MAKING - A solar cell structure includes a semiconductor substrate, a first electrode, a second electrode and at least one via extending through the semiconductor substrate. The first electrode is located in the at least one via, and includes a glass phase and lead oxide, wherein the lead oxide is present in a first weight percentage amount relative to the weight of the glass phase of the first electrode. The second electrode includes a glass phase and lead oxide, and covers the first electrode, wherein the lead oxide of the second electrode is present in a second weight percentage amount relative to the weight of the glass phase of the second electrode. The first weight percentage amount is less than the second weight percentage. | 05-26-2011 |
20110120549 | THIN FILM SOLAR CELL AND MANUFACTURING METHOD THREOF, METHOD FOR INCREASING CARRIER MOBILITY IN SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE - A thin film solar cell including a substrate, a first conductive layer, a photovoltaic layer and a second conductive layer is provided. The first conductive layer is doped with boron atoms so as to have a texture structure. Isotope B | 05-26-2011 |
20110120550 | PHOTOELECTRIC CONVERSION ELEMENT - The present invention provides a photoelectric conversion element in which bending resistance and impact resistance can be improved. The photoelectric conversion element of the present invention comprises a structure, a case into which the structure is built, and a deformable body disposed between the structure and the case. The structure is composed of at least a conductive first electrode provided with a porous oxide semiconductor layer on which a sensitizing dye is supported, a second electrode disposed opposing the first electrode, and an electrolyte arranged at least at a portion between the first electrode and the second electrode. | 05-26-2011 |
20110120551 | PROCESS FOR THE FORMATION OF A SILVER BACK ELECTRODE OF A PASSIVATED EMITTER AND REAR CONTACT SILICON SOLAR CELL - A process for the formation of an electrically conductive silver back electrode of a PERC silicon solar cell comprising the steps:
| 05-26-2011 |
20110120552 | METHOD FOR PRODUCING A MONOCRYSTALLINE SOLAR CELL - A method for producing a monocrystalline solar cell having a passivated back side and a back side contact structure, having the following steps: applying a passivating dielectric layer onto the back side of the cell over the entire surface; removing the passivating layer locally in the area of bus bars and local contact locations; coating the back side of the cell homogeneously to develop an unpatterned, thin metal layer, which touches the surface of the substrate material in the areas free of the passivating layer; generating a thick layer from a conductive paste in the area of the bus bars and the local contact locations; and sintering of the thick layer at a temperature above a predefined eutectic temperature, and the formation of a eutectic, low-resistance connection of the thin metal layer to the surface of the substrate material as well as to the conductive particles of the thick layer paste. | 05-26-2011 |
20110120553 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a solar cell, includes a scribing step in which grooves electrically-separating a photoelectric converter into a plurality of compartment sections are formed after the photoelectric converter is formed on a substrate by stacking a first-electrode layer, a photoelectric conversion layer, and a second-electrode layer in this order; a first groove, a second groove, a third groove, and a fourth groove are formed in the scribing step; the method including an insulating-layer forming step in which an insulating layer is formed after the scribing step and a wiring layer forming step in which a wiring layer is formed; the wiring layer passes from the first-electrode layer that is exposed at a bottom face of the second groove, through the inside of the second groove and a surface of the insulating layer, to a surface of the second-electrode layer that is disposed so as to be lateral to the fourth groove opposite to the second groove; and the wiring layer electrically connects the plurality of compartment sections to each other. | 05-26-2011 |
20110126896 | Photovoltaic Devices and Methods for Producing the Same - Disclosed herein are hybrid solar cells and methods for fabricating the same. In one aspect, the method is characterized in transferring nanowires from one substrate to another substrate. In another aspect, the method is characterized in having an organic active layer that is not made of [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) and said organic active layer comprises nanowires embedded therein. | 06-02-2011 |
20110126897 | COMPOSITION FOR EXTRUDING FIBERS - The present invention relates a composition which is useful in printing by extruding a metalized fiber on a substrate. Zinc oxide is incorporated in combination with glass frit into a composition to etch the substrate and a binder polymer is used to allow extrusion of narrow fibers which also may have adequate height to provide sufficient electrical conduction. The present invention is also a process to extrude a pattern of the composition. The present invention is further directed to a solar cell formed from such composition and the process. | 06-02-2011 |
20110126898 | SOLAR CELL CONTACT FORMATION USING LASER ABLATION - The formation of solar cell contacts using a laser is described. A method of fabricating a back-contact solar cell includes forming a poly-crystalline material layer above a single-crystalline substrate. The method also includes forming a dielectric material stack above the poly-crystalline material layer. The method also includes forming, by laser ablation, a plurality of contacts holes in the dielectric material stack, each of the contact holes exposing a portion of the poly-crystalline material layer; and forming conductive contacts in the plurality of contact holes. | 06-02-2011 |
20110126899 | OXIDE EVAPORATION MATERIAL, TRANSPARENT CONDUCTING FILM, AND SOLAR CELL - An oxide evaporation material according to the present invention includes a sintered body containing indium oxide as a main component thereof and cerium with a Ce/In atomic ratio of 0.001 to 0.110. The L* value in the CIE 1976 color space is 62 to 95. The oxide evaporation material with the L* value of 62 to 95 has an optimal oxygen amount. Accordingly, even when a small amount of an oxygen gas is introduced into a film-formation vacuum chamber, a transparent conducting film having a low resistance and a high transmittance in the visible to near-infrared region is formed by vacuum deposition methods. Since the amount of the oxygen gas introduced is small, the difference in composition between the film and the evaporation material is made small. This reduces the variations in composition and characteristics among films formed in large quantities. | 06-02-2011 |
20110126900 | Dye-sensitized solar cell electrode and dye-sensitized solar cell - A dye-sensitized solar cell electrode includes a substrate made of a polyimide film obtained by reaction of a biphenyl tetracarboxylic acid dianhydride compound with a paraphenylenediamine compound. | 06-02-2011 |
20110126901 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - A solar cell comprises a substrate that includes a photoelectric conversion function, a first electrode provided on one surface of the substrate, a second electrode provided on other surface of the substrate, and a third electrode provided on the other surface of the substrate with its periphery overlapping the second electrode in the in-plane direction of the substrate for extracting an electric power from the second electrode. The thickness of the second electrode is larger than that of the third electrode, and the difference between the thickness of the second electrode and that of the third electrode is within a range from equal to or more than 10 micrometers to equal to or less than 30 micrometers. Thereby, in the solar cell, an electrode separation (alloy separation) can be effectively prevented. | 06-02-2011 |
20110126902 | APPARATUS AND METHOD FOR MANUFACTURING THIN FILM SOLAR CELL, AND THIN FILM SOLAR CELL - An apparatus for manufacturing a thin film solar cell that increase homogeneity in film characteristics. In a process of conveying a substrate from one roll to another roll, a power generation layer, which is a laminated body of a plurality of semiconductor layers, is formed in a plurality of film formation compartments partitioned along a conveying direction between the roll pair. A plurality of flat application electrodes are laid out in the conveying direction facing toward the substrate in each film formation compartment. Each flat application electrode includes a power supply terminal supplied with high frequency power in a VHF band. When the wavelength of the high frequency power is represented by λ, the distance between an edge of the flat application electrode and the power supply terminal is set to be shorter than λ/4 in a direction orthogonal to the conveying direction. | 06-02-2011 |
20110126903 | PHOTOVOLTAIC DEVICE - A photovoltaic device in which, by optimizing the structures for a substrate-side transparent electrode layer, an intermediate layer, and a back electrode layer, the extracted electrical current can be increased. The photovoltaic device includes at least a transparent electrode layer, a photovoltaic layer and a back electrode layer provided on a substrate, wherein the surface of the transparent electrode layer on which the photovoltaic layer is disposed includes a textured structure composed of ridges and a fine micro-texture provided on the surface of the ridges, the pitch of the textured structure is not less than 1.2 μm and not more than 1.6 μm, the height of the ridges is not less than 0.2 μm and not more than 0.8 μm, the pitch between peaks in the fine micro-texture is not less than 0.05 μm and not more than 0.14 μm, and the height of peaks is not less than 0.02 μm and not more than 0.1 μm. | 06-02-2011 |
20110132448 | SOLAR CELLS AND METHODS OF FABRICATION THEREOF - Solar cells and methods for fabrication thereof are provided. A method may include forming a via through at least one dielectric layer formed on a semiconductor wafer by using a laser to ablate a region of the at least one dielectric layer such that at least a portion of the surface of the semiconductor wafer is exposed by the via. The method may further include applying a self-doping metal paste to the via. The method may additionally include heating the semiconductor wafer and self-doping metal paste to a temperature sufficient to drive at least some dopant from the self-doping metal paste into the portion of the surface of the semiconductor wafer exposed by the via to form a selective emitter region and a contact overlying and self-aligned to the selective emitter region. | 06-09-2011 |
20110132449 | MULTILAYER FILM FOR ENCAPSULATING OXYGEN AND/OR MOISTURE SENSITIVE ELECTRONIC DEVICES - The present invention refers to a multilayer barrier film capable of encapsulating a moisture and/or oxygen sensitive electronic or optoelectronic device, the barrier film comprises at least one nanostructured layer comprising reactive nanoparticles capable of interacting with moisture and/or oxygen, the reactive nanoparticles being distributed within a polymeric binder, and at least one ultraviolet light neutralizing layer comprising a material capable of absorbing ultraviolet light, thereby limiting the transmission of ultraviolet light through the barrier film | 06-09-2011 |
20110132450 | Back Contact Deposition Using Water-Doped Gas Mixtures - A method of manufacturing a photovoltaic module may include depositing a semiconductor material adjacent to a substrate; and depositing a back contact material adjacent to the semiconductor material, where depositing the back contact material may include directing a feed gas including hydrogen toward the substrate. | 06-09-2011 |
20110132451 | SOLDER SUPPORTING LOCATION FOR SOLAR MODULES AND SEMICONDUCTOR DEVICE - A soldered connection between an outer surface of a semiconductor device, connected to a substrate by means of an adhesive layer, and a connector in the form of a strip. In order that tensile forces acting on the connector do not cause the semiconductor device to become detached from the substrate or the adhesive layer, it is proposed that a supporting location extends from the outer surface of the semiconductor device, which supporting location is formed of solderable material and makes contact with the outer surface by way of a contact surface A, in or on which the connector is soldered while maintaining a distance a from the outer surface where a≧10μ; and/or that the distance b between the edge of the contact surface between the supporting surface and the outer surface and the entry of the connector into the supporting location or the beginning of contact therebetween is b≧50μ. | 06-09-2011 |
20110132452 | Dye-Sensitized Photovoltaic Device - Disclosed is a dye-sensitized photovoltaic device in which a metal complex dye represented by Formula (1), or a salt thereof, is carried on a thin film of oxide semiconductor micrograins disposed on a substrate. (In Formula (1), m | 06-09-2011 |
20110132453 | ORGANIC PHOTOELECTRIC CONVERSION ELEMENT AND PRODUCTION METHOD THEREOF - Disclosed is an organic photoelectric conversion element that comprises a cathode; an anode, which is formed on a substrate by an application method; and an active layer, which is disposed between the anode and the cathode; wherein the anode contains polyaniline, a polyaniline derivative or a mixture of a polyaniline and a polyaniline derivative. | 06-09-2011 |
20110132454 | Back Sheet of Solar Cell and Manufacturing Method Thereof - A back sheet of solar module with high adhesivity comprises a substrate ( | 06-09-2011 |
20110139233 | QUANTUM DOT SOLAR CELL - Quantum dot solar cells with enhanced efficiency are disclosed. An example solar cell includes an electron conductor layer, a quantum dot layer and a hole conductor layer. The electron conductor layer may include a plurality of nanoparticles having an average outer dimension that is greater than about 25 nanometers. The hole conductor layer may include an electrolytic salt, and/or a low surface tension solvent, as desired. | 06-16-2011 |
20110139234 | GRATING STRUCTURE FOR DIVIDING LIGHT - A grating structure and a solar cell assembly. In one aspect, the grating structure suppresses the zero order transmission to near 0%. In another aspect, the solar cell assembly has improved absorption due to coupling with a grating structure. | 06-16-2011 |
20110139235 | CADMIUM TELLURIDE THIN FILM PHOTVOLTAIC DEVICES AND METHODS OF MANUFACTURING THE SAME - Methods for manufacturing a cadmium telluride based thin film photovoltaic device are generally disclosed. The method can include sputtering a resistive transparent layer on a transparent conductive oxide layer from an alloy target including zinc from about 5% by weight and about 33% by weight and tin. The method can also include forming a cadmium sulfide layer on the resistive transparent layer, forming a cadmium telluride layer on the cadmium sulfide layer, and forming a back contact layer on the cadmium telluride layer. Cadmium telluride thin film photovoltaic devices are also generally disclosed including a resistive transparent layer having a mixture of zinc oxide and tin oxide having a zinc oxide concentration between about 5% and about 33% by mole fraction. | 06-16-2011 |
20110139236 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a solar cell includes forming a textured surface at a surface of a substrate of a first conductivity type using a dry etching method, the textured surface having a plurality of jagged portions, forming a doping pattern by applying a doping material containing an impurity of a second conductivity type on a portion of the textured surface, forming an emitter region by doping the impurity of the second conductive type into the substrate to form a first emitter portion and a second emitter portion having a different impurity doped concentration from each other, forming an anti-reflection layer on the first emitter portion and the second emitter portion, and forming a first electrode connected to the second emitter portion and a second electrode connected to the substrate. | 06-16-2011 |
20110139237 | PHOTOVOLTAIC CELL, AND SUBSTRATE FOR SAME - The invention relates to a photovoltaic cell having an absorbent photovoltaic material, especially one based on cadmium, said cell comprising a faceplate substrate, especially a transparent glass substrate, having, on a main surface, a transparent electrode coating consisting of a thin-film multilayer that includes at least one transparent conductive layer, especially one based on optionally doped zinc oxide, characterized in that the electrode comprises at least one smoothing layer. | 06-16-2011 |
20110139238 | PROCESS FOR THE PRODUCTION OF A MWT SILICON SOLAR CELL - A process for the production of a MWT silicon solar cell comprising the steps:
| 06-16-2011 |
20110139239 | SOLAR CELL - A solar cell includes a substrate having a textured surface, the textured surface including a plurality of jagged portions; an emitter region forming a p-n junction with the substrate; a plurality of first electrodes connected to the emitter region; and a second electrode connected to the substrate, wherein each of the plurality of jagged portions has a diameter and a height that are equal to or less than 1 μm, and each of the plurality of first electrodes has a width of about 20 μm to about 80 μm. | 06-16-2011 |
20110139240 | PHOTOVOLTAIC WINDOW LAYER - A discontinuous or reduced thickness window layer can improve the efficiency of CdTe-based or other kinds of solar cells. | 06-16-2011 |
20110139241 | SOLAR CELL AND METHOD FOR PRODUCING A SOLAR CELL - A solar cell and to a method for producing a solar cell is provided. The solar cell includes a semi-conductor substrate with doped regions ( | 06-16-2011 |
20110146776 | GLASS COMPOSITIONS USED IN CONDUCTORS FOR PHOTOVOLTAIC CELLS - The invention relates to glass compositions useful in conductive pastes for silicon semiconductor devices and photovoltaic cells. | 06-23-2011 |
20110146777 | COUNTER ELECTRODE FOR SOLAR CELL - Disclosed are solar cells and methods for making solar cells. Also disclosed are counter electrodes for solar cells including dye-sensitized and/or nanocrystal-sensitized solar cells. An example counter electrode for a solar cell may include a substrate, a microstructured template disposed on the substrate, and a layer of catalytic material disposed on the microstructured template. | 06-23-2011 |
20110146778 | SHIELDING OF INTERIOR DIODE ASSEMBLIES FROM COMPRESSION FORCES IN THIN-FILM PHOTOVOLTAIC MODULES - A method and apparatus for protecting a diode assembly of a photovoltaic module from compressive and tensile forces by providing at least one interior shielding element are provided. According to various embodiments, a photovoltaic module including a first encasing layer, a second encasing layer, at least one photovoltaic cell disposed between the first and second encasing layers, at least one shielded diode assembly disposed on the at least one photovoltaic cell and electrically connected to the at least one photovoltaic cell, and a pottant disposed between the at least one photovoltaic cell and the second encasing layer is provided. A localized shielding element may be used to shield the diode assembly. | 06-23-2011 |
20110146779 | Sub-wavelength structure layer, method for fabricating the same and photoelectric conversion device applying the same - The present invention relates to a method for fabricating a sub-wavelength structure layer, including: forming a metal film on a passivation layer, an n-GaN layer or a transparent conductive oxide layer; performing thermal treatment to form self assembled metal nano particles; using the metal nano particles as a mask to remove a partial area of the passivation layer, the n-GaN layer or the transparent conductive oxide layer to form a sub-wavelength structure of which the cross-sectional area increases along the thickness direction of the passivation layer, the n-GaN layer or the transparent conductive oxide layer; and removing the metal nano particles. In addition, the present invention further provides the obtained sub-wavelength structure layer and a photoelectric conversion device using the same. | 06-23-2011 |
20110146780 | SOLAR CELL MODULE AND METHOD FOR MANUFACTURING THE SAME - A solar cell module and a method of manufacturing the solar cell module are disclosed. The method in accordance with an embodiment of the present invention includes forming a conductive bump on a conductive pad formed on one surface of a solar cell, forming a circuit pattern on one surface of a transparent substrate, in which the circuit pattern corresponds to a position of the conductive bump, adhering the solar cell to the transparent substrate in such a way that the conductive bump is in direct contact with the circuit pattern, and forming a protective resin layer on one surface of the transparent substrate in such a way that the solar cell is covered. By using the above steps, a thinner solar cell module can be implemented while improving the manufacturing efficiency. | 06-23-2011 |
20110146781 | PROCESS OF FORMING A GRID CATHODE ON THE FRONT-SIDE OF A SILICON WAFER - A process for the production of a grid cathode on the front-side of a silicon wafer by applying and firing a metal paste on the silicon wafer in a front-side grid electrode pattern to form a seed grid cathode and subsequently subjecting the silicon wafer to a LIP process, wherein the metal paste comprises an organic vehicle and an inorganic content comprising (a) 90 to 98 wt.-% of at least one electrically conductive metal powder selected from the group consisting of nickel, copper and silver, and (b) 0.25 to 8 wt.-% of at least one glass frit selected from the group consisting of glass frits containing 47.5 to 64.3 wt.-% of PbO, 23.8 to 32.2 wt.-% of SiO | 06-23-2011 |
20110146782 | METHODS TO PATTERN DIFFUSION LAYERS IN SOLAR CELLS AND SOLAR CELLS MADE BY SUCH METHODS - Methods exploiting a Self Aligned Cell (SAC) architecture for doping purposes, use the architecture to direct the deposition and application of either a dopant or a diffusion retarder. Doping is provided in regions that will become metallization for conducting fingers. Dopant may be treated directly into metallization grooves. Or, diffusion retarder may be provided in non-groove locations, and dopant may be provided over some or all of the entire wafer surface. Dopant and metal automatically go where desired, and in register with each other. The SAC architecture also includes concave surfaces for light absorbing regions of a cell, to reduce reflection of light energy, which regions may also be treated with dopant in the concavities, to result in semiconductor emitter lines. Alternatively, diffusion retarder may be treated into the concavities, leaving upper tips of ridges between the concavities exposed, thereby subject to deeper doping. | 06-23-2011 |
20110146783 | DYE-SENSITIZED SOLAR CELL MODULE AND METHOD OF FABRICATING THE SAME - A dye-sensitized solar cell module is disclosed. The dye-sensitized solar cell module includes a solution capable of being selectively printed on only a desired region and used in the formation of a metal oxide film. The solution for the metal oxide film formation can be selectively printed on only the surface of metal oxide nano-particle without affecting the electrical conductivity of the electrode and a sealant interposed between transparent electrodes. Therefore, the dye-sensitized solar cell module can greatly improve the output efficiency. Moreover, the dye-sensitized solar cell module can prevent the output efficiency deterioration at an enlarged size. | 06-23-2011 |
20110146784 | Photovoltaic device back contact - A method for manufacturing a photovoltaic device may include depositing a semiconductor absorber layer on a substrate, depositing a molybdenum in the presence of a nitrogen to form a molybdenum nitride in contact with the semiconductor absorber layer, and doping the molybdenum nitride with a copper dopant. | 06-23-2011 |
20110146785 | PHOTOVOLTAIC DEVICE INCLUDING DOPED LAYER - A photovoltaic cell with a doped buffer layer includes a metal oxide and a dopant. | 06-23-2011 |
20110146786 | PHOTOVOLTAIC MODULE INTERLAYER - A photovoltaic module may include a substrate including a coating; and an interlayer placed in contact with the substrate, where the interlayer includes an acid-modified polyethylene. | 06-23-2011 |
20110146787 | SILICON CARBIDE-BASED ANTIREFLECTIVE COATING - The present invention relates to an antireflective coating comprising an amorphous silicon carbide-based film, which film further comprises hydrogen atoms and optionally further comprises oxygen and/or nitrogen, the film having an effective refractive index (n) between 2.3 and 2.7 and an extinction coefficient (k) of less than 0.01 at a wavelength of 630 nm. The present invention also relates to methods for preparing the antireflective coating and to solar cells comprising the antireflective coating. | 06-23-2011 |
20110155233 | HYBRID SOLAR CELLS - Solar cells and methods for manufacturing solar cells are disclosed. An example solar cell includes a first electrode and a second electrode. A first active layer may be disposed between the first electrode and the second electrode, and a second active layer different from the first active layer may also be disposed between the first electrode and the second electrode. One or more layers of conductive material may be disposed between the first active layer and the second active layer, if desired. In some instances, the first active layer may be sensitive to a first range of wavelengths, and the second active layer may be sensitive to a second range of wavelengths, where at least part of the first range of wavelengths does not overlap at least part of the second range of wavelengths. It is contemplated that more than two active layers may be used, if desired. | 06-30-2011 |
20110155234 | METHOD OF FORMING THIN FILM SOLAR CELL AND STRUCTURE THEREOF - A method of forming thin film solar cell includes the following steps. A substrate is provided, and a plurality of first electrodes are formed on the substrate. A printing process is performed to print a light-absorbing material on the substrate and the first electrodes to form a plurality of light-absorbing patterns. Each of the light-absorbing patterns corresponds to two adjacent first electrodes, partially covers the two adjacent first electrodes, and partially exposes the two adjacent first electrodes. A plurality of second electrodes are formed on the light-absorbing patterns. | 06-30-2011 |
20110155235 | POLYIMIDE POLYMERS FOR FLEXIBLE ELECTRICAL DEVICE SUBSTRATE MATERIALS AND FLEXIBLE ELECTRICAL DEVICES COMPRISING THE SAME - A polyimide polymer of Formula (I) for flexible electrical device substrate material is provided. | 06-30-2011 |
20110155236 | Nanowire Solar Cell and Manufacturing Method of the Same - To provide a solar cell enabling practical electric power to be obtained and excitons to be effectively collected, and a manufacturing method of the solar cell. A nanowire solar cell | 06-30-2011 |
20110155237 | DYE-SENSITIZED SOLAR CELL - Disclosed is a dye-sensitized solar cell capable of improving fill factor of current, the solar cell including a first substrate and a second substrate, a first electrode formed on the first substrate, a second electrode formed on the second substrate to face the first electrode, an electrolyte interposed between the first and second electrodes, first and second electron collection metal lines formed between the first and second electrodes to collect electrons generated, passivation layers to shield the first and second electron collection metal lines, respectively, and a seal line formed on edge regions of the first and second substrates to bond the first and second substrates to each other and seal the electrolyte, wherein each of the passivation layers has a softening point higher than that of the seal line. | 06-30-2011 |
20110155238 | PYRIDINE TYPE METAL COMPLEX, PHOTOELECTRODE COMPRISING THE METAL COMPLEX, AND DYE-SENSITIZED SOLAR CELL COMPRISING THE PHOTOELECTRODE - A pyridine type metal complex having a partial structure represented by the formula (I) or (I′): | 06-30-2011 |
20110155239 | SOLAR CELL AND METHOD FOR THE PRODUCTION THEREOF - A solar cell having a semiconductor substrate with a front face and a rear face extending substantially parallel thereto, a front face metallization, a rear face metallization and at least three doped regions having at least two different conductivity types, including: a first doped region with a first conductivity type located on the front face of the semiconductor substrate and extends substantially over the entire front face; a second doped region with the opposite conductivity type to that of the first conductivity type located on the rear face and extends partially over said face; and a third doped region with the first conductivity type located on the rear face and extends partially over said face. The front face metallization is connected to the first doped region and the rear face metallization is connected to the second doped region in an electrically conductive manner and the solar cell has an electrically conductive connection which connects the third doped region to the front face metallization and/or the first doped region. | 06-30-2011 |
20110155240 | METHOD OF MANUFACTURE OF SEMICONDUCTOR DEVICE AND CONDUCTIVE COMPOSITIONS USED THEREIN - The present invention is directed to a thick film conductive composition comprising: (a) electrically conductive silver powder; (b) Zn-containing additive wherein the particle size of said zinc-containing additive is in the range of 7 nanometers to less than 100 nanometers; (c) glass frit wherein said glass frit has a softening point in the range of 300 to 600° C.; dispersed in (d) organic medium. | 06-30-2011 |
20110155241 | DYE FOR DYE-SENSITIZED SOLAR CELL, SEMICONDUCTOR ELECTRODE, AND DYE-SENSITIZED SOLAR CELL - The dye for a dye-sensitized solar cell, which dye is a compound classified into melocyanine dyes and has a structure in which an electron donor unit and an electron acceptor unit are connected with conjugated double bonds, provided by this invention, has excellent photoelectric conversion efficiency and excellent durability, and according to this invention, there can be provided a semiconductor electrode sensitized by the dye and a dye-sensitized solar cell using the semiconductor electrode. | 06-30-2011 |
20110162701 | Photovoltaic Cells - A photovoltaic cell is provided herein. The photovoltaic cell includes a substrate whereby at least one interconnects may be formed over the substrate to facilitate energy conversion of the photovoltaic cell. In this embodiment, a conformal layer may be deposited over the interconnects, the conformal layer having a thickness of up to about 100 nm, and whereby the conformal layer is designed to permit external radiation to pass through to the interconnects so as to enhance the efficiency of energy conversion by at least about 25% as measured at standard test condition. In another embodiment, the interconnects of the photovoltaic cell may have tapered profile as to facilitate collection of diffused external radiation. In some instances, the tapered profile may facilitate in diverting the diffused external radiation to the interconnects for enhancing energy conversion of the photovoltaic cell. A method for method of manufacturing a photovoltaic cell is also provided. | 07-07-2011 |
20110162702 | QUASI-PYRAMIDAL TEXTURED SURFACES USING PHASE-SEGREGATED MASKS - A method of texturing a surface of a substrate utilizing a phase-segregated mask and etching is disclosed. The resulting textured surface, which can be used as a component of a solar cell includes, in one embodiment, a randomly mixed collection of flat-topped and angled surfaces providing local high points and local low points. The flat-topped surfaces have an areal density of at least 1%, and the high points are coincident with the flat-topped surfaces. Moreover, a preponderance of said low points are approximately situated in a single common plane parallel to the plane defined by the flat-topped surfaces. | 07-07-2011 |
20110162703 | ADVANCED HIGH EFFICIENTCY CRYSTALLINE SOLAR CELL FABRICATION METHOD - A method of fabricating a solar cell comprising: providing a semiconducting wafer having a front surface, a back surface, and a background doped region; performing a set of ion implantations of dopant into the semiconducting wafer to form a back alternatingly-doped region extending from the back surface of the semiconducting wafer to a location between the back surface and the front surface, wherein the back doped region comprises laterally alternating first back doped regions and second back doped regions, and wherein the first back doped regions comprise a different charge type than the second back doped regions and the background doped region; and disposing a back metal contact layer onto the back surface of the semiconducting wafer, wherein the back metal contact layer is aligned over the first and second back doped regions and is configured to conduct electrical charge from the first and second back doped regions. | 07-07-2011 |
20110162704 | RELIABILITY OF BACK END OF LINE PROCESS BY ADDING PVD OXIDE FILM - A method and apparatus for forming a protective coating on a photovoltaic device is provided. The photovoltaic device is formed by depositing photoelectric conversion units on a substrate, and by forming conductive layers and contacts on the photoelectric conversion units. The protective coating is formed by a deposition process, such as physical or chemical vapor deposition. | 07-07-2011 |
20110162705 | MOISTURE RESISTANT PHOTOVOLTAIC DEVICES WITH ELASTOMERIC, POLYSILOXANE PROTECTION LAYER - Improved protection systems for CIGS-based microelectronic devices of the type incorporating electric conductor(s) such as an electronic collection grid. In one aspect, the present invention relates to a photovoltaic device having a light incident surface and a backside surface. The device includes a chalcogenide-containing photovoltaic layer comprising at least one of copper, indium and/or gallium. A transparent conductive layer is interposed between the photovoltaic layer and the light incident surface, wherein the transparent conductive layer is electrically coupled to the photovoltaic layer. An electronic collection grid is electrically coupled to the transparent conductive layer and overlying at least a portion of the transparent conductive layer. An elastomeric structure having a light incident surface, said structure overlying at least portions of the electronic collection grid and the transparent conductive layer in a manner such that the light incident surface of the elastomeric structure is spaced apart from a major portion of the conductor, and wherein the elastomeric structure comprises an elastomeric siloxane polymer having a WVTR of at least 0.1 g/m | 07-07-2011 |
20110162706 | PASSIVATED POLYSILICON EMITTER SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a polysilicon emitter solar cell with a passivating layer over its polysilicon emitter layer is disclosed. The method includes steps of preparing a substrate, forming a first polysilicon layer over the substrate, and forming a first passivating layer over the first polysilicon layer. Another embodiment of the present invention discloses a solar cell apparatus. The solar cell apparatus includes a substrate, a first polysilicon layer over the substrate, and a first passivating layer on first polysilicon layer. | 07-07-2011 |
20110162707 | ELECTRICAL CONTACT WITH ANTI TARNISH OXIDE COATING - The invention relates to an electrical contact t comprising a strip substrate comprising a conductive layer of a metal or an alloy provided on the surface of the substrate and an oxide layer provided on the conductive layer. By means of the oxide layer the underlying metal or alloy layer is protected from reaction with elements such as oxide or sulphur in the ambient air. The invention also relates to products such as fuel cells and solar cells comprising the electrical contact. | 07-07-2011 |
20110162708 | DYE-SENSITIZED SOLAR CELL EMPLOYING ZINC OXIDE AGGREGATES GROWN IN THE PRESENCE OF LITHIUM - Provided are a novel ZnO dye-sensitized solar cell and method of fabricating the same. In one embodiment, deliberately added lithium ions are used to mediate the growth of ZnO aggregates. The use of lithium provides ZnO aggregates that have advantageous microstructure, morphology, crystallinity, and operational characteristics. Employing lithium during aggregate synthesis results in a polydisperse collection of ZnO aggregates favorable for porosity and light scattering. The resulting nanocrystallites forming the aggregates have improved crystallinity and more favorable facets for dye molecule absorption. The lithium synthesis improves the surface stability of ZnO in acidic dyes. The procedures developed and disclosed herein also help ensure the formation of an aggregate film that has a high homogeneity of thickness, a high packing density, a high specific surface area, and good electrical contact between the film and the fluorine-doped tin oxide electrode and among the aggregate particles. | 07-07-2011 |
20110162709 | METHOD FOR THE TREATMENT OF SUBSTRATES, SUBSTRATE AND TREATMENT DEVICE FOR CARRYING OUT SAID METHOD - In a method for the treatment of substrates ( | 07-07-2011 |
20110162710 | SOLAR CELL AND SOLAR CELL MANUFACTURING METHOD - A solar cell includes: a photoelectric converter in which a first electrode layer, a photoelectric conversion layer, and a second electrode layer are stacked on a substrate in order; and a texture layer that is disposed between the substrate and the first electrode layer, made of a transparent material in a visible light region, and has a continuous irregular configuration on a face that is in touch with the first electrode layer. | 07-07-2011 |
20110168249 | WET-PROCESSIBLE METAL OXIDE SOLUTION, METHOD OF USING THE SAME, AND ORGANIC PHOTOVOLTAIC CELL OF USING THE SAME - A method of preparing a wet-processible metal oxide solution and a method of fabricating a film using the same are provided. A metal oxide that has been widely used as a photocatalyst is improved and a metal oxide solution having new functionality is formed. The metal oxide solution is transparent, wet-processible, and facilitates electron transfer. The metal oxide solution can be applied in various ways to an electronic device and is well-suited for application to an electronic device using organic materials. Also, a titanium oxide solution functions to remove and block oxygen and moisture. Thus, when it is applied to an electronic device using organic materials that are vulnerable to oxygen and moisture, the lifetime of the device can be increased. | 07-14-2011 |
20110168250 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - A solar cell including a photovoltaic layer, a first electrode layer, a second electrode layer, an insulating layer and a light-transparent conductive layer is provided. The photovoltaic layer has a first surface and a second surface. The first electrode layer having at least one gap is disposed on the first surface, wherein the at least one gap exposes a portion of the photovoltaic layer. The second electrode layer is disposed on the second surface. The insulating layer having a plurality of pores is located on the photovoltaic layer exposed by the at least one gap, wherein the holes expose a portion of the photovoltaic layer. The light-transparent conductive layer covers the insulating layer and is connected with the first electrode layer. The transparent electrode is connected with the photovoltaic layer through at least a part of the pores. A method of fabricating a solar cell is also provided. | 07-14-2011 |
20110168251 | SOLAR CELL MODULE - A solar cell module is disclosed. The solar cell module comprises a first protective layer, a solar cell layer, a sealing material layer and a second protective layer, wherein the sealing material layer is composed of polyurethane formed by reacting an acrylic resin with a curing agent such that the yield of the solar cell module can be improved. Depending upon its practical application, the solar cell module may use the sealing material layer in the absence of the Ethylene Vinyl Acetate (EVA) | 07-14-2011 |
20110168252 | Textured coating with etching-blocking layer for thin-film solar cells and/or methods of making the same - Certain example embodiments of this invention relate to a front electrode for solar cell devices (e.g., amorphous silicon or a-Si solar cell devices), and/or methods of making the same. Advantageously, certain example embodiments include a layer that acts as an etch-stop layer. In certain example embodiments, the blocking layer is provided between a transparent conductive oxide layer including AZO and a conductive layer. In certain example embodiments, a weak acid may be used to texture the layer including AZO. A semiconductor may be provided over the textured layer including AZO. The blocking layer provided between the layer of AZO and the IR reflecting layer may be more resistant to etching by weak acids than the layer based on AZO. Therefore, in certain example embodiments, the blocking layer may substantially reduce the risk of the semiconductor coming into contact with the conductive layer (which may be based on Ag). | 07-14-2011 |
20110168253 | ELECTRODE SUBSTRATE AND PHOTOELECTRIC TRANSFORMATION DEVICE - An electrode substrate of a photoelectric transformation device includes a transparent conductive substrate, a current-collecting electrode disposed on the transparent conductive substrate, and a coating film coating the surface of the current-collecting electrode. The coating film includes a combustion product of a glass paste composition applied on the current-collecting electrode. The glass paste composition includes a filler made of a material that does not melt at a temperature which is not higher than a glass transition temperature or a phase transition temperature of the transparent conductive substrate. | 07-14-2011 |
20110168254 | Electrode Plate And Dye-Sensitized Photovoltaic Cell Having The Same - An electrode plate for a dye-sensitized photovoltaic cell includes a transparent substrate and a transparent conductive film. The transparent conductive film includes a zinc oxide thin film layer formed over the transparent substrate, the zinc oxide thin film layer being doped with gallium, and a tin oxide thin film layer formed over the zinc oxide thin film layer, the tin oxide thin film layer being doped with a dopant. | 07-14-2011 |
20110168255 | ELECTRODE STRUCTURE OF SOLAR CELL - An electrode structure is disposed on a substrate of a solar cell. The electrode structure includes a plurality of bus electrodes and a plurality of finger electrodes. The bus electrodes are separately disposed on the substrate. The finger electrodes are disposed on two sides of the bus electrodes and electrically connect to the bus electrodes. The bus electrodes and the finger electrodes are formed by at least two screen printing processes, and at least one of the screen printing processes does not form the bus electrodes. Thus, the thicknesses of the finger electrodes are greater than those of the bus electrodes. | 07-14-2011 |
20110174368 | COMPOSITE ELECTROLYTE AND THE PREPARATION METHOD THEREOF, AND DYE-SENSITIZED SOLAR CELL USING THE SAME - A composite electrolyte, a preparation method thereof, and a dye-sensitized solar cell based on an electrolyte with hollow particles of metal oxide are disclosed. A dye-sensitized solar cell includes a photoelectrode substrate, a counter electrode substrate facing the photoelectrode substrate, a light absorbing layer formed on an inner surface of the photoelectrode substrate and having a dye adsorbed thereto, and a composite electrolyte, characterized in that an electrolyte is mixed with hollow particles composed of metal oxide particulates, filled between the light absorbing layer and the counter electrode substrate. | 07-21-2011 |
20110174369 | Efficiency in Antireflective Coating Layers for Solar Cells - A method for fabricating a cell structure includes doping a substrate to form a N-region and a P-region, disposing a first anti-reflective layer on the substrate, disposing a metallic contact paste on the first anti-reflective layer, drying the metallic contact paste to form contacts, disposing a second anti-reflective layer on the first anti-reflective layer and the metallic contacts, and heating the cell structure, wherein heating the cell structure results in metallic contact material penetrating the first anti-reflective layer and contacting the substrate. | 07-21-2011 |
20110174370 | THIN FILM SOLAR CELL AND MANUFACTURING METHOD THEREOF - A thin film solar cell includes a transparent substrate, a first transparent conductive layer, a photovoltaic layer, a second transparent conductive layer, a first adhesive layer and a reflective layer is provided. The first transparent conductive layer is disposed on a back surface of the transparent substrate. The photovoltaic layer is disposed on the first transparent conductive layer. The second transparent conductive layer is disposed on the photovoltaic layer. The first adhesive layer is disposed on the second transparent conductive layer. The reflective layer is disposed on the first adhesive layer. The surface of the first adhesive layer in contact with the reflective layer is a texture structure. The light beam passing the first adhesive layer is reflected by the texture structure or the reflective layer and transmitted back to the photovoltaic layer, and the wavelength range of the reflected light beam is substantially between 600 nm and 1,100 nm. | 07-21-2011 |
20110174371 | METHOD FOR LIMITING EPITAXIAL GROWTH IN A PHOTOELECTRIC DEVICE WITH HETEROJUNCTIONS AND PHOTOELECTRIC DEVICE - A method for limiting epitaxial growth in a photoelectric device with heterojunctions including a crystalline silicon substrate and at least one layer of amorphous or microcrystalline silicon, wherein the method is characterised in that it includes the step of texturing the crystalline silicon surface. | 07-21-2011 |
20110174372 | SOLAR CELL AND ELECTRODE STRUCTURE THEREOF - An electrode structure for a solar cell is disposed on a substrate of the solar cell and includes a plurality of bus electrodes and finger electrodes. The bus electrodes are formed by separately disposing a conductive material on the substrate. The finger electrodes are formed by separately disposing a conductive material on the substrate and at two sides of the bus electrodes. The bus electrodes and the finger electrodes are formed by two screen printing processes. The bottom portion of the finger electrodes are formed by a first screen printing process, and the top portion of the finger electrodes and the bus electrodes are formed by a second screen printing process. The electrode structure can enhance the conductivity of electrodes and increase the reliability and yield of the solar cell, thereby achieving the purposes of increasing the photo-electro transition efficiency of the solar cell and decreasing the manufacturing cost. | 07-21-2011 |
20110174373 | Photoelectric Conversion Cell and Photoelectric Conversion Module - A photoelectric conversion cell includes: first and second electrode layers spaced apart from each other; a first semiconductor layer of a first conductivity type provided on the first electrode layer; a second semiconductor layer of a second conductivity type provided on the first semiconductor layer, the second semiconductor layer forming a pn junction with the first semiconductor layer; a connecting portion for electrically connecting the second semiconductor layer and the second electrode layer; and a plurality of collector electrodes each with a linear portion and a projecting portion, the linear portion extending on the second semiconductor layer from a position above the connecting portion toward an end of the second semiconductor layer, the projecting portion overlapping at least partially the connecting portion in top perspective view, while projecting from at least one of opposite ends of the linear portion in its shorter side direction. In two adjacent ones of the plurality of collector electrodes, the projecting portions of the adjacent collector electrodes are spaced apart from each other. | 07-21-2011 |
20110180130 | Highly-conductive and textured front transparent electrode for a-si thin-film solar cells, and/or method of making the same - Certain example embodiments incorporate a “hybrid” design for the front electrode of solar cells, which advantageously combines naturally textured pyrolytic tin oxide and highly-conductive sputtered indium tin oxide (ITO). In certain example embodiments of this invention, a method of making a front electrode superstrate for a solar cell is provided. A glass substrate is provided. A layer of tin oxide is pyrolytically deposited on the glass substrate, with the layer of tin oxide being textured as a result of the pyrolytic deposition and with the layer of tin oxide being haze producing. A layer of indium tin oxide (ITO) is sputter-deposited on the layer of tin oxide, with the layer of ITO being generally conformal with respect to the layer of tin oxide. An amorphous silicon (a-Si) thin film layer stack is formed on the layer of ITO in making the front electrode superstrate. | 07-28-2011 |
20110180131 | METHOD FOR ATTACHING CONTACTS TO A SOLAR CELL WITHOUT CELL EFFICIENCY LOSS - A method of implanting a substrate and the resulting apparatus are disclosed. The substrate, which may be a solar cell, is implanted with a p-type dopant. The p-type dopant may be, for example, boron, aluminum, gallium, or indium. Contacts are formed over the p-type region that is formed by the implant. An aluminum layer is formed around these contacts such that a surface of the contacts is still exposed. The implant may be a blanket implant across the entire surface of the substrate or a selective implant into a portion of the substrate. The substrate may be either n-type or p-type. | 07-28-2011 |
20110180132 | TEXTURING AND DAMAGE ETCH OF SILICON SINGLE CRYSTAL (100) SUBSTRATES - Methods for texturing of single crystal silicon substrates, particularly for use as solar cells or photovoltaic cells. Texturizing of the wafer surface is carried out with a TMAH based solution. The texturizing solution may further include isopropyl alcohol and ethylene glycol at different dilutions in DI water to further improves results. | 07-28-2011 |
20110180133 | Enhanced Silicon-TCO Interface in Thin Film Silicon Solar Cells Using Nickel Nanowires - This invention provides an optically transparent electrically conductive layer with a desirable combination of low electrical sheet resistance and good optical transparency. The conductive layer comprises a multiplicity of magnetic nanostructures in a plane, aligned into a plurality of roughly parallel continuous conductive pathways, wherein the density of the magnetic nanostructures allows for substantial optical transparency of the conductive layer. The magnetic nanostructures may be nanoparticles, nanowires or compound nanowires. A method of forming the conductive layer on a substrate includes: depositing a multiplicity of magnetic nanostructures on the substrate and applying a magnetic field to form the nanostructures into a plurality of conductive pathways parallel to the surface of the substrate. The conductive layer may be used to provide an enhanced silicon to transparent conductive oxide (TCO) interface in thin film silicon solar cells. | 07-28-2011 |
20110180134 | Solar Cell and Method for Manufacturing the Same - A solar cell capable of improving cell efficiency, and a method for manufacturing the same is disclosed, the solar cell comprising a substrate; a first electrode on the substrate; a photoelectric conversion portion on the first electrode; a second electrode on the photoelectric conversion portion; and plural beads on the second electrode. | 07-28-2011 |
20110180135 | BUFFER LAYER MANUFACTURING METHOD AND PHOTOELECTRIC CONVERSION DEVICE - A method of manufacturing a buffer layer of a photoelectric conversion device having a stacked structure in which a lower electrode, a photoelectric conversion semiconductor layer that generates a current by absorbing light, the buffer layer, and a translucent conductive layer are stacked on a substrate, in which the buffer layer is formed by a CBD method, a pH variation of reaction solution for forming the buffer layer is controlled within 0.5 while deposition of the buffer layer by the CBD method is in progress, and the reaction solution includes a Cd or Zn metal and a sulfur source. | 07-28-2011 |
20110180136 | THIN FILM SOLAR CELL STRUCTURE AND METHOD OF PATTERNING ELECTRODE OF THE SAME - A thin film solar cell structure comprises a substrate, a front electrode layer, an absorber layer, and a back electrode layer stacked on one another sequentially. A first isolation groove goes through the back electrode layer and the absorber layer, and a second isolation groove is disposed concavely in the front electrode layer and filled with an insulative material. A conductive groove is disposed concavely in the absorber layer and filled with a conductive material. Therefore, the front electrode layer is electrically conducted to the back electrode layer via the conductive material. By means of a method of patterning the first isolation groove, second isolation groove and conductive groove, a succinct design of the thin film solar cell structure can be achieved. | 07-28-2011 |
20110180137 | PASTE COMPOSITION FOR ELECTRODE AND PHOTOVOLTAIC CELL - The paste composition for an electrode are constituted with metal particles having copper as a main component, a phosphorous-containing compound, glass particles, a solvent, and a resin. Further, the photovoltaic cell has an electrode formed by using the paste composition for an electrode. | 07-28-2011 |
20110180138 | PASTE COMPOSITION FOR ELECTRODE AND PHOTOVOLTAIC CELL - The paste composition for an electrode includes metal particles having copper as a main component, glass particles including diphosphorus pentoxide and divanadium pentoxide and having a content of divanadium pentoxide of 1% by mass or more, a solvent, and a resin. Further, the photovoltaic cell has an electrode formed by using the paste composition for an electrode. | 07-28-2011 |
20110180139 | PASTE COMPOSITION FOR ELECTRODE AND PHOTOVOLTAIC CELL - The paste composition for an electrode of the first aspect of the present invention includes silver alloy particles, glass particles, a resin, and a solvent. The paste composition for an electrode of the second aspect of the present invention includes copper particles, silver or silver alloy particles, glass particles containing P | 07-28-2011 |
20110180140 | SUPRAMOLECULAR STRUCTURES COMPRISING AT LEAST PARTIALLY CONJUGATED POLYMERS ATTACHED TO CARBON NANOTUBES OR GRAPHENES - A composition of matter includes at least one carbon nanotube (CNT) or a graphene type structure having an outer surface, and a plurality of crystalline polymer supramolecular structures that include a conjugated polymer that are non-covalently secured to the outer surface of the CNTs or the graphene type structure. The conjugated polymer can be a conjugated homopolymer or a block copolymer including at least one conjugated block. The supramolecular structures extend outward from the outer surface of the CNTs or graphene type structures. | 07-28-2011 |
20110180141 | DYE-SENSITIZED SOLAR CELL - [Problem] To provide a dye-sensitized solar cell having excellent photoelectric conversion efficiency and capable of saving the amount of a catalyst used, by using an inexpensive metal material showing excellent corrosion resistance in an electrolyte of a dye-sensitized solar cell for a counter electrode. | 07-28-2011 |
20110186117 | THIN FILM SOLAR CELL WITH CERAMIC HANDLING LAYER - A method for fabricating a photovoltaic (PV) cell panel wherein all PV cells are formed simultaneously on a two-dimensional array of monocrystalline silicon mother wafers affixed to a susceptor is disclosed. Porous silicon separation layers are anodized in the surfaces of the mother wafers. The porous film is then smoothed to form a suitable surface for epitaxial film growth. An epitaxial reactor is used to grow n- and p-type films forming the PV cell structures. A glass/ceramic handling layer is then formed on the PV cell structures. The PV cell structures with handling layers are then exfoliated from the mother wafer. The array of mother wafers may be reused multiple times, thereby reducing materials costs for the completed solar panels. The glass/ceramic handling layers provide structural integrity to the thin epitaxial solar cells during the separation process and subsequent handling. | 08-04-2011 |
20110186118 | METHOD OF DOPING IMPURITIES, METHOD OF MANUFACTURING A SOLAR CELL USING THE METHOD AND SOLAR CELL MANUFACTURED BY USING THE METHOD - There are provided a method of doping impurities, a method of manufacturing a solar cell, and a solar cell. In the doping method, a diffusion protective pattern having at least one opening is formed on a substrate that contains a first area and a second area. A first dopant is doped in the first area by using a first mask to form a first doped pattern. A second dopant is doped in the second area by using a second mask to form a second doped pattern. The first dopant and the second dopant may be doped in neighboring first and second areas, respectively, without creating a short circuit by using the first mask, the second mask, and the diffusion protective pattern. | 08-04-2011 |
20110186119 | Light-trapping plasmonic back reflector design for solar cells - A solar cell includes a nano-scale patterned back contact layer; a spacer layer on the nano-scale patterned back contact layer; a semiconductor layer on the spacer layer; and a light transmissive first electrode on the semiconductor layer. | 08-04-2011 |
20110186120 | Textured coating with various feature sizes made by using multiple-agent etchant for thin-film solar cells and/or methods of making the same - Certain example embodiments of this invention relate to solar cell devices, and/or methods of making the same. More particularly, certain example embodiments relate to a front transparent conductive electrode for solar cell devices (e.g., micro-morph silicon thin-film solar cells), and/or methods of making the same. The electrode of certain example embodiments may include a textured transparent conductive oxide (TCO) layer. The textured layer and/or coating may include at least two feature sizes, wherein at least one type of feature is comparable in size to the wavelength of solar light absorbed by the amorphous portion of the micro-morph silicon solar cell, and the other feature size being comparable to that of micro-crystalline portion. Double-agent etchants may be used to produce such different features sizes. Using a textured TCO-based layer having different feature sizes may improve the efficiency of the solar cell. | 08-04-2011 |
20110186121 | METAL-CONTAINING COMPOSITION, METHOD FOR PRODUCING ELECTRICAL CONTACT STRUCTURES ON ELECTRICAL COMPONENTS AND ALSO ELECTRICAL COMPONENT - The present invention relates to a metal-containing composition, a method for producing electrical contact structures on electronic components and also an electronic component provided with such a contacting. | 08-04-2011 |
20110186122 | SOLAR CELL - A solar cell is discussed. The solar cell includes a substrate, a photoelectric transformation unit including at least one semiconductor layer, a transparent electrode positioned between the substrate and the photoelectric transformation unit, and a buffer layer positioned between the transparent electrode and the substrate. The photoelectric transformation unit includes at least one p-type semiconductor layer, at least one n-type semiconductor layer, and at least one i-type semiconductor layer. | 08-04-2011 |
20110186123 | SUBSTRATE WITH INSULATION LAYER AND THIN-FILM SOLAR CELL - A substrate with an insulation layer has at least one metal base and an insulation layer. The insulation layer is laminated on a surface of the metal base. A linear thermal expansion coefficient of a material that constitutes the insulation layer is 8 ppm/K or less, and a linear thermal expansion coefficient of a material that constitutes the metal base is 17 ppm/K or more. The linear thermal expansion coefficient on the front surface of the insulation layer on a side opposite to the metal base is 6-15 ppm/K. | 08-04-2011 |
20110186124 | ELECTRICALLY CONDUCTIVE ZINC OXIDE LAYERED FILM AND PHOTOELECTRIC CONVERSION DEVICE COMPRISING THE SAME - An electrically conductive zinc oxide layered film having been formed on a substrate, at least a surface of the substrate being electrically non-conductive, comprises: (i) an electrically conductive zinc oxide fine particle layer, which is formed on the electrically non-conductive surface of the substrate, and which comprises at least one kind of a plurality of fine particles containing electrically conductive zinc oxide as a principal ingredient, and (ii) an electrically conductive zinc oxide thin film layer, which is formed on the electrically conductive zinc oxide fine particle layer. | 08-04-2011 |
20110186125 | PROCESS FOR PRODUCING ELECTRICALLY CONDUCTIVE ZINC OXIDE LAYERED FILMS AND PROCESS FOR PRODUCING PHOTOELECTRIC CONVERSION DEVICES - For production of an electrically conductive zinc oxide layered film, a substrate, at least a surface of the substrate being electrically non-conductive, is prepared. An underlayer is formed with a coating technique on the electrically non-conductive surface of the substrate, the underlayer comprising at least one kind of a plurality of fine particles containing electrically conductive zinc oxide as a principal ingredient. An electrically conductive zinc oxide thin film layer is formed with a chemical bath deposition technique on the underlayer. | 08-04-2011 |
20110186126 | REVERSED DYE-SENSITIZED PHOTOVOLTAIC CELL - The invention provides a solar cell or photovoltaic element | 08-04-2011 |
20110192453 | MOISTURE RESISTANT PHOTOVOLTAIC DEVICES WITH IMPROVED ADHESION OF BARRIER FILM - The present invention provides strategies for improving the adhesion between a barrier region, a transparent conductive region, and/or an electrically conductive grid through the use of an adhesion promoting region. The adhesion promoting region is optically transmissive and comprises a metal layer, a metal nitride layer, a metal carbide layer, or a combination thereof and preferably comprises at least one of Cr, Ti, Ta, and Zr or a combination thereof. These strategies are particularly useful in the fabrication of heterojunction photovoltaic devices such as chalcogenide-based solar cells. Adhesion is improved to such a degree that grid materials and dielectric barrier materials can cooperate to provide a hermetic seal over devices to protect against damage induced by environmental conditions, including damage due to water intrusion. The adhesion promoting region also serves as a barrier to the migration of Na, Li, and the lanthanoid series of elements. | 08-11-2011 |
20110192454 | PHOTOVOLTAIC DEVICE WITH TRANSPARENT, CONDUCTIVE BARRIER LAYER - The present invention provides strategies for providing photovoltaic devices that are more resistant to moisture and/or oxygen degradation and the accompanying migration of key elements such as Na, Li, and the lanthanoid series of elements from the absorber layer and that have enhanced service life and improved performance. These strategies are particularly useful in the fabrication of chalcogenide-based photovoltaic devices such as chalcogenide-based solar cells. These strategies incorporate a barrier region between the photovoltaic absorber region and the front side collection grid. The barrier region keeps moisture and/or oxygen from the absorber layer and contains key elements such as Na, Li, and Ln in the absorber layer. As a result, the absorber layer retains its performance capabilities for an extended period of time. | 08-11-2011 |
20110192455 | BIS-(8-QUINOLINOLATO-N,O)PLATINUM(II) BASED ORGANIC PHOTOVOLTAIC CELL - Organic photovoltaic (OPV) cells and methods of forming the same are provided. An OPV cell can include an organic photoactive layer comprising bis-(8-quinolinolato-N,O)platinum (II) (PtQ | 08-11-2011 |
20110192456 | PROCESS FOR THE PRODUCTION OF A MWT SILICON SOLAR CELL - A process for the production of a MWT silicon solar cell comprising the steps: | 08-11-2011 |
20110192457 | Electro-Conductive Paste for Forming an Electrode of a Solar Cell Device, a Solar Cell Device and Method for Producing the Solar Cell Device - In order to provide an electro-conductive paste bringing no increase of the contact resistance for forming an electrode of a solar cell device, the electro-conductive paste is characterized by containing an electro-conductive particle, an organic binder, a solvent, a glass frit, and an organic compound including alkaline earth metal, a metal with a low melting point or a compound affiliated with a metal with a low melting point. | 08-11-2011 |
20110192458 | PHOTOELECTRIC CONVERSION DEVICE - The present invention provides a photoelectric conversion device in which changes in photoelectric conversion efficiency with time can be inhibited. The photoelectric conversion device according to the present invention includes: a pair of electrodes; an electrolytic solution disposed between the pair of electrodes; and a sealing portion that links the pair of electrodes and is provided around the electrolytic solution. At least part of the sealing portion includes at least one inorganic sealing portion constituted by an inorganic material and at least one resin sealing portion constituted by a material including a resin. The inorganic sealing portion and the resin sealing portion are disposed along a direction connecting the pair of electrodes. | 08-11-2011 |
20110192459 | MULTILAYERED WEATHERABLE FILM FOR SOLAR CELL - Disclosed is a multilayered weatherable film for a solar cell, which has superior elongation change rate, strength change rate and haze and thus is suitable for use in a solar cell, and includes a hard layer having a polyester or copolyester polymer resin and a soft layer having polybutylene terephthalate containing polytetramethylene ether glycol, which are regularly or irregularly laminated in a multilayer form. | 08-11-2011 |
20110197958 | AMORPHOUS TIN-CADMIUM OXIDE FILMS AND THE PRODUCTION THEREOF - A tin-cadmium oxide film having an amorphous structure and a ratio of tin atoms to cadmium atoms of between 1:1 and 3:1. The tin-cadmium oxide film may have an optical band gap of between 2.7 eV and 3.35 eV. The film may also have a charge carrier concentration of between 1×10 | 08-18-2011 |
20110197959 | Photovoltaic Cell with Surface Plasmon Resonance Generating Nano-Structures - A photovoltaic cell ( | 08-18-2011 |
20110197960 | METHOD FOR APPLYING FULL BACK SURFACE FIELD AND SILVER BUSBAR TO SOLAR CELL - A method is provided for applying back contact silver busbars to an aluminum back surface field (BSF) of a solar cell. The method involves providing a solar cell substrate having a front side and a back side; printing a full aluminum backing layer on the back side of the solar cell substrate; drying the printed aluminum backing layer to yield a full aluminum layer; printing and drying a peeling paste on the full aluminum layer in areas where the silver busbars are desired; printing and drying a front contact silver paste on the front side of the solar cell substrate to produce a front grid electrode; co-firing and cooling the front and back sides of the solar cell, wherein during firing the peeling paste wets excess aluminum powder in the aluminum layer, such that during cooling of the solar cell the peeling paste contracts, solidifies, and peels off with the excess aluminum powder to leave a full aluminum BSF having open areas; and printing, drying, and firing a back contact silver paste on the open areas of the BSF to yield silver busbars. | 08-18-2011 |
20110197961 | CONDUCTIVE ALUMINUM PASTE AND THE FABRICATION METHOD THEREOF, THE SOLAR CELL AND THE MODULE THEREOF - This present disclosure relates to conductive aluminum paste for fabricating a silicon solar cell. Herein, the conductive aluminum paste is composed of organic carrier, aluminum powder, nano-scale metal particle, and glass frit, wherein the nano-scale metal particle has a particle size distribution D50 in the range from 10 nanometers to 1000 nanometers and the weight percentage of the nano-scale metal particle associated with the conductive aluminum paste is around 0.1 through 10 wt %. Furthermore, the characteristics of the conductive aluminum paste are for reducing the sheet resistance value of the electrode, increasing the adhesion in the silicon solar cell package module, and enhancing the electro-optical conversion efficiency of the silicon solar cell. | 08-18-2011 |
20110197962 | BARRIER LAMINATE AND PROTECTION SHEET FOR SOLAR CELL - Provided is a barrier laminate which comprises a polyester substrate film, an organic layer directly on the surface of the polyester substrate film and an inorganic layer directly on the surface of the organic layer, wherein the organic layer comprises polymer material having a glass-transition temperature of 40° C. or more as the main component. | 08-18-2011 |
20110197963 | PASTE FOR BACK CONTACT-TYPE SOLAR CELL - Disclosed is a back contact-type solar cell wherein both of n | 08-18-2011 |
20110197964 | SOLAR CELL - A solar cell is discussed. The solar cell includes a substrate of a first conductive type; a first emitter region of a second conductive type opposite the first conductive type and forming a p-n junction with the substrate; a front electrode unit on a first surface of the substrate, and connected to the first emitter region; a back surface field region of the first conductive type formed at a second surface of the substrate opposite the first surface, and having a lattice shape with a plurality of internal portions; a rear passivation layer unit formed on the second surface, and a rear electrode electrically connected to the substrate. | 08-18-2011 |
20110197965 | SOLAR CELLS AND METHOD OF MANUFACTURING THEREOF - A photovoltaic cell, the cell comprising:
| 08-18-2011 |
20110197966 | ORGANIC PHOTOELECTRIC CONVERSION ELEMENT AND ORGANIC PHOTOELECTRIC CONVERSION ELEMENT MANUFACTURING METHOD - Provided is an organic photoelectric conversion element having a high photoelectric conversion ratio. Provided is also a method for manufacturing an organic photoelectric conversion element which can significantly reduce the manufacturing cost by forming a transparent electrode and an organic generation layer portion by coating a material. The organic photoelectric conversion element includes on a transparent substrate, a first electrode unit having a transparent conductive layer, an organic generation unit, and a second electrode unit which are successively arranged in this order when viewed from the transparent substrate. The transparent conductive layer constituting the first electrode unit contains conductive fiber and transparent conductive material. | 08-18-2011 |
20110197967 | PHOTOVOLTAIC ELEMENT AND METHOD FOR MANUFACTURING SAME - On a p-type conductive light absorption layer provided by a chalcopyrite structure compound that is layered bridging a pair of backside electrode layers provided on a side of a glass substrate, a light-transmissive n-type buffer layer that forms a p-n junction with the light absorption layer is layered. A light-transmissive transparent electrode layer is layered on the buffer layer to extend from a side of the light absorption layer and the buffer layer to one of the pair of backside electrode layers. The transparent electrode layer is formed in an amorphous film containing indium oxide and zinc oxide as primary components, the transparent electrode layer exhibiting a film stress of ±1×10 | 08-18-2011 |
20110203653 | PHOTOVOLTAIC BUSS BAR SYSTEM - Disclosed is a warm window system and photovoltaic system that utilizes individual buss bars. The buss bars of the warm window system are placed within the space between an inside window pane and an outside window pane and creates sufficient physical force to create an electrical contact on the tin oxide layer on the inside surface of the inside pane of glass. The buss bars have a modulus of elasticity to ensure sufficient electrical contact with the tin oxide layer and the photovoltaic layer to prevent the formation of hot spots and securely hold the buss bars in place. Both a z buss bar and c buss bar are also disclosed that are capable of generating a sufficient amount of reactive force to create a secure electrical contact to minimize hotspots and to hold the buss bar in place. The buss bars provide a large contact surface area to provide sufficient electrical contact with the photovoltaic layer to prevent hot spots. | 08-25-2011 |
20110203654 | ORGANIC THIN-FILM SOLAR CELL AND METHOD FOR MANUFACTURE THEREOF - A main object of the present invention is to provide an organic thin-film solar cell in which a short circuit hardly occurs between the electrodes and which has high photoelectric conversion efficiency even when formed to have a large area. To achieve the object, provided is an organic thin-film solar cell comprising: a transparent substrate, a mesh electrode and a transparent electrode laminated in any order on the transparent substrate, a photoelectric conversion layer formed on the mesh electrode and the transparent electrode, and a counter electrode formed on the photoelectric conversion layer, characterized in that the mesh electrode has such a thickness that no short circuit occurs between the counter electrode and the mesh electrode and the transparent electrode. | 08-25-2011 |
20110203655 | PHOTOVOLTAIC DEVICE PROTECTION LAYER - A photovoltaic structure can include a protective cap, which can include sodium. | 08-25-2011 |
20110203656 | Nanoscale High-Aspect-Ratio Metallic Structure and Method of Manufacturing Same - Nanoscale high-aspect-ratio metallic structures and methods are presented. Such structures may form transparent electrode to enhance the performance of solar cells and light-emitting diodes. These structures can be used as infrared control filters because they reflect high amounts of infrared radiation. A grating structure of polymeric bars affixed to a transparent substrate is used. The sides of the bars are coated with metal forming nanowires. Electrodes may be configured to couple to a subset of the rails forming interdigitated electrodes. Encapsulation is used to improve transparency and transparency at high angles. The structure may be inverted to facilitate fabrication of a solar cell or other device on the back-side of the structure. Multiple layered electrodes having an active layer sandwiched between two conductive layers may be used. Layered electro-active layers may be used to form a smart window where the structure is encapsulated between glass to modify the incoming light. | 08-25-2011 |
20110203657 | PHOTOVOLTAIC CELL SUBSTRATE AND PHOTOVOLTAIC CELL INCLUDING THE SAME - A photovoltaic cell substrate and a photovoltaic cell including the same. The photovoltaic cell substrate includes a transparent substrate and a transparent conductive film formed over the transparent substrate. The transparent conductive film includes a zinc oxide thin film layer doped with a dopant, and both a (0002) growth plane and a (10 | 08-25-2011 |
20110203658 | PHOTOVOLTAIC CELL SUBSTRATE AND PHOTOVOLTAIC CELL INCLUDING THE SAME - A photovoltaic cell substrate includes a transparent substrate and a zinc oxide thin film layer doped with a dopant. The zinc oxide thin film layer is formed over the transparent substrate. The zinc oxide thin film layer has a (0002) crystal plane and a (10 | 08-25-2011 |
20110203659 | CONDUCTIVE COMPOSITIONS AND PROCESSES FOR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention is directed to a thick film conductive composition comprising: (a) electrically conductive silver powder; (b) zinc-containing additive; (c) glass frit wherein said glass frit is lead-free; dispersed in (d) organic medium. The present invention is further directed to an electrode formed from the composition above wherein said composition has been fired to remove the organic vehicle and sinter said glass particles. Still further, the invention is directed to a method of manufacturing a semiconductor device from a structural element composed of a semiconductor having a p-n junction and an insulating film formed on a main surface of the semiconductor comprising the steps of (a) applying onto said insulating film the thick film composition detailed above; and (b) firing said semiconductor, insulating film and thick film composition to form an electrode. Additionally, the present invention is directed to a semiconductor device formed by the method detailed above and a semiconductor device formed from the thick film conductive composition detailed above. | 08-25-2011 |
20110209751 | PASTE COMPOSITION FOR ELECTRODE AND PHOTOVOLTAIC CELL - The paste composition for an electrode according to the present invention includes metal particles containing copper as a main component, a flux, glass particles, a solvent, and a resin. Further, a photovoltaic cell according to the present invention has an electrode formed by using the paste composition for an electrode. | 09-01-2011 |
20110209752 | MICROSTRUCTURED GLASS SUBSTRATES - Light scattering inorganic substrates comprising monolayers and methods for making light scattering inorganic substrates comprising monolayers useful for, for example, photovoltaic cells are described herein. One embodiment is a method for making a light scattering inorganic substrate. The method comprises providing an inorganic substrate comprising at least one surface, forming a monolayer of inorganic particles on the at least one surface to form a coated substrate, heating the coated substrate above the softening point of the inorganic substrate, and pressing the inorganic particles into the at least one surface form the light scattering inorganic substrate. | 09-01-2011 |
20110209753 | LIGHT SCATTERING INORGANIC SUBSTRATES USING MONOLAYERS - Light scattering inorganic substrates comprising monolayers and methods for making light scattering inorganic substrates comprising monolayers useful for, for example, photovoltaic cells are described herein. The method comprises providing an inorganic substrate comprising at least one surface, applying an adhesive to the at least one surface of the inorganic substrate, applying inorganic particles to the adhesive to form a coated substrate, and heating the coated substrate to form the light scattering inorganic substrate. | 09-01-2011 |
20110209754 | SOLAR CELL STRUCTURE AND MANUFACTURING METHOD THEREOF - A solar cell structure including a photovoltaic layer, an upper electrode, a lower electrode, and a passivation layer is provided. The photovoltaic layer has an upper surface, a lower surface and a plurality of side surfaces, wherein the photovoltaic layer includes a first type and a second type semiconductor layer. The upper electrode is disposed at the upper surface of the photovoltaic layer and electrically connected with the second type semiconductor layer, wherein the second type semiconductor layer is between the upper electrode and the first type semiconductor layer. The bottom electrode is disposed at the bottom surface of the photovoltaic layer and electrically connected with the first type semiconductor layer, wherein the first type semiconductor layer is between the bottom electrode and the second type semiconductor. The passivation layer covers at least one of the side surfaces so as to reduce the leakage current formed on the side surfaces. | 09-01-2011 |
20110214728 | Electronic devices including transparent conductive coatings including carbon nanotubes and nanowire composites, and methods of making the same - Certain example embodiments of this invention relate to large-area transparent conductive coatings (TCCs) including carbon nanotubes (CNTs) and nanowire composites, and methods of making the same. The σ | 09-08-2011 |
20110214729 | System for Selectively Filling Pin Holes, Weak Shunts and/or Scribe Lines in Photovoltaic Devices and Photovoltaic Cells Made Thereby - A system for selectively filling pin holes, weak shunts and/or scribe lines in photovoltaics devices and photovoltaic cells made thereby is described. | 09-08-2011 |
20110214730 | DYE-SENSITIZED SOLAR CELL - A dye-sensitized solar cell includes a first electrode, a second electrode, an electron-collector/dye layer, and an electron donor. The second electrode faces the first electrode. The electron-collector/dye layer provided on the first electrode includes an electron collector and dye. The electron collector includes first electron collector grains and second electron collector grains. The first electron collector grains have a diameter or diameters within a first diameter range and the second electron collector grains have a diameter or diameters within a second diameter range. A minimum value of the second diameter range is greater than a maximum value of the first diameter range. The electron donor is provided between the electron-collector/dye layer and the second electrode. | 09-08-2011 |
20110214731 | Solar Cell and Method for Manufacturing the Same - Disclosed is a solar cell and a method for manufacturing the same, which facilitates to prevent residual matters from remaining between first and second electrodes, to minimize a substrate-sagging problem even though plural layers are deposited on a substrate under high-temperature conditions, and to minimize the number of times of laser-scribing process. The solar cell comprises a substrate including a through-hole; a first electrode on one surface of the substrate, wherein one end of the first electrode is extended to an inner surface of the through-hole; a semiconductor layer on the first electrode; a second electrode on the semiconductor layer, wherein one end of the second electrode is extended to the inner surface of the through-hole; and a connecting portion for electrically connecting the one end of the first electrode with the one end of the second electrode. | 09-08-2011 |
20110214732 | MULTI-STAGE FORMATION OF THIN-FILMS FOR PHOTOVOLTAIC DEVICES - A method is provided for producing a film of compound material. The method includes providing a substrate and depositing a film on the substrate. The deposited film has a first chemical composition that includes at least one first chemical element and at least one second chemical element. At least one residual chemical reaction is induced in the deposited film using a source containing at least one second chemical element to thereby increase the content of at least one second chemical element in the deposited film so that the deposited film has a second chemical composition. The content of at least one second element in the second chemical composition is larger than the content of at least one second element in the first chemical composition. | 09-08-2011 |
20110214733 | Front electrode for use in photovoltaic device and method of making same - This invention relates to a front electrode/contact for use in an electronic device such as a photovoltaic device. In certain example embodiments, the front electrode of a photovoltaic device or the like includes a multilayer coating including at least one transparent conductive oxide (TCO) layer (e.g., of or including a material such as tin oxide, ITO, zinc oxide, or the like) and/or at least one conductive substantially metallic IR reflecting layer (e.g., based on silver, gold, or the like). In certain example instances, the multilayer front electrode coating may include one or more conductive metal(s) oxide layer(s) and one or more conductive substantially metallic IR reflecting layer(s) in order to provide for reduced visible light reflection, increased conductivity, cheaper manufacturability, and/or increased infrared (IR) reflection capability. In certain example embodiments, the front electrode acts as not only a transparent conductive front contact/electrode but also a short pass filter that allows an increased amount of photons having high energy (such as in visible and near infra-red regions of the spectrum) into the active region or absorber of the photovoltaic device. | 09-08-2011 |
20110214734 | METHOD FOR MANUFACTURING ELECTRODE FOR SOLAR CELL, SUBSTRATE FOR SOLAR CELL MANUFACTURED BY THE SAME, AND SOLAR CELL MANUFACTURED BY THE SAME - The present invention provides a method for manufacturing an electrode for a solar cell, a substrate for the solar cell manufactured by the same, and a solar cell manufactured by the same. The present invention forms an electrode of a specific pattern through an offset printing system, and plates the electrode through a plating process to fill the metal-free region of a bus bar electrode with a plating metal and thus reduce the sheet resistance of the bus bar. The present invention obtains a finger electrode with a line with 100 microns or less through the combination of an offset printing process and a wet metal plating process. Further, the present invention obtains an electrode with an aspect ratio of 0.2 to 0.6 to reduce light shield effects and improve efficiency of the solar cell. The present invention eliminates the necessity of multilayer offset printing, and thus to reduce use of expensive conductive paste with might otherwise increase in proportion to the number of times printing is performed. | 09-08-2011 |
20110214735 | CONDUCTIVE LAMINATED ASSEMBLY - Provided are conductive laminated assemblies and conductive assembly tapes that are used thereon. The conductive laminated assemblies include a conductive foil, a pressure sensitive adhesive, a conductive element as a part of the foil or in the pressure sensitive adhesive and a conductive substrate. The conductive substrate can be a photovoltaic or solar cell. | 09-08-2011 |
20110220194 | LIGHT CONVERSION EFFICIENCY-ENHANCED SOLAR CELL FABRICATED WITH DOWNSHIFTING NANOMATERIAL - The light conversion efficiency of a solar cell ( | 09-15-2011 |
20110220195 | LIGHT REUSE SHEET AND SOLAR BATTERY MODULE - A light reuse sheet includes: a reflection forming layer having an uneven portion including a first inclined portion and a second inclined portion; and a reflecting surface provided at a surface of the uneven portion. The light reuse sheet generates second light by reflecting first light toward a front plate, the first light being transmitted through a filling layer without being received by a light receiving face of a solar battery cell, the light reuse sheet generates third light by reflecting the second light at an interface between a light incident surface and outside of the front plate, and the light reuse sheet causes the third light to be incident to the light receiving face of the solar battery cell. | 09-15-2011 |
20110220196 | LEAD WIRE FOR SOLAR CELL, MANUFACTURING METHOD AND STORAGE METHOD THEREOF, AND SOLAR CELL - Disclosed is a lead wire for a solar cell having excellent bondability with a solar cell. The solar cell lead wire ( | 09-15-2011 |
20110220197 | PHOTOVOLTAIC DEVICE INCLUDING FLEXIBLE SUBSTRATE AND METHOD FOR MANUFACTURING THE SAME - Disclosed is a photovoltaic device. The photovoltaic device includes: a flexible substrate; a first electrode and a second electrode located over the flexible substrate; and at least one unit cell located between the first electrode and the second electrode, wherein the first electrode includes a transparent conductive oxide layer, wherein a texturing structure is formed on the transparent conductive oxide layer, and wherein a ratio of a root mean square (rms) roughness to an average pitch of the texturing structure is equal to or more than 0.05 and equal to or less than 0.13. | 09-15-2011 |
20110220198 | Method and Device Utilizing Strained AZO Layer and Interfacial Fermi Level Pinning in Bifacial Thin Film PV Cells - A method for forming a bifacial thin film photovoltaic cell includes providing a glass substrate having a surface region covered by an intermediate layer and forming a thin film photovoltaic cell on the surface region. Additionally, the thin film photovoltaic cell includes an anode overlying the intermediate layer, an absorber over the anode, and a window layer and cathode over the absorber mediated by a buffer layer. The anode comprises an aluminum doped zinc oxide (AZO) layer forming a first interface with the intermediate layer and a second interface with the absorber. The AZO layer is configured to induce Fermi level pinning at the first interface and a strain field from the first interface to the second interface. | 09-15-2011 |
20110220199 | Inkjet Ink - An inkjet ink comprises phosphoric acid; one or more solvents for the phosphoric acid, preferably ethyl lactate and water; and one or more aprotic organic sulfoxides, preferably dimethyl sulfoxide (DMSO) or dimethyl sulfone (SMSO | 09-15-2011 |
20110226320 | SOLAR CELL HAVING A TRANSPARENT CONDUCTIVE OXIDE CONTACT LAYER WITH AN OXYGEN GRADIENT - A solar cell includes a first electrode located over a substrate, at least one first conductivity type semiconductor layer located over the first electrode, at least one second conductivity type semiconductor layer located over the first conductivity semiconductor layer, and a transparent conductive oxide contact layer located over the second conductivity semiconductor layer. The first surface of the transparent conductive oxide contact layer may be located closer to the second conductivity type semiconductor layer than the second surface of the transparent conductive oxide contact layer, and the transparent conductive oxide contact layer may have an oxygen concentration that decreases continuously or in at least two discrete steps as a function of thickness for at least a first portion of the contact layer thickness in a direction from the first surface to the second surface. | 09-22-2011 |
20110226321 | TITANIUM DIOXIDE NANO PARTICLE MODIFIED BY SURFACE STABILIZER, TITANIUM DIOXIDE NANO INK COMPRISING THE SAME, SOLAR CELL EMPLOYING THE SAME, AND PRODUCING METHOD OF THE SAME - Disclosed are a titanium dioxide nano ink having such a strong dispersibility as to be applicable by inkjet printing and having adequate viscosity without requiring printing several times, and a titanium dioxide nano particle modified by a surface stabilizer included therein. Inkjet printing of the titanium dioxide nano ink enables printing of a minute electrode. In addition, efficiency of a solar cell may be maximized since occurrence of pattern cracking is minimized. | 09-22-2011 |
20110226322 | SOLAR BATTERY UNIT - A solar battery unit is proposed, including: a first electrode; a nano rough layer formed on the first electrode; a semiconductor active layer formed on the nano rough layer; and a second electrode formed on the semiconductor active layer, thereby enabling the nano rough layer formed on the first electrode to fully absorb solar energy not completely absorbed by the semiconductor active layer so as to allow solar energy to be fed back to the semiconductor active layer with a view to maximizing absorption of solar energy. | 09-22-2011 |
20110226323 | USE OF THERMALLY STABLE, FLEXIBLE INORGANIC SUBSTRATE FOR PHOTOVOLTAICS - This invention relates to the use of Li-vermiculite films as flexible inorganic substrates that are light-weight, electrically insulating and thermally stable at 450-700° C. These films are coated with molybdenum and used in the fabrication of thin-film photovoltaic cells. This invention also relates to photovoltaic cells incorporating such flexible inorganic substrates. | 09-22-2011 |
20110226324 | System for the Production of Single Crystal Semiconductors and Solar Panels Using the Single Crystal Semiconductors - A process and the required technical arrangement has been developed to produce single crystal solar panels or otherwise used semiconductors, which starts with the raw material to produce single crystal copper ribbons, extruded directly from the melt, with unharmed and optical surfaces onto which in the next unit a silicon or germanium film will be deposited. In the next unit the copper ribbon will be removed from the silicon film, whilst a hard plastic support or ceramic support is mounted, leaving copper contours on the silicon film to be used as electrical conductors or contacts. In the next unit a thin film is deposited of II-VI-compounds that enhance the infrared sensitivity of the base film of silicon or germanium up to 56% of the incoming light. This technology guarantees the lowest possible cost in production of the highest possible efficiency of materials for infrared applications and also for electronic applications. | 09-22-2011 |
20110226325 | PHOTOELECTRIC CONVERSION DEVICE - Photoelectric conversion elements suitable for various applications and related components, and methods associated therewith, are described. A photoelectric conversion element may include a catalyst layer having at least two portions that are spaced from one another, and a current collector having a tip portion that extends toward or within the space between portions of the catalyst layer. A photoelectric conversion element may also include a semiconductor layer disposed a distance of between about 5 microns and about 20 microns away from the catalyst layer. | 09-22-2011 |
20110226326 | SILICON SUBSTRATE FOR SOLAR BATTERY, MANUFACTURING APPARATUS THEREOF, MANUFACTURING METHOD THEREOF, AND SOLAR BATTERY - The present invention relates to a silicon substrate | 09-22-2011 |
20110226327 | SOLAR CELL MODULE AND FABRICATING METHOD THEREOF - A solar cell module includes a substrate, a first electrode layer, an active layer, a second electrode layer and a plurality of reflective layers. The first electrode layer is disposed on the substrate. The active layer is disposed on the first electrode layer. The second electrode layer is disposed on the active layer. The reflective layers are coated respectively on the second electrode layer. | 09-22-2011 |
20110226328 | SOLAR CELL ELECTRODE - A p-type electrode on p | 09-22-2011 |
20110226329 | SOLAR CELL ELECTRODE - A p-type electrode on p | 09-22-2011 |
20110232735 | THREE-LAYER FILM FOR A PHOTOVOLTAIC CELL - The invention relates to a multilayer film of A/B/C structure comprising: a first layer of composition A, comprising a fluoropolymer; a second layer of composition B, comprising a filled fluoropolymer; and a third layer of composition C, comprising a fluoropolymer, characterized in that the first and third layers have a melting point above 150° C., measured by DSC, and in that the transmittance in visible light is less than 30% for a multilayer film thickness of 25 μm. The invention also relates to the use of a fluoropolymer-based film preferably for a photovoltaic cell back panel, a high-performance textile or a metal, the film adhering to the substrate by means of an adhesive layer placed between the substrate and the film. | 09-29-2011 |
20110232736 | PHOTOVOLTAIC CELL - A photovoltaic cell including: (a) a housing adapted to enclose the photovoltaic cell, and including an at least partially transparent cell wall; (b) an electrolyte, disposed within the cell wall, and containing a corrosive redox species; (c) an at least partially transparent conductive coating disposed on an interior surface of the cell wall, within the photovoltaic cell; (d) an anode disposed on the conductive coating, the anode including a porous film adapted to make intimate contact with the redox species, and a dye, absorbed on a surface of the porous film, the dye and the film adapted to convert photons to electrons; (e) a cathode, disposed within an interior surface of the housing and disposed substantially opposite the anode, including a conductive carbon layer, and a catalytic component, associated with the carbon layer and adapted to catalyze a redox reaction, the carbon layer adapted to transfer electrons from the catalytic component to a current collection component of the cathode, and (f) at least one metal strip or wire, electrically associated with the anode and with the conductive coating, the strip or wire having sufficient thickness to form a protrusion protruding above a plane of the porous film by at least 50 micrometers, wherein a distance between a surface of the catalytic component and a surface of the porous film is less than 20 micrometers. | 09-29-2011 |
20110232737 | MULTILAYER SOLAR ELEMENT - The invention relates to a multilayer solar element (S), which includes a first layer ( | 09-29-2011 |
20110232738 | Sealant, dye-sensitized solar cell including the same, and method of manufacturing the dye-sensitized solar cell - A sealant disposed between substrates for sealing, a dye-sensitized solar cell including the same, and a method of manufacturing the dye-sensitized solar cell, the sealant including hot melt adhesives for absorbing heat and adhering to the substrates; and heat generation particles absorbing energy and generating heat. | 09-29-2011 |
20110232739 | PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device is disclosed. One aspect includes a first substrate and a second substrate spaced apart from each other, an inner sealing portion formed between the first substrate and the second substrate, and an outer sealing portion formed between the first substrate and the second substrate and spaced apart from an outer edge of the inner sealing portion. Furthermore, a plurality of first electrodes may be formed on a surface of the first substrate that faces the second substrate and a current collecting electrode may be formed electrically connecting the first electrodes to each other. The inner sealing portion may be positioned to define a photoelectric conversion region. The current collecting electrode may be formed on the first substrate to extend from a contact with the plurality of first electrodes past an outer sealing region of the outer sealing portion. | 09-29-2011 |
20110232740 | SOLAR CELL - A solar cell includes a first conductivity-type semiconductor layer, a second conductivity-type semiconductor layer, a first electrode, and a second electrode. The first conductivity-type semiconductor layer has a front side intended to serve as a light-receiving surface. The second conductivity-type semiconductor layer is disposed on a back side of the first conductivity-type semiconductor layer, forming a p-n junction together with the first conductivity-type semiconductor layer. The first electrode passes through the second conductivity-type semiconductor layer toward the first conductivity-type semiconductor layer with a tip extending into and ending within the first conductivity-type semiconductor layer. The second electrode is disposed at a back side of the solar cell. | 09-29-2011 |
20110232741 | SILICON SOLAR CELL - The present invention discloses a silicon solar cell including a silicon crystal, an emitter, a conductive layer, and a first metal electrode. The silicon crystal has at least one through hole formed thereon. The emitter covers at least the silicon crystal and an inner surface of the through hole on the silicon crystal; the conductive layer covers at least a portion of the emitter that is located on the inner surface of the through hole; and the first metal electrode is located in the through hole on the silicon crystal and is electrically connected at least to the conductive layer. | 09-29-2011 |
20110232742 | Systems and Methods for Preparing Components of Photovoltaic Cells - A method of making a metal oxide based component for use in a solar cell, without sintering the metal oxide. The method includes flocculating a metal oxide solution that is used to produce the non-sintered metal oxide component. A composition for use in making a non-sintered solar cell component. The composition includes a metal oxide solution and a flocculant for flocculating particles of the metal oxide solution. A solar cell having an anode and interfacing the anode is a semiconductor that includes a metal oxide that is produced by a method that does not require sintering of the metal oxide. The method comprises flocculating a solution of the metal oxide. The solar cell further includes a cathode and an electrolyte in electrical communication with the semiconductor and the cathode. | 09-29-2011 |
20110232743 | DYE-SENSITIZED SOLAR CELL AND MANUFACTURING METHOD FOR THE SAME - To provide a dye-sensitized solar cell capable of significantly improving power extraction efficiency, and a manufacturing method of the dye-sensitized solar cell. The dye-sensitized solar cell includes a substrate, a porous semiconductor layer adsorbing a dye, a conductive metal layer, and a conductive substrate. The conductive metal layer | 09-29-2011 |
20110232744 | PHOTO ELECTRIC TRANSDUCER - A photoelectric transducer comprising in order: a substrate ( | 09-29-2011 |
20110232745 | ANTIREFLECTION COATING FOR MULTI-JUNCTION SOLAR CELLS - A photovoltaic solar cell having a multi-layer antireflective coating on an outer surface. The coating may include alternating layers of silicon dioxide and tantalum pentoxide and may have average front surface reflectance of less than five percent over the wavelength range from 300 nm to 1850 nm with the silicon dioxide having a refractive index less than 1.4 at a wavelength of 550 nm. | 09-29-2011 |
20110232746 | THICK-FILM PASTES CONTAINING LEAD-TELLURIUM-BORON-OXIDES, AND THEIR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention provides a thick-film paste for printing the front side of a solar cell device having one or more insulating layers. The thick-film paste comprises an electrically conductive metal and a lead-tellurium-boron-oxide dispersed in an organic medium. | 09-29-2011 |
20110232747 | THICK-FILM PASTES AND SOLAR CELLS MADE THEREFROM - This invention relates to thick-film pastes and processes for using such pastes to make solar cell contacts and other circuit devices. In particular, the thick-film pastes comprise a lead-tellurium-oxide frit component, an organic vehicle, and a conductive metal component comprising a silver component and a nickel component. | 09-29-2011 |
20110232748 | SOLAR CELL MODULE AND MANUFACTURING METHOD THEREOF - In a solar cell module and a manufacturing method thereof according to an embodiment of the present invention, a solar cell ( | 09-29-2011 |
20110232749 | LAYERED ELEMENT AND PHOTOVOLTAIC DEVICE COMPRISING SUCH AN ELEMENT - This layered element, in particular for a photovoltaic device, includes a polymer layer, a moisture-sensitive layer, and a protective coating forming a moisture barrier inserted between the polymer layer and the moisture-sensitive layer. The protective coating includes an antireflection multilayer comprising at least two thin layers differing in refractive index from each other. | 09-29-2011 |
20110232750 | SOLAR CELL MODULE AND MANUFACTURING METHOD THEREOF - A solar cell module includes a substrate having a thin-film layer patterned in a manner to form with a split window and a solar cell disposed on the substrate. The solar cell includes plurality of material layers and a plurality of split ways corresponding to the material layers. The scope of the split window is constituted by at least one of the split ways. | 09-29-2011 |
20110232751 | METHOD FOR MACHINING THE SURFACE OF A WAFER FOR PRODUCING A SOLAR CELL, AND WAFER - In a method for the treatment of the surface of a wafer for producing a solar cell, onto which wafer an antireflection and passivation layer has been applied onto a p-doped layer in a step preceding the method, the surface is treated in a processing step and then a subsequent metallization on the surface of the wafer for producing contacts for the solar cell takes place. This processing step is for passivation or for removal of the p-doped layer in the region of disturbances such as scratches, defect sites, pinholes and inhomogeneous regions in the antireflection and passivation layer. It is thus possible to avoid metal depositions at these disturbances. | 09-29-2011 |
20110240110 | RUTHENIUM COMPLEX AND DYE-SENSITIZED FUEL CELL USING THE SAME - A ruthenium complex having at least one selected from an alkyl thiophene unit and a unit in which thiophenes are linked to aromatic rings in the form of a pentagonal ring and a dye-sensitized solar cell using the same. | 10-06-2011 |
20110240111 | CARBON NANOTUBE ASSEMBLY, SOLAR CELL, WAVEGUIDE AND SUBSTRATE WITH THE SAME CARBON NANOTUBE ASSEMBLY - According to one embodiment, a carbon nanotube assembly includes a plurality of carbon nanotubes having a length of 10 μm or less in a major axis direction assembled with a space filling rate of 30% or more. | 10-06-2011 |
20110240112 | FLEXIBLE DYE-SENSITIZED SOLAR CELL AND PREPARATION METHOD THEREOF - Provided are a flexible dye-sensitized solar cell and a method for producing the same. More particularly, provided is a method for producing a flexible dye-sensitized solar cell, including: (Step 1) disposing a flexible polymer substrate having a transparent conductive oxide layer deposited thereon in a chamber; (Step 2) spraying oxide semiconductor powder with a size of 1 nm-10 μm carried by a gas onto the flexible polymer substrate having a transparent conductive oxide layer deposited thereon, at a velocity of 100-1200 m/sec by using a spray nozzle, to deposit an oxide semiconductor layer; (Step 3) allowing a dye to be adsorbed onto the oxide semiconductor layer to provide a working electrode; (Step 4) forming a catalyst layer on the top of a transparent substrate having a transparent conductive oxide layer thereon to provide a counter electrode; and (Step 5) allowing the working electrode obtained from Step 3 and the counter electrode obtained from Step 4 to face each other, laminating the two electrodes with each other, and injecting an electrolyte. A flexible dye-sensitized solar cell obtained by the method is also provided. | 10-06-2011 |
20110240113 | SOLAR CELL STRUCTURE - A solar cell structure includes a silicon crystal having at least one slant penetrating hole, the penetrating hole internally having at least one inclined surface; an emitter covering the silicon crystal and the inclined surface in the penetrating hole; and a first metal electrode being electrically connected to the emitter and located in the penetrating hole of the silicon crystal at a bottom thereof. By forming the inclined surface having an inclination angle in the slant penetrating hole, light incident upon the inclined surface of the penetrating hole can have a length-increased optical path in the solar cell to thereby enhance the photocurrent of the solar cell. | 10-06-2011 |
20110240114 | METHOD OF FORMING A NEGATIVELY CHARGED PASSIVATION LAYER OVER A DIFFUSED P-TYPE REGION - The present invention generally provides a method of forming a high quality passivation layer over a p-type doped region to form a high efficiency solar cell device. Embodiments of the present invention may be especially useful for preparing a surface of a boron doped region formed in a silicon substrate. In one embodiment, the methods include exposing a surface of the solar cell substrate to a plasma to clean and modify the physical, chemical and/or electrical characteristics of the surface and then deposit a charged dielectric layer and passivation layer thereon. | 10-06-2011 |
20110240115 | DOPED BUFFER LAYER - A solar cell with a doped buffer layer includes silicon and tin. | 10-06-2011 |
20110240116 | PHOTOELECTRIC CONVERSION DEVICE AND PROCESS FOR PRODUCTION THEREOF - Disclosed herein is a process for producing a photoelectric conversion device, including the steps of: coating the surface of a conductive substrate with a porous catalyst layer; coating the surface of the conductive substrate with a porous insulating layer in such a way as to cover the porous catalyst layer; coating the surface of the porous insulating layer with a current collecting layer; coating the surface of the porous insulating layer with a porous metal oxide semiconductor layer in such a way as to cover the current collecting layer; allowing the porous metal oxide semiconductor layer to support a dye; impregnating the porous metal oxide semiconductor layer, the porous insulating layer, and the porous catalyst layer with an electrolyte solution; and forming a transparent sealing layer in such a way as to cover at least the porous insulating layer and the porous metal oxide semiconductor layer. | 10-06-2011 |
20110240117 | PHOTOVOLTAIC DEVICE WITH TRANSPARENT CONDUCTING LAYER - A method of manufacturing structure may include forming a layer including cadmium and tin adjacent to a substrate, annealing the layer in a first annealing environment including a reducing agent, then annealing the layer in a second annealing environment including nitrogen. | 10-06-2011 |
20110240118 | METHOD AND DEVICE FOR SCRIBING A THIN FILM PHOTOVOLTAIC CELL - The present invention is a method for scribing a thin film solar cell that includes a soda lime glass substrate, a film of molybdenum (Mo), a film of copper indium gallium diselenide (GIGS), a buffering layer, a layer of zinc oxide (i-ZnO), a layer of aluminum doped zinc oxide (n-ZnO:Al or AZO), a first scribe, a conductive link and a second scribe. The method steps include producing the first scribe on the Mo film, depositing the CICS film, the buffering layer and the zinc oxide layer onto the Mo film, producing the second scribe on the CICS film, the zinc oxide layer and the buffering layer above the Mo film, depositing and filling a first insulating material into the first scribe. and depositing a second insulating material that covers the solar cell while filling the first scribe forming a conduction layer. | 10-06-2011 |
20110240119 | METHOD FOR PREPARING SOLAR CELL ELECTRODES, SOLAR CELL SUBSTRATES PREPARED THEREBY, AND SOLAR CELLS - The following description provides a method for preparing electrodes for solar cells, substrates for the solar cell prepared using the same, and the solar cells. The method forms conductive paste on substrates by a printing method and a wet metal plating method, and forms a non-porous cell structure by directly plating a crystallized metal layer on the substrates via etching without using excessive non-crystallized conductive paste or plating the porous conductive paste with metal. | 10-06-2011 |
20110247684 | SOLAR CELL - A solar cell includes a base, a substrate, a number of solar chips and a light pervious cover. The substrate is received in the base, the solar chips are electrically mounted on the substrate. The light pervious cover covers the solar chips in the base. The light pervious cover includes a number of light converging portions corresponding to the solar chips and a number of extending portions aligned with the respective light converging portions. Each extending portion is engaged with a corresponding solar chip. | 10-13-2011 |
20110247685 | THIN-FILM SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A thin-film solar cell can include a light-reflective metal electrode layer, a first transparent conductive layer, a semiconductor layer and a front transparent conductive layer. The metal electrode layer can be formed on a substrate and has an uneven structure. The first transparent conductive layer can contain an amorphous transparent conductive material. The thin-film solar cell further can have a second transparent conductive layer between the first transparent conductive layer and the semiconductor layer. The second transparent conductive layer can be made of a crystalline transparent conductive material. Due to the first transparent conductive layer made amorphous, the surface roughness of the metal electrode layer is reduced so that the semiconductor layer can be formed with a good film quality. | 10-13-2011 |
20110247686 | MULTILAYER FILM FOR PHOTOVOLTAIC APPLICATIONS - A multilayer film includes a functional portion including one or more layers, an adhesive layer overlying a major surface of the functional portion, and a fluoropolymer layer overlying a major surface of the adhesive layer opposite the functional portion. The fluoropolymer layer includes a fluoropolymer. The adhesive layer includes an adhesive and an ultraviolet radiation absorber. | 10-13-2011 |
20110247687 | THIN FILM SOLAR CELL AND METHOD FOR MAKING THE SAME - A thin film solar cell comprises: a back contact layer, an absorber layer adjacent to the back contact layer and comprising an absorber material and a dopant, a buffer layer, a dopant barrier layer between the absorber layer and the buffer layer, and a window layer adjacent to the buffer layer. Associated method for making the thin film solar cell is also provided. | 10-13-2011 |
20110247688 | FRONT ELECTRODE FOR SOLAR CELL HAVING MINIMIZED POWER LOSS AND SOLAR CELL CONTAINING THE SAME - Disclosed herein is a front electrode for solar cells, wherein the front electrode is configured in a structure in which a pattern including a plurality of grid electrodes arranged in parallel and at least one current collection electrode intersecting the grid electrodes is formed on a semiconductor substrate, current introduced to the grid electrodes is moved to and collected in the current collection electrode, and the width of each of the grid electrodes is increased toward the current collection electrode. | 10-13-2011 |
20110247689 | SUBSTRATE FOR AN OPTOELECTRONIC DEVICE - A substrate for an optoelectronic device, with a fabric of monofilaments and/or fibres of a polymer, which is designed for purposes of implementing and/or supporting an electrode layer, wherein the fibres have a fibre diameter of between 20 μm and 100 μm, in particular of between 30 μm and 80 μm, the fabric has mesh openings that implement an open surface area of 70% to 85%, and the fabric is provided with a coating having a transparent, electrically non-conducting polymer material such that the fibres are at least partially surrounded by the polymer material. | 10-13-2011 |
20110247690 | SEMICONDUCTOR DEVICES COMPRISING ANTIREFLECTIVE CONDUCTIVE LAYERS AND METHODS OF MAKING AND USING - A semiconductor device includes a semiconductor substrate and an antireflective conductive layer. The antireflective conductive layer includes a metal layer disposed on the semiconductor substrate and defining at least one array of apertures through the metal layer. Each of the apertures has a width of no more than 5 μm and a distance between each aperture and its nearest neighboring aperture is no more than 10 μm. The antireflective conductive layer also includes a solid material filling each of the apertures, wherein the solid material has an index of refraction of at least 1.1. | 10-13-2011 |
20110253210 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a solar cell includes forming a textured surface having a plurality of jagged portion at a surface of a substrate of a first conductive type; forming an emitter portion by doping an impurity into the substrate, the emitter portion having a second conductive type opposite to the first conductive type; removing a portion of the emitter portion by using a dry etching method, to form an emitter region; forming an anti-reflection layer on the emitter region; and forming a first electrode connected to the emitter region and a second electrode connected to the substrate. | 10-20-2011 |
20110253211 | SOLAR CELL AND METHOD FOR MANUFACTURING SAME - A solar cell an n-doped silicon substrate, having n | 10-20-2011 |
20110253212 | SOLAR CELL ELECTRODE - A p-type electrode on p+ layer of solar cell comprising, prior to firing; (a) Electrically conductive particles comprising silver particle having a particle size of 0.1 to 10 microns and added particle composed of a metal alloy containing a metal selected from the group consisting of Mo, Tc, Ru, Rh, Pd, W, Re, Os, Ir and Pt, (b) Glass frit, and (c) A resin binder, wherein the electrode is made from a fired conductive paste which is comprised of 40 to 90 wt % of the silver particle and 0.01 to 10 wt % of the added particle based on the weight of the paste. | 10-20-2011 |
20110253213 | THIN FILM SOLAR CELL - A thin film solar cell is discussed. The thin film solar cell includes a substrate, a front electrode positioned on the substrate, a back electrode positioned on the front electrode, and a photoelectric conversion unit positioned between the front electrode and the back electrode. The front electrode includes first and second front electrode layers each containing a conductive material with light transmissivity. The first front electrode layer is formed on the substrate and contacts the substrate, and a porous pin hole exposing a portion of the substrate is formed in a portion of the first front electrode layer. The second front electrode layer contacts the first front electrode layer and covers the porous pin hole of the first front electrode layer. | 10-20-2011 |
20110259411 | PACKAGING STRUCTURE AND PROCESS OF SOLAR CELL - A packaging structure and process of solar cell is disclosed. The packaging structure of solar cell comprises two conductive films and two surface electrodes disposed on a photovoltaic cell (PV cell), wherein two conductive films are respectively electrically coupled with the surface electrodes via a plurality of solder balls. | 10-27-2011 |
20110259412 | METHOD FOR MANUFACTURING FLEXIBLE ORGANIC THIN FILM SOLAR CELL BY ION BEAM TREATMENT AND SOLAR CELL MANUFACTURED BY THE SAME - The present invention provides a method for an organic thin film solar cell and an organic thin film solar cell manufactured by the same, which can reduce manufacturing cost by simplifying manufacturing process, ensure long-lasting durability and stability, and improve energy conversion efficiency of the solar cell. | 10-27-2011 |
20110259413 | Hazy Zinc Oxide Film for Shaped CIGS/CIS Solar Cells - A method for fabricating a shaped thin film photovoltaic device includes providing a length of tubular glass substrate having an inner diameter, an outer diameter, a circumferential outer surface region covered by an absorber layer and a window buffer layer overlying the absorber layer. The substrate is placed in a vacuum of between about 0.1 Torr to about 0.02 Torr and a mixture of reactant species derived from diethylzinc species, water species, and a carrier gas are introduced, as well as a diborane species. The substrate is heated to form a zinc oxide film with a thickness of 0.75-3 μm, a haziness of at least 5%, and an electrical resistivity of less than about 2.5 milliohm-cm. | 10-27-2011 |
20110259414 | REFLECTIVE ELECTRODE AND PHOTOELECTRIC ELEMENT - A reflective electrode which can be provided in a photoelectric element such as light emitting diode or solar cell is disclosed. The reflective electrode include a plurality of conductive material layers electrically connected with a semiconductor layer used as light absorbing layer or active layer of the photoelectric element; and at least one metal film arranged between neighboring two of the plurality of the conductive material layers. Here, the plurality of the conductive material layers are formed of a conductive material having a lower refraction index than a refraction index of the semiconductor layer, and one of the conductive material layers which directly contacts with the semiconductor layer is formed of a conductive material having a lower contact resistance than a contact resistance of a metal with the semiconductor layer. | 10-27-2011 |
20110259415 | BACKSHEET FOR A PHOTOVOLTAIC MODULE - Disclosed herein is a backsheet for a photovoltaic module. The backsheet includes a nanocomposite layer, a first polymeric layer and a second polymeric layer. The nanocomposite layer includes a polymeric matrix and a plurality of silicate nanoparticles dispersed therein. The polymeric matrix includes at least one polymer selected from the group consisting of polyester, polyimide, polyethylene terephthalate and nylon. The silicate nanoparticles are made from a silicate clay selected from the group consisting of montmorillonite, sepiolite, fluoromica and vermiculite. The silicate clay is present at a concentration of about 0.5-20% by weight of the nanocomposite layer. The nanocomposite layer is disposed between the first polymeric layer and the second polymeric layer. | 10-27-2011 |
20110259416 | ENVIRONMENTAL BARRIER PROTECTION FOR DEVICES - Embodiments of the invention provide an article comprising a photovoltaic device structure and a barrier layer comprising mica on the photovoltaic device structure. The barrier layer is flexible and light transmissive. | 10-27-2011 |
20110259417 | FILM REMOVAL METHOD, PHOTOELECTRIC CONVERSION DEVICE FABRICATION METHOD, PHOTOELECTRIC CONVERSION DEVICE, AND FILM REMOVAL DEVICE - A film formed on a substrate is radiated with a first light beam to separate the film into a plurality of regions. Repairing is carried out by removing the film at a removal deficient site where the film remains between the plurality of regions. A film removal method allowing separation of a film into a plurality of regions at high yield, a method for fabricating a photoelectric conversion device using the film removal method, and a film removal device can be provided. | 10-27-2011 |
20110259418 | Manufacturing Apparatus and Method for Large-Scale Production of Thin-Film Solar Cells - A method of manufacturing improved thin-film solar cells entirely by sputtering includes a high efficiency back contact/reflecting multi-layer containing at least one barrier layer consisting of a transition metal nitride. A copper indium gallium diselenide (Cu(In | 10-27-2011 |
20110265868 | CADMIUM SULFIDE LAYERS FOR USE IN CADMIUM TELLURIDE BASED THIN FILM PHOTOVOLTAIC DEVICES AND METHODS OF THEIR MANUFACTURE - Cadmium telluride thin film photovoltaic devices are generally provided. The device can include a substrate, a transparent conductive oxide layer on the substrate; a resistive transparent buffer layer on the transparent conductive oxide layer; a cadmium sulfide layer on the resistive transparent buffer layer; a cadmium telluride layer on the cadmium sulfide layer; and, a back contact layer on the cadmium telluride layer. The cadmium sulfide layer can include oxygen in a molar percentage greater than 0% to about 20%. In one particular embodiment, a second cadmium sulfide layer substantially free from oxygen can be positioned between the cadmium sulfide layer and the cadmium telluride layer. | 11-03-2011 |
20110265869 | THIN FILM COATING PINNING ARRANGEMENT - In one aspect of the present invention, a photovoltaic cell for use in a solar collector is described. The photovoltaic cell includes two electrically conductive layers that are positioned on a surface of a semiconductor substrate. The first conductive layer is adhered to and in direct contact with a surface of the semiconductor substrate. The second conductive layer has a different composition from and is substantially more electrically conductive than the first conductive layer. There are multiple spaced apart pinning regions that are distributed through an interface between the first and second conductive layers. The pinning regions, help locally anchor the two layers. Some aspects of the present invention relate to the use of pinning regions in other types of optical or electrical components. | 11-03-2011 |
20110265870 | SOLAR CELL - A solar cell includes a substrate of a first conductive type, an emitter layer, of a second conductive type opposite the first conductive type, positioned at one surface of the substrate, a first electrode electrically connected to the emitter layer, a first protective layer positioned on a front surface of the emitter layer where the first electrode is not positioned, a back surface field layer positioned at another surface of the substrate, a second electrode electrically connected to the back surface field layer, and a second protective layer positioned on a back surface of the substrate where the second electrode is not positioned. Each of the first and second protective layers is formed of a material having fixed charges of the first conductive type. | 11-03-2011 |
20110272017 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a semiconductor layer which includes a p-type impurity containing layer and an n-type impurity-containing layer; a dielectric layer disposed on one side of the semiconductor layer, wherein the dielectric layer has an isotropically etched portion on the surface thereof; a first electrode electrically connected with the p-type impurity-containing layer in the semiconductor layer; and a second electrode electrically connected with the n-type impurity-containing layer in the semiconductor layer. | 11-10-2011 |
20110272018 | DYE SENSITIZED SOLAR CELL - Provided is a dye-sensitized solar cell (DSC). The DSC including a working electrode and a counter electrode facing the working electrode includes a polymer film having a mirror reflection characteristic and attached to the outside of the counter electrode. Since the polymer film having a mirror reflection characteristic is employed, use of light can be increased, and incident photon-to-current conversion efficiency (IPCE) can be improved. | 11-10-2011 |
20110272019 | PASTE COMPOSITION AND SOLAR CELL ELEMENT USING THE SAME - Provided are a paste composition capable of avoiding deteriorations in a mechanical strength and an adhesion property of an electrode layer, of sufficiently attaining a desired BSF effect, and of suppressing deformation (bow) of the silicon semiconductor substrate even in a case where a silicon semiconductor substrate is rendered thin; and a solar cell element including an impurity layer, or an impurity layer and an electrode layer, which is (or are) formed by using the above-mentioned composition. The paste composition is used for forming a p+ layer ( | 11-10-2011 |
20110272020 | SOLAR CELL AND METHOD FOR PRODUCING A SOLAR CELL FROM A SILICON SUBSTRATE - A method for producing a solar cell from a silicon wafer, including the following process steps: A) texturizing one side of the silicon substrate ( | 11-10-2011 |
20110272021 | METHOD FOR MANUFACTURING SOLAR CELL, AND SOLAR CELL - A manufacturing method of a solar cell including a transparent conductive film formed on a transparent substrate includes the steps of: preparing a target, the target including ZnO and a material including a substance including an Al or a Ga, the ZnO being a primary component of the target; in a first atmosphere including a process gas, applying a sputter electric voltage to the target and forming a first layer included in the transparent conductive film; in a second atmosphere including a greater amount of an oxygen gas compared to the first atmosphere, applying a sputter electric voltage to the target and forming a second layer on the first layer, the second layer being included in the transparent conductive film; and forming an irregular shape by performing an etching process on the transparent conductive film. | 11-10-2011 |
20110272022 | ELECTRODE FOR A SOLAR CELL, MANUFACTURING METHOD THEREOF, AND SOLAR CELL - There is provided an electrode for a solar cell, in which the electrode is printed using a polymer binder with a low Tg (hereinafter, referred to as a low Tg polymer binder) to improve a contact property between a substrate such as silicon wafer, or the like, and a conductive electrode material, and subsequently, using a polymer binder with a high Tg (hereinafter, referred to as a high Tg polymer binder) to improve the aspect ratio. The printed electrode is fired, thereby obtaining the electrode with a high aspect ratio, an improved contact property between the substrate and the conductive electrode material, and an enhanced cell efficiency. There is also provided a manufacturing method thereof and a solar cell. | 11-10-2011 |
20110277831 | PASTE COMPOSITION FOR ELECTRODE AND PHOTOVOLTAIC CELL - The paste composition for an electrode are constituted with copper-containing particles having a peak temperature of an exothermic peak showing a maximum area in the simultaneous ThermoGravimetry/Differential Thermal Analysis of 280° C. or higher, glass particles, a solvent, and a resin. Further, the photovoltaic cell has an electrode formed by using the paste composition for a photovoltaic cell electrode. | 11-17-2011 |
20110277832 | METHOD FOR PRODUCTION OF TITANIUM DIOXIDE COMPOSITE AND PHOTOELECTRIC CONVERSION DEVICE INCORPORATED WITH THE SAME - Disclosed herein is a method for production of a titanium dioxide composite, the method including a step of preparing titanium dioxide nanowires, a step of dipping the titanium dioxide nanowires in a solution containing titanium oxysulfate and urea, thereby forming titanium dioxide fine particles on the surface of the titanium dioxide nanowires, and a step of recovering the titanium dioxide nanowires having the titanium dioxide fine particles formed on the surface thereof. | 11-17-2011 |
20110277833 | BACKSIDE CONTACT SOLAR CELL - Variations of interdigitated backside contact (IBC) solar cells having patterned areas formed using nano imprint lithography are described. | 11-17-2011 |
20110277834 | POLYMER SHEET FOR SOLAR CELL BACKSHEET AND SOLAR CELL MODULE - The present invention provides a polymer sheet for a solar cell backsheet, which has high light reflectance, and adequate adhesion and adhesion durability, and which includes at least a support and polymer layers on both surfaces of the support, the polymer layers including white inorganic fine particles and a binder, a content of the white inorganic fine particles being in a range of from 4 g/m | 11-17-2011 |
20110277835 | SOLAR CELL WITH SPLIT GRIDLINE PATTERN - A solar cell with an electrical gridline pattern that includes a lower density of gridlines in a central portion of a light-input surface of the solar cell, and a higher density of gridlines adjacent the busbars of the solar cells. | 11-17-2011 |
20110277836 | COLUMN STRUCTURE THIN FILM MATERIAL USING METAL OXIDE BEARING SEMICONDUCTOR MATERIAL FOR SOLAR CELL DEVICES - A thin film material structure for solar cell devices. The thin film material structure includes a thickness of material comprises a plurality of single crystal structures. In a specific embodiment, each of the single crystal structure is configured in a column like shape. The column like shape has a dimension of about 0.01 micron to about 10 microns characterizes a first end and a second end. An optical absorption coefficient of greater than 10 | 11-17-2011 |
20110277837 | BULK CHLORIDE SPECIES TREATMENT OF THIN FILM PHOTOVOLTAIC CELL AND MANUFACTURING METHOD - A method for forming a thin film photovoltaic device. The method includes providing a transparent substrate comprising a surface region. A first electrode layer is formed overlying the surface region. A copper layer is formed overlying the first electrode layer and an indium layer is formed overlying the copper layer to form a multi-layered structure. The method subjects at least the multi-layered structure to a thermal treatment process in an environment containing a sulfur bearing species to form a bulk copper indium disulfide material. The bulk copper indium disulfide material comprises one or more portions of copper indium disulfide material and a copper poor surface region characterized by a copper-to-indium atomic ratio of less than about 0.95:1. The method subjects the copper poor surface and one or more portions of the bulk copper indium disulfide material to a chlorine species to convert the copper poor surface from an n-type characteristic to a p-type characteristic and to convert any of the one or more portions of the bulk copper indium disulfide material having the copper-to-indium atomic ratio of less than about 0.95:1 from a n-type characteristic to an p-type characteristic. A window layer is formed overlying the copper indium disulfide material. | 11-17-2011 |
20110284065 | METHOD OF FORMING BACK CONTACT TO A CADMIUM TELLURIDE SOLAR CELL - A method of forming an ohmic contact to a surface of a Cd and Te containing compound film as may be found, for example in a photovoltaic cell. The method comprises forming a Te-rich layer on the surface of the Cd and Te containing compound film; depositing an interface layer on the Te-rich layer; and laying down a contact layer on the interface layer. The interface layer is composed of a metallic form of Zn and Cu. | 11-24-2011 |
20110284066 | Dual sided processing and devices based on freestanding nitride and zinc oxide films - Thin freestanding nitride films are used as a growth substrate to enhance the optical, electrical, mechanical and mobility of nitride based devices and to enable the use of thick transparent conductive oxides. Optoelectronic devices such as LEDs, laser diodes, solar cells, biomedical devices, thermoelectrics, and other optoelectronic devices may be fabricated on the freestanding nitride films. The refractive index of the freestanding nitride films can be controlled via alloy composition. Light guiding or light extraction optical elements may be formed based on freestanding nitride films with or without layers. Dual sided processing is enabled by use of these freestanding nitride films. This enables more efficient output for light emitting devices and more efficient energy conversion for solar cells. | 11-24-2011 |
20110284067 | PASTE AND SOLAR CELL USING THE SAME - A solar cell is discussed. The solar cell includes a base substrate containing first impurities of a first conductive type and having a textured surface, an emitter layer that is positioned at the textured surface of the base substrate and contains second impurities of a second conductive type different from the first conductive type, and a front electrode electrically connected to the emitter layer. The front electrode collects carriers generated in the base substrate or the emitter layer. At least a portion of the front electrode transmits incident light from the outside. | 11-24-2011 |
20110284068 | PASSIVATION METHODS AND APPARATUS FOR ACHIEVING ULTRA-LOW SURFACE RECOMBINATION VELOCITIES FOR HIGH-EFFICIENCY SOLAR CELLS - The disclosed subject matter provides a method and structure for obtaining ultra-low surface recombination velocities from highly efficient surface passivation in crystalline silicon substrate-based solar cells by utilizing a bi-layer passivation scheme which also works as an efficient ARC. The bi-layer passivation consists of a first thin layer of wet chemical oxide or a thin hydrogenated amorphous silicon layer. A second layer of amorphous hydrogenated silicon nitride film is deposited on top of the wet chemical oxide or amorphous silicon film. This deposition is then followed by annealing to further enhance the surface passivation. | 11-24-2011 |
20110284069 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell is discussed. The solar cell includes an anti-reflection unit positioned on an emitter unit and including a first anti-reflection film having a first refractive index and a second anti-reflection film having a second refractive index different from the first refractive index, wherein a plurality of depressions are formed on at least one surface of a substrate, a depth of each of the plurality of depressions is ⅓ to 1 times a distance between centers of at least two immediately adjacent depressions, and a width of each of the plurality of depressions is 1 to 3 times the depth of each of the plurality of depressions. | 11-24-2011 |
20110284070 | SOLAR CELL MODULE AND MANUFACTURING METHOD THEREOF - In a solar cell module and a manufacturing method thereof according to an embodiment of the present invention, the solar cell module has a configuration in which in a solar cell element ( | 11-24-2011 |
20110284071 | MULTILAYER BODY - The present invention provides a layered product which has excellent sunlight reflection performance, and can obtain high conversion efficiency when used as back sheets for solar cells, and also has excellent infrared light reflection performance, and low heat storage. The layered product comprises: a layer (A) comprising 0.1-40 parts by mass of titanium oxide particles per 100 parts by mass of a thermoplastic resin in which the titanium oxide particles have a volume average particle diameter of 0.01 μm-0.35 μm and include particles having a particle diameter of 0.5 μm or more in an amount of less than 10 mass %; and a layer (B) comprising 0.1-40 parts by mass of titanium oxide particles per 100 parts by mass of a thermoplastic resin in which the titanium oxide particles have a volume average particle diameter of 0.5 μm-2 μm and include particles having a particle diameter of 0.35 μm or less in an amount of less than 10 mass %. The titanium oxide is preferably of a rutile form. The thermoplastic resin of the layer (A) and/or layer (B) preferably comprises an aromatic vinyl resin, a polyester resin or a polyolefin resin. The layer (A) may be layered on the layer (B) directly or via another layer (C). The layer (A) may be arranged on both sides of the layer (B), or the layer (B) may be arranged on both sides of the layer (A). | 11-24-2011 |
20110284072 | DYE-SENSITIZED SOLAR CELL - This invention provides a dye-sensitized solar cell ensuring high photoelectric conversion efficiency. | 11-24-2011 |
20110284073 | HOLEY ELECTRODE GRIDS FOR PHOTOVOLTAIC CELLS WITH SUBWAVELENGTH AND SUPERWAVELENGTH FEATURE SIZES - A photovoltaic cell and a method of forming an electrode grid on a photovoltaic semiconductor substrate of a photovoltaic cell are disclosed. In one embodiment, the photovoltaic cell comprises a photovoltaic semiconductor substrate; a back electrode electrically connected to a back surface of the substrate; and a front electrode electrically connected to a front surface of the substrate. The substrate, back electrode, and front electrode form an electric circuit for generating an electric current when said substrate absorbs light. The front electrode is comprised of a metal grid defining a multitude of holes. These holes may be periodic, aperiodic, or partially periodic. The front electrode may be formed by depositing nanospheres on the substrate; forming a metallic layer on the substrate, around the nanospheres; and removing the nanospheres, leaving an electrode grid defining a multitude of holes on the substrate. | 11-24-2011 |
20110290313 | SOLAR CELLS WITH ENGINEERED SPECTRAL CONVERSION - A solar cell with engineered spectral conversion elements or components includes a single crystal silicon solar cell having a back surface. At least one spectral conversion element is formed on the back surface. The conversion element includes single crystal rare earth oxide, and the single crystal rare earth oxide is crystal lattice matched to the back surface of the silicon solar cell. Material including silicon is formed on the back surface in a surrounding and embedding relationship to the at least one spectral conversion element. A back reflector is positioned on the material formed on the back surface so as to reflect light passing through the silicon formed on the back surface. | 12-01-2011 |
20110290314 | LIGHT SCATTERING ARTICLES USING HEMISPHERICAL PARTICLES - Light scattering articles comprising inorganic substrates having textured surfaces utilize hemispherical inorganic particles having average diameters of 300 nm or less. The articles have an enhanced absorption at wavelengths in the range of from 400 nm to 600 nm and can be used in photovoltaic devices. | 12-01-2011 |
20110290315 | ELECTROCHEMICAL METHOD FOR DEPOSITING NANOFIBRILAR POLY(3,4-ETHYLENEDIOXYTHIOPHENE) (PEDOT) HOLE EXTRACTION LAYER IN ORGANIC SOLAR CELLS - An electrochemical method for producing a hole extraction layer in a solar cell based on organic semiconductor materials. Conjugated polymers are used to build a hole extraction layer and a photoactive layer. Poly(3,4-ethylenedioxythiophene) (PEDOT) is used as a hole extraction layer and is deposited electrochemically from an aqueous solution on an indium tin oxide (ITO) electrode. A nanofibrilar or nanogranular morphology of the PEDOT is achieved by carrying out the polymerization in the presence of a surfactant. A photoactive layer of poly(3-hexylthiophene)/[6,6]-phenyl-C | 12-01-2011 |
20110290316 | LIGHT SCATTERING INORGANIC SUBSTRATES BY SOOT DEPOSITION - Light scattering inorganic substrates and articles comprising soot particles and methods for making light scattering inorganic substrates and articles comprising soot particles useful for, for example, photovoltaic cells. The method for making the substrates and articles comprises providing an inorganic substrate comprising at least one surface, applying soot particles pyrogenically to the at least one surface of the inorganic substrate to form a coated substrate, and heating the soot particles to form the light scattering inorganic substrate. The invention creates a scattering glass surface that is suitable for subsequent deposition of a TCO and a thin film silicon photovoltaic device structure. The scattering properties may be controlled by the combination of substrate glass and soot composition, deposition conditions, patterning of the soot, and/or sintering conditions. | 12-01-2011 |
20110290317 | ELECTRONIC DEVICE MODULE COMPRISING POLYOLEFIN COPOLYMER WITH LOW UNSATURATION AND OPTIONAL VINYL SILANE - An electronic device module comprising:
| 12-01-2011 |
20110290318 | Semiconductor Device, In Particular Solar Cell - A semiconductor device, in particular a solar cell, comprises a semiconductor substrate having a semiconductor substrate surface and a passivation composed of at least one passivation layer which surface-passivates the semiconductor substrate surface, wherein the passivation layer comprises a compound composed of aluminium oxide, aluminium nitride or aluminium oxynitride and at least one further element. | 12-01-2011 |
20110290319 | THIN-FILM SOLAR CELL WITH CONDUCTOR TRACK ELECTRODE - The invention relates to a method for producing a thin-film solar cell with a photoactive layer ( | 12-01-2011 |
20110290320 | Method for producing single crystal silicon solar cell and single crystal silicon solar cell - A method for producing a single crystal silicon solar cell including the steps of: implanting ions into a single crystal silicon substrate through an ion implanting surface thereof to form an ion implanted layer in the single crystal silicon substrate; forming a transparent electroconductive film on a surface of a transparent insulator substrate; conducting a surface activating treatment for the ion implanting surface of the single crystal silicon substrate and/or a surface of the transparent electroconductive film on the transparent insulator substrate; bonding the ion implanting surface of the single crystal silicon substrate and the surface of the transparent electroconductive film on the transparent insulator substrate to each other; applying an impact to the ion implanted layer; and forming a p-n junction in the single crystal silicon layer. | 12-01-2011 |
20110290321 | Method for producing single crystal silicon solar cell and single crystal silicon solar cell - A method for producing a single crystal silicon solar cell including the steps of: implanting ions into a single crystal silicon substrate through an ion implanting surface thereof; closely contacting the single crystal silicon substrate and a transparent insulator substrate with each other via a transparent electroconductive adhesive while using the ion implanting surface as a bonding surface; curing and maturing the transparent electroconductive adhesive into a transparent electroconductive film; applying an impact to the ion implanted layer to mechanically delaminate the single crystal silicon substrate to leave a single crystal silicon layer; and forming a p-n junction in the single crystal silicon layer. | 12-01-2011 |
20110290322 | SUBSTRATE WITH TRANSPARENT CONDUCTIVE FILM AND THIN FILM PHOTOELECTRIC CONVERSION DEVICE - Disclosed is a substrate with a transparent conductive film, wherein an underlying layer and a transparent conductive film are arranged in this order on a transparent insulating substrate. The transparent conductive film-side surface of the underlying layer is provided with a pyramid-shaped or inverse pyramid-shaped irregular structures, and the transparent conductive film comprises a first transparent electrode layer which is formed on the underlying layer and a second transparent electrode layer which forms the outermost surface of the transparent conductive film. By forming a zinc oxide layer that serves as the second transparent electrode layer by a reduced pressure CVD method, a substrate with a transparent conductive film that is provided with an irregular structure smaller than that of the underlying layer can be obtained. The substrate with a transparent conductive film can improve the conversion efficiency of a photoelectric conversion device through an increased light trapping effect. | 12-01-2011 |
20110290323 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell is discussed. The solar cell includes a substrate of a first conductivity type, the substrate having a via hole, an emitter disposed at the substrate and having a second conductivity type opposite the first conductivity type, an anti-reflection layer disposed on a first surface of the substrate and inside the via hole, a first electrode disposed on the first surface of the substrate and in the via hole, a first electrode bus bar disposed on a second surface of the substrate that is opposite the first surface and in the via hole, and a second electrode disposed on the second surface of the substrate and connected to the substrate. | 12-01-2011 |
20110297219 | METHOD AND MATERIALS FOR THE FABRICATION OF CURRENT COLLECTING STRUCTURES FOR PHOTOVOLTAIC DEVICES - A bus grid structure is affixed to a photovoltaic device utilizing a double-sided adhesive tape in which one of the adhesive layers is electrically conductive and the other is electrically resistive. The tape is affixed to a photovoltaic device via the electrically resistive adhesive. Grid wires are applied to a top electrode of the photovoltaic device, and portions of those grid wires are adhered to the electrically conductive adhesive. A bus bar is also adhered to the electrically conductive adhesive so as to contact the portions of the grid wire. The assembly is laminated so as to bond the grid wires to the photovoltaic device and to the bus bar. Further disclosed are devices fabricated according to this method as well as electrically conductive double adhesive tapes utilized in the process. | 12-08-2011 |
20110297220 | LAMINATE STRUCTURE WITH EMBEDDED CAVITIES FOR USE WITH SOLAR CELLS AND RELATED METHOD OF MANUFACTURE - An integrated laminate structure adapted for application in the context of solar technology, including a first carrier element, optionally including optically substantially transparent material enabling light transmission therethrough, a second carrier element provided with at least one surface relief pattern including a number of surface relief forms and having at least one predetermined optical function relative to incident light, the second carrier element including optically substantially transparent material enabling light transmission therethrough, the first and second carrier elements being laminated together such that the at least one surface relief pattern has been embedded within the established laminate structure and a number of related cavities have been formed at the interface of the first and second carrier elements. An applicable method of manufacture. | 12-08-2011 |
20110297221 | SOLAR CELL BACK SHEET AND METHOD FOR PREPARING SAME - Provided are a solar cell backsheet including a substrate layer and a blended resin layer including a fluorine-based resin and a (meth)acrylic-based copolymer resin including a (meth)acrylic-based monomer and a maleimide-based monomer formed on at least one surface of the substrate layer, a method of manufacturing the solar cell backsheet, and a solar cell including the solar cell backsheet. Since using the solar cell backsheet provided here can lower a temperature in a solar cell, and improved heat-resistance, weather-resistance, etc. of the solar cell are achieved. | 12-08-2011 |
20110297222 | POLYESTER RESIN COMPOSITION, METHOD OF PRODUCING THE SAME, POLYESTER FILM, AND SOLAR CELL POWER GENERATION MODULE - The present invention provides a polyester resin composition including: a polyester resin; and a titanium compound derived from a catalyst; and the composition satisfying a relationship represented by the following Formula (1): | 12-08-2011 |
20110297223 | Method for producing silicon wafers, and silicon solar cell - In order to produce silicon wafers, liquid ultra-pure silicon is solidified on a silicon monocrystalline seed arranged in the bottom area of a crucible and having a seed surface comprising a {110}-crystal orientation and an edge surface having a {100}-crystal orientation starting from the bottom of the crucible, thus forming a silicon block on the seed surface of the silicon monocrystalline seed which largely takes over the {110}-crystal orientation. Subsequently, the silicon block is divided into wafers with a wafer surface having a {100}-crystal orientation. | 12-08-2011 |
20110297224 | SOLAR BATTERY CELL - A solar battery cell that comprises a plurality of grid electrodes and light-receiving-surface lead connection electrodes on a light receiving surface of a semiconductor substrate, and comprises a plurality of back-surface lead connection electrodes that are connected to back-surface lead wires, formed on the second straight lines that are substantially opposite to the first straight lines while sandwiching the semiconductor substrate together with the first straight lines, on a back surface of the semiconductor substrate, wherein an edge portion of each of the light-receiving-surface lead connection electrodes is not overlapped with an edge portion of each of the back-surface lead connection electrodes in a width direction. | 12-08-2011 |
20110297225 | PHOTOVOLTAIC CELL STRUCTURE - A photovoltaic cell structure includes a substrate, a metal layer, a p-type semiconductor layer, an n-type semiconductor layer, a transparent conductive layer and a high resistivity layer. The metal layer is formed on the substrate. The p-type semiconductor layer is formed on the metal layer and may include a compound of copper indium gallium selenium sulfur (CIGSS), copper indium gallium selenium (CIGS), copper indium sulfur (CIS), copper indium selenium (CIS) or a compound of at least two of copper, selenium or sulfur. The n-type semiconductor layer exhibits photo catalyst behavior that can increase carrier mobility by receiving light, and is formed on the p-type semiconductor layer, thereby forming a p-n junction. The transparent conductive layer is formed on the n-type semiconductor layer. The high resistivity layer is formed between the metal layer and the transparent conductive layer. | 12-08-2011 |
20110303274 | SOLAR CELLS WITH PLATED BACK SIDE SURFACE FIELD AND BACK SIDE ELECTRICAL CONTACT AND METHOD OF FABRICATING SAME - The present disclosure provides a method of forming a back side surface field of a solar cell without utilizing screen printing. The method includes first forming a p-type dopant layer directly on the back side surface of the semiconductor substrate that includes a p/n junction utilizing an electrodeposition method. The p/n junction is defined as the interface that is formed between an n-type semiconductor portion of the substrate and an underlying p-type semiconductor portion of the substrate. The plated structure is then annealed to from a P++ back side surface field layer directly on the back side surface of the semiconductor substrate. Optionally, a metallic film can be electrodeposited on an exposed surface of the P++ back side surface layer. | 12-15-2011 |
20110303275 | SOLAR CELL MODULE AND METHOD OF FABRICATING THE SAME - A solar cell module with a hermetic chamber in the cover plate is provided. The hermetic chamber is disposed on the non-cell region of the solar cell module to direct light toward the cell region through totally reflection. The hermetic chamber includes a V-shaped groove embedded in the cover plate of the solar cell module, and the V-shaped groove is sealed with a sealing layer so as to make the hermetic chamber as an empty space which does not allow unwanted substances get in or out. | 12-15-2011 |
20110303276 | SEALANT FOR SOLAR CELL PANEL END, SOLAR CELL MODULE, FRAMELESS SOLAR CELL MODULE, AND SEALING STRUCTURE AT SOLAR CELL PANEL END - A sealant for solar cell panel end is for sealing an end of a solar cell panel, and contains 100 parts by weight of polyisobutylene and/or butyl rubber, and 100 to 600 parts by weight of a metal hydroxide. | 12-15-2011 |
20110303277 | TRANSPARENT, WEATHERING-RESISTANT BARRIER FILM, PRODUCTION BY LAMINATION, EXTRUSION LAMINATION OR EXTRUSION COATING - The invention relates to a barrier film, in which a backing film ( | 12-15-2011 |
20110303278 | TRANSPARENT CONDUCTING OXIDE FOR PHOTOVOLTAIC DEVICES - One embodiment of the present invention provides a solar cell. The solar cell includes a Si base layer, a passivation layer situated above the Si base layer, a layer of heavily doped amorphous Si (a-Si) situated above the passivation layer, a first transparent-conducting-oxide (TCO) layer situated above the heavily doped a-Si layer, a back-side electrode situated below the Si base layer, and a front-side electrode situated above the first TCO layer. The first TCO layer comprises at least one of: GaInO, GaInSnO, ZnInO, and ZnInSnO. | 12-15-2011 |
20110303279 | Photovoltaic Modules With Reflective Adhesive Films Having Low Susceptibility To Discolouration - Photovoltaic modules are constructed from a laminate consisting of
| 12-15-2011 |
20110303280 | FABRICATION METHOD FOR INTERDIGITATED BACK CONTACT PHOTOVOLTAIC CELLS - A method for manufacturing interdigitated back contact photovoltaic cells is disclosed. In one aspect, the method includes providing on a rear surface of a substrate a first doped layer of a first dopant type, and providing a dielectric masking layer overlaying it. Grooves are formed through the dielectric masking layer and first doped layer, extending into the substrate in a direction substantially orthogonal to the rear surface and extending in a lateral direction underneath the first doped layer at sides of the grooves. Directional doping is performed in a direction substantially orthogonal to the rear surface, thereby providing doped regions with dopants of a second dopant type at a bottom of the grooves. Dopant diffusion is performed to form at the rear side of the substrate one of the emitter regions and back surface field regions between the grooves and the other at the bottom of the grooves. | 12-15-2011 |
20110303281 | METHOD FOR MANUFACTURING THIN FILM COMPOUND SOLAR CELL - To manufacture a thin film compound solar cell which can improve the adhesive property of electrodes even when being provided with a base material, and which prevents the base material from being separated. A cell main body configured by laminating a plurality of compound semiconductor layers is formed on a substrate. A rear surface electrode | 12-15-2011 |
20110303282 | SOLAR GLASS AND MANUFACTURING METHOD THEREOF - A solar glass is used for building-integrated photovoltaic (BIPV). From a light-incident side to a light-emitting side, the solar glass sequentially includes a front substrate, a first electrode layer, a photoelectric conversion layer, a second electrode layer, a low emissivity (Low-E) film, and a back substrate. The photoelectric conversion layer is used for receiving light energy and converting the light energy into electric energy. The Low-E film allows visible light to pass through and reflects infrared light. By using a structure of the solar glass, the thickness of the solar glass may be greatly reduced. | 12-15-2011 |
20110308593 | MODIFIED CADMIUM TELLURIDE LAYER, A METHOD OF MODIFYING A CADMIUM TELLURIDE LAYER, AND A THIN FILM DEVICE HAVING A CADMIUM TELLURIDE LAYER - A layer including modified cadmium telluride and unmodified cadmium telluride disposed within the cadmium telluride layer. The modified area includes a concentration of telluride that is greater than the concentration of telluride in the unmodified cadmium telluride area. The modified area also includes a hexagonal close packed crystal structure. A method for modifying a cadmium telluride layer and a thin film device are also disclosed. | 12-22-2011 |
20110308594 | SOLAR CELL HAVING IMPROVED LIGHT-TRAPPING STRUCTURE - The present invention provides a solar cell, which has an improved light-trapping structure, wherein the light trapping structure is a single layer of thin film made of a plurality of zinc oxide microballs whose diameter is ranged between 300 nm and 650 nm. In a preferred embodiment, the light trapping layer, being configured with a plurality of microballs made of zinc oxide, is disposed at a position between the front surface of a photovoltaic conversion layer and a front electrode of the solar cell. Since the light-trapping structure is formed directly from the ZnO transparent conductive layer of the solar cell, the types of materials used for constructing the solar cell are reduced. | 12-22-2011 |
20110308595 | THICK-FILM PASTES CONTAINING LEAD- AND TELLURIUM-OXIDES, AND THEIR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention provides a thick-film paste for printing the front-side of a solar cell device having one or more insulating layers. The thick-film paste comprises an electrically conductive metal, and a lead-tellurium-oxide dispersed in an organic medium. | 12-22-2011 |
20110308596 | THICK-FILM PASTES CONTAINING LEAD-TELLURIUM-LITHIUM-TITANIUM-OXIDES, AND THEIR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention provides a thick-film paste for printing the front side of a solar cell device having one or more insulating layers. The thick-film paste comprises an electrically conductive metal, and a lead-tellurium-lithium-titanium-oxide dispersed in an organic medium. | 12-22-2011 |
20110308597 | THICK-FILM PASTES CONTAINING LEAD-TELLURIUM-LITHIUM- OXIDES, AND THEIR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention provides a thick-film paste for printing the front side of a solar cell device having one or more insulating layers. The thick-film paste comprises an electrically conductive metal, and a lead-tellurium-lithium-oxide dispersed in an organic medium. | 12-22-2011 |
20110308598 | SOLUTION PROCESSING METHOD FOR FORMING ELECTRICAL CONTACTS OF ORGANIC DEVICES - A method for forming, on an organic semiconductor layer, an electrical contact layer comprising a metal, is disclosed. In one aspect, the method includes providing a charge collecting barrier layer on the organic semiconductor layer, providing a liquid composition comprising a precursor for the metal on the charge collecting barrier layer, and performing a sintering process. The charge collecting barrier layer is substantially impermeable to the components of the liquid composition. | 12-22-2011 |
20110308599 | METHOD FOR PRODUCING A WAFER-BASED, REAR-CONTACTED HETERO SOLAR CELLS AND HETERO SOLAR CELL PRODUCED BY THE METHOD - A method for the production of a wafer-based, back-contacted heterojunction solar cell includes providing at least one absorber wafer. Metallic contacts are deposited as at least one of point contacts and strip contacts in a predetermined distribution on a back side of the at least one absorber wafer. The contacts have steep flanks that are higher than a cumulative layer thickness of an emitter layer and an emitter contact layer and are sheathed with an insulating sheath. The emitter layer is deposited over an entire surface of the back side of the at least one absorber wafer. The emitter contact layer is deposited over an entire surface of the emitter layer so as to form an emitter contact system. At least one of the emitter layer and the emitter contact layer is selectively removed so as to expose the steep flanks of the contacts that are covered with the insulating sheath. An insulation layer is deposited over an entire surface of the emitter contact layer so as to provide a narrow contact web at an edge of the at least one absorber wafer. End areas of the steep flanks of the contacts that are covered by the insulation layer are exposed. At least one of an absorber contact layer and an absorber contact grid is deposited over an entire surface of the insulation layer and over the exposed end areas of the steep flanks so as to form the absorber contact system, so as to provide the heterojunction solar cell with the contact web and with the at least one of an absorber contact layer and an absorber contact grid of the absorber contact system. | 12-22-2011 |
20110308600 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD FOR MANUFACTURING THE SAME - A photoelectric conversion device having a novel anti-reflection structure is provided. An uneven structure on a surface of a semiconductor is formed by growth of the same or different kind of semiconductor instead of forming an anti-reflection structure by etching a surface of a semiconductor substrate or a semiconductor film. For example, a semiconductor layer including a plurality of projections is provided on a light incident plane side of a photoelectric conversion device, thereby considerably reducing surface reflection. Such a structure can be formed by a vapor deposition method; therefore, contamination of the semiconductor is not caused. | 12-22-2011 |
20110308601 | SOLAR CELL - A solar cell and a method for manufacturing the same are discussed. The solar cell includes a substrate of a first conductive type, an emitter layer of a second conductive type opposite the first conductive type, a plurality of first electrodes connected to the emitter layer, at least one first current collector connected to the plurality of first electrodes, and a second electrode connected to the substrate. The emitter layer forms a p-n junction along with the substrate. Each of the plurality of first electrodes has a multi-layered structure, and the at least one first current collector has a single-layered structure. | 12-22-2011 |
20110308602 | SOLAR CELL, SOLAR CELL MANUFACTURING METHOD AND TESTING METHOD - A solar cell includes a semiconductor substrate and an antireflection layer arranged on the light incidence side on the front-side surface of a semiconductor substrate. The antireflection layer has a limit voltage of less than 10 volts, less than 5 volts, or less than 3 volts, along a layer thickness of the antireflection layer. | 12-22-2011 |
20110308603 | METHOD FOR PASSIVATING A SILICON SURFACE - A method of passivating a silicon surface is disclosed. In one aspect, the method includes cleaning the silicon surface by subjecting the silicon surface to a sequence of steps wherein the final step is a chemical oxidation step resulting in a hydrophilic silicon surface. The method may also include drying the cleaned silicon surface using an advanced drying technique, and/or depositing an oxide layer on the silicon surface. | 12-22-2011 |
20110308604 | PHOTOVOLTAIC CELL WITH MESH ELECTRODE - Photovoltaic cells that have a mesh electrode, as well as related systems, methods and components, are disclosed. | 12-22-2011 |
20110315204 | Conductive Polymer on a Textured or Plastic Substrate - A conducting material can include a fibrous substrate and a conductive polymer coating on a surface of the fibrous substrate. | 12-29-2011 |
20110315205 | LIGHT CONCENTRATOR CUP MODULE - A light concentrator cup module, disposed on a carrying base, said carrying base is provided with a circuit board having a solar energy chip, said light concentrator cup module comprising: a dust-prevention-hood lower cover, disposed on said carrying base and is provided with a first open slot for exposing said circuit board; a dust-prevention-hood upper cover, placed on said dust-prevention-hood lower cover, and is provided with a second open slot corresponding to said solar energy chip; and a light concentrator cup, inserted into said second open slot and corresponds to said solar energy chip. Said light concentrator cup module is capable of guiding sunlight uniformly onto said solar energy chip, thus raising photoelectric conversion efficiency of sunlight. | 12-29-2011 |
20110315206 | Protective Layers for a Glass Barrier in a Photovoltaic Device - A photovoltaic device includes at least one photovoltaic cell, a flexible glass layer formed over the at least one photovoltaic cell, and a transparent planarizing hardcoat formed on the glass layer. The planarizing hardcoat may be in compressive stress and the glass layer may be in tension. | 12-29-2011 |
20110315207 | PROTECTIVE LAYERS FOR A GLASS BARRIER IN A PHOTOVOLTAIC DEVICE - A photovoltaic device includes at least one photovoltaic cell and a flexible glass layer formed over the at least one photovoltaic cell. The flexible glass layer having a first major surface facing the at least one photovoltaic cell and a second major surface facing away from the at least one photovoltaic cell. A first encapsulant layer is formed over the first major surface of the flexible glass layer, the first encapsulant layer having a modulus of less than 100 MPa at room temperature. A second encapsulant layer is formed over the second major surface of the flexible glass layer, the second encapsulant layer includes a composite material including a polymer matrix containing a filler material. | 12-29-2011 |
20110315208 | Protective Layers for a Glass Barrier in a Photovoltaic Device - A photovoltaic device includes at least one photovoltaic cell, a flexible glass layer formed over the at least one photovoltaic cell and a transparent and abrasion resistant film which includes an organic-inorganic hybrid material formed over the glass layer. | 12-29-2011 |
20110315209 | SELECTIVELY DEPOSITED THIN FILM DEVICES AND METHODS FOR FORMING SELECTIVELY DEPOSITED THIN FILMS - A method for selectively depositing a thin film structure on a substrate. The method includes providing a process gas to a surface of the substrate and directing concentrated electromagnetic energy from a source of energy to at least a portion of the surface. The process gas is decomposed onto the substrate to form a selectively deposited thin film structure. A thin film device and apparatus for forming a selectively deposited thin film structure are also disclosed. | 12-29-2011 |
20110315210 | GLASS COMPOSITIONS USED IN CONDUCTORS FOR PHOTOVOLTAIC CELLS - The invention relates to glass compositions useful in conductive pastes for silicon semiconductor devices and photovoltaic cells. | 12-29-2011 |
20110315211 | SOLAR CELL FRONT ELECTRODE WITH AN ANTIREFLECTION COATING - A carrier substrate, includes a substrate especially having a glass function, transparent at least in the visible and near-infrared ranges and receiving a conducting electrode which is transparent at least in the visible and near-infrared ranges, this electrode carrier substrate being intended to constitute, in combination with functional elements, a solar cell. This carrier substrate is such that: the electrode includes a micromesh made of conducting material having submillimeter-sized openings; and this micromesh is in contact with an at least slightly conducting antireflection coating facing that one of the functional elements with which it is intended to be in contact. An aspect of the present invention also relates to the use of such a carrier substrate as constituent element of a solar cell and to a process for fabricating the substrate. | 12-29-2011 |
20110315212 | DYE-SENSITIZED SOLAR CELL, AND METHOD FOR MANUFACTURING THE SAME - There are provided a dye-sensitized solar cell easy to manufacture, high in power extraction efficiency, and suitable for upsizing, and a method for manufacturing the dye-sensitized solar cell. The dye-sensitized solar cell | 12-29-2011 |
20110315213 | PHOTOELECTRIC CONVERSION ELEMENT, METHOD OF MANUFACTURING THE SAME, PHOTOELECTRIC CONVERSION ELEMENT MODULE, AND METHOD OF MANUFACTURING THE SAME - Disclosed herein is a method of manufacturing a photoelectric conversion element, including adhering a counter electrode having a current collector onto a porous photoelectrode and a porous insulating layer which are sequentially layered over a substrate; and bending the current collector and joining the current collector to the substrate. | 12-29-2011 |
20110315214 | TRANSPARENT ELECTRICALLY CONDUCTIVE SUBSTRATE CARRYING THEREON A SURFACE ELECTRODE, A MANUFACTURING METHOD THEREFOR, A THIN-FILM SOLAR CELL AND A MANUFACTURING METHOD THEREFOR - A transparent electrically conductive substrate having a high photovoltaic conversion efficiency surface electrode, and a method for its manufacture, are disclosed. A thin-film solar cell and a method for its manufacture are also disclosed. An indium oxide based amorphous transparent electrically conductive film is formed on the substrate as an underlying film | 12-29-2011 |
20110315215 | COLOR BUILDING-INTEGRATED PHOTOVOLTAIC (BIPV) MODULE - The present invention provides a color backsheet for a building-integrated photovoltaic (BIPV) module comprising a polyethylene terephthalate (PET) film, a barrier layer and a fluorine-containing polymer film, at least one of the films being doped with dyes or pigments. The present invention also provides a color BIPV module comprising the color backsheet according to the present invention. | 12-29-2011 |
20110315216 | COLOR BUILDING-INTEGRATED PHOTOVOLTAIC (BIPV) MODULE - The present invention provides a color backsheet for a building-integrated photovoltaic (BIPV) module comprising a polyethylene terephthalate (PET) film and a fluorine-containing polymer film, at least one of the films being doped with dyes or pigments. The present invention also provides a color BIPV module comprising the color backsheet according to the present invention. | 12-29-2011 |
20110315217 | CU PASTE METALLIZATION FOR SILICON SOLAR CELLS - Embodiments of the invention generally provide copper contact structures on a solar cell formed using copper metallization pastes and/or copper inks. In one embodiment, the copper metallization paste includes an organic matrix, glass frits within the organic matrix, and a metal powder within the organic matrix, the metal powder comprising encapsulated copper-containing particles. The encapsulated copper-containing particles further include a copper-containing particle and at least one coating surrounding the copper-containing particle. In another embodiment, a solar cell includes a front contact structure on a substrate comprising a doped semiconductor material. The front contact structure includes a copper layer comprising sintered encapsulated copper-containing particles, wherein at least some of the encapsulated copper-containing particles include a copper-containing particle and at least one coating surrounding the copper-containing particle. | 12-29-2011 |
20110315218 | CONDUCTIVE COMPOSITIONS AND PROCESSES FOR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The instant invention is directed to a method of manufacturing a semiconductor device, e.g., a solar cell, with an electrode formed from a thick film conductive composition comprising electrically conductive material, rhodium-containing additive, one or more glass frits, and an organic medium and to devices comprising such an electrode. | 12-29-2011 |
20120000519 | TRANSPARENT ELECTRICALLY CONDUCTIVE LAYER AND METHOD FOR FORMING SAME - A method for forming a transparent electrically conductive layer. The method includes providing a layer comprising cadmium, tin, and oxygen. Concentrated electromagnetic energy is directed from an energy source to at least one portion of the layer to locally heat the at least a portion of the layer. The layer is crystallized to a cadmium-tin oxide ceramic. A photovoltaic cell having the laser crystallized cadmium-tin oxide ceramic and a composition of matter are also disclosed. | 01-05-2012 |
20120000520 | THIN FILM ARTICLE AND METHOD FOR FORMING A REDUCED CONDUCTIVE AREA IN TRANSPARENT CONDUCTIVE FILMS FOR PHOTOVOLTAIC MODULES - A method for forming a reduced conductive area in transparent conductive. The method includes providing a transparent, electrically conductive, chemically reducible material. A reducing atmosphere is provided and concentrated electromagnetic energy from an energy source is directed toward a portion of the transparent, electrically conductive, chemically reducible material to form a reduced conductive area. The reduced conductive area has greater electrical conductivity than the transparent, electrically conductive, chemically reducible material. A thin film article and photovoltaic module are also disclosed. | 01-05-2012 |
20120000521 | Graphene Solar Cell And Waveguide - A solar cell includes a semiconductor portion, a graphene layer disposed on a first surface of the semiconductor portion, and a first conductive layer patterned on the graphene layer, the first conductive layer including at least one bus bar portion, a plurality of fingers extending from the at least one bus bar portion, and a refractive layer disposed on the first conductive layer. | 01-05-2012 |
20120000522 | Fabrication Of Solar Cells With Counter Doping Prevention - A solar cell fabrication process includes printing of dopant sources over a polysilicon layer over backside of a solar cell substrate. The dopant sources are cured to diffuse dopants from the dopant sources into the polysilicon layer to form diffusion regions, and to crosslink the dopant sources to make them resistant to a subsequently performed texturing process. To prevent counter doping, dopants from one of the dopant sources are prevented from outgassing and diffusing into the other dopant source. For example, phosphorus from an N-type dopant source is prevented from diffusing to a P-type dopant source comprising boron. | 01-05-2012 |
20120000523 | METAL PASTE COMPOSITION FOR FORMING ELECTRODE AND SILVER-CARBON COMPOSITE ELECTRODE AND SILICON SOLAR CELL USING THE SAME - Provided are a metal paste composition for forming an electrode, and a silver-carbon composite electrode and a silicon solar cell using the same. The metal paste composition for forming an electrode including glass frit powder, silver powder and an organic binder further includes 20 or less parts by weight, preferably 25 or less parts by weight of carbon-based material powder based on 100 parts by weight of the silver powder. Optionally, the silver powder has an average particle size of 1 μm or less. An electrode formed using the metal paste composition does not have a substantial deterioration in its electrical characteristics although the silver content is reduced. | 01-05-2012 |
20120000524 | MOISTURE RESISTANT CORD PLATE FOR A PHOTOVOLTAIC MODULE - This invention relates to a moisture resistant cord plate for a photovoltaic module, methods of manufacturing photovoltaic modules, and methods for generating electricity from photovoltaic modules. | 01-05-2012 |
20120000525 | NANOSTRUCTURED SOLAR CELLS - Improved photovoltaic devices and methods are disclosed. In one embodiment, an exemplary photovoltaic device includes a semiconductor layer and a light-responsive layer (which can be made, for example, of a semiconductor material) which form a junction, such as a p-n junction. The light-responsive layer can include a plurality of carbon nanostructures, such as carbon nanotubes, located therein. In many cases, the carbon nanostructures can provide a conductive pathway within the light-responsive layer. In other embodiments, exemplary photovoltaic devices include semiconductor nanostructures, which can take a variety of forms, in addition to the carbon nanostructures. Further embodiments include a wide variety of other configurations and features. Methods of fabricating photovoltaic devices are also disclosed. | 01-05-2012 |
20120000526 | AIR STABLE ORGANIC-INORGANIC NANOPARTICLES HYBRID SOLAR CELLS - A solar cell includes a low work function cathode, an active layer of an organic-inorganic nanoparticle composite, a ZnO nanoparticle layer situated between and physically contacting the cathode and active layers; and a transparent high work function anode that is a bilayer electrode. The inclusion of the ZnO nanoparticle layer results in a solar cell displaying a conversion efficiency increase and reduces the device degradation rate. Embodiments of the invention are directed to novel ZnO nanoparticles that are advantageous for use as the ZnO nanoparticle layers of the novel solar cells and a method to prepare the ZnO nanoparticles. | 01-05-2012 |
20120000527 | UNDERSIDE PROTECTIVE SHEET FOR SOLAR CELL, SOLAR CELL MODULE, AND GAS-BARRIER FILM - The present invention provides an underside protective sheet for solar cell that is excellent in gas barrier properties to oxygen, moisture vapor, and the like. The underside protective sheet for solar cell of the present invention contains a composite base material | 01-05-2012 |
20120006393 | Dielectric Coating For Single Sided Back Contact Solar Cells - A dielectric coating material system for use in a single-sided back contact solar cell is disclosed. The material system serves to electrically isolate electrodes of opposite polarity types on the same side of a silicon-based solar cell, and includes titanium and phosphorus. | 01-12-2012 |
20120006394 | METHOD FOR MANUFACTURING OF ELECTRICAL CONTACTS ON A SOLAR CELL, SOLAR CELL, AND METHOD FOR MANUFACTURING A REAR SIDE CONTACT OF A SOLAR CELL - In various embodiments, a method for manufacturing of electrical contacts on a solar cell is provided. The method may include forming a dielectric layer on a region to be electrically contacted; forming a first metal layer over the dielectric layer; forming electrical contacts between the first metal layer and the region to be electrically contacted through the dielectric layer by laser pulses; annealing the formed electrical contacts; and forming a second metal layer comprising a solderable material at least over a portion of the first metal layer. | 01-12-2012 |
20120006395 | COATED STAINLESS STEEL SUBSTRATE - The present disclosure relates to a method of manufacturing of a metal oxide and glass coated metal product. This invention also relates to a coated metallic substrate material that is suitable for manufacturing flexible solar cells and other articles in which a passivated stainless steel surface is desirable. | 01-12-2012 |
20120006396 | METHOD TO EVALUATE EFFECTIVENESS OF SUBSTRATE CLEANNESS AND QUANTITY OF PIN HOLES IN AN ANTIREFLECTIVE COATING OF A SOLAR CELL - A method to determine the cleanness of a semiconductor substrate and the quantity/density of pin holes that may exist within a patterned antireflective coating (ARC) is provided. Electroplating is employed to monitor the changes in the porosity of the ARC caused by the pin holes during solar cell manufacturing. In particular, electroplating a metal or metal alloy to form a metallic grid on an exposed front side surface of a substrate also fills the pin holes. The quantity/density of metallic filled pin holes (and hence the number of pin holes) in the patterned ARC can then be determined. | 01-12-2012 |
20120006397 | INTEGRATED SOLAR ROOF TILE AND METHOD FOR PRODUCING THE SAME - A integrated solar roof tile includes a curved substrate, a silicon-nitride (SiN) film, a first electrode, an amorphous silicon film, a second electrode, a conducting wire layer and a protecting film. The curved substrate includes a top surface and a cross-sectional surface. The SiN film covers the top surface of the curved substrate. The first electrode is disposed on the SiN film. The amorphous silicon film covers the SiN film and the first electrode. The second electrode is disposed on the amorphous silicon film and electrically insulating from the first electrode. The conducting wire layer covers the amorphous silicon film and is electrically connected to the second electrode. The protecting film covers on the conducting wire layer. | 01-12-2012 |
20120006398 | PROTECTIVE BACK CONTACT LAYER FOR SOLAR CELLS - The present disclosure is directed toward a thin film photovoltaic cell including a support substrate; a contact layer disposed adjacent a first side of the substrate; a p-type semiconductor layer disposed on the first side of the substrate; an n-type semiconductor layer disposed on the first side of the substrate; and a protective back side layer structure disposed adjacent a second side of the substrate, wherein the protective back side layer structure may include a corrosion resistant material. In some embodiments, the back side layer includes at least a first layer and a second layer. Additionally and/or alternatively, the back side layer may include a molybdenum alloy, wherein the molybdenum alloy may include an alloy partner selected from the group consisting of Ti, Zr, Hf, V, Nb, Ta, Al, and Si. | 01-12-2012 |
20120006399 | ANTI-REFLECTION BARRIER LAYER IN PHOTOVOLTAIC DEVICE - A photovoltaic device for photoelectric conversion of incident solar light is provided. The photoelectric device includes a transparent substrate having a substantially flat surface, and a textured layer on the flat surface of the transparent substrate to form light trapping structures. Thereafter, the photoelectric device includes a barrier layer on the textured layer. The barrier layer is impermeable to one or more fluids released by the textured layer. Further, the photoelectric device includes one or more semiconductor layers on the barrier layer. The barrier layer prevents contamination of the one or more semiconductor layers from the one or more fluids. Finally, the photoelectric device includes a cover substrate on the one or more semiconductor layers. | 01-12-2012 |
20120006400 | SOLAR MODULE DEVICE AND EDGE SEALING COATING METHOD THEREOF - A solar module device and edge sealing coating method thereof are provided, which adopt a light curable adhesive to replace conventional hot melt glue and produce an unexpected effect, so as to uniformly and precisely coat the adhesive on an edge area of a substrate assembly and further reduce the cost. | 01-12-2012 |
20120006401 | PROTECTIVE SHEET FOR SOLAR CELL MODULE, AND SOLAR CELL MODULE USING SAME - The invention relates to a solar cell module protective sheet which has two or more gas barrier films, each provided with a deposited layer formed of an inorganic oxide on at least one surface of a base film, in which a material constituting the solar cell module protective sheet is formed of a material transmitting light rays of a wavelength contributing to the generation of electric power, and the solar cell module protective sheet is used as a front sheet, a solar cell module using the solar cell module protective sheet, and the like. According to the invention, it is possible to provide a solar cell module protective sheet having high dampproofness and enabling stable long-term use of a solar cell when the solar cell module protective sheet is used as a front sheet or a back sheet of the solar cell module, and a solar cell module using the solar cell module protective sheet. | 01-12-2012 |
20120006402 | PHOTOVOLTAIC DEVICE - A photovoltaic device comprising an intermediate contact layer for which the reflection characteristics have been optimized. The photovoltaic device ( | 01-12-2012 |
20120006403 | Solar Cells With A Barrier Layer Based On Polysilazane - The invention relates to a thin-film solar cell ( | 01-12-2012 |
20120012170 | PROCESSED SILICON WAFER, SILICON CHIP, AND METHOD AND APPARATUS FOR PRODUCTION THEREOF - A silicon crystal wafer or chip, and a method for processing a substantially pure or semiconductor level doped silicon crystal wafer or chip for adapting the wafer or chip for laser beam ablation of an electrically insulating surface layer carried on the wafer or chip. A layer of amorphous silicon of a thickness substantially larger than the thickness of the naturally obtained oxide layer, the amorphous silicon being a substantially pure or semiconductor level doped grade amorphous silicon, is produced on top of a substantially clean surface of the silicon crystal wafer or chip. A layer of the electrically insulating surface layer being substantially transparent to an optical wavelength of a laser beam that is extensively absorbed in the layer of amorphous silicon, is produced on the layer of amorphous silicon. The surface of the silicon crystal wafer or chip is irradiated by a pulsed laser beam of an optical energy fluence and a pulse duration adapted to melt and evaporize the layer of amorphous silicon in an area corresponding to a footprint of the laser beam, so as to ablate a corresponding area of the electrically insulating layer. | 01-19-2012 |
20120012171 | THIN FILM SOLAR FABRICATION PROCESS, DEPOSITION METHOD FOR TCO LAYER, AND SOLAR CELL PRECURSOR LAYER STACK - Methods for manufacturing a layer stack for a thin-film solar cell and layer stacks are provided. The layer stack includes a transparent substrate having a first refraction index, a transparent conductive oxide layer comprising ZnO, wherein the transparent conductive oxide layer is deposited over the substrate and has a second refraction index, and a further layer, which is deposited between the transparent conductive oxide layer and the substrate, wherein the layer has a third refraction index in a range from the first refraction index to the second refraction index, the layer comprises a metal, and wherein the layer composition has a metal content of 0.5 to 10 weight-%. | 01-19-2012 |
20120012172 | THIN-FILM SOLAR FABRICATION PROCESS, DEPOSITION METHOD FOR TCO LAYER, AND SOLAR CELL PRECURSOR LAYER STACK - Methods of depositing a TCO layer on a substrate and precursor for solar cells are described. A method of depositing a TCO layer | 01-19-2012 |
20120012173 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a solar cell includes disposing a first doping layer on a substrate, disposing a diffusion preventing layer on the first doping layer, patterning the first doping layer and the diffusion preventing layer to expose a portion of the substrate, forming a second doping layer which is disposed on the exposed portion of the substrate on the diffusion preventing layer, diffusing an impurity from the first doping layer to form a first doping region in a surface of the substrate and diffusing an impurity from the second doping layer to form a second doping region in the surface of the substrate surface, wherein the exposed portion of the substrate formed by patterning the first doping layer and the diffusion preventing layer and a portion of the remaining first doping layer and the diffusion preventing layer which are not patterned are alternately arranged with a lattice shape, and the first doping region and the second doping region are alternately arranged with the lattice shape. | 01-19-2012 |
20120012174 | SOLAR CELL DEVICE HAVING AN AIRBRIDGE TYPE CONTACT - A solar cell device having an airbridge type contact and the method of forming the same are provided. The solar cell device includes a semiconductor layer for turning light into electric current; at least two conductive line sections for transmitting the electric current from the semiconductor layer and formed on the semiconductor layer; and an airbridge type contact interposing between the two conductive line sections and connecting thereto, wherein a space under the airbridge type contact and between the two conductive line sections is formed, and light is allowed to enter the semiconductor layer by passing through the space. | 01-19-2012 |
20120012175 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - A solar cell includes a base layer including a first conductive type impurity element, an upper surface, and a lower surface opposing the upper surface, an emitter layer disposed on the upper surface of the base layer and including a second conductive type impurity element opposing the first conductive type impurity element, a front electrode connected to the emitter layer, a first passivation layer disposed on the lower surface of the base layer, and a rear electrode disposed on the first passivation layer and connected to the base layer. The first passivation layer includes a silicon nitride group compound, and a refractive index of the silicon nitride group compound is less than about 1.96. | 01-19-2012 |
20120012176 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell includes a substrate, a doped pattern, a contact layer, and an electrode. The substrate includes a first surface onto which sunlight is incident and a second surface facing the first surface. The doped pattern is formed on the second surface of the substrate and the contact layer is formed on the doped pattern. The electrode is formed on the contact layer and is electrically connected to the doped pattern. Accordingly, a contact resistance between the substrate and the electrode may be decreased, so that the doped pattern and the electrode may be uniformly formed and a power efficiency of the solar cell may be improved. | 01-19-2012 |
20120012177 | HIGH EFFICIENT DYE-SENSITIZED SOLAR CELLS USING TiO2-MULTIWALLED CARBON NANO TUBE (MWCNT) NANOCOMPOSITE - The invention provides high efficient dye-sensitized solar cells using tio | 01-19-2012 |
20120012178 | ALUMINUM PASTE COMPOSITION AND SOLAR CELL ELEMENT USING THE SAME - An aluminum paste composition is provided, which comprises: (a) an aluminum powder, (b) a glass grit, (c) a binder, and (d) a dispersing agent. A solar cell element is further provided, which includes an electrode or wire formed by coating the aluminum paste composition on a silicon semiconductor substrate and drying and sintering it. The dispersing agent contained in the aluminum paste composition of the present invention has good moisture resistance and is capable of effectively addressing the warping problem of a solar cell and improving the adhesion between the backside aluminum paste and the silver paste of the solar cell. | 01-19-2012 |
20120012179 | SOLAR CELL - A solar cell, wherein contamination with an undesired impurity is suppressed, and solar cell characteristics are excellent. This solar cell is provided with: a semiconductor substrate having a photoreceiving surface and a back surface; a first semiconductor layer of a first conductivity type formed on a prescribed region of the back surface of the semiconductor substrate; a second semiconductor layer of a second conductivity type formed to extend over the back surface of the semiconductor substrate and the surface of the first semiconductor layer; and a cap layer formed between the first semiconductor layer and the second semiconductor layer, and containing no impurity of the first conductivity type. | 01-19-2012 |
20120012180 | BACK ELECTRODE TYPE SOLAR CELL, CONNECTING SHEET, SOLAR CELL WITH CONNECTING SHEET, SOLAR CELL MODULE, METHOD OF MANUFACTURING SOLAR CELL WITH CONNECTING SHEET, AND METHOD OF MANUFACTURING SOLAR CELL MODULE - Provided is a back electrode type solar cell in which at least one of the first conductivity type electrode and the second conductivity type electrode is provided with a shape through which a liquid material can flow; a connecting sheet in which at least one of the first conductivity type wire and the second conductivity type wire is provided with a shape through which a liquid material can flow; a solar cell with a connecting sheet using the above-described back electrode type solar cell and/or the connecting sheet; a solar cell module; a method of manufacturing the solar cell with a connecting sheet; and a method of manufacturing the solar cell module. | 01-19-2012 |
20120017978 | Energy harvesting using RF MEMS - Described herein is an energy harvesting device and a method for its fabrication for the harvesting of solar energy. Solar energy is absorbed using a photosensitive material which is coated upon a flexible membrane, which is suspended over a metal signal line of an RF MEMS structure. By controlling the discharge sequence the stored electrical charge can be transferred as high voltage pulses to an external load or rechargeable batteries. The output voltage can be adjusted by controlling the on/off frequency of operation of the switch. | 01-26-2012 |
20120017979 | DYE-SENSITIZED SOLAR CELL - A dye-sensitized solar cell is provided, which includes a seal member that is free from swelling and degradation during prolonged sealing use and highly excellent in sealability. The dye-sensitized solar cell includes: a pair of electrode substrates spaced a predetermined distance from each other with their electrically conductive electrode surfaces facing inward; a seal member disposed along peripheries of inner surfaces of the electrode substrates to seal a space between the electrode substrates; and an electrolyte solution filled in the sealed space. The seal member is composed of a material cured by photopolymerizing the following photopolymerizable composition (A), and the electrode substrates each have a portion coated with a (meth)acryloxyalkylsilane silane coupling agent in contact with the seal member. The photopolymerizable composition (A) contains a hydrogenated elastomer derivative having at least one (meth)acryloyl group at at least one of its opposite molecular terminals. | 01-26-2012 |
20120017980 | PHOTOVOLTAIC PANEL AND METHOD OF MANUFACTURING THE SAME - Disclosed herein are a photovoltaic panel and a method of manufacturing the same. The panel includes a front substrate, a photovoltaic cell on the front substrate, a moisture absorbing layer covering the cell to protect the cell from moisture intrusion, a back substrate on the moisture absorbing layer, and a sealant between the substrates. The method includes the steps of forming the photovoltaic cell on the front substrate, applying the moisture absorbing layer covering the cell, applying the sealant at or near the edges of the front substrate, and securing the back substrate to the front substrate. | 01-26-2012 |
20120017981 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell and a method for manufacturing the solar cell are discussed. The solar cell includes a substrate, an emitter layer formed at an incident surface of the substrate, a first electrode part connected to the emitter layer, and a textured surface positioned on the incident surface of the substrate, at which the emitter layer is formed. The textured surface includes a plurality of depressions. A surface of an area of the substrate, on which the first electrode part is formed, is a flat surface not including the plurality of depressions. | 01-26-2012 |
20120017982 | Thin Film Silicon Solar Cell and Manufacturing Method Thereof - A thin film silicon solar cell and a manufacturing method thereof. The thin film silicon solar cell comprises a glass substrate, a first electrode layer, a light absorbing layer, a second electrode layer, and a metal electrode layer sequentially stacked on top of one another. The second electrode layer has a texture surface and concavities formed on the texture surface, and each of the concavities has a width falling within a range of 100 nm-1600 nm and a depth less than 800 nm. | 01-26-2012 |
20120017983 | BUFFER LAYER FORMATION - Manufacturing a photovoltaic device can include a vapor transport deposition process. | 01-26-2012 |
20120017984 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device is provided, which comprises a first electrode, crystalline semiconductor particles, a semiconductor layer, and a second electrode. The crystalline semiconductor particles of which adjacent particles are fusion-bonded, the crystalline semiconductor particles have a first conductivity type, and the semiconductor layer has a second conductivity type which is different from the first conductivity type. | 01-26-2012 |
20120017985 | Solar Cells With An Encapsulating Layer Based On Polysilazane - The invention relates to a thin-film solar cell ( | 01-26-2012 |
20120017986 | PHOTOVOLTAIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - The method includes: steps of forming an n-type diffusion layer having an n-type impurity diffused thereon at a first surface side of a p-type silicon substrate; forming a reflection prevention film on the n-type diffusion layer; forming a back-surface passivation film made of an SiONH film on a second surface of the silicon substrate; forming a paste material containing silver in a front-surface electrode shape on the reflection prevention film; forming a front surface electrode that is contacted to the n-type diffusion layer by sintering the silicon substrate; forming a paste material containing a metal in a back-surface electrode shape on the back-surface passivation film; and forming a back surface electrode by melting a metal in the paste material by irradiating laser light onto a forming position of the back surface electrode and by solidifying the molten metal. | 01-26-2012 |
20120024365 | SOLAR ENERGY SYSTEMS - A photovoltaic cell with enhanced transmissivity of infrared radiation. The photovoltaic cell includes a layer of photovoltaic material ( | 02-02-2012 |
20120024366 | Thin film solar cell structure and fabricating method thereof - A thin film solar cell structure and the fabricating method thereof are disclosed. A passivation layer is embedded into the thin film solar cell structure to be in contact with an absorbing layer. The interface trap density of the absorbing layer is reduced by the surface electric field of the passivation layer. The invention helps improve the power conversion efficiency and protect the absorbing layer. | 02-02-2012 |
20120024367 | ELECTRODE FOR PHOTOELECTRIC CONVERSION DEVICE, METHOD OF PREPARING THE SAME AND PHOTOELECTRIC CONVERSION DEVICE COMPRISING THE SAME - An electrode for a photoelectric conversion device, a method of preparing the same and a photoelectric conversion device comprising the same. In one embodiment, an electrode for a photoelectric conversion device includes a transparent conductive layer, a metal electrode layer and a protection layer. The transparent conductive layer is formed on a substrate to have spacing regions formed at a set interval. The metal electrode layer is formed in a corresponding one of the spacing regions. The protection layer is formed on the transparent conductive layer and the metal electrode layer to coat the metal electrode layer. Accordingly, the shape of a protruded electrode is improved, thereby enhancing the reliability of products through a simple process. | 02-02-2012 |
20120024368 | BACK CONTACTING AND INTERCONNECTION OF TWO SOLAR CELLS - Method for producing back contacts on silicon solar cells and an interconnection between silicon solar cells where the front surface has been fully treated and the back surface has been processed to the point where the said solar cells can be contacted on the back surface. The method further includes: a) attaching the solar cells onto a transparent superstrate, thereby forming a structure, b) depositing a passivating layer onto the back surface of the structure, c) depositing a silicon material layer onto the back surface of the structure, d) separating the silicon material layer by first areas, e) providing contact sites in areas, f) depositing a metal layer onto the back surface of the structure, g) heating the structure to form silicide, h) optionally opening the metal layer in areas, and i) depositing metal onto the silicide. Device includes solar cells with back contacts and interconnections produced by the method. | 02-02-2012 |
20120024369 | PHOTO-CHEMICAL SOLAR CELL WITH NANONEEDLE ELECTRODE AND METHOD MANUFACTURING THE SAME - A photo-chemical solar cell with nanoneedle electrode and a method manufacturing the same includes at least a working electrode, a counter electrode, an electrolyte layer and a photosensitized dye layer. The working electrode is an nanoneedle electrode formed from an nanoneedle semiconductor layer, wherein the nanoneedle semiconductor layer is prepared by sol-gel method at a low temperature to increase the specific surface area, adsorb more dye, increase the conductive ratio of the electrode, and thus improve the photo-current and the conversion efficiency. | 02-02-2012 |
20120024370 | Wafer Type Solar Cell and Method for Manufacturing the Same - Disclosed is a wafer type solar cell and a method for manufacturing the same, which facilitates to enhance hole-collecting efficiency, and to improve cell efficiency by preventing transmittance of solar ray from being lowered, the wafer type solar cell comprising a first semiconductor layer of a semiconductor wafer; a second semiconductor layer doped with P-type dopant, wherein the second semiconductor layer is formed on one surface of the first semiconductor layer, on which solar ray is incident; a third semiconductor layer doped with N-type dopant, wherein the third semiconductor layer is formed on the other surface of the first semiconductor layer; a first passivation layer on the second semiconductor layer; a second passivation layer on the third semiconductor layer; a first electrode connected with the second semiconductor layer; and a second electrode connected with the third semiconductor layer. | 02-02-2012 |
20120024371 | BACK ELECTRODE-TYPE SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - The present invention aims to provide a back electrode-type solar cell having improved conversion efficiency and reliability, and a method of manufacturing the back electrode-type solar cell having a reduced number of steps of forming an electrode and using a conductive paste. The back electrode-type solar cell of the present invention includes on one surface of a semiconductor substrate of a first conductivity type, a first doped region identical in conductivity type to the first conductivity type and a second doped region of a second conductivity type different from the first conductivity type, and a first electrode formed on the first doped region and a second electrode formed on the second doped region. Each of the first electrode and the second electrode is a fired electrode, and at least the first electrode of the first electrode and the second electrode includes a conductive coating layer on a surface thereof. | 02-02-2012 |
20120031479 | THIN FILM SOLAR FABRICATION PROCESS, DEPOSITION METHOD FOR TCO LAYER, AND SOLAR CELL PRECURSOR LAYER STACK - Methods and devices for manufacturing a TCO layer of a thin film solar cell over a transparent substrate are described. Thereby, a first ZnO-containing layer is puttered with a sputtering method selected from the group consisting of: DC-sputtering, MF-sputtering, pulsed-sputtering, and combinations thereof, over the substrate with a first set of deposition parameters, a second ZnO-containing layer is puttered with a sputtering method selected from the group consisting of: DC-sputtering, MF-sputtering, pulsed-sputtering, and combinations thereof, over the first ZnO-containing layer with a second set of deposition parameters, at least one of the deposition parameters of the second set of deposition parameters is different from the corresponding parameter of the first set of deposition parameters; and the second ZnO-containing layer is textured. | 02-09-2012 |
20120031480 | Current collection system for a photovoltaic cell - The present invention provides a photovoltaic cell having an improved current collection system. A photovoltaic cell includes a back contact substrate, a layer of photovoltaic material deposited over the back contact substrate, a front contact layer deposited over the photovoltaic material, and a current collection system. The current collection system includes a conductive wire having a loop portion. The conductive wire is attached to the front contact layer and at least one busbar. The at least one busbar is attached to end portions of the photovoltaic cell. | 02-09-2012 |
20120031481 | CONDUCTIVE PASTE AND ELECTRONIC DEVICE AND SOLAR CELL INCLUDING AN ELECTRODE FORMED USING THE CONDUCTIVE PASTE - A conductive paste including a conductive powder, a metallic glass having a supercooled liquid region, and an organic vehicle. | 02-09-2012 |
20120031482 | PHOTOVOLTAIC CELL AND METHODS FOR PRODUCING A PHOTOVOLTAIC CELL - A photovoltaic device is provided that includes a substrate, a first transparent conductive layer positioned on the substrate, a plurality of transparent conductive rods positioned on the first transparent conductive layer and having a growth direction, the growth direction extending in a direction away from the substrate, a photovoltaically active layer covering the plurality of transparent conductive rods rods and a conductive layer positioned on the photovoltaically active layer. | 02-09-2012 |
20120031483 | DYE-SENSITIZED SOLAR CELL AND PROCESS FOR PRODUCTION THEREOF - A photoelectric conversion element including a dye-sensitized solar cell is provided. The photoelectric conversion element may include an electrode having a titanium oxide layer containing spindle-shaped particles of titanium oxide of anatase type. A process for manufacturing the photoelectric conversion device is also provided. The process may include steps of providing a transparent conductive layer, forming a titanium oxide layer containing particles of peroxo-modified titanium oxide of anatase type adjacent to the transparent conductive layer, and baking the titanium oxide layer. Forming the titanium oxide layer may include forming a porous titanium oxide layer and dipping the porous titanium oxide layer in a dispersion containing particles of peroxo-modified titanium oxide of anatase type to the porous titanium oxide layer. Alternatively, forming the titanium oxide layer may include applying a titanium oxide paste containing particles of peroxo-modified titanium oxide of anatase type to the transparent conductive layer. | 02-09-2012 |
20120031484 | CONDUCTIVE PASTE FOR A SOLAR CELL ELECTRODE - The invention relates to a method of manufacturing a solar cell electrode comprising steps of: (a) preparing a semiconductor substrate comprising a negative layer, a positive layer and passivation layers formed on the negative layer and the positive layer; (b) applying a conductive paste onto the passivation layer(s) formed on the positive layer, on the negative layer, or on both of the positive layer and the negative layer, wherein the conductive paste comprises; (i) a conductive powder; (ii) a glass frit comprising 45 to 81 mole percent (mol %) of PbO, 1 to 38 mol % of SiO | 02-09-2012 |
20120031485 | GAS BARRIER FILM AND ELECTRONIC DEVICE - Disclosed is a gas barrier film, which demonstrates superior gas barrier properties and surface smoothness, demonstrates a high degree of adhesion between layers and is resistant to cracking when bent, and an electronic device provided therewith. A gas barrier film of the present invention has a base, and a polyorganosiloxane layer and an inorganic material layer sequentially provided on at least one side of the base, and the inorganic material layer is deposited by dynamic ion mixing method. | 02-09-2012 |
20120031486 | Nanoparticle Plasmon Scattering Layer for Photovoltaic Cells - The present invention relates to nanoparticle compositions for use in photovoltaic cells. Nanoparticles are utilized to provide increased scattering and also wavelength shifting to increase the efficiency of the photovoltaic cells. Exemplary nanoparticles include colloidal metal and fluorescent nanoparticles. | 02-09-2012 |
20120031487 | Nanoscale High-Aspect-Ratio Metallic Structure and Method of Manufacturing Same - Nanoscale high-aspect-ratio metallic structures and methods are presented. Such structures may form transparent electrode to enhance the performance of solar cells and light-emitting diodes. These structures can be used as infrared control filters because they reflect high amounts of infrared radiation. A grating structure of polymeric bars affixed to a transparent substrate is used. The sides of the bars are coated with metal forming nanowires. Electrodes may be configured to couple to a subset of the rails forming interdigitated electrodes. Encapsulation is used to improve transparency and transparency at high angles. The structure may be inverted to facilitate fabrication of a solar cell or other device on the back-side of the structure. Multiple layered electrodes having an active layer sandwiched between two conductive layers may be used. Layered electro-active layers may be used to form a smart window where the structure is encapsulated between glass to modify the incoming light. | 02-09-2012 |
20120037218 | Electrode for photoelectric conversion device, method of preparing the same and photoelectric conversion device comprising the same - An electrode for a photoelectric conversion device, a method of preparing the same and a photoelectric conversion device including the same. In one embodiment, an electrode for a photoelectric conversion device includes a transparent conductive layer, a metal electrode layer and an intermediate electrode layer. The transparent conductive layer is formed on a substrate. The metal electrode layer is disposed on the transparent conductive layer to have a pattern. The intermediate electrode layer is interposed between the transparent conductive layer and the metal electrode layer to join the transparent conductive layer and the metal electrode layer. Accordingly, the photoelectric conversion device is enhanced. | 02-16-2012 |
20120037219 | SOLAR CELL - A solar cell and a method for manufacturing the same are discussed. The solar cell includes a substrate of a first conductive type, an emitter layer of a second conductive type opposite the first conductive type, a plurality of first electrodes each including a first electrode layer connected to the emitter layer and a second electrode layer positioned on the first electrode layer, at least one first current collector connected to the plurality of first electrodes, and a second electrode connected to the substrate. The emitter layer forms a p-n junction along with the substrate. The first electrode layer has a first width and the second electrode layer has a second width less than the first width of the first electrode layer. | 02-16-2012 |
20120037220 | CONDUCTIVE PASTE AND ELECTRONIC DEVICE AND SOLAR CELL INCLUDING AN ELECTRODE FORMED USING THE CONDUCTIVE PASTE - A conductive paste including a conductive powder, a metallic glass, and an organic vehicle, wherein the metallic glass has a resistivity that is decreased when the metallic glass is heat treated at a temperature that is higher than a glass transition temperature of the metallic glass. | 02-16-2012 |
20120037221 | CONDUCTIVE PASTE AND ELECTRONIC DEVICE AND SOLAR CELL INCLUDING AN ELECTRODE FORMED USING THE CONDUCTIVE PASTE - A conductive paste including a conductive powder, a metallic glass, and an organic vehicle, wherein the metallic glass includes an alloy of at least two elements selected from an element having a low resistivity, an element which forms a solid solution with the conductive powder, or an element having a high oxidation potential, wherein the element having a low resistivity has a resistivity of less than about 100 microohm-centimeters, and the element having a high oxidation potential has an absolute value of a Gibbs free energy of oxide formation of about 100 kiloJoules per mole or greater. | 02-16-2012 |
20120037222 | Smart Photovoltaic Assembly and Photovoltaic System - A smart photovoltaic assembly and a photovoltaic system are provided. The smart photovoltaic assembly comprises a photovoltaic assembly main body, an installation plate disposed on a back surface of the photovoltaic assembly main body, and a current leading terminal connector provided on the installation plate for leading an electric current line from the photovoltaic assembly main body, wherein the current leading terminal connector has a first connector interface adapted for connecting with a second connector interface of a complementary electronic device. The current leading terminal connector is in electrical connection with the complementary electronic device by mutual connection of the first connector interface and the second connector interface. The photovoltaic system comprises the above mentioned smart photovoltaic assembly, wherein the electronic device may be a diode module, an electric voltage converting device, a monitor or other types of electronic devices according to the need of a user. | 02-16-2012 |
20120037223 | BINDER RESIN FOR CONDUCTIVE PASTE, CONDUCTIVE PASTE, AND SOLAR CELL ELEMENT - An object of the present invention is to provide a binder resin for a conductive paste, which can be used to obtain a conductive paste having a high conductive powder dispersibility, an ability to form high-aspect-ratio lines, and a low residual carbon content after firing. Further objects of the invention are to provide a conductive paste and a solar cell element produced using such a binder resin for a conductive paste. | 02-16-2012 |
20120037224 | SOLAR BATTERY CELL AND METHOD OF MANUFACTURING THE SAME - A solar battery cell including: a semiconductor substrate; front-surface asperities formed on the principal surface on a light-receiving side of the semiconductor substrate; a semiconductor layer having a conductive type and formed along the front-surface asperities; and an anti-reflection film formed on the light-receiving side of the semiconductor layer, a passivation film is formed on the principal surface on the back-surface side of the semiconductor substrate, and at least one opening is provided in the passivation film. A first back-surface electrode is formed on the passivation film so as to overlap the entire area occupied by the opening and to cover the opening, and a second back-surface electrode is formed on the passivation film so as to overlap the entire area occupied by the first back-surface electrode and to cover the first back-surface electrode. | 02-16-2012 |
20120037225 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell and a method of fabricating the same. The solar cell includes a substrate, a rear electrode layer provided on the substrate, a light absorbing layer provided on the rear electrode layer, and a front electrode layer provided on the light absorbing layer, wherein the front electrode layer includes, a first conductive layer provided on the light absorbing layer, and a second conductive layer provided on the first conductive layer. | 02-16-2012 |
20120037226 | SEMICONDUCTOR SUBSTRATE - A semiconductor substrate includes a substrate, at least a semiconductor layer, a first anti-reflection layer, and a second anti-reflection layer. The semiconductor layer is disposed on the substrate. The first anti-reflection layer is disposed on the semiconductor layer. The second anti-reflection layer is disposed on the first anti-reflection layer. The second anti-reflection layer is a discontinuous layer with the capability of photon conversion. | 02-16-2012 |
20120042939 | ELECTRODE OF SOLAR CELL AND FABRICATION METHOD - This invention discloses an electrode of a solar cell electrically connected to a conductive element via a connect structure. The electrode of the solar cell includes a dielectric structure including one or more openings and located on a contact electrode. The connect structure is disposed within the openings to avoid horizontally diffusing into the contact electrode. | 02-23-2012 |
20120042940 | THIN FILM SOLAR CELLS AND METHOD OF MANUFACTURING THE SAME - A thin film solar cell comprises a substrate, an inorganic layer disposed on the substrate and having a plurality of unevenness, a first electrode disposed on the inorganic layer and having a plurality of second unevenness, an absorbing layer disposed on the first electrode, and a second electrode disposed on the absorbing layer. | 02-23-2012 |
20120042941 | Back-Side Contact Solar Cell - A back-side contact solar cell has a semiconductor layer ( | 02-23-2012 |
20120042942 | SOLAR CELL HAVING A BUFFER LAYER WITH LOW LIGHT LOSS - Provided is a solar cell that includes: a substrate; a first electrode disposed on the substrate; a light absorbing layer disposed on the first electrode; a buffer layer disposed on the light absorbing layer; and a second electrode disposed on the buffer layer, wherein the buffer layer contains a compound represented by one of the following Formulas 1 and 2: | 02-23-2012 |
20120042943 | BACKSHEET FOR A PHOTOVOLTAIC MODULE - Disclosed herein is a backsheet for a photovoltaic member. The backsheet includes a weather-resistant layer, a first adhesive layer and an insulating layer. The weather-resistant layer contains chlorinated polyethylene, and the content of the chlorinated polyethylene is at least 50% by weight of the weather-resistant layer. The weather-resistant layer is situated at an outmost surface of the backsheet. The insulating layer may prevent an electric current generated by the photovoltaic member from leakage through the backsheet. The first adhesive layer is disposed between the weather-resistant layer and the insulating layer. | 02-23-2012 |
20120042944 | PHOTOVOLTAIC PANEL WITH FLEXIBLE SUBSTRATE AND OPTICAL PRISM LAYER - A photovoltaic panel includes a flexible substrate, an optical prism layer, and a photoelectric layer disposed between the plastic flexible substrate and the optical prism layer. The optical prism layer includes a transparent layer and a plurality of prisms attached to the transparent layer and disposed between the transparent layer and photoelectric layer. | 02-23-2012 |
20120042945 | SOLAR CELL - A solar cell is discussed. The solar cell includes a substrate having a first conductivity type and made of a crystalline semiconductor; an emitter region having a second conductivity type opposite the first conductivity type, and forming a p-n junction with the substrate; a surface field region having the first conductivity type and being separated from the emitter region; a first electrode connected to the emitter region; and a second electrode connected to the surface field region, wherein at least one of the emitter region and the surface field region includes a plurality of semiconductor portions, and at least one of the plurality of semiconductor portion is a crystalline semiconductor portion. | 02-23-2012 |
20120042946 | SOLAR CELL EQUIPPED WITH ELECTRODE HAVING MESH STRUCTURE, AND PROCESS FOR MANUFACTURING SAME - The embodiment provides a solar cell and a manufacturing process thereof. The solar cell is equipped with an electrode on the light incident surface side; and the electrode has both low resistivity and high transparency, can efficiently utilize solar light for excitation of carriers, and can be made of inexpensive materials. The solar cell comprises a photoelectric conversion layer, a first electrode layer arranged on the light incident surface side, and a second electrode layer arranged opposed to the first electrode layer. The first electrode layer has a thickness in the range of 10 to 200 nm, and has plural penetrating openings. Each of the individual openings occupies an area in the range of 80 nm | 02-23-2012 |
20120042947 | METHODS AND APPARATUS FOR MANUFACTURING MONOCRYSTALLINE CAST SILICON AND MONOCRYSTALLINE CAST SILICON BODIES FOR PHOTOVOLTAICS - Methods and apparatuses are provided for casting silicon for photovoltaic cells and other applications. With such methods and apparatuses, a cast body of monocrystalline silicon may be formed that is free of, or substantially free of, radially-distributed impurities and defects and having at least two dimensions that are each at least about 35 cm is provided. | 02-23-2012 |
20120042948 | THIN-FILM SOLAR CELL AND MANUFACTURE METHOD THEREOF - A thin-film solar cell and a manufacture method thereof are provided. The thin-film solar cell comprises a transparent substrate, a first transparent conductive layer, a photovoltaic layer, a second transparent conductive layer and a light reflecting structure. The transparent substrate has a light incident surface and a back surface opposite to the light incident surface. The first transparent conductive layer is disposed on the back surface of the transparent substrate. The photovoltaic layer is disposed on the first transparent conductive layer. The second transparent conductive layer is disposed on the photovoltaic layer. The light reflecting structure is disposed on the second transparent conductive layer. The manufacture method forms the light reflecting structure having a texture structure on the thin film to enhance utilization of light beams in the thin-film solar cell so as to further improve photoelectric conversion efficiency of the thin-film solar cell. | 02-23-2012 |
20120055543 | BACK CONTACT DIFFUSION BARRIER LAYERS FOR GROUP IBIIIAVIA PHOTOVOLTAIC CELLS - The present invention provides for new ohmic contact materials and diffusion barriers for Group IBIIIAVIA based solar cell structures, which eliminate two way diffusion while preserving the efficient ohmic contacts between the substrate and the absorber layers. | 03-08-2012 |
20120055544 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell with improved energy efficiency is presented. The solar cell includes a substrate having a plurality of cell areas separated by a cell separation area, back electrodes spaced apart from each other by a gap, a light absorbing layer, a transparent electrode layer, and a buffer layer. Each of the back electrodes is disposed over neighboring cell areas and a cell separation area. The light absorbing layer is disposed on the back electrodes and in the gap to absorb incident light. A contact hole extends through the light absorbing layer to a portion of the back electrodes. The transparent electrode layer disposed on the light absorbing layer connects to the back electrodes through the contact hole. The buffer layer is disposed between the light absorbing layer and the transparent electrode layer to cover upper and side surfaces of the light absorbing layer. | 03-08-2012 |
20120055545 | CONDUCTIVE ADHESIVE MEMBER AND SOLAR CELL MODULE - A conductive adhesive member includes a conductive region continuously exposed along one direction, and an adhesive region exposed along the conductive region. | 03-08-2012 |
20120055546 | METHOD FOR FORMING STRUCTURES IN A SOLAR CELL - A conductive contact pattern is formed on a surface of solar cell by forming a thin conductive layer over at least one lower layer of the solar cell, and ablating a majority of the thin conductive layer using a laser beam, thereby leaving behind the conductive contact pattern. The laser has a top-hat profile, enabling precision while scanning and ablating the thin layer across the surface. Heterocontact patterns are also similarly formed. | 03-08-2012 |
20120055547 | HIGH-EFFICIENCY SOLAR CELL STRUCTURES AND METHODS OF MANUFACTURE - Solar cells of varying composition are disclosed, generally including a central substrate, conductive layer(s), antireflection layers(s), passivation layer(s) and/or electrode(s). Multifunctional layers provide combined functions of passivation, transparency, sufficient conductivity for vertical carrier flow, the junction, and/or varying degrees of anti-reflectivity. Improved manufacturing methods including single-side CVD deposition processes and thermal treatment for layer formation and/or conversion are also disclosed. | 03-08-2012 |
20120055548 | SOLAR BATTERY CELL AND PRODUCTION METHOD THEREOF - A method for producing a solar battery cell, includes: a first step of forming an insulating film on one face side of a semiconductor substrate; a second step of forming an electrode forming groove in an electrode forming region on the insulating film; a third step of printing an electrode printing paste including metal particles as a main component to a width that covers the electrode forming groove and a region sandwiching the electrode forming groove on the insulating film and that is wider than a width of the electrode forming groove, and then drying the electrode printing paste; and a fourth step of forming an electrode with the width of the electrode forming groove by firing the electrode paste at a temperature that is equal to or higher than a melting point of the metal particles or that is equal to or higher than a eutectic temperature, and accumulating and solidifying the electrode paste on the electrode forming groove. | 03-08-2012 |
20120055549 | DYE-SENSITIZED SOLAR CELL - There is provided a dye-sensitized solar cell high in power generation efficiency. | 03-08-2012 |
20120060909 | TRANSPARENT ELECTRODE SUBSTRATE AND PHOTOELECTRIC CONVERSION ELEMENT - A transparent electrode substrate includes: a substrate having translucency; a base layer that is laminated on the substrate and includes a surface on which lattice-like grooves are formed; a lattice-like metal wiring layer that is formed by embedding a metallic material into the grooves; a conductive oxide layer that is laminated on the base layer such that the conductive oxide layer is electrically connected to the metal wiring layer, the conductive oxide layer being formed of a first transparent conducting oxide having a first specific resistance; and an inorganic protective layer that is laminated on the conductive oxide layer and formed of a second transparent conducting oxide having acid resistance and a second specific resistance larger than the first specific resistance. | 03-15-2012 |
20120060910 | ELECTRODE STRUCTURE, METHOD AND APPLICATIONS - An organic photovoltaic cell structure and a method for fabricating the organic photovoltaic cell structure are each predicated upon an organic photovoltaic material layer located and formed interposed between an anode and a cathode. The organic photovoltaic cell structure and the method for fabricating the organic photovoltaic cell structure also include for the anode a nickel and indium doped tin oxide material layer (Ni-ITO) that has a nickel doping sufficient to provide a work function of the nickel and indium doped tin oxide material layer (Ni-ITO) anode preferably no more positive than about −5.0 eV. Such a composition of the nickel and indium doped tin oxide material layer (Ni-ITO) anode provides for a superior bandgap matching to a B3HT p-type donor component within a B3HT:BPCM BHJ organic photovoltaic material layer while also providing a greater bandgap separation of an aluminum material layer (Al) cathode to provide for enhanced electric field and charge carrier transport and collection capabilities of an organic photovoltaic cell device that derives from the organic photovoltaic cell structure. | 03-15-2012 |
20120060911 | SOLAR CELL WITH ELECTROPLATED METAL GRID - One embodiment of the present invention provides a method for fabricating solar cells. During operation, an anti-reflection layer is deposited on top of a semiconductor structure to form a photovoltaic structure, and a front-side electrode grid comprising a metal stack is formed on top of the photovoltaic structure. The metal stack comprises a metal-adhesive layer comprising Ti or Ta, and a conducting layer comprising Cu or Ag situated above the metal-adhesive layer. | 03-15-2012 |
20120060912 | METHOD OF FORMING CONDUCTIVE ELECTRODE STRUCTURE AND METHOD OF MANUFACTURING SOLAR CELL WITH THE SAME, AND SOLAR CELL MANUFACTURED BY THE METHOD OF MANUFACTURING SOLAR CELL - The present invention provides a method of forming a conductive electrode structure including: applying a conductive paste on a substrate; forming a conductive pattern having an outwardly convex shape by heat-treating the conductive paste; and forming a solder layer to conformally cover the conductive pattern. | 03-15-2012 |
20120060913 | WHISPERING GALLERY SOLAR CELLS - This disclosure relates to structures for the conversion of light into energy. More specifically, the disclosure describes devices for conversion of light to electricity using photovoltaic cells layered with a nanostructure that resonates and undergoes Whispering Gallery Mode. | 03-15-2012 |
20120060914 | COPLANAR TYPE PHOTOVOLTAIC CELL AND METHOD FOR FABRICATING THE SAME - A coplanar type photovoltaic cell and a method for fabricating the same are provided. The coplanar type cell includes: a semiconductor substrate having a front surface and a back surface; and an anode stack and a cathode stack isolated from each other and formed on the back surface of the semiconductor substrate. | 03-15-2012 |
20120060915 | METHOD FOR PLASMA TEXTURING - A dry etching method for texturing a surface of a substrate is disclosed. The method includes performing a first dry etching onto the surface of the substrate thereby forming a surface texture with spikes and valleys, the first dry etching comprising etching the surface of the substrate in a plasma comprising fluorine (F) radicals and oxygen (O) radicals, wherein the plasma comprises an excess of oxygen (O) radicals. The method may further include performing a second dry etching onto the surface texture thereby smoothening the surface texture, the second dry etching comprising chemical isotropic etching the surface texture, obtained after the first dry etching, in a plasma comprising fluorine (F) radicals, wherein the spikes are etched substantially faster than the valleys. | 03-15-2012 |
20120060916 | FRONT ELECTRODE FOR USE IN PHOTOVOLTAIC DEVICE AND METHOD OF MAKING SAME - This invention relates to a front electrode/contact for use in an electronic device such as a photovoltaic device. In certain example embodiments, the front electrode of a photovoltaic device or the like includes a multilayer coating including at least one transparent conductive oxide (TCO) layer (e.g., of or including a material such as tin oxide, ITO, zinc oxide, or the like) and/or at least one conductive substantially metallic IR reflecting layer (e.g., based on silver, gold, or the like). In certain example instances, the multilayer front electrode coating may include one or more conductive metal(s) oxide layer(s) and/or one or more conductive substantially metallic IR reflecting layer(s) in order to provide for reduced visible light reflection, increased conductivity, cheaper manufacturability, and/or increased infrared (IR) reflection capability. | 03-15-2012 |
20120060917 | SOLAR CELL - A solar cell is provided comprising a substrate, a first insulating layer on a first surface of the substrate, the first insulating layer having a plurality of first openings that expose portions of the substrate, and a plurality of first electrodes electrically connected to the substrate through the first openings, wherein one or more of the first electrodes are configured so that a width of an upper portion located on the first insulating layer is wider than a width of a lower portion located in a corresponding first opening. | 03-15-2012 |
20120067412 | SOLDER BONDING ENTITIES TO SOLID MATERIAL - Methods and apparatus are provided for solder bonding entities to solid materials. One or more through apertures are formed in a solid material. Solder paste is introduced into each through aperture. Respective entities having solderable surface features are disposed in overlying alignment with the through apertures. The arrangement is heated causing molten solder paste to wet the solderable surface features and the solid material. Cooling results in the electrical and mechanical bonding of the entities to the solid material. Devices having substantially planar form factors and without lead wires can be electrically and mechanically secured to a supporting conductive stratum. | 03-22-2012 |
20120067413 | SOLAR CELLS AND METHODS OF FORMING THE SAME - Provided are solar cells and methods of forming the same. The solar cell includes an anti-reflection layer on a substrate, a first electrode on the anti-reflection layer, a photo-electro conversion layer on the first electrode, and a second electrode on the photo-electro conversion layer. | 03-22-2012 |
20120067414 | CdZnO OR SnZnO BUFFER LAYER FOR SOLAR CELL - A structure for use in a photovoltaic device is disclosed, the structure includes a substrate, a buffer material, a barrier material in contact with the substrate; and a transparent conductive oxide between the buffer material and the barrier material. The buffer material comprises at least one of CdZnO and SnZnO. The structure can be included in a photovoltaic device. Methods for forming the structure are also disclosed. | 03-22-2012 |
20120067415 | GLASS COMPOSITION, ELECTRICALLY CONDUCTIVE PASTE COMPOSITION COMPRISING SAME, ELECTRODE WIRING MEMBER, AND ELCTRONIC COMPONENT - A glass composition according to the present invention comprises: phosphorus, vanadium and at least one transition metal selected from a group consisting of tungsten, iron, and manganese, the glass composition not containing substances included in the JIG level A and B lists, a softening point of the glass composition being 550° C. or lower. | 03-22-2012 |
20120067416 | Photovoltaic Device - Disclosed is a photovoltaic device that includes: a substrate; a first electrode disposed on the substrate; a photoelectric transformation layer disposed on the first electrode, the photoelectric transformation layer comprising a light absorbing layer which comprises at least one pair of an intrinsic first sub-layer and an intrinsic second sub-layer, each of which comprises hydrogenated amorphous silicon and hydrogenated proto-crystalline silicon; and a second electrode disposed on the photoelectric transformation layer; wherein a thickness ratio between the first sub-layer and the second sub-layer in each of the pair is constant. | 03-22-2012 |
20120067417 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell containing an electrode, wherein the electrode is formed by firing a conductive paste, wherein the conductive paste includes an organic binder, a solvent, conductive particles, glass frits and a compound containing Al, Ga, In or Tl. A method for producing a solar cell by forming an electrode by firing a conductive paste, wherein the conductive paste includes an organic binder, a solvent, conductive particles, glass frits and a compound containing Al, Ga, In or Tl. | 03-22-2012 |
20120073640 | PULSED PHOTOTHERMAL PHASE TRANSFORMATION CONTROL FOR TITANIUM OXIDE STRUCTURES AND REVERSIBLE BANDGAP SHIFT FOR SOLAR ABSORPTION - A method for bandgap shift and phase transformation for titania structures. The method can include providing a flexible substrate, depositing a titania film onto the substrate, and exposing the titania film to one or more pulses of infrared energy of sufficient energy density and for a sufficient time to crystallize the titania film to predominantly anatase crystalline phase. The flexible substrate can be formed from a polymeric material, and the method can achieve a bandgap shift from greater than 3.0 eV to approximately 2.4 eV. The method can also include forming a crystalline titania layer over a substrate and annealing the crystalline titania layer by applying pulsed thermal energy sufficient to modify the phase constitution of the crystalline titania layer. The source of pulsed thermal energy can include an infrared flashlamp or laser, and the resulting titania structure can be used with photovoltaic and photoelectrolysis systems. | 03-29-2012 |
20120073641 | Solar cell apparatus having the transparent conducting layer with the structure as a plurality of nano-level well-arranged arrays - The invention discloses an apparatus for enhancing light absorption of solar cells and photodetectors by diffraction. The invention comprises the structure as the plurality of nano-level well-arranged arrays with a plurality of certain defect areas including the shapes of rod, tapered-cone, and cone, which diffracts incident light to oblique angles for light trapping. Surface reflection can also be reduced for either broadband or narrow band spectral absorption. The increased contact area between the transparent conducting layer and photoactive layer is beneficial for current extraction, which increases the internal quantum efficiency (IQE). | 03-29-2012 |
20120073642 | WORKING ELECTRODE, METHOD FOR FABRICATING THE SAME AND DYE-SENSITIZED SOLAR CELL CONTAINING THE SAME - The present invention provides a method for fabricating a working electrode. The method comprises the following steps: providing a photoelectrode, which comprises a conductive substrate with a semiconductor material; providing a dye solution, which comprises a dye dissolved in a solvent; and applying a voltage for conducting an electrophoresis to adsorb said dye onto a surface of said semiconductor material. The method of present invention makes the dye adsorbed fast to a surface of a semiconductor material by electrophoresis, and therefore, significantly reduces the time for fabricating a dye-sensitized solar cell. | 03-29-2012 |
20120073643 | DYE-SENSITIZED SOLAR CELL AND PROCESS FOR MANUFACTURING THE SAME - A dye-sensitized solar cell is provided, wherein it can be produced by a relatively easy and simple process and ensures high conversion efficiency even in cases where the thickness of the porous semiconductor layer is increased. The dye-sensitized solar cell | 03-29-2012 |
20120073644 | Photovoltaic Device - Disclosed is a photovoltaic device that includes: a substrate; a first electrode disposed on the substrate; a photoelectric transformation layer disposed on the first electrode, the photoelectric transformation layer comprising a light absorbing layer which comprises at least one pair of an intrinsic first sub-layer and an intrinsic second sub-layer, each of which comprises hydrogenated amorphous silicon based material and hydrogenated proto-crystalline silicon based material having a crystalline silicon grain; and a second electrode disposed on the photoelectric transformation layer. | 03-29-2012 |
20120073645 | Solar Cell Apparatus and Method of Manufacturing the Same - Provided are a solar cell apparatus and a method of manufacturing the same. The solar cell apparatus includes: a substrate; a back electrode layer on the substrate; a light absorbing layer on the back electrode layer; and a front electrode layer on the light absorbing layer. A groove is formed in an outline portion of the substrate. | 03-29-2012 |
20120073646 | Solar Cell And Method Of Fabricating The Same - Disclosed are a solar cell and a method of fabricating the same. The solar cell includes a substrate, a rear electrode layer provided on the substrate, a light absorbing layer provided on the rear electrode, and a front electrode layer provided on the light absorbing layer. The rear electrode layer includes a first conductive layer provided on the substrate, a second conductive layer provided on the first conductive layer and having a grain size different from a grain size of the first conductive layer, and a third conductive layer provided on the second conductive layer and having a grain size different from the grain size of the second conductive layer. | 03-29-2012 |
20120073647 | SOLAR CELL COMPRISING NEIGHBORING ELECTRICALLY INSULATING PASSIVATION REGIONS HAVING HIGH SURFACE CHARGES OF OPPOSING POLARITIES AND PRODUCTION METHOD - A solar cell includes a photoactive, semiconductive absorber layer configured to generate excess charge carriers of opposed polarity by light incident on a front of the absorber layer during operation. The absorber layer is configured to separate and move, via at least one electric field formed in the absorber layer, the photogenerated excess charge carriers of opposed polarity over a minimal effective diffusion length L | 03-29-2012 |
20120080083 | SEMICONDUCTOR ASSEMBLY WITH A METAL OXIDE LAYER HAVING INTERMEDIATE REFRACTIVE INDEX - A semiconductor assembly is described with a thin metal oxide layer interposed between a transparent conductive oxide and an amorphous silicon layer, along with methods for making this structure. The metal oxide layer has a refractive index or range of refractive indices intermediate between that of the transparent conductive oxide and the amorphous silicon layer, and thus tends to reduce reflection at the interface. Such a layer can be used at the light-facing surface of a light-sensitive device such as a photovoltaic cell to maximize the amount of incident light entering the cell. Titanium oxide is a suitable metal oxide, and has a refractive index between those of silicon and of both indium tin oxide and aluminum-doped zinc oxide, two common transparent conductive oxides. | 04-05-2012 |
20120080084 | SUBSTRATE, SOLAR CELL INCLUDING THE SUBSTRATE, AND METHOD OF MANUFACTURING THE SAME - A substrate includes a semiconductor layer, a plurality of dielectric layers disposed on one side of the semiconductor layer and separated from each other and a photoactive layer disposed between the dielectric layers and including a compound of a Group III element and a Group V element. Also disclosed are a solar cell including the same and a manufacturing method thereof. | 04-05-2012 |
20120080085 | Patterned protected film - A film has an inner and an outer surface. The film includes a first layer forming the outer surface and including fluoropolymer. The film further includes a second layer disposed away from the outer surface comprising a polymer. The polymer can have a storage modulus at 65° C. of at least 5 MPa. The film has a plurality of surface features forming the outer surface and extending into the first and second layers. The surface features have a mean slope of at least 15°. The film can be applied as a protective film overlying an active component of a photovoltaic device. | 04-05-2012 |
20120080086 | Transparent Electrode Comprising Doped Graphene, Process of Preparing The Same, And Display Device And Solar Cell Comprising The Electrode - A transparent electrode on at least one surface of a transparent substrate may include graphene doped with a p-dopant. The transparent electrode may be efficiently applied to a variety of display devices or solar cells. | 04-05-2012 |
20120080087 | PHOTOVOLTAIC CELL - A photovoltaic cell includes a first electrode and a second electrode operable to define an electric field (E) in a spatial region between the first electrode and the second electrode. Materials for fabricating the first electrode and the second electrode are chosen so that at least one is a metal, and that a material work function difference between these electrodes is of a sufficient magnitude to produce the electric field (E) without a need for selective doping of the electrodes. The spatial region includes one or more nano-particles ( | 04-05-2012 |
20120080088 | Method of Contacting a Semiconductor Substrate - A method is disclosed for making contact with a semiconductor substrate, in particular for making contact with solar cells, in which a metallic seed structure is generated on the surface through a dielectric or passivating layer by means of an LIFT process, and the seed structure is then reinforced. | 04-05-2012 |
20120080089 | POLYESTER FILM, LAMINATED FILM, AND SOLAR BATTERY BACKSHEET EMPLOYING AND SOLAR BATTERY THAT USE THE FILM - A polyester film includes particles and two kinds of crystalline polyester resins in which a sea-island structure is formed, wherein, if a crystallization temperature of a crystalline polyester resin A that forms a continuous phase or matrix phase in the polyester film is represented by TccA and a crystallization temperature of a crystalline polyester resin B that forms a dispersion phase or domain phase in the polyester film is represented by TccB, expression (1) below is satisfied, and a degree of flatness of the dispersion phase is 3 or more, and 70% or more of a total number of the particles present in the dispersion phase or are in contact with the dispersion phase: | 04-05-2012 |
20120085401 | PROCESSES AND COMPOSITIONS FOR FORMING PHOTOVOLTAIC DEVICES WITH BASE METAL BUSS BARS - A photovoltaic cell such as a solar cell is disclosed. The cell comprises (a) a semiconductor substrate having a front surface, (b) one or more anti-reflection coating layers on the front surface of the semiconductor substrate, (c) a plurality of silver-containing fingers in contact with the one or more anti-reflection coating layers and in electrical contact with the semiconductor substrate; and (d) one or more base metal containing buss bars each in contact with the one or more anti-reflection coating layers and the silver-containing fingers. The base metal may be selected from one or more of copper, nickel, lead, tin, iron, indium, zinc, bismuth and cobalt. Methods for making protovoltaic cells with base metal containing buss bars are also disclosed. | 04-12-2012 |
20120085402 | METHOD FOR MANUFACTURING ELECTRODE OF DYE-SENSITIZED SOLAR CELL AND DYE-SENSITIZED SOLAR CELL HAVING ELECTRODE THEREOF - The present invention provides a method for manufacturing an electrode of a dye-sensitized solar cell using an inkjet printing process, an electrode formed thereby, and a dye-sensitized solar cell having the electrode. According to the method, a metal electrode is formed by jetting an ink solution containing nano metal powder on a transparent substrate or a transparent substrate in which a barrier layer is deposited to improve coating performance of a transparent conductive layer. A transparent conductive layer is formed on the transparent substrate on which the metal electrode is formed. The transparent conductive layer protects the metal electrode from liquid electrolyte. | 04-12-2012 |
20120085403 | METHOD FOR PRODUCING A CONTACT, A CONTACT AND SOLAR CELL COMPRISING A CONTACT - Method for providing at least one contact on a back surface of a solar cell comprising a silicon substrate comprising depositing a passivating layer onto the silicon substrate and thereafter providing at least one contact site and further providing a patterned exposed silicon surface. Then depositing a metal layer and annealing the structure to form metal silicide. Thereafter the process involves optionally removing excess metal and finally applying metal onto the silicide to form at least one contact. A solar cell comprising a back surface, the back surface comprising a contact, produced by the above mentioned method. A contact for back surface of a solar cell comprising a silicon substrate, an amorphous silicon layer deposited onto the silicon substrate, a reflective layer with at least one opening deposited onto the amorphous silicon layer, in the at least one opening there resides silicide, with additional metal covering the silicide. | 04-12-2012 |
20120085404 | GLASS FRIT FOR FORMING ELECTRODE, AND ELECTRICALLY CONDUCTIVE PASTE FOR FORMING ELECTRODE AND SOLAR CELL, UTILIZING SAME - To provide a glass frit for forming an electrode, to be used for forming a light-receiving surface electrode for a solar cell, which has suitable glass fluidity and Si reactivity required to form a light-receiving surface electrode and a sufficient water resistance.
| 04-12-2012 |
20120085405 | BACK ELECTRODE TYPE SOLAR CELL, SOLAR CELL WITH INTERCONNECTION SHEET, AND SOLAR CELL MODULE - There is provided a back electrode type solar cell including a semiconductor substrate, and an electrode for first conduction type and an electrode for second conduction type disposed on one surface side of the semiconductor substrate, a center in a width direction of a first contact region, which is a region of the semiconductor substrate with which the electrode for first conduction type is in contact, being shifted in position from a center in a width direction of the electrode for first conduction type. There is also provided a solar cell with an interconnection sheet using the back electrode type solar cell, and a solar cell module using the back electrode type solar cell. | 04-12-2012 |
20120085406 | SUBSTRATE WITH THIN FILM, AND SOLAR CELL USING THE SAME - A substrate with a thin film formed by layering a transparent substrate, a silicon compound film, and a transparent electroconductive film in this order, wherein the surface of the silicon compound film on the side of the transparent electroconductive film is an irregularly shaped surface provided with irregularities, the surface of the transparent electroconductive film opposite from the silicon compound film is an irregular surface shaped so as to follow the irregularly shaped surface, and the silicon compound film includes fine transparent particles having a different refractive index than the refractive index of the silicon compound film. | 04-12-2012 |
20120085407 | SOLAR BATTERY - A solar battery module has a structure in which a solar battery cell formed by a transparent electrode, a power generating element, and a back electrode is formed on a substrate, and it is sealed with a resin material such as EVA. However, there has been a problem that water enters from a gap between the substrate and a resin sealing material, thereby resulting in the corrosion of the resin or the solar battery cell. A barrier layer made of inorganic substances having portions in contact with the substrate and the second electrode is provided. Here, the barrier layer is formed by laminating at least SiO | 04-12-2012 |
20120090678 | DYE-SENSITIZED SOLAR CELL - A dye-sensitized solar cell of the present invention including: an electrode having, on one surface, a semiconductor layer with a sensitizing dye supported thereon, a counter electrode positioned opposing the semiconductor layer, and a charge transport layer disposed between the electrode and the counter electrode, wherein at least one of the electrode and the counter electrode is a transparent conductive film prepared by laminating an ITO film and an FTO film, and part or all of the crystal structure of the surface of the FTO film is orthorhombic. | 04-19-2012 |
20120090679 | METAL SUBSTRATE FOR A DYE SENSITIZED PHOTOVOLTAIC CELL - Solid state dye sensitized photovoltaic cells, as well as related components, systems, and methods, are disclosed. | 04-19-2012 |
20120090680 | SOLAR CELL MODULE AND METHOD FOR MANUFACTURING SOLAR CELL MODULE - A solar cell module capable of reducing stress to which an extraction wiring member connected to an extraction electrode portion is subjected from a sealer is obtained. This solar cell module includes a solar cell formed on a substrate having insulating properties, an extraction electrode portion extracting electric charges generated by the solar cell, formed on the substrate, an extraction wiring member collecting electric charges, connected to the extraction electrode portion, a covering member covering at least part of the extraction wiring member, and a sealer sealing the solar cell, the extraction electrode portion, the extraction wiring member, and the covering member in a state of covering the solar cell, the extraction electrode portion, the extraction wiring member, and the covering member. | 04-19-2012 |
20120097233 | PHOTOVOLTAIC DEVICE - A photovoltaic device is disclosed. The photovoltaic device includes a substrate, an anode, a cathode, two semiconducting layers, and an electron transporting layer. The first semiconducting layer comprises a first metallophthalocyanine. The second semiconducting layer includes a blend of a second metallophthalocyanine with an electron acceptor. The second semiconducting layer is located between the first semiconducting layer and the electron transporting layer. The first and second metallophthalocyanines have different valences. The complementary absorption profiles of these layers result in a device having greater absorption and efficiency than expected, without the need for a recombination layer or the need to match current between layers. | 04-26-2012 |
20120097234 | Using Diffusion Barrier Layer for CuZnSn(S,Se) Thin Film Solar Cell - Techniques for fabricating thin film solar cells, such as CuZnSn(S,Se) (CZTSSe) solar cells are provided. In one aspect, a method of fabricating a solar cell is provided that includes the following steps. A substrate is provided. The substrate is coated with a molybdenum (Mo) layer. A stress-relief layer is deposited on the Mo layer. The stress-relief layer is coated with a diffusion barrier. Absorber layer constituent components are deposited on the diffusion barrier, wherein the constituent components comprise one or more of sulfur (S) and selenium (Se). The constituent components are annealed to form an absorber layer, wherein the stress-relief layer relieves thermal stress imposed on the absorber layer, and wherein the diffusion barrier blocks diffusion of the one or more of S and Se into the Mo layer. A buffer layer is formed on the absorber layer. A transparent conductive electrode is formed on the buffer layer. | 04-26-2012 |
20120097235 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD FOR MAKING THE SAME - The present disclosure relates to a method for making a conjugated polymer. In the method, polyacrylonitrile, a solvent, and a catalyst are provided. The polyacrylonitrile is dissolved in the solvent to form a polyacrylonitrile solution. The catalyst is uniformly dispersed into the polyacrylonitrile solution. The polyacrylonitrile solution with the catalyst is heated to induce a cyclizing reaction of the polyacrylonitrile, thereby forming a conjugated polymer solution with the conjugated polymer dissolved therein. | 04-26-2012 |
20120097236 | SOLAR CELL - A solar cell includes a semi-conductive substrate, a doping layer, an anti-reflection layer, an electrode, a passivation stacked layer and a contact layer. The semi-conductive substrate has a front and a back surface. The doping layer is disposed on the front surface. The anti-reflection layer is disposed on the doping layer. The electrode is disposed on the anti-reflection layer and electrically connected to the doping layer. The passivation stacked layer is disposed on the back surface and has a first dielectric layer, a second dielectric layer and a middle dielectric layer sandwiched between the first and the second dielectric layer. The dielectric constant of the middle dielectric layer is substantially lower than the dielectric constant of the first dielectric layer and the dielectric constant of the second dielectric layer. The contact layer covers the passivation stacked layer and electrically contacts with the back surface of the semi-conductive substrate. | 04-26-2012 |
20120097237 | Paste and Solar Cell Using the Same - The present invention relates to a paste and a solar cell using the paste. The paste according to an embodiment of the present invention comprises three and more than aluminum powders having different shape, size, and type, a glass frit, and an organic vehicle, wherein the aluminum powers includes a first powder of 40 to 50 wt %, a second powder of 20 to 30 wt %, and a third powder of 0.1 to 2 wt %, and the first to third powders have one or more than different shapes of a globular shape, a flat shape, a nano shape, and combinations thereof. | 04-26-2012 |
20120097238 | GRAPHENE-BASED SOLAR CELL - A solar cell includes a transparent upper electrode for conducting electrons and for allowing incoming photons of light to pass therethrough. An exciton trapping region is disposed proximate the upper electrode, and includes graphene and an exciton trapping dye. The trapping dye traps captured excitons, and the graphene rapidly conducts freed electrons therefrom to the upper electrode. A pigment layer, in close proximity to the exciton trapping region, includes one or more pigment dyes that absorb light photons and emit excitons for transmission to the trapping dye. Excitons emitted by a first pigment dye can further trigger emission of excitons by a second pigment dye. A backing electrode is electrically coupled to the pigment layer via an anionic polyelectrolyte for transporting electrons to the pigment layer to replenish electrons conducted by the transparent upper electrode. | 04-26-2012 |
20120097239 | METHOD FOR ROUGHENING SUBSTRATE SURFACE, METHOD FOR MANUFACTURING PHOTOVOLTAIC DEVICE, AND PHOTOVOLTAIC DEVICE - To include a first step of forming a protection film on a surface of a translucent substrate, a second step of exposing the surface of the translucent substrate by forming a plurality of openings arranged regularly at a certain pitch in the protection film, a third step of forming parabolic irregularities including substantially hemispherical depressions arranged substantially uniformly on the surface of the translucent substrate by performing isotropic etching by using the protection film having the openings formed as a mask and under conditions in which the protection film has resistance to the surface of the translucent substrate on which the protection film is formed, and a fourth step of removing the protection film, wherein at the fourth step, the isotropic etching is continued after formation of the parabolic irregularities to separate the protection film from the translucent substrate and round apexes of protruded portions in the parabolic irregularities. | 04-26-2012 |
20120097240 | SOLAR CELL AND METHOD FOR THE PRODUCTION THEREOF - A solar cell, including a silicon substrate ( | 04-26-2012 |
20120097241 | SOLAR CELL, SOLAR CELL WITH INTERCONNECTION SHEET ATTACHED AND SOLAR CELL MODULE - Disclosed are a solar cell, a solar cell with interconnection sheet attached, and a solar cell module wherein a surface of an electrode for first conductive type is covered with a migration suppressing layer for preventing a metal forming electrode for first conductive type from precipitating, and at least one of a surface of migration suppressing layer covering electrode for first conductive type and a surface of electrode for second conductive type is covered with an insulating member. | 04-26-2012 |
20120097242 | Solar Cell and Method Fabricating the Same - A solar cell according to an embodiment includes a pattern layer arranged on a substrate and including a uneven pattern; a back electrode arranged on the pattern layer; a light absorption layer arranged on the back electrode; a buffer layer on the light absorption layer; and a front layer arranged on the buffer layer. | 04-26-2012 |
20120097243 | DYE SENSITIZED SOLAR CELL WITH IMPROVED OPTICAL CHARACTERISTICS - The efficiency and the aesthetical properties are enhanced by spatial control of the P1DPC structural properties on the substrate surface area. | 04-26-2012 |
20120097244 | CRYSTALLINE SILICON BASED SOLAR CELL AND METHOD FOR MANUFACTURING THEREOF - Provided is a hetero-junction solar cell with a silicon crystalline substrate of small thickness but exhibiting less warpage, and having a high photoelectric conversion efficiency. The crystalline silicon substrate has a thickness of 50 μm to 200 μm, and has a rough structure on the light-incident-side surface thereof. The surface of the transparent conductive layer in the light incidence side has an irregular structure. The top-bottom distance in the irregular structure of the transparent conductive layer in the light-incidence-side is preferably smaller than the top-bottom distance in the rough structure of the crystalline silicon substrate in the-light-incidence side. The distance between tops of the projections in the irregular structure on the surface of the transparent conductive layer in the light incidence side is preferably smaller than the distance between tops of the projections in the rough structure on the surface of the crystalline silicon substrate in the light incidence side. | 04-26-2012 |
20120097245 | SOLAR CELL WITH INTERCONNECTION SHEET, SOLAR CELL MODULE, AND METHOD FOR PRODUCING SOLAR CELL WITH INTERNCONNECTION SHEET - Disclosed are a solar cell with an interconnection sheet, wherein at least either of the connection between a first conductive electrode of a back electrode type solar cell and a first conductive wire of an interconnection sheet and the connection between a second conductive electrode of the back electrode type solar cell and a second conductive wire of the interconnection sheet is electrically established by a conductive substance, and the conductive substance contains a metal which is in contact with at least either of the electrodes and the wires without metal bonding, a solar cell module containing the solar cell with an interconnection sheet, and a method for producing the solar cell with an interconnection sheet. | 04-26-2012 |
20120103406 | METALLIC CONTACTS FOR PHOTOVOLTAIC DEVICES AND LOW TEMPERATURE FABRICATION PROCESSES THEREOF - Embodiments of the invention generally relate to photovoltaic devices and more specifically, to the metallic contacts disposed on photovoltaic devices, such as photovoltaic cells, and to the fabrication processes for forming such metallic contacts. The metallic contacts contain a palladium germanium alloy formed at low temperatures during an anneal process. In some embodiments, the photovoltaic cell may be heated to a temperature within a range from about 20° C. to about 275° C. during the anneal process, for example, at about 150° C. for about 30 minutes. In other embodiments, the photovoltaic cell may be heated to a temperature within a range from about 150° C. to about 275° C. for a time period of at least about 0.5 minutes during the anneal process. | 05-03-2012 |
20120103407 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SOLAR CELL - An exemplary embodiment of the present invention provides a method for manufacturing a solar cell, which includes: forming a first semiconductor layer on a first surface of a light-absorbing layer, forming a second semiconductor layer on a second surface of the light-absorbing layer, forming a first transparent conductive layer having one X-ray diffraction peak on the first semiconductor layer in a first direction, forming a second transparent conductive layer having one X-ray diffraction peak on the second semiconductor layer in a second direction opposite to the first direction, forming a first electrode on the first transparent conductive layer in the first direction and forming a second electrode on the second transparent conductive layer in the second direction, in which at least one of the first transparent conductive layer and the second transparent conductive layer is formed at about 180 to about 220° C., at least one of the first transparent conductive layer and the second transparent conductive layer includes oxidized tungsten, and 2θ is 30.2±0.1 degrees in the X-ray diffraction peak. | 05-03-2012 |
20120103408 | BACKPLANE REINFORCEMENT AND INTERCONNECTS FOR SOLAR CELLS - Fabrication methods and structures relating to backplanes for back contact solar cells that provide for solar cell substrate reinforcement and electrical interconnects are described. The method comprises depositing an interdigitated pattern of base electrodes and emitter electrodes on a backside surface of a semiconductor substrate, forming electrically conductive emitter plugs and base plugs on the interdigitated pattern, and attaching a backplane having a second interdigitated pattern of base electrodes and emitter electrodes at the conductive emitter and base plugs to form electrical interconnects. | 05-03-2012 |
20120103409 | Conductive Paste And Electronic Device And Solar Cell Including An Electrode Formed Using The Same - A conductive paste may include a conductive powder, a metallic glass including a first element having a heat of mixing value with the conductive powder of less than 0, and an organic vehicle, and an electronic device and a solar cell may include an electrode formed using the conductive paste. | 05-03-2012 |
20120103410 | CONDUCTIVE PASTE COMPOSITE - Provided is a conductive paste composite. The conductive paste composite comprises first conductive powder having a first average grain size, and second conductive powder having a second average grain size. | 05-03-2012 |
20120103411 | PHOTOVOLTAIC MODULE SUBSTRATE - A photovoltaic module may include a back glass including a cobalt oxide or copper oxide. | 05-03-2012 |
20120103412 | METHOD FOR FABRICATING A LASER-INDUCED SURFACE NANOARRAY STRUCTURE, AND DEVICE STRUCTURE FABRICATED USING SAID METHOD - Provided is a method for manufacturing a two-dimensional pattern by simultaneously forming a plurality of quantum dots on a surface of a solid material and making the quantum dots a periodic structure by a laser irradiation, and a device structure and a device fabricated by the method.
| 05-03-2012 |
20120103413 | THIN-FILM SOLAR CELL AND METHOD FOR FABRICATING THE SAME - A thin-film solar cell includes a body and a polymer layer. The body includes a first electrode layer, a photoelectric conversion layer, and a second electrode layer, and the polymer layer includes a hardening material and an interface material. The photoelectric conversion layer is disposed between the first electrode layer and the second electrode layer, and the polymer layer surrounds the photoelectric conversion layer, in which the interface material is used for bonding to the hardening material and the photoelectric conversion layer respectively. Therefore, the thin-film solar cell may reduce the Staebler-Wronski Effect generated by the photoelectric conversion layer in the photoelectric conversion procedure. Accordingly, the photoelectric conversion efficiency is improved. | 05-03-2012 |
20120103414 | PASTE COMPOSITION AND SOLAR CELL ELEMENT USING THE SAME - A paste composition with no bow of a silicon semiconductor substrate and no blisters and globules of aluminum in the aluminum electrode layer obtained after firing. In addition, adhesiveness of an aluminum electrode layer and the silicon semiconductor substrate is enhanced and the reaction of the aluminum electrode layer and moisture is suppressed. A solar cell element including electrodes is formed by using the composition. The paste composition is a paste used for forming an electrode on a silicon semiconductor substrate including an aluminum powder, an organic vehicle, and glass frit. The glass frit including at least one kind of a transition metal oxide selected from the group including a titanium oxide, a vanadium oxide, an iron oxide, a molybdenum oxide, a neodymium oxide, and a tungsten oxide. A solar cell element includes a back side electrode formed by using the above paste composition. | 05-03-2012 |
20120103415 | INTERCONNECTION SHEET, SOLAR CELL WITH INTERCONNECTION SHEET, SOLAR CELL MODULE, AND INTERCONNECTION SHEET ROLL - An interconnection sheet, a solar cell with the interconnection sheet, a solar cell module, an interconnection sheet roll, a solar cell with an interconnection sheet and solar cell module satisfy a relationship of Y≦Z05-03-2012 | |
20120103416 | SOLAR CELL APPARATUS AND METHOD FOR MANUFACTURING THE SAME - Disclosed are a solar cell apparatus and a method for manufacturing the same. The solar cell apparatus includes a substrate; a back electrode layer on the substrate; a light absorbing layer on the back electrode layer; a front electrode layer on the light absorbing layer; and a connection wire extending from the front electrode layer and connected to the back electrode layer through the light absorbing layer, wherein the connection wire directly makes contact with an inner side of a recess formed in the back electrode layer. | 05-03-2012 |
20120111399 | SOLAR CELL ELECTRODE - A method for forming a solar cell electrode, comprising the steps of: applying a conductive paste comprising an organic binder and inorganic components comprising conductive powder and glass frit onto a passivation layer with at least 200 nm thickness formed on one surface or on both front and back surfaces of a silicon substrate, wherein the softening point of the glass frit is 395° C. or lower; and firing the conductive paste applied onto the passivation layer. | 05-10-2012 |
20120111400 | OPTICAL COATING - An optical coating, comprising porous particles, or formed from porous precursor particles. The average thickness of the coating is in the range of from 75 to 400 nm, and the surface roughness of the coating is in the range of from 2 to 300 nm. This coating provides broadband antireflective properties across the visible and near infrared part of the electromagnetic spectrum. | 05-10-2012 |
20120111401 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - There are provided a solar cell and a method of manufacturing the same. The solar cell includes: a solar cell unit absorbing sunlight to generate electricity; a surface treatment layer formed on at least one of upper and lower surfaces of the solar cell unit by a condensation reaction of a compound having a functional group —Y having a lone pair and an alkoxy group —OR; and a metal electrode layer bonded to the functional group —Y having the lone pair of the surface treatment layer. The solar cell has excellent energy conversion efficiency. | 05-10-2012 |
20120111402 | SOLAR CELL AND SOLAR CELL PRODUCTION METHOD - A solar cell includes a semiconductor substrate, a rear side passivation layer arranged on a light-remote rear side surface of the substrate, a covering layer arranged on the rear side passivation layer, and a metallization layer arranged on the covering layer. The covering layer has a protective layer section facing the rear side passivation layer and a contact layer section facing the metallization layers. The contact layer section has a higher refractive index than the protective layer section | 05-10-2012 |
20120111403 | ORGANIC SOLAR CELL AND METHOD FOR PRODUCING THE SAME - Provided are an organic solar cell which includes an anode and a cathode that are arranged to face each other, a photoactive layer that is disposed between the anode and the cathode and contains a hole acceptor and an electron acceptor in mixture, and a metal oxide nano thin film layer that is disposed between the cathode and the photoactive layer and contains a metal oxide having an average particle size of 10 nm or less and having a particle size distribution such that 90% by number or more of the particles relative to the total number of the metal oxide particles has a particle size in the range of ±4 nm with respect to the average particle size, and a method for producing an organic solar cell. | 05-10-2012 |
20120111404 | THERMOSETTING ELECTRODE PASTE FIREABLE AT A LOW TEMPERATURE - There is provided a thermosetting electrode paste sinterable at a low temperature. The electrode paste in accordance with the present invention has superior adhesion, high resolution, low contact resistance, superior storage stability and electrical resistivity so that it is widely applicable to the fields of radio frequency identification tags, printing circuit boards, solar cells, etc. | 05-10-2012 |
20120111405 | SOLAR CELL APPARATUS AND METHOD OF FABRICATING THE SAME - A solar cell apparatus and a method of fabricating the same are provided. The solar cell apparatus includes a substrate, a back electrode layer, a light absorption layer, and a front electrode layer. The back electrode layer is on the substrate. The light absorption layer is on the back electrode layer. The front electrode layer is on the light absorption layer. The back electrode layer is provided with recesses. Inner surfaces of the back electrode layer defining the recesses are inclined from a top surface of the substrate. | 05-10-2012 |
20120111406 | GLASS SUBSTRATE WITH CONDUCTIVE FILM FOR SOLAR CELL - A glass substrate with a conductive film, which ensures that variation of power generation is less likely to occur when used in a thin solar cell, and is excellent in the sealability with a sealant, is provided. | 05-10-2012 |
20120118367 | NON-PLANAR/CURVED DYE-SENSITIZED SOLAR CELL AND A METHOD OF MANUFACTURING THE SAME - Featured are a non-planar curved dye-sensitized solar cell and a method of manufacturing such a solar cell. In particular aspects, such methods include preparing two curved substrates, forming a first curved conductive substrate for a working electrode and a second curved conductive substrate for a counter electrode, coating a metal electrode and a protection film on each of the first and second curved conductive substrates, forming the working electrode by coating a semiconductor oxide electrode film on a concave surface of the first curved conductive substrate and by adsorbing a dye in the semiconductor oxide electrode film, forming the counter electrode by coating a catalytic electrode on a convex surface of the second curved conductive substrate, and joining the working electrode with the counter electrode and injecting an electrolyte in between the working electrode and the counter electrode. | 05-17-2012 |
20120118368 | Method for Increasing the Efficiency of Organic Photovoltaic Cells - The present invention is directed to an organic photovoltaic cell that contains one or more dipole regions generally disposed between an organic active region and the electrodes and a process for producing such an organic photovoltaic cell. | 05-17-2012 |
20120118369 | SOLAR CELL ARCHITECTURE HAVING A PLURALITY OF VIAS WITH SHAPED FOIL VIA INTERIOR - Methods and devices are provided for forming a low electrical resistance via filling material based on foil deformation. | 05-17-2012 |
20120118370 | TWO-PART SCREEN PRINTING FOR SOLAR COLLECTION GRID - Methods and apparatus relating to providing a collection grid suitable for use in PV modules. The disclosed collection grid may be at least partially applied to a protective laminate sheet in a manner that removes the high temperature requirements of conventional screen printed collection grids, to avoid unwanted heat-related deformation of the laminate sheet. | 05-17-2012 |
20120118371 | LIGHT ELECTRON CONVERSION ELEMENT - A photoelectric conversion element includes a substrate that has a first unevenness structure including a plurality of first convex portions on one principal surface and a second unevenness structure formed on a surface of the first unevenness structure and including a plurality of second convex portions. A light-receiving element is formed on the one principal surface of the substrate and includes a first electrode, a photoelectric conversion layer, and a second electrode in this order from the side of the substrate. At least the first electrode of the light-receiving element has a third unevenness structure replicated from one or both of the first and second unevenness structures on a surface opposite to the substrate. | 05-17-2012 |
20120118372 | SOLAR CELL - A solar cell includes a substrate of a first conductive type, an emitter layer which is positioned at an incident surface of the substrate and has a second conductive type opposite the first conductive type, a front electrode which is positioned on the incident surface of the substrate and is electrically connected to the emitter layer, a back passivation layer which is positioned on a back surface opposite the incident surface of the substrate, has at least one hole, and contains intrinsic silicon, and a back electrode layer positioned on the back passivation layer. The back electrode layer is electrically connected to the substrate through the at least one hole of the back passivation layer and contains a distribution of a silicon material. | 05-17-2012 |
20120118373 | SILICON SOLAR CELL - A silicon solar cell is provided, including a first silicon layer that absorbing sunlight, a first layer of a structure of photonic crystals formed on the first silicon layer, and a second silicon layer formed on the first layer of a structure of photonic crystals and absorbing sunlight, wherein the first silicon layer and the second silicon layer absorb sunlight at different wavelengths and the first layer of structure of photonic crystals selectively reflects light of a wavelength absorbed by the second silicon layer. | 05-17-2012 |
20120118374 | PHOTOVOLTAIC DEVICE - Provided is a photovoltaic device that includes: a substrate; a first electrode disposed on the substrate: a photoelectric transformation layer disposed on the first electrode, the photoelectric transformation layer comprising a light absorbing layer which comprises at least one pair of an intrinsic first sub-layer and an intrinsic second sub-layer, each of which comprises a hydrogenated amorphous silicon based material and a hydrogenated proto-crystalline silicon based material having a crystalline silicon grain, and comprises a non-silicon based element; and a second electrode disposed on the photoelectric transformation layer. | 05-17-2012 |
20120125421 | LOW COST SOLAR CELL MANUFACTURE METHOD EMPLOYING A REUSABLE SUBSTRATE - A reusable substrate and method for forming single crystal silicon solar cells are described. A method of forming a photovoltaic cell includes forming an intermediate layer on a monocrystalline silicon substrate, forming a monocrystalline silicon layer on the intermediate layer, and forming electrical features in the monocrystalline silicon layer. The method further includes forming openings in the monocrystalline silicon layer, and detaching the monocrystalline silicon layer from the substrate by selectively etching the intermediate layer through the openings. | 05-24-2012 |
20120125422 | GEL ELECTROLYTE FOR DYE SENSITIZED SOLAR CELL AND DYE SENSITIZED SOLAR CELL INCLUDING THE GEL ELECTROLYTE - A gel electrolyte for a dye sensitized solar cell and a dye sensitized solar cell including the gel electrolyte. The gel electrolyte includes: a redox couple generated from a polymer-iodine complex and an iodide salt; inorganic nanoparticles; and a high-viscosity organic solvent. | 05-24-2012 |
20120125423 | TRANSPARENT CONDUCTIVE SUBSTRATE - A photovoltaic element for photovoltaic applications includes a transparent substrate having a first side and a second side. A transparent electrically conductive oxide is disposed over the first side of the transparent substrate. Similarly, a hydrophilic oxide coating is disposed over and contacts the transparent electrically conductive oxide. Finally, a removable protective coating is disposed over the hydrophilic oxide coating. | 05-24-2012 |
20120125424 | PHOTOVOLTAIC DEVICE STRUCTURE AND METHOD - A surface region of a semiconductor material on a surface of a semiconductor device is doped during its manufacture, by coating the surface region of the semiconductor material with a dielectric material surface layer and locally heating the surface of the semiconductor material in an area to be doped to locally melt the semiconductor material with the melting being performed in the presence of a dopant source. The heating is performed in a controlled manner such that a region of the surface of the semiconductor material in the area to be doped is maintained in a molten state without refreezing for a period of time greater than one microsecond and the dopant from the dopant source is absorbed into the molten semiconductor. The semiconductor device includes a semiconductor material structure in which a junction is formed and may incorporate a multi-layer anti-reflection coating. The anti-reflection coating is located on a light receiving surface of the semiconductor material structure and comprises a thin layer of thermal expansion mismatch correction material having a thermal expansion coefficient less than or equal to that of the semiconductor material, to provide thermal expansion coefficient mismatch correction. An anti-reflection layer is provided having a refractive index and thickness selected to match the semiconductor material structure so as to give good overall antireflection properties to the solar cell. | 05-24-2012 |
20120125425 | COMPOUND SEMICONDUCTOR SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - Provided is a compound semiconductor solar cell. The compound semiconductor solar cell includes: an impurity diffusion preventing layer disposed on a substrate, added with an alkali component, and formed of a metal layer of one of Cr, Co, or Cu; a rear electrode disposed on the impurity diffusion preventing layer and formed of Mo; a CIGS based light absorbing layer disposed on the rear electrode; and a front transparent electrode disposed on the light absorbing layer. | 05-24-2012 |
20120125426 | COMPOUND SEMICONDUCTOR SOLAR CELL - Provided is a compound semiconductor solar cell. The compound semiconductor solar cell may include a back electrode provided on a substrate, a hole injection layer provided on the back electrode, a copper indium gallium selenide (CIGS) based optical absorption layer provided on the hole injection layer, and a front transparent electrode provided on the optical absorption layer. | 05-24-2012 |
20120125427 | SOLAR CELL, AND METHOD FOR PRODUCING SAME - Provided are a solar cell a solar cell having high light absorbance and power conversion efficiency and a method for producing the solar cell. The solar cell includes a substrate, a first electrode disposed on the substrate, a photoactive layer disposed on the first electrode, and a second electrode disposed on the photoactive layer. The photoactive layer includes an electron acceptor and at least two electron donors. | 05-24-2012 |
20120125428 | ALUMINUM-BORON SOLAR CELL CONTACTS - Formulations and methods of making solar cells are disclosed. In general, the invention provides a solar cell comprising a contact made from a mixture wherein, prior to firing, the mixture comprises at least one aluminum source, at least one boron source, and about 0.1 to about 10 wt % of a glass component. Within the mixture, the overall content of aluminum is about 50 wt % to about 85 wt % of the mixture, and the overall content of boron is about 0.05 to about 20 wt % of the mixture. | 05-24-2012 |
20120125429 | SEE-THROUGH TYPE PHOTOVOLTAIC MODULE INCLUDING 3-DIMENSIONAL PHOTONIC CRYSTAL, MANUFACTURING METHOD THEREOF, AND INSULATED GLASS UNIT INCLUDING THE SAME - Disclosed is a see-through type photovoltaic module that includes: a first transparent substrate; a second transparent substrate; a first transparent electrode and a second electrode, all of which are placed between the first transparent substrate and the second transparent substrate; a photoactive layer being placed between the first transparent electrode and the second electrode and converting light into electrical energy; and a protective layer placed between the second electrode and the second transparent substrate, wherein a 3-dimensional photonic crystal structural layer is formed on the surface of the second transparent substrate facing the first transparent substrate. | 05-24-2012 |
20120125430 | Solar Cell Comprising a Plasmonic Back Reflector and Method Therefor - A method for forming a solar cell having a plasmonic back reflector is disclosed. The method includes the formation of a nanoimprinted surface on which a metal electrode is conformally disposed. The surface structure of the nanoimprinted surface gives rise to a two-dimensional pattern of nanometer-scale features in the metal electrode enabling these features to collectively form the plasmonic back reflector. | 05-24-2012 |
20120125431 | ORGANIC ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - An organic electronic device which does not deteriorate a device function over a long period of time and a method for its manufacture. The organic electronic device, containing: an organic semiconductor element (B) including a pair of electrodes; a layer (C) containing a scavenger, which absorbs at least one of moisture and oxygen; and a gas barrier film (D), in that order; and an anticorrosion layer (E) between the pair of electrodes and the layer (C),
| 05-24-2012 |
20120125432 | TRANSPARENT CONDUCTIVE SUBSTRATE FOR SOLAR CELL, AND SOLAR CELL - To provide a transparent conductive substrate for a solar cell, which has a haze factor at the same level of conventional transparent conductive substrates for a solar cell, and a small amount of absorbed light at a wavelength region of about 400 nm by a tin oxide layer. | 05-24-2012 |
20120125433 | GRID-LINE-FREE CONTACT FOR A PHOTOVOLTAIC CELL - Electrical contact to the front side of a photovoltaic cell is provided by an array of conductive through-substrate vias, and optionally, an array of conductive blocks located on the front side of the photovoltaic cell. A dielectric liner provides electrical isolation of each conductive through-substrate via from the semiconductor material of the photovoltaic cell. A dielectric layer on the backside of the photovoltaic cell is patterned to cover a contiguous region including all of the conductive through-substrate vias, while exposing a portion of the backside of the photovoltaic cell. A conductive material layer is deposited on the back surface of the photovoltaic cell, and is patterned to form a first conductive wiring structure that electrically connects the conductive through-substrate vias and a second conductive wiring structure that provides electrical connection to the backside of the photovoltaic cell. | 05-24-2012 |
20120125434 | METHOD FOR FORMING ELECTRODE OF SOLAR BATTERY, METHOD FOR MANUFACTURING SOLAR BATTERY, AND SOLAR BATTERY - A method for forming an electrode of a solar battery on an electrode forming face of a semiconductor substrate, comprises: applying a resin containing a conductor material to be the electrode onto an electrode forming region of the electrode forming face; causing a pattern transfer member, on which a reverse pattern obtained by reversing a pattern of the electrode is formed, to face the electrode forming face, and registering the pattern transfer member on a position in which the electrode is to be formed in the electrode forming face; pressing the pattern transfer member against the electrode forming face to transfer the electrode pattern to the resin containing the conductor material; separating the pattern transfer member from the resin containing the conductor material; and baking the electrode pattern transferred to the resin containing the conductor material to form the electrode on the electrode forming face of the substrate. | 05-24-2012 |
20120132267 | Photoelectric conversion device and electronic equipment - A photoelectric conversion device provided with an electron transport layer having an excellent electron transport ability and having an excellent photoelectric conversion efficiency, and electronic equipment provided with such a photoelectric conversion device and having a high reliability are provided. A solar cell, to which the photoelectric conversion device is applied, has a first electrode provided on a substrate, a second electrode arranged opposite to the first electrode and retained on a facing substrate, an electron transport layer provided between these electrodes and positioned on the side of the first electrode, a dye layer being in contact with the electron transport layer, and an electrolyte layer provided between the electron transport layer and the second electrode and being in contact with the dye layer. The electron transport layer is constituted of a monocrystalline material of multiple oxide as a main component thereof. Further, it is preferred that the monocrystalline material of multiple oxide has a layer structure in a crystal structure thereof. | 05-31-2012 |
20120132268 | ELECTRODE, PHOTOVOLTAIC DEVICE, AND METHOD OF MAKING - In one aspect of the present invention, a transparent electrode, is presented. The transparent electrode includes a substrate and a transparent layer disposed on the substrate. The transparent layer includes (a) a first region including cadmium tin oxide; (b) a second region including tin and oxygen; and (c) a transition region including cadmium, tin, and oxygen interposed between the first region and the second region, wherein an atomic ratio of cadmium to tin in the transition region varies across a thickness of the transition region. The second region further has an electrical resistivity greater than an electrical resistivity of the first region. A photovoltaic device, a photovoltaic module, a method of making is also presented. | 05-31-2012 |
20120132269 | GLASS SUBSTRATES FOR HIGH TEMPERATURE APPLICATIONS - A glass substrate may be processed at high temperatures without substantially losing its thermal-strengthening characteristics or deforming. In some examples, the glass substrate exhibits an increased annealing point and/or softening point as compared to standard glass substrates. In some examples, the glass substrate includes a relatively high amount of CaO and/or MgO, and/or a relatively low amount of Na | 05-31-2012 |
20120132270 | METAL ADHESION - A solar cell has a metal contact formed to electrically contact a surface of semiconductor material forming a photo-voltaic junction. The solar cell includes a surface region or regions of heavily doped material and the contact comprises a contact metallisation formed over the heavily doped regions to make contact thereto. Surface keying features are located in the semiconductor material into which the metallisation extends to assist in attachment of the metallisation to the semiconductor material. | 05-31-2012 |
20120132271 | PHOTOELECTRIC CONVERSION DEVICE AND MANUFACTURING METHOD THEREOF - In a method for manufacturing a photoelectric conversion device, a method for forming an embedded electrode is provided, which is suitable for a groove with a high aspect ratio. A first groove and a second groove intersecting with the first groove are formed in a crystalline silicon substrate, an i-type first silicon semiconductor layer, a second silicon semiconductor layer with one conductivity type, and a light-transmitting conductive film are sequentially formed on the surface of the crystalline silicon substrate and on the grooves, a conductive resin is injected into the first groove, and the second groove is filled with the conductive resin by a capillary action to form a grid electrode. | 05-31-2012 |
20120132272 | SOLUTION PROCESSED METAL OXIDE THIN FILM HOLE TRANSPORT LAYERS FOR HIGH PERFORMANCE ORGANIC SOLAR CELLS - A method for the application of solution processed metal oxide hole transport layers in organic photovoltaic devices and related organic electronics devices is disclosed. The metal oxide may be derived from a metal-organic precursor enabling solution processing of an amorphous, p-type metal oxide. An organic photovoltaic device having solution processed, metal oxide, thin-film hole transport layer. | 05-31-2012 |
20120132273 | METHOD FOR PREPARATION OF FRONT ELECTRODE FOR SOLAR CELL OF HIGH EFFICIENCY - Disclosed is a method for manufacturing a front electrode for solar cells including: filling a paste for forming electrodes in a mold in which a depression pattern corresponding to a pattern of a front electrode is imprinted, drying the paste and bringing an adhesive film in contact with the paste to transfer the paste from the mold, adding the adhesive film to the semiconductor substrate such that the paste is directed toward a semiconductor substrate, and baking the paste transferred from the adhesive film to form a front electrode on the semiconductor substrate. | 05-31-2012 |
20120132274 | PROCESS FOR THE PRODUCTION OF A STRUCTURED METALLIC COATING - The invention relates to a process for the production of a structured electrically conductive coating on a substrate, in which first a monolayer or oligolayer of a surface-hydrophobizing substance is applied to a surface of the substrate and then a substance comprising electrically conductive particles is applied to the substrate according to a predetermined pattern. The invention furthermore relates to a use of the process for the production of solar cells or circuit boards and to an electronic component comprising a substrate to which a structured electrically conductive surface is applied, a monolayer or oligolayer of a surface-hydrophobizing material being applied to the substrate and the structured electrically conductive surface being applied to the monolayer or oligolayer. | 05-31-2012 |
20120132275 | DYE-SENSITIZED SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A dye-sensitized solar cell comprises a photoelectrode formed by using a stainless steel plate and a counter electrode formed by using a light-transmissive electroconductive material, wherein the photoelectrode comprises, as the substrate thereof, a stainless steel plate having a chemical composition containing Cr: at least 16% by mass and Mo: at least 0.3% by mass and having a roughened surface in which pit-like indentations are formed and which is controlled to have an arithmetic average roughness Ra of at least 0.2 μm, and comprises, as formed on the roughened surface of the substrate, a sensitizing dye-carrying semiconductor layer, the counter electrode has a catalyst thin-film layer formed on the surface of the light-transmissive electroconductive material and has visible light tansmissiveness, and the semiconductor layer of the photoelectrode and the catalyst thin-film layer of the counter electrode face each other via an electrolytic solution. | 05-31-2012 |
20120132276 | DYE SENSITIZED SOLAR CELL AND DYE SENSITIZED SOLAR CELL MODULE USING THE SAME - The invention relates to a dye-sensitized solar cell and a module using the same and more particularly, to a dye-sensitized solar cell in which a photoelectrode substrate and a catalyst electrode substrate are spaced apart from each other by a separating space and coupled together by an encapsulating material and the separating space is filled with an electrolyte, characterized in that the electrolyte contains optical beads, and a module using the same. Thus, light passing through the photoelectrode substrate is refracted or reflected by the optical beads, and irradiated onto the photoelectrode substrate, thereby to improve the efficiency of the solar cell. Particularly, the efficiency of a dye-sensitized solar cell for a BIPV system is more effectively improved, said solar cell not having a separate scattering layer for maintaining the translucency thereof. If the optical beads are colored, solar cells with a variety of colors can be obtained, which achieves an aesthetic enhancement for a building adopting the BIPV system. | 05-31-2012 |
20120138135 | METHOD OF FORMING CONTACTS FOR A BACK-CONTACT SOLAR CELL - Methods of forming contacts for back-contact solar cells are described. In one embodiment, a method includes forming a thin dielectric layer on a substrate, forming a polysilicon layer on the thin dielectric layer, forming and patterning a solid-state p-type dopant source on the polysilicon layer, forming an n-type dopant source layer over exposed regions of the polysilicon layer and over a plurality of regions of the solid-state p-type dopant source, and heating the substrate to provide a plurality of n-type doped polysilicon regions among a plurality of p-type doped polysilicon regions. | 06-07-2012 |
20120138136 | SEMICONDUCTOR MATERIAL AND ITS APPLICATION AS AN ABSORBER MATERIAL FOR SOLAR CELLS - This invention describes a semiconductor material of general formula (I) Me12Me21-xMe3xMe4(C11-yC2y)4, in which x stands for a numeric value from 0 to 1, and y stands for a numeric value of 0 to 1, as well as its use as an absorber material in a solar cell. The metal Mel is a metal which is selected from the metals in group 11 of the periodic table of the elements (Cu, Ag or Au). The metals Me2 and Me3 are selected from the elements of the 12th group of the periodic table of elements (Zn, Cd & Hg). The metal Me4 is a metal which is selected from the 4th main group of the periodic table of elements (C, Si, Ge, Sn and Pb). The non-metals C1 and C2 are selected from the group of chalcogenides (S, Se and Te). | 06-07-2012 |
20120138137 | Solar Cell - The invention provides a solar cell which includes a solar cell, comprising: a first conductivity type semiconductor substrate, wherein the first conductivity type semiconductor substrate comprises a light receiving surface, a non-light receiving surface and a plurality of through holes extending from the light receiving surface to the non-light receiving surface; a second conductivity type semiconductor layer formed on the non-light receiving surface and extended into the first conductivity type semiconductor substrate, wherein the second conductivity type is opposite to the first conductivity type; a first electrode layer formed on the second conductivity type semiconductor layer; and a second electrode layer formed on the light receiving surface and extended to the non-light receiving surface by the through hole. | 06-07-2012 |
20120138138 | Solar cells with back side contacting and also method for production thereof - A method for producing solar cells with back side contacting, which is based on a microstructuring of a wafer provided with a dielectric layer and a doping of the microstructured regions on the back side and also an emitter diffusion on the front side. Subsequently, the deposition of a metal-containing nucleation layer and also a galvanic reinforcement of the contactings on the back side is effected. Solar cells which can be produced in accordance with the foregoing method. | 06-07-2012 |
20120138139 | DRY ETCHING METHOD OF SURFACE TEXTURE FORMATION ON SILICON WAFER - Systems and methods for improving surface reflectance of silicon wafers are disclosed. The systems and methods improve surface reflectance by forming a textured surface on the silicon wafer by performing surface oxidation and dry etching processes. The surface oxidation maybe performed using a dry oxygen plasma process. A dry etch process is performed to remove the oxide layer formed by the surface oxidation step and etch the Silicon layer with oxide masking. Dry etching enables black silicon formation, which minimizes or eliminates light reflection or scattering, eventually leading to higher energy conversion efficiency. | 06-07-2012 |
20120138140 | ORGANIC THIN-FILM SOLAR CELL AND METHOD FOR MANUFACTURING ORGANIC THIN-FILM SOLAR CELL - A main object of the invention is to provide an organic thin-film solar cell that offers high performance and is easy to form. To achieve the object, the invention provides an organic thin-film solar cell comprising: a metal electrode layer having an aluminum layer on a surface thereof, an electron extraction layer which is a zinc oxide layer formed on the aluminum layer of the metal electrode layer, a photoelectric conversion layer formed on the electron extraction layer, and a transparent electrode layer formed on the photoelectric conversion layer, wherein the electron extraction layer has a concentration gradient in which the content of oxygen atoms in the electron extraction layer tends to increase from the metal electrode layer side to the photoelectric conversion layer. | 06-07-2012 |
20120138141 | SOLAR CELL - A solar cell includes a photoelectric conversion layer and a front electrode on the photoelectric conversion layer. The front electrode includes a bus bar electrode; at least one first finger electrode directly connected to the bus bar electrode; a plurality of connecting electrodes extending from the bus bar electrode and having a width smaller than a width of the bus bar electrode, wherein the plurality of connecting electrodes includes portions that are spaced apart from each other to form a space therebetween; at least one second finger electrode connected to at least one of the plurality of connecting electrodes; and an auxiliary electrode formed at the space between the portions of the plurality of connecting electrodes. | 06-07-2012 |
20120138142 | LEAD FREE SOLAR CELL CONTACTS - Formulations and methods of making solar cells are disclosed. In general, the invention presents a solar cell contact made from a mixture wherein the mixture comprises a solids portion and an organics portion, wherein the solids portion comprises from about 85 to about 99 wt % of a metal component, and from about 1 to about 15 wt % of a lead-free glass component. Both front contacts and back contacts arc disclosed. | 06-07-2012 |
20120138143 | PHOTOELECTRIC CONVERSION MODULE - In order to inhibit peeling of a backside electrode of a photoelectric conversion module, a photoelectric conversion element, which is formed by sequentially layering a transparent conductive layer ( | 06-07-2012 |
20120145232 | SOLAR CELL HAVING IMPROVED REAR CONTACT - Provided is a solar cell including: a semiconductive base layer having a first conductivity type; a semiconductive emitter layer disposed on top of the base layer and having a second conductivity type opposite to the first conductivity type; a front electrode disposed on top of the emitter layer; a passivation layer disposed under the base layer and including a contact hole exposing the base layer; and a rear electrode disposed under the passivation layer and connected with the base layer through the contact hole, wherein the rear electrode comprises a silicon (Si)-aluminum (Al) eutectic alloy powder. | 06-14-2012 |
20120145233 | BACK CONTACT SOLAR CELL AND MANUFACTURING METHOD THEREOF - A back contact solar cell and a method for manufacturing the back contact solar cell are discussed. The back contact solar cell includes a substrate made of crystalline silicon having a first conductivity type, a passivation layer on one side of the substrate, an antireflection layer on the passivation layer, a first electrode on the other side of the substrate, a second electrode on the other side of the substrate and separated from the first electrode, a first semiconductor layer disposed between the first electrode and the substrate and having the first conductivity type, and a second semiconductor layer disposed between the second electrode and the substrate and having a second conductivity type that is opposite to the first conductivity type. The passivation layer includes at least one of amorphous silicon oxide and amorphous silicon carbide. | 06-14-2012 |
20120145234 | GRAPHENE ELECTRODES FOR SOLAR CELLS - Electrodes for dye-sensitized solar cells comprising graphene sheets and at least one binder. The electrodes may be conductive and catalytic counter electrodes. The electrodes may be flexible. | 06-14-2012 |
20120145235 | DYE-SENSITIZED SOLAR CELL, DYE-SENSITIZED SOLAR CELL MODULE, AND COATING LIQUID FOR FORMING ELECTROLYTE LAYER - An object of the present invention is to provide a dye-sensitized solar cell having a solid electrolyte layer and improved durability or photoelectric conversion efficiency. A dye-sensitized solar cell | 06-14-2012 |
20120145236 | UV-STABILIZED PHOTOVOLTAIC MODULE - A photovoltaic module comprising the components: (1) a photovoltaic semiconductor and (2) one or more layers containing (A) independently of one another a synthetic polymer and (B) a mixture containing two or more different compounds selected from the group consisting of the compounds of the formulae (B-I) and (B-II); wherein E | 06-14-2012 |
20120145237 | ELECTRICALLY CONDUCTIVE PASTE, ELECTRODE FOR SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICE - Disclosed are an electrically conductive paste ( | 06-14-2012 |
20120145238 | DYE SENSITISED SOLAR CELL - The present invention pertains to an electrode layer comprising a porous film made of oxide semiconductor fine particles sensitized with certain methin dyes. Moreover the present invention pertains to a photoelectric conversion device comprising said electrode layer, a dye sensitized solar cell comprising said photoelectric conversion device and to novel methin dyes. | 06-14-2012 |
20120152341 | LOW BOW ALUMINUM PASTE WITH AN ALKALINE EARTH METAL SALT ADDITIVE FOR SOLAR CELLS - The present invention relates to a composition for use as a backside conductive paste in solar cells. The paste comprises aluminum powder, an organic vehicle and an additive comprising a salt of an alkaline earth metal ion and an organic counterion. | 06-21-2012 |
20120152342 | ALUMINUM PASTE COMPOSITIONS COMPRISING METAL PHOSPHATES AND THEIR USE IN MANUFACTURING SOLAR CELLS - Disclosed are aluminum paste compositions, processes to form solar cells using the aluminum paste compositions, and the solar cells so-produced. The aluminum paste compositions have 0.005-7%, by weight of a metal phosphate; 46-84.9%, by weight of an aluminum powder; and 15-50%, by weight of an organic vehicle, wherein the amounts in % by weight are based on the total weight of the aluminum paste composition. | 06-21-2012 |
20120152343 | ALUMINUM PASTE COMPOSITIONS COMPRISING SILOXANES AND THEIR USE IN MANUFACTURING SOLAR CELLS - Disclosed are aluminum paste compositions, processes to form solar cells using the aluminum paste compositions, and the solar cells so-produced. The low-siloxane aluminum paste compositions consist essentially of 0.005-2.6%, by weight of at least one siloxane; 44.5-84.9%, by weight of an aluminum powder; 0.05-5.8% of an optional indium-free additive; and 15-50%, by weight of an organic vehicle, wherein the amounts in % by weight are based on the total weight of the aluminum paste composition. The high-siloxane aluminum paste compositions comprise 15-68%, by weight of at least one siloxane; 25-84.9%, by weight of an aluminum powder; 0.1-10%, by weight of an organic vehicle. | 06-21-2012 |
20120152344 | ALUMINUM PASTE COMPOSITIONS COMPRISING CALCIUM OXIDE AND THEIR USE IN MANUFACTURING SOLAR CELLS - Disclosed are aluminum paste compositions, processes to form solar cells using the aluminum paste compositions, and the solar cells so-produced. The aluminum paste compositions comprise 0.03% to 9%, by weight of crystalline calcium oxide; 27% to 89.9%, by weight of an aluminum powder; and 10% to 70%, by weight of an organic vehicle, wherein the amounts in % by weight are based on the total weight of the aluminum paste composition. | 06-21-2012 |
20120152345 | ALUMINUM PASTES COMPRISING BORON NITRIDE AND THEIR USE IN MANUFACTURING SOLAR CELLS - Disclosed are aluminum paste compositions, processes to form solar cells using the aluminum paste compositions, and the solar cells so-produced. The aluminum paste compositions comprise 0.003% to 9%, by weight of boron nitride; 27% to 89%, by weight of an aluminum powder, such that the weight ratio of aluminum powder to boron nitride is in the range of 9:1 to 9909:1; and 0.1% to 9%, by weight of an optional glass frit-free additive, the optional glass frit-free additive comprising amorphous silicon dioxide, crystalline calcium oxide organometallic compounds, metal salts, or mixtures thereof; and 10% to 70%, by weight of an organic vehicle, wherein the amounts in % by weight are based on the total weight of the aluminum paste composition. | 06-21-2012 |
20120152346 | LIGHT ABSORPTION-ENHANCING SUBSTRATE STACKS - This disclosure provides substrate stacks for use in photovoltaic cells and methods of manufacturing the same. In one aspect, a substrate stack can include a substrate layer having at least one surface with an RMS roughness value that is greater than 9 nm. The substrate stack can also include a transparent conductive oxide layer disposed over the substrate layer. The transparent conductive oxide layer can include at least a first surface with an RMS roughness value that is greater than 9 nm and a second surface with an RMS roughness value that is greater than 9 nm. The RMS roughness value of the second surface can be greater than the RMS value of the first surface. | 06-21-2012 |
20120152347 | STACKED ELECTRODE AND PHOTO-ELECTRIC DEVICE HAVING THE SAME - A stacked electrode includes an optical match layer, a transparent conductive layer, and a metal layer. A complex refractive index of the optical match layer is N | 06-21-2012 |
20120152348 | SOLAR CELL ELEMENT AND METHOD FOR MANUFACTURING SOLAR CELL ELEMENT - In order to improve a photoelectric conversion efficiency, a solar cell element comprises a semiconductor substrate with a first surface serving as a light-receiving surface, a second surface that is a back surface of the first surface, and a plurality of through holes formed so as to extend from the first surface to the second surface. An area of an opening of each of the plurality of through holes increases as the through hole is located closer to a peripheral portion of the semiconductor substrate relative to a central portion thereof. | 06-21-2012 |
20120160314 | PROCESS FOR THE FORMATION OF A SILVER BACK ANODE OF A SILICON SOLAR CELL - A process for the formation of a silver back anode of a silicon solar cell wherein a silver paste comprising particulate silver, an organic vehicle and glass frit comprising at least one antimony oxide is applied in a silver back anode pattern on the back-side of a p-type silicon wafer having an aluminum back-side metallization and fired. | 06-28-2012 |
20120160315 | THIN FILM SOLAR CELL MODULE AND MANUFACTURING METHOD THEREOF - Discussed are a thin film solar cell module and a method of fabricating the same. A solar cell module includes a substrate; and a transparent electrode layer. The transparent electrode layer in turn includes a first electrode layer provided on the substrate; and a second electrode layer provided on the first electrode layer, wherein the first electrode layer and the second electrode layer are made of different materials and the second electrode layer is locally formed on portions of the first electrode layer. Accordingly, the transparent electrode layer exhibits improved transmittance of monochromatic light as well as increased light scattering, thereby enhancing efficiency of the thin film solar cell module. | 06-28-2012 |
20120160316 | REFLECTION BLOCKING FILM AND METHOD OF MANUFACTURING THE SAME - A reflection blocking film provided on a solar cell includes a transparent substrate with a plurality of patterns having incident light collected on the top surface thereof, and a reflector on the bottom surface of the transparent substrate and with holes through which the collected incident light is transmitted. A method of manufacturing a reflection blocking film includes: forming a plurality of patterns on the top surface of a transparent substrate; coating a photo resin on a bottom surface of the transparent substrate; exposing to irradiate light to the top surface of the transparent substrate to react the light collected by the pattern with the photo resin; developing to lift off a portion, which does not receive light, by using a developer during the exposing; coating a reflector on the bottom surface of the transparent substrate; and forming holes by lifting off the photo resin interposed in the reflector. | 06-28-2012 |
20120160317 | POLYIMIDE POLYMER SOLUTION, POLYIMIDE POLYMER, TRANSPARENT FILM, DISPLAYING DEVICE AND SOLAR CELL - A polyimide polymer solution, a polyimide polymer, a transparent film, a display device and a solar cell are provided. The polyimide polymer has at least one of a repeating unit of formula (D) and a repeating unit of formula (J) and at least one of a repeating unit of formula (Q) and a repeating unit of formula (T). | 06-28-2012 |
20120160318 | SOLAR CELL APPARATUS AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell apparatus and a method of fabricating the same. The solar cell apparatus includes a support substrate, a back electrode layer on the support substrate, a light absorbing layer covering the back electrode layer while exposing a first expose region of the back electrode layer, and a window layer covering the light absorbing layer while exposing a second expose region of the light absorbing layer. The above layers are formed by moving one mask at a predetermined pitch. The layers have step difference from each other, and are stacked on each other so that the layers are offset from each other by the predetermined pitch. The solar cell apparatus is fabricated by using one mask, so that the solar cell apparatus is very easily formed. | 06-28-2012 |
20120160319 | SOLAR BATTERY AND METHOD OF MANUFACTURING THE SAME - In a solar battery including: a photoelectric conversion layer that converts light into electricity; and a reflecting electrode layer that is provided on an opposite side of a light incident side in the photoelectric conversion layer and reflects light passed through the photoelectric conversion layer to the photoelectric conversion layer side, to realize a reflecting electrode layer having excellent adhesion and thermal corrosion resistance, stable electrical characteristics and satisfactory light reflection characteristics and to obtain a solar battery having high reliability, excellent electrical characteristics and optical characteristics, the reflecting electrode layer includes, on the photoelectric conversion layer side, a metal layer containing silver as a main component and containing nitrogen. | 06-28-2012 |
20120160320 | AQUEOUS ACIDIC ETCHING SOLUTION AND METHOD FOR TEXTURING THE SURFACE OF SINGLE CRYSTAL AND POLYCRYSTAL SILICON SUBSTRATES - An aqueous acidic etching solution suitable for texturing the surface of single crystal and polycrystal silicon substrates and containing, based on the complete weight of the solution, 3 to 10% by weight of hydrofluoric acid; 10 to 35% by weight of nitric acid; 5 to 40% by weight of sulfuric acid; and 55 to 82% by weight of water; a method for texturing the surface of single crystal and polycrystal silicon substrates comprising the step of (1) contacting at least one major surface of a substrate with the said aqueous acidic etching solution; (2) etching the at least one major surface of the substrate for a time and at a temperature sufficient to obtain a surface texture consisting of recesses and protrusions; and (3) removing the at least one major surface of the substrate from the contact with the aqueous acidic etching solution; and a method for manufacturing photovoltaic cells and solar cells using the said solution and the said texturing method. | 06-28-2012 |
20120160321 | FLUOROPOLYMER CONTAINING LAMINATES - The multilayer film serves as a laminate. The film is a multilayered structure that, in its base form, encompasses an intermediate layer with first and second outer layer affixed to opposing sides of the intermediate layer. The first outer layer is a semi-crystalline fluoropolymer. The intermediate layer includes a polyester and the second outer layer is an olefinic polymer. The layers are bonded together in the noted order to provide the multilayer film. | 06-28-2012 |
20120160322 | FLUOROPOLYMER CONTAINING LAMINATES - The multilayer film serves as a laminate. The film is a multilayered structure that, in its base form, encompasses an intermediate layer with first and second outer layer affixed to opposing sides of the intermediate layer. The first outer layer is a semi-crystalline fluoropolymer. The intermediate layer includes a polyester and the second outer layer is an olefinic polymer. The layers are bonded together in the noted order to provide the multilayer film. | 06-28-2012 |
20120160323 | FLUOROPOLYMER CONTAINING LAMINATES - The multilayer film serves as a laminate. The film is a multilayered structure that, in its base form, encompasses an intermediate layer with first and second outer layer affixed to opposing sides of the intermediate layer. The first outer layer is a semi-crystalline fluoropolymer. The intermediate layer includes a polyester and the second outer layer is an olefinic polymer. The layers are bonded together in the noted order to provide the multilayer film. | 06-28-2012 |
20120167969 | Zener Diode Within a Diode Structure Providing Shunt Protection - A structure to provide a Zener diode to avoid shunt formation is disclosed. An undoped or lightly doped monocrystalline thin semiconductor lamina is cleaved from a donor body which is not permanently affixed to a support element. The lamina may be annealed at high temperature to remove damage from a prior implant. At least one aperture is formed through the lamina, either due to flaws in the cleaving process, or intentionally following cleaving. Heavily doped amorphous silicon layers having opposite conductivity types are deposited on opposite faces of the lamina, one forming the emitter and one a base contact to a photovoltaic cell, while the lamina forms the base of the cell. The heavily doped layers contact in the aperture, forming a Zener diode. This Zener diode prevents formation of shunts, and may behave as a bypass diode if the cell is placed under heavy reverse bias, as when one cell in a series string is shaded while the rest of the string is exposed to sun. | 07-05-2012 |
20120167970 | METHOD FOR PRODUCING A LIGHT TRAPPING LAYER ON A TRANSPARENT SUBSTRATE FOR USE IN A PHOTOVOLTAIC DEVICE, A METHOD FOR PRODUCING A PHOTOVOLTAIC DEVICE AS WELL AS SUCH A PHOTOVOLTAIC DEVICE - A method to manufacture a thin film photovoltaic device is provided. The method involves mastering of sub-micron features onto a first master substrate, followed by duplication of the master surface onto one or multiple stampers, and replication of the micro-texture into the superstrate or substrate surface by using the multiple stampers. The method also discloses depositing a TCO layer on the superstrate or substrate surface having the sub-micron features, such that a side of the TCO layer distant from the superstrate or substrate surface having the sub-micron features. Thereafter, the method includes depositing the one or more semiconductor layers, the back contact layer and the cover substrate. | 07-05-2012 |
20120167971 | Textured coating for thin-film solar cells and/or methods of making the same - Certain example embodiments of this invention relate to a front electrode for solar cell devices (e.g., amorphous silicon or a-Si solar cell devices), and/or methods of making the same. Advantageously, certain example embodiments include a front contact including a transparent conductive oxide layer of aluminum-doped zinc oxide. In certain example embodiments, the AZO-based layer is ion beam treated post-deposition in order to increase its surface energy and/or decrease its contact layer so as to make the layer less hydrophobic. In certain example embodiments, after ion beam treatment, a weak acid may be used to texture the layer of AZO. The reduced contact angle of the layer of AZO may improve its ability to be textured. A semiconductor may be provided over the textured layer of AZO. In certain example embodiments, the textured, ion beam-treated AZO may result in an improved front contact. | 07-05-2012 |
20120167972 | ORGANIC PHOTOVOLTAIC CELL - An organic photovoltaic cell is provided, which includes an organic active layer, a light-transmissive electrode, a reflective electrode, and an optical film. The light-transmissive electrode and the reflective electrode are respectively disposed at two opposite sides of the organic active layer. The optical film and the organic active layer are respectively disposed at two opposite sides of the light-transmissive electrode. The optical film has an inner surface and an outer surface opposite to the inner surface. The transmittance of the optical film is higher than 90% when light enters the optical film from the outer surface. The reflectivity of the inner surface is higher than 10% when the light enters the optical film from the inner surface. The haze of the optical film is higher than 90%. | 07-05-2012 |
20120167973 | SOLAR CELL - A solar cell includes a semiconductor substrate, a doping layer, a quantum well layer, a first passivation layer, a second passivation layer, a first electrode and a second electrode. The semiconductor substrate has a front surface and a back surface, and the front surface of the semiconductor substrate includes nano-rods. The doping layer covers the surface of the nano-rods. The electrode layers cover the doping layer. The quantum well layer having at least one first doping region and at least one second doping region is disposed on the semiconductor substrate. The quantum well layer includes polycrystalline silicon germanium (Si | 07-05-2012 |
20120167974 | Solar Cell And Method For Manufacturing The Same - A solar cell and method of manufacturing the same includes a semiconductor substrate having a textured surface and including a plurality of recess portions and a plurality of flat portions, an emitter layer in the plurality of recess portions, a first doping region in at least one of the plurality of flat portions, and doped with a first conductive type impurity selected from one of p-type and n-type impurities, a second doping region in at least one of the plurality of flat portions, and doped with a second conductive type impurity selected from one of p-type and n-type impurities that differs from the first conductive type impurity, and first and second electrodes electrically connected to the first and second doping regions, respectively. The distance between the emitter layer and the first doping region is different from the distance between the emitter layer and the second doping region. | 07-05-2012 |
20120167975 | Solar Cell And Method For Manufacturing The Same - A solar cell includes a semiconductor substrate having a texturized surface, the semiconductor substrate including a plurality of recess portions and a plurality of flat portions, an insulation layer on the texturized surface of the semiconductor substrate and an electrode on the plurality of flat portions of the semiconductor substrate. The insulation layer on the plurality of recess portions of the semiconductor substrate is thinner than the insulation layer on the plurality of flat portions of the semiconductor substrate. | 07-05-2012 |
20120167976 | FILM FOR SOLAR CELL BACKSHEET, SOLAR CELL BACKSHEET USING THE SAME, AND SOLAR CELL - A solar backsheet film has a multilayered configuration composed of at least two layers including a layer (hereinafter referred to as layer A) having a surface with a surface resistivity R | 07-05-2012 |
20120167977 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a substrate of a first conductive type, an emitter layer which is positioned at one surface of the substrate and has a second conductive type opposite the first conductive type, an anti-reflection layer which is positioned on the emitter layer and has a contact line, and an electrode part positioned on the emitter layer exposed by the contact line. The electrode part includes a seed layer directly contacting the emitter layer. The emitter layer has a first thickness of a formation area of the anti-reflection layer and a second thickness of a formation area of the seed layer. The first thickness is different from the second thickness. | 07-05-2012 |
20120167978 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - The present embodiment relates to a solar cell and a method for manufacturing the same. A solar cell according to an embodiment includes a substrate comprising silicon semiconductor material; an emitter region formed on a rear surface of the substrate; a back surface field region formed on the rear surface of the substrate, wherein the back surface field region comprising a first back surface field region and a second the back surface field region; a first electrode electrically connected to the emitter region; and a second electrode electrically that is connected to the first back surface field region, wherein the second electrode that is not electrically connected to the second back surface field region. | 07-05-2012 |
20120167979 | THIN FILM SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - The present invention provides a thin film solar cell, which comprises: a substrate; a first electrode disposed on the substrate; a barrier layer disposed on the first electrode, wherein the material of the barrier layer is a conductive material; an ohmic contacting layer disposed on the barrier layer; an absorption layer disposed on the ohmic contacting layer; a buffer layer disposed on the absorption layer; a transparent conductive layer disposed on the buffer layer; and a second electrode disposed on the transparent conductive layer. In addition, the present invention also provides a method for manufacturing the aforementioned thin film solar cell. | 07-05-2012 |
20120167980 | SOLAR CELL - The invention relates to a solar cell with a semiconductor wafer comprising a light incidence facing front side with a base electrode, which is connected to a base layer of the semiconductor wafer, and a front side opposite to the back side with an emitter electrode, which is connected to an emitter structure of the semiconductor wafer, characterized by that the emitter structure comprises a front side emitter layer arranged on the front side of the semiconductor wafer. | 07-05-2012 |
20120167981 | BACKSIDE PROTECTIVE SHEET FOR SOLAR CELL, METHOD OF MANUFACTURING THE SAME, AND SOLAR CELL MODULE - A backside protective sheet (V′) for a solar cell includes an adhesive agent layer ( | 07-05-2012 |
20120167982 | SOLAR CELL, SOLAR CELL MODULE AND SOLAR CELL SYSTEM - A solar cell includes a crystalline semiconductor substrate having one of a p-type and an n-type material, a p-type semiconductor layer formed on a first principal surface of the substrate, a first transparent conductive film comprising indium oxide containing hydrogen and cerium and formed on the p-type semiconductor layer, an n-type semiconductor layer formed on a second principal surface of the substrate, and a second transparent conductive film comprising indium oxide containing no cerium and formed on the n-type semiconductor layer. | 07-05-2012 |
20120174973 | Solar Cell Apparatus and Method For Manufacturing the Same - Disclosed are a solar cell apparatus and a method for manufacturing the same. The solar cell apparatus includes a substrate; a back electrode layer on the substrate; a light absorbing layer on the back electrode layer; and a front electrode layer on the light absorbing layer, wherein an outer peripheral side of the back electrode layer is aligned on a plane different from a plane of an outer peripheral side of the light absorbing layer. | 07-12-2012 |
20120174974 | Oxides And Glasses For Use With Aluminum Back Solar Cell Contacts - Solar cell contacts having good electrical performance are made by a process involving: (a) providing a silicon wafer substrate; (b) providing a paste comprising: (i) aluminum, (ii) glass frit, and (iii) a separate and distinct amount of at least one oxide, such that, together with the aluminum, the glass frit and oxide forms a paste having an exothermic reaction peak, at a temperature of at least 660° C. to less than 900° C., (c) applying the paste to the silicon wafer substrate to form a coated substrate, and (d) firing the coated substrate for a time and at a temperature sufficient to sinter the aluminum and fuse the glass frit and oxide. | 07-12-2012 |
20120174975 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a substrate of a first conductive type, an emitter region of a second conductive type opposite the first conductive type which is positioned at the substrate and has a first sheet resistance, a first heavily doped region which is positioned at the substrate and has a second sheet resistance less than the first sheet resistance, a plurality of first electrodes which are positioned on the substrate, overlap at least a portion of the first heavily doped region, and are connected to the at least a portion of the first heavily doped region, and at least one second electrode which is positioned on the substrate and is connected to the substrate. | 07-12-2012 |
20120174976 | Conductive Paste, And Electronic Device And Solar Cell Including An Electrode Formed Using The Same - A conductive paste includes a conductive powder, a metallic glass, and an organic vehicle. The metallic glass may be an alloy including a first element with an atomic radius that satisfies the following equation: (r | 07-12-2012 |
20120174977 | Solar Power Generation Apparatus and Manufacturing Method Thereof - Provided are a solar cell apparatus and a method of manufacturing the same. The solar cell apparatus includes: a substrate; a back electrode layer on the substrate; an alloy layer on the back electrode layer; a light absorbing layer on the alloy layer; and a front electrode layer on the light absorbing layer. | 07-12-2012 |
20120174978 | THICK-FILM CONDUCTIVE COMPOSITIONS WITH NANO-SIZED ZINC ADDITIVE - The present invention is a thick film silver composition for use a solar cell device. The thick film paste composition comprises an electrically conductive silver powder, one or more glass frits, a nano-sized additive wherein the nano-sized additive is selected from metallic zinc, zinc alloys or a mixture of metallic zinc and zinc oxide, all dispersed in an organic medium. | 07-12-2012 |
20120174979 | Efficiency in Antireflective Coating Layers for Solar Cells - A solar cell includes a substrate having an N-region and a P-region, a first anti-reflective layer disposed on the substrate, a metallic contact disposed on the first anti-reflective layer, a second anti-reflective layer disposed on the first anti-reflective layer and the metallic contact, and a region partially defined by the first anti-reflective layer and the second anti-reflective layer having diffused metallic contact material operative to form a conductive path to the substrate through the first anti-reflective layer, the metallic contact, and the second anti-reflective layer. | 07-12-2012 |
20120180858 | METHOD FOR MAKING SEMICONDUCTING FILM AND PHOTOVOLTAIC DEVICE - One aspect of the present invention provides a method to make a film. The method includes providing a target comprising a sulfide within an oxygen free environment; applying a plurality of direct current pulses to the target to create a pulsed direct current plasma; sputtering the sulfide target with the pulsed DC plasma to eject a material comprising sulfur into the plasma; and depositing a film comprising the ejected material onto a support. Another aspect of the present invention provides a method of making a photovoltaic device. | 07-19-2012 |
20120180859 | Conductive Paste, And Electronic Device And Solar Cell Including An Electrode Formed Using The Same - A conductive paste includes a conductive powder, a metallic glass, and an organic vehicle. The metallic glass includes a first element, a second element having a higher absolute value of Gibbs free energy of oxide formation than the first element, and a third element having an absolute value of Gibbs free energy of oxide formation of about 1000 kJ/mol or less at a baking temperature and a eutectic temperature with the conductive powder of less than about 1000° C. An electronic device and a solar cell may include an electrode formed using the conductive paste. | 07-19-2012 |
20120180860 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell and a method for manufacturing the same are disclosed. The method for manufacturing the solar cell includes forming an emitter region of a second conductive type opposite a first conductive type at a first surface of a substrate of the first conductive type by using an ion implantation method, forming a passivation layer on a second surface positioned opposite the first surface of the substrate, and forming a first electrode, which is positioned on the first surface of the substrate and is connected to the emitter region, and a second electrode, which is positioned on the second surface of the substrate and is selectively connected to the substrate through the passivation layer. | 07-19-2012 |
20120180861 | SOLAR CELL - A solar cell includes a substrate of a first conductive type, an emitter region, which is positioned at the substrate and is doped with impurities of a second conductive type opposite the first conductive type, a plurality of first electrodes, which are connected to the emitter region and extend parallel to one another to be spaced apart from one another, a plurality of semiconductor electrodes, which extend in a direction different from an extension direction of the plurality of first electrodes to be spaced apart from one another and have an impurity doping concentration higher than the emitter region, and a second electrode connected to the substrate. A distance between two adjacent semiconductor electrodes is about | 07-19-2012 |
20120180862 | NON-CONTACTING BUS BARS FOR SOLAR CELLS AND METHODS OF MAKING NON-CONTACTING BUS BARS - A photovoltaic module having non-contacting bus bars and methods of making non-contacting bus bars are disclosed. The fingers are screen printed on the substrate using a paste. The bus bar(s) can be formed over the fingers using a number of techniques that do not dissolve through the passivation layer of the substrate. The bus bar(s) can be screen printed over the fingers using a second paste that is more viscous and/or conductive than the first paste. The bus bar(s) can be a conductive trace that is deposited over the fingers. The bus bar(s) can be a metal wire coated with solder or paste that is positioned on the fingers. Metal plating techniques may also be used to thicken the fingers and/or bus bars. One or more doping steps may be used to form selective emitters under the fingers and bus bar. | 07-19-2012 |
20120180863 | SOLAR CELL APPARATUS AND METHOD OF FABRICATING THE SAME - Disclosed is a solar cell apparatus. The solar cell apparatus includes a substrate, and a solar cell on the substrate. The substrate includes a support layer below the solar cell, and a reinforcement part provided below the support layer and having an open region to expose a bottom surface of the support layer. | 07-19-2012 |
20120180864 | PASTE FOR FORMING OF AN ELECTRODE OF A SOLAR CELL - There is provided a paste for the production of a solar cell electrode, which exhibits high electrical conductivity, low contact resistance, high aspect ratio, superior storage stability and excellent adhesive strength. When a solar cell electrode is produced from the paste according to the present invention, it can be cured at a drying temperature without undergoing a separate sintering process, thereby increasing productivity in the manufacture of solar cell electrodes | 07-19-2012 |
20120186644 | FLEXIBLE ELECTRODES AND PREPARATION METHOD THEREOF, AND FLEXIBLE DYE-SENSITIZED SOLAR CELLS USING THE SAME - The present invention relates to a flexible photoelectrode and a manufacturing method thereof, and a dye-sensitized solar cell using the same. More particularly, the present invention relates to a flexible photoelectrode capable of forming a semiconductor electrode with excellent photoelectric conversion efficiency on a plastic substrate at low temperatures in a simple and stable manner, in which it is prepared by forming a nanocrystalline metal oxide layer calcined at high temperature on a high temperature resistant substrate, and transferring it to a flexible transparent substrate by a transfer method using an HF solution, and a flexible dye-sensitized solar cell comprising the same. | 07-26-2012 |
20120186645 | DYE SENSITIZED SOLAR CELL, AND METHOD OF MANUFACTURING THE SAME - A dye-sensitized solar cell including a first electrode, a negative photoelectrode on the first electrode, a light scattering layer on a surface of the negative photoelectrode, a second electrode facing the first electrode with the negative photoelectrode and the light scattering layer therebetween, and an electrolyte between the first electrode and the second electrode. The light scattering layer includes a titanium dioxide nano wire and a titanium dioxide nano particle. | 07-26-2012 |
20120186646 | TRANSPARENT SUBSTRATE EQUIPPED WITH AN ELECTRODE - The subject of the invention is a transparent substrate, especially made of glass, which is provided with an electrode, especially for a solar cell, comprising a conductive layer based on molybdenum Mo with a thickness of at most 500 nm, especially at most 400 nm or at most 300 nm or at most 200 nm. | 07-26-2012 |
20120186647 | Organometallic And Hydrocarbon Additives For Use With Aluminum Back Solar Cell Contacts - A method of reducing bow and/or improving the electrical performance of an aluminum back contacted silicon solar cell includes applying to a silicon wafer substrate a paste including aluminum and an organometallic compound, and firing the substrate. The organometallic compound is a C | 07-26-2012 |
20120186648 | COAXIAL MOLECULAR STACK FOR TRANSFERRING PHOTOCURRENT GENERATION - A photovoltaic device ( | 07-26-2012 |
20120186649 | SELECTIVE TRANSFORMATION IN FUNCTIONAL FILMS, AND SOLAR CELL APPLICATIONS THEREOF - A solar cell formation method, and resulting structure, having a first film and a barrier film over a surface of a doped semiconductor, wherein the optical and/or electrical properties of the first film are transformed in-situ such that a resulting transformed film is better suited to the efficient functioning of the solar cell; wherein portions of the barrier film partially cover the first film and substantially prevent transformation of first film areas beneath the portions of the barrier film. | 07-26-2012 |
20120186650 | SURFACE-MODIFIED FILM, PROCESS FOR PRODUCING SAME, AND LAMINATED FILM AND PROCESS FOR PRODUCING SAME - It is an object of the present invention to provide a surface-modified film which has adhesive properties improved by surface modification and a process for producing the same. It is also an object of the present invention to provide a laminated film in which at least the surface-modified film has been laminated and a process for producing the laminated film. The surface-modified film according to the present invention is characterized by being obtained by bringing a halide complex of an element in Group 13 of the periodic table into contact with at least a part of a region in the surfaces of a resin film. | 07-26-2012 |
20120192937 | THIN FILM STRUCTURE FOR PHOTOVOLTAIC APPLICATIONS - A thin film structure for photovoltaic applications includes a biaxially textured metal substrate; a seed layer epitaxially disposed on the metal substrate; a barrier layer comprising SrTiO | 08-02-2012 |
20120192938 | Method and apparatus involving high-efficiency photovoltaic with p-type oxidant - The present invention is a method and technique (apparatus) to improve the efficiency of the chemical and physical types of photovoltaics. All types of photovoltaics suffer from the build-up of counter-electrons, termed p-type electrons. The p-type electrons induce a potential break to the main potential of the photovoltaic, i.e. causing a reduction to the converted power. The application of the oxidant layer to the p-type semiconductor of the photovoltaic should reduce the p-type electrons from moving in the external circuit, therefore increases the overall efficiency. | 08-02-2012 |
20120192939 | HETEROJUNCTION WIRE ARRAY SOLAR CELLS - This disclosure relates to structures for the conversion of light into energy. More specifically, the disclosure describes devices for conversion of light to electricity using ordered arrays of semiconductor wires coated in a wider band-gap material. | 08-02-2012 |
20120192940 | Electrical Connection and Junction Box for a Solar Cell Module and Method for Making an Electrical Connection Thereof - A solar assembly includes an electrical connection and junction box and a solar cell module. The junction box has an electrically conducting structure including an electrical conductor path. The solar cell module has an electrical conductive strip. A flexible electric conductor is connected with the conductor path and is materially bonded with the conductive strip in an electrically conducting manner such that the conductor path electrically contacts the conductive strip via the electric conductor. | 08-02-2012 |
20120192941 | BARRIER AND PLANARIZATION LAYER FOR THIN-FILM PHOTOVOLTAIC CELL - Thin film photovoltaic cells and methods of manufacturing such cells that include one or more diffusion barrier layers configured to provide a relatively smooth growth surface for subsequent deposition of a p-type semiconductor layer. Diffusion barrier layers according to the present teachings may be amorphous, microcrystalline or nanocrystalline layers of materials including molybdenum, conductive oxides, conductive nitrides, conductive carbides, or mixtures thereof. In some cases a diffusion barrier layer may be configured to have surface roughness less than a predetermined threshold value. | 08-02-2012 |
20120192942 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell and a method for manufacturing the same are discussed. The solar cell includes a semiconductor substrate containing first impurities of a first conductive type, an anti-reflection layer which is positioned on the semiconductor substrate and has a fixed charge of the first conductive type, an ohmic contact region in which second impurities of a second conductive type different from the first conductive type of the first impurities of the semiconductor substrate are selectively positioned at the semiconductor substrate, a plurality of first electrodes which are positioned on the ohmic contact region and are connected to the ohmic contact region, and a second electrode connected to the semiconductor substrate. | 08-02-2012 |
20120192943 | FABRICATION METHOD FOR LOCAL BACK CONTACT PHOTOVOLTAIC CELLS - A method is disclosed for fabricating a photovoltaic cell comprising local back contacts. In one aspect, the method includes providing a silicon substrate, depositing a surface passivation layer at a rear side of the silicon substrate, forming delaminated regions or bubbles at an interface between the surface passivation layer and the silicon substrate, depositing a metal layer on the surface passivation layer, and performing a metal firing. | 08-02-2012 |
20120192944 | POLYESTER FILM, AND SOLAR-CELL BACK SHEET AND SOLAR-CELL USING THE SAME - A polyester film has a laminate structure including a polyester layer (layer P | 08-02-2012 |
20120199188 | METAL CONTACT FORMATION AND WINDOW ETCH STOP FOR PHOTOVOLTAIC DEVICES - Embodiments of the invention generally relate to photovoltaic devices and more specifically, to metallic contacts disposed on photovoltaic devices and to the fabrication processes for forming such metallic contacts. In one aspect, a method for contact patterning on a photovoltaic device includes providing a semiconductor structure that includes a front contact layer and a window layer underneath the front contact layer, where the window layer also acts as an etch stop layer. At least one metal layer is deposited on the front contact layer, and a resist is applied on portions of the at least one metal layer. The at least one metal layer and the front contact layer are etched through to achieve the desired metallization. | 08-09-2012 |
20120199189 | THREE DIMENSIONAL DYE-SENSITIZED SOLAR CELLS WITH NANOSCALE ARCHITECTURES - Techniques, apparatus, materials and systems are described for providing solar cells. In one aspect, an apparatus includes a high efficiency dye sensitized solar cell (DSSC). The DSSC includes three-dimensional nanostructured electrodes. The three-dimensional nanostructured electrodes can include a cathode; an electrolyte; and anode that includes TiO2 nanotubes arranged in a three-dimensional structure; and a photosensitive dye coated on the anode. | 08-09-2012 |
20120199190 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a silicon semiconductor substrate; an emitter layer formed on a surface of the silicon semiconductor substrate; an antireflection layer formed on the emitter layer: and a front electrode electrically connected to the emitter layer by penetrating the antireflection layer. The front electrode includes a finger line and a busbar electrode electrically connected to the finger line, and the busbar electrode includes at least a first electrode line and a second electrode line electrically connected to each other. The first and second electrode lines have a width same as or larger than a width of the finger line, and the first and second electrode lines have the width of 100 μm or less. | 08-09-2012 |
20120199191 | BATTERY AND SOLAR METHOD FOR MANUFACTURING THE SAME - A solar battery according to the embodiment of the present invention includes an rear electrode formed on a substrate and separated by an first through-hole; an light absorbing layer formed on the rear electrode including the first through-hole; a second through-hole exposing the rear electrode through the light absorbing layer; a buffer layer formed on the upper surface and the side surface of the light absorbing layer; a front electrode layer formed on the buffer layer; and a connection wiring extending from the front electrode layer and formed within the second through-hole. | 08-09-2012 |
20120199192 | CONDUCTIVE COMPOSITIONS AND PROCESSES FOR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - ORGANIC MEDIUM COMPONENTS - Embodiments of the invention relate to a silicon semiconductor device, and a conductive paste for use in the front side of a solar cell device. | 08-09-2012 |
20120204944 | SOLAR SUBSTRATE WITH HIGH FRACTURE STRENGTH - The invention discloses a solar substrate with high fracture strength. The solar substrate according to the invention comprises an upper surface, a plurality of first protrusions and a plurality of first recess regions. The first protrusions are formed on the upper surface and each of the plurality of first recess regions being formed on the surrounding of the plurality of first protrusions, such that the deflection required to crack the solar substrate by bending thereto being increased in comparison with the solar substrate without the plurality of first protrusions and first recess regions formed thereon. By the combination of the protrusions and the recess regions, the fracture strength of the solar substrate is enhanced for enduring a high tension. | 08-16-2012 |
20120204945 | LIGHT COLLECTION MODULE AND SOLAR ENERGY DEVICE HAVING THE SAME - A light collection module and a solar energy device are provided. The light collection module includes a light guiding material and a solar energy receiving element. The light guiding material has a first surface and a second surface opposite thereto. The first surface includes a first light guiding structure and a second light guiding structure. The first light guiding structure includes a first light guiding surface and a first auxiliary surface connected to each other and intersected in a first angle. The second light guiding structure includes a second light guiding surface and a second auxiliary surface connected to each other and intersected in a second angle. The inclination directions of the first and the second light guiding surfaces are opposite to each other. The solar energy receiving element is disposed on the first surface or the second surface. | 08-16-2012 |
20120204946 | METHOD FOR PRODUCING AN EMITTER ELECTRODE FOR A CRYSTALLINE SILICON SOLAR CELL AND CORRESPONDING SILICON SOLAR CELL - In a method for producing a front-side emitter electrode as front contact for a silicon solar cell on a silicon wafer, a depression is produced in the front side of said silicon wafer. A front-side n-doped silicon layer and an antireflection layer are then produced. A paste is then introduced into the depression, said paste containing electrically conductive metal particles and etching glass frit. Said paste, as a result of momentary heating, etches through the antireflection layer to the n-doped silicon layer making electrical contact with the latter. Afterwards, electrically conductive front contact metal is galvanically attached as front contact onto the heat-treated paste in the depression. | 08-16-2012 |
20120204947 | Solar Cell and Manufacturing Method Thereof - There is provided a solar cell according to an exemplary embodiment includes: an upper substrate placed on cells of the solar cell; and a hologram pattern placed on the upper substrate. | 08-16-2012 |
20120204948 | SOLAR CELL AND METHOD FOR MANUFACTURING SUCH A SOLAR CELL | 08-16-2012 |
20120204949 | Zinc Ferrite Thin Film, Method for Manufacturing the Same and Application Thereof - Electrochemical methods for manufacturing a zinc ferrite (ZnFe | 08-16-2012 |
20120204950 | TRANSPARENT CONDUCTIVE COATINGS FOR OPTOELECTRONIC AND ELECTRONIC DEVICES - The invention provides processes for the manufacture of conductive transparent films and electronic or optoelectronic devices comprising same. | 08-16-2012 |
20120211072 | Solar Cell And Method Of Manufacturing Same - Example embodiments of a solar cell including a semiconductor substrate, an N emitter layer formed on a light-absorbing surface of the semiconductor substrate, a p+ region formed on the light-absorbing surface of the semiconductor substrate, a first electrode electrically connected to the p+ region, a second electrode separately formed from the first electrode on the light-absorbing surface of the semiconductor substrate and electrically connected to the N emitter layer, and an auxiliary layer inducing an N+ back surface field (BSF) on the opposite surface to the light-absorbing surface of the semiconductor substrate, and a method of manufacturing the solar cell are provided. | 08-23-2012 |
20120211073 | SOLAR CELL - A method of fabricating a solar cell includes steps of: forming an amorphous carbon layer, an AlN layer and a first n-type nitride semiconductor layer on the surface of the graphite substrate, forming a mask layer with a plurality of openings on the first n-type nitride semiconductor layer; forming a plurality of second n-type nitride semiconductor layers on the portions of the first n-type nitride semiconductor layer which are exposed by the plurality of openings; forming a plurality of light absorption layers on the plurality of second n-type nitride semiconductor layers; forming a plurality of p-side nitride semiconductor layers on the plurality of the light absorption layers; forming a p-side electrode; and forming an n-side electrode. | 08-23-2012 |
20120211074 | Coated Nanoparticles and Quantum Dots for Solution-Based Fabrication of Photovoltaic Cells - CIGS absorber layers fabricated using coated semiconducting nanoparticles and/or quantum dots are disclosed. Core nanoparticles and/or quantum dots containing one or more elements from group 13 and/or IIIA and/or VIA may be coated with one or more layers containing elements group IB, IIIA or VIA. Using nanoparticles with a defined surface area, a layer thickness could be tuned to give the proper stoichiometric ratio, and/or crystal phase, and/or size, and/or shape. The coated nanoparticles could then be placed in a dispersant for use as an ink, paste, or paint. By appropriate coating of the core nanoparticles, the resulting coated nanoparticles can have the desired elements intermixed within the size scale of the nanoparticie, while the phase can be controlled by tuning the stochiomctiy, and the stoichiometry of the coated nanoparticle may be tuned by controlling the thickness of the coating(s). | 08-23-2012 |
20120211075 | ORGANIC PHOTOVOLTAIC CELL AND METHOD FOR MANUFACTURING THEREOF - Provided is an organic photoelectric cell having excellent electrical characteristics. An organic photovoltaic cell ( | 08-23-2012 |
20120211076 | SOLAR CELL - Disclosed is a solar cell wherein generation of internal stress is reduced, thereby reducing crystal defects and recombination loss. Specifically disclosed is a solar cell having an antireflective film and an external lead-out electrode on the light-receiving side of a semiconductor substrate that is provided with a p-n junction, while comprising an electrode layer on the non-light-receiving side of the semiconductor substrate. The solar cell is characterized in that the electrode layer is in the form of a solid layer and has a thickness of not more than 5 μm. It is preferable that the electrode layer has a sheet resistance of not more than 1×10−4 Ω/□. | 08-23-2012 |
20120216859 | BACK SHEET FOR SOLAR CELL, AND SOLAR CELL MODULE - An object of the present invention is to provide a back sheet for a solar cell that improves weather resistance while also being advantageous in terms of cost. In a back sheet | 08-30-2012 |
20120216860 | INTERCONNECTION SHEET, SOLAR CELL WITH INTERCONNECTION SHEET, SOLAR CELL MODULE, AND INTERCONNECTION SHEET ROLL - Provided are an interconnection sheet, a solar cell with the interconnection sheet, and a solar cell module. In the interconnection sheet, a wire for p type and a wire for n type are disposed in a second direction alternately one by one with a distance therebetween to configure an alternating array portion, and an outflow suppression portion is provided outside the alternating array portion. Also provided are a solar cell with an interconnection sheet having a bonding layer provided between a back electrode type solar cell and the interconnection sheet, and the outflow suppression portion provided outside the bonding layer, as well as a solar cell module using the solar cell with the interconnection sheet. | 08-30-2012 |
20120216861 | SOLAR BATTERY CELL - In a solar battery cell, a plurality of silver electrodes are formed in a dot shape with a predetermined gap therebetween linearly along a rear surface tab line. Pitches among the silver electrodes are set so as to be large in a central part of a silicon substrate and small at the ends of the silicon substrate. By arranging many silver electrodes at ends of the silicon substrate having a large stress, the rigidity at the ends is improved, and the warpage is decreased, thereby suppressing cell cracking. | 08-30-2012 |
20120222735 | N-Type Silicon Solar Cell With Contact/Protection Structures - A solar cell is formed on an n-type semiconductor substrate having a p+ emitter layer by forming spaced-apart contact/protection structures on the emitter layer, depositing a blanket dielectric passivation layer over the substrate's upper surface, utilizing laser ablation to form contact openings through the dielectric layer that expose corresponding contact/protection structures, and then forming metal gridlines on the upper surface of the dielectric layer that are electrically connected to the contact structures by way of metal via structures extending through associated contact openings. The contact/protection structures serve both as protection against substrate damage during the contact opening formation process (i.e., to prevent damage of the p+ emitter layer caused by the required high energy laser pulses), and also serve as optional silicide sources that facilitate optimal contact between the metal gridlines and the p+ emitter layer. | 09-06-2012 |
20120222736 | FRONT CONTACT SOLAR CELL MANUFACTURE USING METAL PASTE METALLIZATION - Embodiments of the invention contemplate the formation of a high efficiency solar cell using novel methods to form metal contact structures of the solar cell device. In one embodiment, a solar cell device includes a substrate comprising a doped semiconductor material, a surface formed on the substrate having a second doped semiconductor layer having a conductivity type opposite to the first doped semiconductor material, a dielectric layer disposed on the surface of the substrate, a metal contact structure formed in the dielectric layer with a first predetermined cross sectional area, and a metal line formed on the metal contact structure with a second predetermined cross sectional area, wherein the second predetermined cross sectional area is larger than the first predetermined cross sectional area. | 09-06-2012 |
20120222737 | HOT CARRIER ENERGY CONVERSION STRUCTURE AND METHOD OF FABRICATING THE SAME - A method of fabricating a hot carrier energy conversion structure, and a hot carrier energy conversion structure. The method comprises forming an energy selective contact ESC comprising a tunnelling layer; forming a carrier generation layer on the ESC; and forming a semiconductor contact without a tunnelling layer on the carrier generation layer. | 09-06-2012 |
20120222738 | CONDUCTIVE COMPOSITION, SILICON SOLAR CELL INCLUDING THE SAME, AND MANUFACTURING METHOD THEREOF - A conductive composition for a front electrode busbar of a silicon solar cell includes a metallic powder, a solder powder, a curable resin, a reducing agent, and a curing agent. A method of manufacturing a front electrode busbar of a silicon solar cell includes applying the composition to the front surface of the silicon solar cell wherein its front electrode finger line is formed. A substrate includes a front electrode busbar of a silicon solar cell, formed with a conductive composition. A silicon solar cell includes one or more electrodes containing a conductive composition including a conductive powder, a curable resin, a reducing agent, and a curing agent. A method of manufacturing the silicon solar cell includes forming a first electrode array with a first conductive composition, forming a second electrode, and forming a third electrode with a third conductive composition. | 09-06-2012 |
20120227800 | Biologically Self-Assembled Nanotubes - A method of a general biological approach to synthesizing compact nanotubes using a biological template is described. | 09-13-2012 |
20120227801 | HYDROLYSIS RESISTANT POLYESTER FILMS - A biaxially oriented polyester film including polyethylene terephthalate (PET) and at least one hydrolysis stabiliser selected from a glycidyl ester of a branched monocarboxylic acid, wherein the monocarboxylic acid has from 5 to 50 carbon atoms, wherein the hydrolysis stabiliser is present in the film in the form of its reaction product with at least some of the end-groups of the polyester; a process for making the same; and use of the film as a layer in a photovoltaic cell. | 09-13-2012 |
20120227802 | ORGANIC COMPOUND AND PHOTOVOLTAIC DEVICE COMPRISING THE SAME - The present invention provides a organic compound of the general structural formula 1 and photovoltaic device and photovoltaic layer comprising thereof | 09-13-2012 |
20120227803 | COMPOUND THIN FILM SOLAR CELL - A compound thin film solar cell contains at least: includes a substrate; a back surface electrode provided on the substrate; an extraction electrode provided on the back surface electrode; a light absorbing layer provided on the back surface electrode; a buffer layer provided on the light absorbing layer; a transparent electrode layer provided on the buffer layer; an anti-reflective film provided on the transparent electrode layer; and an extraction electrode provided on the transparent electrode layer, wherein the light absorbing layer is formed from Cu(Al | 09-13-2012 |
20120227804 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell and a method of manufacturing the same are disclosed. The solar cell includes a substrate of a first conductive type having at least one via hole; an emitter layer only on at least a portion of the via hole and at least one selected from a group consisting of an incident surface and side surfaces of the substrate, the emitter layer having a second conductive type opposite the first conductive type; at least one first electrode on the incident surface, the first electrode being electrically connected to the emitter layer; a second electrode connected to an opposite surface to the incident surface; and at least one first electrode current collector on the opposite surface, the at least one first electrode current collector being insulated from the second electrode and being electrically connected to the at least one first electrode through the via hole. | 09-13-2012 |
20120227805 | SOLAR CELL - A solar cell, having a silicon layer which has a dopant of a first dopant type, a front designed for the light coupling, and a rear. The silicon layer has a doped base layer, at least one textured layer and a metal layer being arranged on the rear of the silicon layer, optionally on additional intermediate layers, and the textured layer including a rear texture in at least a section thereof which rear texture is designed as an optical diffraction structure. At least one textured intermediate structure ( | 09-13-2012 |
20120227806 | PHOTOCROSSLINKABLE ELECTROLYTE COMPOSITION AND DYE-SENSITIZED SOLAR CELL - Provided are an electrolyte composition useful in gelling or solidifying the electrolyte of a dye-sensitized solar cell, an electrolyte formed from the electrolyte composition, and a dye-sensitized solar cell. The electrolyte composition comprises a redox pair, an ionic liquid, and a photocrosslinkable liquid crystal polymer having a functional group represented by the following chemical formula (1) to form the electrolyte. Moreover, the dye-sensitized solar cell | 09-13-2012 |
20120234382 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell and a method of manufacturing the solar cell, the solar cell including a first surface configured to receive incident sunlight and having a concavo-convex pattern, a substantially flat second surface opposite to the first surface, a first doped layer formed as a crystalline silicon layer having a first dopant, and a second doped layer formed as an amorphous silicon layer having a second dopant. The processes for forming these layers, with the exception of forming the first doped layer, are performed at a low temperature. Accordingly, reflectivity of sunlight may be minimized, a high terminal voltage may be generated, and a wafer including the solar cell can be kept from being bent. | 09-20-2012 |
20120234383 | CONDUCTIVE METAL PASTE FOR A METAL-WRAP-THROUGH SILICON SOLAR CELL - A conductive metal via paste comprising particulate conductive metal, phosphorus-containing material, glass frit, and an organic vehicle. is particularly useful in providing the metallization of the holes in the silicon wafers of MWT solar cells. The result is a metallic electrically conductive via between the collector lines on the front side and the emitter electrode on the back-side of the solar cell. The paste can also be used to form the collector lines on the front-side of the solar cell and the emitter electrode on the back-side of the solar cell. Also disclosed are metal-wrap-through silicon solar cells comprising the fired conductive metal paste. | 09-20-2012 |
20120234384 | CONDUCTIVE METAL PASTE FOR A METAL-WRAP-THROUGH SILICON SOLAR CELL - A conductive metal via paste comprising particulate conductive metal, a reactant that reacts at temperatures of 600° C. to 900° C. with at least one of the group consisting of Si, SiO | 09-20-2012 |
20120234385 | DYE-SENSITIZED SOLAR CELL - A dye-sensitized solar cell having a transparent conductive film at the inner surface of a tube-shaped transparent glass vessel, a semiconductor film photoelectrode to which a dye has been adsorbed formed on this transparent conductive film, and a counter electrode provided in the tube-shaped vessel spaced from this photoelectrode and an electrolytic solution enclosed in the tube-shaped vessel. Both ends of the tube-shaped vessel are tightly sealed by formation of sealing portions melting and compress the glass of the vessel; the transparent conductive film extends into the sealing portion at one end of the tube and an external lead is connected electrically to said transparent conductive film and is led out from the sealing portion to the outside of the tube-shaped vessel; and a lead connected electrically to the counter electrode is led out from the sealing portion at the other end to the outside of the tube-shaped vessel. | 09-20-2012 |
20120240992 | CONNECTING SOLAR CELL TABS TO A SOLAR CELL BUSBAR AND A SOLAR CELL SO PRODUCED - The invention concerns the use of an adhesive for connecting or replacing a solar cell tab and a solar cell busbar of a solar cell, where the adhesive, comprising a dispersion of a matrix and conductive particles, is made conductive in an alignment step performed after the adhesive has been applied. | 09-27-2012 |
20120240993 | LOW TEMPERATURE PLATINISATION FOR DYE-SENSITISED SOLAR CELLS - This invention relates to the field of dye-sensitised solar cells (DSSC) and to a method for the low temperature platinisation of the counter-electrode which is applicable to a wide range of substrates. | 09-27-2012 |
20120240994 | CONDUCTIVE PASTE AND ELECTRONIC DEVICE, AND SOLAR CELL INCLUDING AN ELECTRODE FORMED USING THE CONDUCTIVE PASTE - A conductive paste may include a conductive component and an organic vehicle. The conductive component may include an amorphous metal. The amorphous metal may have a lower resistivity after a crystallization process than before the crystallization process, and at least one of a weight gain of about 4 mg/cm | 09-27-2012 |
20120240995 | FOIL-BASED INTERCONNECT FOR REAR-CONTACT SOLAR CELLS - A rear-contact solar cell interconnect is disclosed. The rear-contact solar cell interconnect includes a first conductive foil with an opening and a second conductive foil. The first conductive foil is arranged to be electrically connected to a first polarity contact of a solar cell. The second conductive foil is arranged to be electrically connected to a second polarity contact of the solar cell through the opening of the first conductive foil. The solar cell includes a first surface arranged to receive solar irradiation and a second surface substantially opposite the first surface. The first polarity contact and the second polarity contact are provided on the second surface of the solar cell. | 09-27-2012 |
20120240996 | MEMBRANE COMPRISING A SOLAR CELL - In an exemplary embodiment, a membrane is disclosed having a barrier layer and a solar cell arranged on one side of the barrier layer. A compensation layer can be arranged between the solar cell and the barrier layer. This compensation layer can, for example, be a foamed composition composed of a thermoplastic that is solid at room temperature or a thermoplastic elastomer that is solid at room temperature. | 09-27-2012 |
20120240997 | PHOTOVOLTAIC DEVICE HAVING A PROTECTIVE LAYER AND METHODS FOR MANUFACTURING THAT DEVICE - Disclosed herein is a method of making a photovoltaic device having a protective layer affixed to a top surface thereof. The protective layer is comprised of a polymeric material having a fluorinated first surface and a second, opposed, surface which is non-fluorinated or less fluorinated. The protective layer is affixed to the photovoltaic device so that the first surface is farthest therefrom. In some instances, the fluoridation may extend to edge portions of the protective layer as well as to any intermediate layers. Further disclosed are devices which incorporate the fluorinated protective layers. | 09-27-2012 |
20120240998 | DEVICE COMPRISING ELECTRICAL CONTACTS AND ITS PRODUCTION PROCESS - A device includes a conductive surface ( | 09-27-2012 |
20120240999 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device enabling an improvement in photoelectric conversion efficiency and a method of manufacturing the photoelectric conversion device are provided. A solar cell includes a transparent substrate having, on a surface, a three-dimensional structure where a plurality of convex portions are regularly arranged, and a light receiving element being provided on the surface of the transparent substrate, and including a transparent electrode, a photoelectric conversion layer, and a reflective electrode in this order of closeness to the transparent substrate. At least the transparent electrode of the light receiving element has a three-dimensional structure in accordance with the three-dimensional structure on a surface on a side opposite to the transparent substrate. The photoelectric conversion layer effectively absorbs incident light, and allows an electric field to be concentrated, causing an increase in current density. | 09-27-2012 |
20120247548 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - A method of fabricating a solar cell includes forming an emitter layer of a second conductive type on a front surface and a back surface of a substrate of a first conductive type opposite to the second conductive type, forming an anti-reflection layer on the front surface of the substrate, partially removing the anti-reflection layer and the emitter layer to form an isolation groove dividing the emitter layer into a plurality of regions, removing a portion of the emitter layer formed on the back surface of the substrate, and forming a passivation layer covering the isolation groove and the back surface of the substrate. | 10-04-2012 |
20120247549 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes: a light absorbing layer, a semiconductor layer disposed on a first surface of the light absorbing layer, a first electrode disposed on the semiconductor layer in a first direction of the semiconductor layer, a first passivation layer disposed on a second surface of the light absorbing layer, a second passivation layer disposed on the first passivation layer in a second direction opposite to the first direction of the semiconductor layer, a contact hole disposed in the first passivation layer and the second passivation layer and exposing a portion of the light absorbing layer, and a second electrode disposed on the second passivation layer in the second direction of the second passivation layer and connected with the light absorbing layer through the contact hole. The second passivation layer is made of a compound containing carbon. | 10-04-2012 |
20120247550 | CONDUCTIVE PASTE AND ELECTRONIC DEVICE AND SOLAR CELL INCLUDING AN ELECTRODE FORMED USING THE CONDUCTIVE PASTE - According to example embodiments, a conductive paste includes a conductive component that contains a conductive powder and a titanium (Ti)-based metallic glass. The titanium-based metallic glass has a supercooled liquid region of about 5K or more, a resistivity after crystallization that is less than a resistivity before crystallization by about 50% or more, and a weight increase by about 0.5 mg/cm | 10-04-2012 |
20120247551 | SOLAR CELL MODULE AND METHOD FOR MANUFACTURING THE SAME - Disclosed herein are a solar cell module and a method for manufacturing the same. According to an exemplary embodiment of the present invention, there is provided a solar cell module, including: a solar cell having electrode patterns formed on at least one surface thereof; and a parylene coating layer(s) forming a light transmissive passivation layer on at least a front surface of the solar cell. According to another exemplary embodiment of the present invention, there is provided a method for manufacturing a solar cell module, including: (a) preparing a solar cell having electrode patterns formed on at least one surface thereof; and (b) forming a light transmissive passivation layer by coating parylene on at least a front surface of the solar cell. | 10-04-2012 |
20120247552 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element includes a photoelectric conversion layer to include a first metal layer, a semiconductor layer, and a second metal layer, all of which are laminated. In addition, at least one of the first metal layer and the second metal layer is a nano-mesh metal having a plurality of through holes or a dot metal having a plurality of metal dots arranged separately from each other on the semiconductor layer. The photoelectric conversion layer includes a long-wavelength absorption layer containing an impurity which is different from impurities for p-type doping and n-type doping of the semiconductor layer. The long-wavelength absorption layer is within a depth of 5 nm from the nano-mesh metal or the dot metal. | 10-04-2012 |
20120247553 | PHOTOVOLTAIC DEVICE WITH BUFFER LAYER - A method of manufacturing a structure can include forming a buffer layer on a transparent conductive oxide layer, where the buffer layer includes a layer including zinc and tin, and the transparent conductive oxide layer includes a layer including cadmium and tin. | 10-04-2012 |
20120247554 | PHOTOVOLTAIC CELL TABS AND METHOD AND SYSTEM FOR FORMING SAME - A photovoltaic cell tab including: a front contact portion having a first profile; and a back contact portion having a second profile, wherein the first profile or second profile are complex shapes that may be dissimilar to each other. A system and method for forming photovoltaic cell tabs comprising: a feeding mechanism to feed wire; a first forming tool to trim and shape the wire to tabs; and a placement tool configured to match a profile of the tabs and place and attach the tabs on photovoltaic cells. In the system and method, the tabs may be formed to have a complex profile or shape that will affect incident light and/or mechanical or other characteristics of the tabs. | 10-04-2012 |
20120247555 | SOLAR CELL - The purpose of the present invention is to provide a solar cell with higher conversion efficiency. | 10-04-2012 |
20120247556 | DSC SOLAR CELL - The present invention relates to a DSC type solar cell comprising a pair of electrodes serving as anode and cathode, respectively, between which an electrolytic solution is arranged, wherein the anode comprises a supporting member on which a metal oxide layer comprising powders of titanium dioxide and nanozeolites is arranged. The average diameter of the powders of titanium dioxide is comprised between 3 and 30 nm and the average diameter of at least the ten percent of the added powders of nanozeolites is comprised between 200 and 400 nm. Thanks to this particular configuration of the anode, the DSC cell of the invention allows to improve the conversion efficiency of the light into electric energy. | 10-04-2012 |
20120247557 | ORGANIC SOLAR CELL - This invention has an objective of producing the organic solar cell having the long life. The organic solar cell of this invention comprises a first electrode collecting holes, a second electrode collecting electrons, mixing layer interposed between the first electrode and the second electrode and having an electron donating semiconductor and the electron accepting semiconductor, and a first electron transport layer and a second electron transport layer interposed between the mixing layer and the second electrode. With this invention, the adhesiveness in the boundary between the mixing layer and the second electrode is improved. Consequently, it is possible to prevent the mixing layer from accumulating the electrical charge generated by absorbing the light. Therefore, the electrical charge is efficiently moved to the second electrode and the long life is achieved. | 10-04-2012 |
20120255602 | METHOD FOR FORMING TCO FILMS AND THIN FILM STACK - A method for controlling surface morphology of a transparent conductive oxide film (TCO) is provided. A substrate is provided as a basis for forming a solar cell. Onto the substrate, a seed layer is deposited. Then, the method includes depositing the transparent conductive oxide film (TCO) above the seed layer. The seed layer is adapted to control the surface morphology of the transparent conductive oxide film. The surface of the transparent conductive oxide film is etched in order to provide a front contact of the solar cell. | 10-11-2012 |
20120255603 | PHOTOVOLTAIC STRUCTURES AND METHODS OF FABRICATING THEM - One device structure includes a substrate on which a surface having alternating concave and convex sections is formed, the surface having alternating concave and convex sections having a number of peaks valleys, a number of electrode/reflector components, each one of the number of electrode/reflector components being conformal to at least a portion of a section of the surface having alternating concave and convex sections from one valley to another valley, a number of p-doped layer, each p-doped layer disposed over at least a portion of an alternate one of the number of electrode/reflector components, a number of n-doped layers, each n-doped layer disposed over at least a portion of other alternate ones of the number of electrode/reflector components. Methods for fabricating are disclosed. | 10-11-2012 |
20120255604 | ELECTROLYTE FOR DYE-SENSITIZED SOLAR CELL AND DYE-SENSITIZED SOLAR CELL INCLUDING THE SAME - An electrolyte for a dye-sensitized solar cell, the electrolyte including an organic solvent; a redox derivative; and an additive including a linear carbon chain, wherein the additive has an ionic or non-ionic neutral functional group located at one or more ends of the linear carbon chain. The viscosity of the high-density electrolyte is reduced, whereby the ionic conductivity of the electrolyte is increased, and the leakage of the electrolyte from the dye-sensitized solar cell is prevented. Therefore, a dye-sensitized solar cell including the electrolyte exhibits enhanced durability and efficiency such as in terms of fill factor (FF). | 10-11-2012 |
20120255605 | METHOD OF MANUFACTURING SOLAR CELL ELECTRODE - The invention relates to a method of manufacturing a p-type electrode comprising the steps of: preparing an N-type base semiconductor substrate comprising an n-base layer, a p-type emitter on the n-base layer, a first passivation layer on the p-type emitter, and a second passivation layer on the n-base layer; applying a conductive paste onto the first passivation layer, wherein the conductive paste comprises (i) 100 parts by weight of a conductive powder comprising a metal selected from the group consisting of silver, nickel, copper and a mixture thereof, (ii) 0.3 to 8 parts by weight of aluminum powder with particle diameter of 3 to 11 μm, (iii) 3 to 22 parts by weight of a glass frit, and (iv) an organic medium; and firing the conductive paste. | 10-11-2012 |
20120255606 | ANTI-REFLECTIVE COATING WITH HIGH OPTICAL ABSORPTION LAYER FOR BACKSIDE CONTACT SOLAR CELLS - A multilayer anti-reflection structure for a backside contact solar cell. The anti-reflection structure may be formed on a front side of the backside contact solar cell. The anti-reflection structure may include a passivation level, a high optical absorption layer over the passivation level, and a low optical absorption layer over the high optical absorption layer. The passivation level may include silicon dioxide thermally grown on a textured surface of the solar cell substrate, which may be an N-type silicon substrate. The high optical absorption layer may be configured to block at least 10% of UV radiation coming into the substrate. The high optical absorption layer may comprise high-k silicon nitride and the low optical absorption layer may comprise low-k silicon nitride. | 10-11-2012 |
20120255607 | SEMICONDUCTOR COATED MICROPOROUS GRAPHENE SCAFFOLDS - A high surface area scaffold to be used for a solar cell, made of a three-dimensional percolated network of functionalized graphene sheets. It may be used in the preparation of a high surface area electrode by coating with a semi conductive material. Electronic devices can be made therefrom, including solar cells such as dye-sensitized solar cells. | 10-11-2012 |
20120255608 | BACK-SURFACE-FIELD TYPE OF HETEROJUNCTION SOLAR CELL AND A PRODUCTION METHOD THEREFOR - The back-surface-field type of heterojunction solar cell according to the present invention comprises a crystalline silicon substrate of a first conductivity type, an intrinsic layer and an amorphous silicon layer of the first conductivity type which are laminated in sequence on the front surface of the substrate, an anti-reflective film laminated on the amorphous silicon of the second conductivity type, junction regions of the first conductivity type and junction regions of the second conductivity type which are formed to a predetermined depth on the inside of the substrate from the rear surface of the substrate, and first-conductivity-type electrodes and second-conductivity-type electrodes which are respectively provided on the junction regions of the first conductivity type and the junction regions of the second conductivity type; wherein the first-conductivity-type electrodes and the second-conductivity-type electrodes are disposed alternately. | 10-11-2012 |
20120260979 | Solar Cell Using Polymer-Dispersed Liquid Crystals - Example embodiments relate to a solar cell configured to scatter incident light to be penetrated so as to increase a light progress path and includes a polymer-dispersed liquid crystal (PDLC) layer on at least one of a first and a second electrodes. | 10-18-2012 |
20120260980 | DYE-SENSITIZED SOLAR CELL, AND SEAL MEMBER TO BE USED FOR THE DYE-SENSITIZED SOLAR CELL - A dye-sensitized solar cell of a polymer resin type is provided, which is excellent in sealability. A counter electrode substrate provided with an electrically conductive transparent electrode layer and a working electrode substrate provided with an electrically conductive transparent electrode layer are opposed to each other with the electrode layers facing inward. A space defined between the substrates is sealed with a seal member disposed along peripheries of inner surfaces of the substrates. An electrolyte solution is filled in the sealed space. The substrates are each made of a polymer resin material. Inorganic layers are provided between the substrates and the electrode layers. The seal member is composed of a material obtained by curing a photopolymerizable composition essentially comprising a specific hydrogenated elastomer derivative. The inorganic layers each have a portion coated with a (meth)acryloxyalkylsilane silane coupling agent in contact with the seal member. | 10-18-2012 |
20120260981 | PASTE COMPOSITION FOR ELECTRODE, PHOTOVOLTAIC CELL ELEMENT, AND PHOTOVOLTAIC CELL - The present invention provides a paste composition for an electrode, the paste composition including phosphorus-tin-containing copper alloy particles, glass particles, a solvent and a resin. The present invention also provides a photovoltaic cell element having an electrode formed from the paste composition, and a photovoltaic cell. | 10-18-2012 |
20120260982 | PASTE COMPOSITION FOR ELECTRODE, PHOTOVOLTAIC CELL ELEMENT, AND PHOTOVOLTAIC CELL - The present invention provides a paste composition for an electrode, the paste composition comprising phosphorus-containing copper alloy particles, tin-containing particles, glass particles, a solvent and a resin. The present invention also provides a photovoltaic cell element having an electrode formed from the paste composition, and a photovoltaic cell. | 10-18-2012 |
20120260983 | MULTILAYER METALLIC ELECTRODES FOR OPTOELECTRONICS - Disclosed is an electrode that includes a substrate and a layered structure having an electrically conductive film in contact with at least one ultra thin metal film, wherein the two films are of different materials and the electrically conductive film is one of Cu, Au, Ag, Al and the ultra thin metal film is one of Ni, Cr, Ti, Pt, Ag, Au, Al and their mixtures. The electrode is particularly useful for optoelectronic devices and shows good conductivity, transparency and stability. | 10-18-2012 |
20120266949 | ELONGATE SOLAR CELL AND EDGE CONTACT - An elongate solar cell, comprising a semiconductor body having two mutually opposed faces, at least one of the faces being an active face for receiving incident light, and two mutually opposed edges orthogonal to the faces, the edges comprising electrical contacts thereon for conducting electrical current generated by the solar cell from the light; wherein the electrical contact to at least one of the edges includes an electrically conductive material that contacts only a fractional portion of the at least one edge of the semiconductor body to improve the performance of the solar cell. | 10-25-2012 |
20120266950 | SOLAR BATTERY AND METHOD FOR MANUFACTURING THE SAME - A solar battery includes a polymer resin layer on a solar cell and an upper substrate on the polymer resin layer. A pattern is formed in the polymer resin layer. | 10-25-2012 |
20120266951 | METHOD OF FORMING EMITTERS FOR A BACK-CONTACT SOLAR CELL - Methods of forming emitters for back-contact solar cells are described. In one embodiment, a method includes forming a first solid-state dopant source above a substrate. The first solid-state dopant source includes a plurality of regions separated by gaps. Regions of a second solid-state dopant source are formed above the substrate by printing. | 10-25-2012 |
20120266952 | METHOD OF MANUFACTURING SUBSTRATE FOR PHOTOVOLTAIC CELL - A method of manufacturing a substrate for a photovoltaic cell, in which the high optical characteristic in a long-wavelength range available for the photovoltaic cell can be maintained, and at the same time, the amount of hazing can be increased. The method includes the step of forming a zinc oxide (ZnO) thin film layer doped with a dopant on a transparent substrate, and the step of controlling the surface structure of the zinc oxide thin film layer by etching the zinc oxide thin film layer using hydrogen plasma. | 10-25-2012 |
20120266953 | METHOD OF COATING A SUBSTRATE - The present invention provides a method of coating a substrate with a zinc oxide film, the method comprising the steps of:
| 10-25-2012 |
20120266954 | ORGANIC PHOTOVOLTAIC CELL - To suppress deterioration of electrical characteristics. An organic photovoltaic cell ( | 10-25-2012 |
20120273039 | Solar Cell Apparatus and Method for Manufacturing the Same - Disclosed are a solar cell apparatus and a method for manufacturing the same. The solar cell apparatus includes a substrate, a back electrode layer on the substrate, a light absorbing layer on the back electrode layer, and a front electrode layer on the light absorbing layer. An outer lateral side of the back electrode layer is aligned on a plane different from a plane of an outer lateral side of the front electrode layer. In the solar cell apparatus, short is prevented between the back and front electrode layers. | 11-01-2012 |
20120273040 | Solar Cell and Manufacturing Method Thereof - A method for forming a doped region in a solar cell includes preparing a first and second surface of a substrate, forming a first doped region doped with a first dopant in a part of the first surface, forming a silicon oxide layer on the first surface, the silicon oxide layer including a first silicon oxide layer on the first doped region and having a first thickness, and a second silicon oxide layer on a portion of the first surface undoped by the first dopant and having a second thickness that is less than the first thickness, implanting a second dopant from outside the first surface into the first silicon oxide layer and the second silicon oxide layer, and forming a second doped region adjacent the first doped region by performing heat treatment on the first silicon oxide layer, the second silicon oxide layer, and the substrate. | 11-01-2012 |
20120279563 | SOLDERABLE INTERCONNECT APPARATUS FOR INTERCONNECTING SOLAR CELLS - Interconnect apparatus and methods for their manufacture are disclosed. An example method for forming a solderable connection to a conductive surface may include forming one or more solderable metal regions on the conductive surface, for example an aluminum surface. The method may comprise applying a solder layer to the one or more solderable metal regions to form one or more soldered metal regions. The method may further comprise depositing one or more solderable metal regions on the conductive surface by plasma deposition. In other examples, the one or more solderable metal regions may be sputtered. Additionally, the method may comprise applying a flux to the one or more solderable metal regions prior to applying the solder layer to the one or more solderable metal regions. An interconnect ribbon may be soldered to at least one of the solder layer or the solderable metal regions. Associated interconnect apparatus are also provided. | 11-08-2012 |
20120279564 | OXIDE EVAPORATION MATERIAL, VAPOR-DEPOSITED THIN FILM, AND SOLAR CELL - Provided are an oxide tablet for vapor deposition (oxide evaporation material), and a vapor-deposited thin film and a solar cell formed using the same. The tablet comprises a sintered body which contains indium oxide as a main component and cerium and which is subjected to no surface grinding after sintering, in which Comp | 11-08-2012 |
20120279565 | METHOD OF FORMING SEMICONDUCTOR FILM AND PHOTOVOLTAIC DEVICE INCLUDING THE FILM - A method of depositing a kesterite film which includes a compound of the formula: Cu | 11-08-2012 |
20120285526 | WINDOW STRUCTURE FOR SOLAR CELL - A multilayer window structure for a solar cell comprises one or more layers where the bottom layer has an intrinsic material lattice spacing that is substantially the same as the emitter in the plane perpendicular to the direction of epitaxial growth. One or more upper layers of the window structure has progressively higher band gaps than the bottom layer and has intrinsic material lattice spacing is substantially different than the emitter intrinsic material lattice spacing. | 11-15-2012 |
20120285527 | LOW RESISTANCE, LOW REFLECTION, AND LOW COST CONTACT GRIDS FOR PHOTOVOLTAIC CELLS - The instant disclosure relates to contact grids for use in photovoltaic cells, wherein a cross-section of the contact grid fingers is shaped as a trapezoid, as well as a method of making photovoltaic cells comprising these contact grids. The contact grids of the instant disclosure are cost effective and, due to their thick metal grids, exhibit minimum resistance. Despite having thick metal grids, the unique shape of the contact grid fingers of the instant disclosure allow the photovoltaic cells in which they are employed to retain more solar energy than traditional solar cells by reflecting incoming solar energy back onto the surface of the solar cell instead of reflecting this energy away from the cell. | 11-15-2012 |
20120285528 | COATING COMPOSITION, COATING FILM, LAMINATE, AND PROCESS FOR PRODUCTION OF LAMINATE - [Problem to be Solved] | 11-15-2012 |
20120285529 | SOLAR CELL SEALING FILM AND SOLAR CELL - An object of the present invention is to provide a solar cell sealing film that the crosslinking or curing time is reduced, and high transparency is maintained in high temperature and humidity environment over a long duration. A solar cell sealing film comprising an ethylene-unsaturated ester copolymer, a crosslinker, and crosslinking auxiliary agents, wherein the solar cell sealing film comprises a polyfunctional (meth)acrylate having 5 or more (meth)acryloyl groups in the molecule and triallyl isocyanurate as the crosslinking auxiliary agents, and a mass ratio of the polyfunctional (meth)acrylate to the triallyl isocyanurate is 0.06 to 0.3. | 11-15-2012 |
20120285530 | SOLAR CELL ASSEMBLY II - The present invention relates to a solar cell assembly that includes a solar cell attached to a bonding pad and a cooling substrate, wherein the bonding pad is attached to a surface of the cooling substrate by a thermally conductive adhesive and electrically contacted to the bonding pad and cooling substrate by a bonding wire. Alternatively, the bonding pad is attached to a surface of the cooling substrate by a thermally and electrically conductive adhesive. | 11-15-2012 |
20120291863 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - A solar cell includes a base substrate including a first surface and a second surface opposite the first surface, the base substrate being configured to have sunlight incident on the first surface, a doping layer on the first surface of the base substrate, a first passivation layer on the doping layer, the first passivation layer including hydrogen, a first capping layer on the first passivation layer, the first capping layer being configured to prevent discharge of hydrogen from the first passivation layer, a first electrode on the first capping layer, and a second electrode on the second surface of the base substrate. | 11-22-2012 |
20120291864 | SOLAR CELL AND SOLAR CELL FABRICATION METHOD - A solar cell is provided. The solar cell includes a substrate which converts light energy into electric energy, a hole which penetrates through the substrate in a vertical direction, and an upper electrode which has a radial pattern with reference to the hole on a surface of the substrate. | 11-22-2012 |
20120291865 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell and a method of manufacturing the same are disclosed. The solar cell includes a substrate of a first conductive type having at least one via hole, an emitter layer of a second conductive type opposite the first conductive type on the substrate, a first conductor electrically connected to the emitter layer, a second conductor electrically connected to the first conductor through the via hole, and a third conductor electrically connected to the substrate. The third conductor is electrically separated from the second conductor. A portion of the first conductor and a portion of the second conductor are positioned inside the via hole. | 11-22-2012 |
20120291866 | METHOD OF MANUFACTURING THIN-FILM SOLAR CELL MODULE - A solar cell module is manufactured by resin-sealing a solar cell having a surface electrode to which a tab wire is connected, a tab wire is connected to the surface electrode and the solar cell is sealed with a sealing resin at a relative low temperature during the resin sealing step. For such purposes, a thin-film solar cell having a surface electrode to which a tab wire is connected is resin-sealed with a conductive adhesive film by using a decompression laminator, whereby the thin-film solar cell module is manufactured. A decompression laminator having a first chamber and a second chamber partitioned by a flexible sheet is used. Each chamber is capable of independent internal pressure adjustment. The second chamber includes a heating stage capable of heating. A resin mutually compatible with the thermoplastic resin constituting the conductive adhesive film is used as the sealing resin. | 11-22-2012 |
20120298192 | LIGHT TO CURRENT CONVERTER DEVICES AND METHODS OF MANUFACTURING THE SAME - Light to current converter devices, such as solar cells, are disclosed. The devices may include via holes extending through the cell substrate and may include through-hole electrodes within the via holes. The through-hole electrodes may be made from one or more materials and may be hollow, partially hollow, or fully filled. Front and rear electrodes may also be formed on the device and can be made of the same or different materials as the through-hole electrode. The devices may include emitters located only on the top surface of the cell, located on the top surface and symmetrically or asymmetrically along a portion of the inner surface of the via holes, or located on the top surface and full inner surface of the via holes. Processes for making light to current converter devices are also disclosed. | 11-29-2012 |
20120298193 | ELECTRON DONATING POLYMER AND SOLAR CELL INCLUDING THE SAME - A polymer including moiety A represented by Chemical Formula 1, and a solar cell including the polymer are provided. | 11-29-2012 |
20120298194 | METHOD FOR PRODUCING A SUBSTRATE HAVING A COLORED INTERFERENCE FILTER LAYER, THIS SUBSTRATE CONTAINING A COLORED INTERFERENCE FILTER LAYER, THE USE OF THIS SUBSTRATE AS A COLORED SOLAR CELL OR AS A COLORED SOLAR MODULE OR AS A COMPONENT THEREOF, AS WELL AS AN ARRAY INCLUDING AT LEAST TWO OF THESE SUBSTRATES - A colored substrate and a method for producing a substrate having a colored interference filter layer containing a polycrystalline metal oxide or polycrystalline metal oxides with the aid of physical or chemical vapor deposition using a coating system, in particular with the aid of a sputtering gas, in which at least two, in particular at least six, coating layers are vapor deposited one on top of the other forming polycrystalline metal oxides in each case. | 11-29-2012 |
20120298195 | MOISTURE-RESISTANT FILM, MADE OF FLUORINATED POLYMER AND INORGANIC OXIDE, FOR PHOTOVOLTAIC USE - The invention relates to a multilayer structure that includes: a layer of a composition including a fluorinated polymer and a zinc oxide (ZnO), said ZnO being present in said composition in the form of particles having a weight proportion of less than 1%, said ZnO particles having a size between 10 to 100 nm, and an adhesion promoter present in the body and/or surface of said layer; and at least one oxide layer (MOx) selected from among silicon oxide and aluminum oxide, and having a thickness of from 20 to 200 nm. Aid structure has excellent properties of transparency within the visible range, excellent properties of opacity to UV rays, as well as good mechanical resistance and aging resistance while having excellent moisture barrier properties. Said structure can thus be advantageously used in the front surface of photovoltaic panels or fro protecting organic light-emitting diodes. | 11-29-2012 |
20120298196 | WEATHERABLE SHEET FOR SOLAR CELL MODULE, PRODUCT OBTAINED USING THE SHEET, AND PROCESS FOR PRODUCING THE WEATHERABLE SHEET FOR SOLAR CELL MODULE - The present invention provides a weather-resistant sheet for a solar cell module, which has better adhesion to a water impermeable sheet, better blocking resistance against the water impermeable sheet, and better adhesion to EVA that is a sealant; a weather-resistant sheet for a solar cell module in which a cured coating film layer thereof can suppress UV transmission significantly; a product including the sheet; and a process for producing the sheet. The weather-resistant sheet for a solar cell module includes, on a water impermeable sheet, a cured coating film layer made of a crosslinked product of a coating composition that includes a hydroxyl group-containing fluoropolymer, wherein in a pressure-resistance test performed when a crosslinking degree of the cured coating film layer is 80 to 99%, the cured coating film layer and the water impermeable sheet without a cured coating film layer formed thereon are not adhered to each other after a load has been applied to the cured coating film layer and the water impermeable sheet being stacked. | 11-29-2012 |
20120298197 | SEALING MEMBER FOR SOLAR CELL PANEL AND SOLAR CELL MODULE - Disclosed is a sealing member for solar cell panels, which comprises an elastic layer, an insulating resin film arranged on the elastic layer, and a first water-stopping adhesive layer arranged on the insulating resin film. | 11-29-2012 |
20120298198 | Layered System for Producing a Solar Cell on a Metal Substrate, Method for Producing Said Layered System - The invention relates to a layered system for producing a solar cell on a metal substrate and to a method of producing the layered system. | 11-29-2012 |
20120305063 | HIGH-EFFICIENCY PHOTOVOLTAIC BACK-CONTACT SOLAR CELL STRUCTURES AND MANUFACTURING METHODS USING THIN PLANAR SEMICONDUCTOR ABSORBERS - Back contact back junction solar cell and methods for manufacturing are provided. The back contact back junction solar cell comprises a substrate having a light capturing frontside surface with a passivation layer, a doped base region, and a doped backside emitter region with a polarity opposite the doped base region. A backside passivation layer and patterned reflective layer on the emitter form a light trapping backside mirror. An interdigitated metallization pattern is positioned on the backside of the solar cell and a permanent reinforcement provides support to the cell. | 12-06-2012 |
20120305064 | PHOTOVOLTAIC DEVICES AND METHOD OF MAKING - In one aspect of the present invention, a photovoltaic device is provided. The photovoltaic device includes a window layer and an absorber layer disposed on the window layer, wherein the absorber layer includes a first region and a second region, the first region disposed adjacent to the window layer. The absorber layer further includes a first additive and a second additive, wherein a concentration of the first additive in the first region is greater than a concentration of the first additive in the second region, and wherein a concentration of the second additive in the second region is greater than a concentration of the second additive in the first region. Method of making a photovoltaic device is also provided. | 12-06-2012 |
20120305065 | SOLDERABLE POLYMER THICK FILM CONDUCTIVE ELECTRODE COMPOSITION FOR USE IN THIN-FILM PHOTOVOLTAIC CELLS AND OTHER APPLICATIONS - The invention is directed to a polymer thick film conductive composition comprising (a) a conductive silver-coated copper powder; and (b) an organic medium comprising two different resins and organic solvent, wherein the ratio of the weight of the conductive silver-coated copper powder to the total weight of the two different resins is between 5:1 and 45:1. | 12-06-2012 |
20120305066 | USE OF METAL PHOSPHORUS IN METALLIZATION OF PHOTOVOLTAIC DEVICES AND METHOD OF FABRICATING SAME - A photovoltaic device, such as a solar cell, including a copper-containing-grid metallization structure that contains a metal phosphorus layer as a diffusion barrier is provided. The copper-containing-grid metallization structure includes, from bottom to top, an electroplated metal phosphorus layer that does not include copper or a copper alloy located within a grid pattern formed on a front side surface of a semiconductor substrate, and an electroplated copper-containing layer. A method of forming such a structure is also provided. | 12-06-2012 |
20120305067 | METHOD OF MANUFACTURING PHOTOELECTRODE STRUCTURE AND THE RESULTING PHOTOELECTRODE STRUCTURE - A method of forming a photoelectrode structure includes: disposing a light-scattering layer including a nanowire on a photoanode substrate; and coating the light-scattering layer with an inorganic binder solution to fix the light-scattering layer on the photoanode substrate. Due to the structure of the photoelectrode structure, the adhesive force between the light-scattering layer and the photoanode substrate is enhanced and the photocurrent density is increased. | 12-06-2012 |
20120305068 | METHOD FOR FABRICATING PHOTOANODE FOR DYE-SENSITIZED SOLAR CELL - A method for fabricating a photoanode for a dye-sensitized solar cell (DSSC) is provided. The method includes the following steps. A particle colloid is electrospun to form a first electrospun thin film layer on a substrate. The particle colloid includes titanium dioxide nanopartictes, a polymer material, a dispersing agent and a solvent. The first electrospun thin film layer is then sintered to form a main titanium dioxide layer. A photoanode manufactured by the method mentioned above is also provided. | 12-06-2012 |
20120305069 | PHOTOELECTRODE INCLUDING ZINC OXIDE HEMISPHERE, METHOD OF FABRICATING THE SAME AND DYE-SENSITIZED SOLAR CELL USING THE SAME - Provided are a photoelectrode including a zinc oxide hemisphere, a method of fabricating the same, and a dye-sensitized solar cell using the same. The photoelectrode includes a conductive substrate, a zinc oxide hemisphere disposed on the conductive substrate, and a porous metal oxide layer covering the zinc oxide hemisphere. Light scattering effects of photoelectrodes can be increased, and recombination losses of electrons can be minimized to improve photovoltaic properties. | 12-06-2012 |
20120305070 | CYLOMETALATED DYE COMPLEXES AND THEIR USE IN DYE-SENSITIZED SOLAR CELLS - The present invention provides a modular approach to preparing a large array of substituted cyclometalated compounds which behave as dyes having intense absorbance bands in the visible spectrum. The compounds include at least one terpyridine-type ligand (tpy) and one cyclometalated tridentate ligand having the bonding motif N,C,N′ or C,N, N′. In particular, compounds of formula (I) and formula (II), as shown, where M and R | 12-06-2012 |
20120305071 | SUBSTRATE HAVING A METAL FILM FOR PRODUCING PHOTOVOLTAIC CELLS - The invention relates to a substrate ( | 12-06-2012 |
20120305072 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND BACK-CONTACT SOLAR CELL - A method is provided for manufacturing a semiconductor device, wherein a p-type region and/or n-type pattern is formed on a surface of a semiconductor substrate, including ejecting at least one of etching paste, masking paste, doping paste, and electrode paste from an ejecting orifice of a nozzle toward the surface of the semiconductor substrate to form beads formed of the paste between the semiconductor substrate and the ejecting orifice and moving the semiconductor substrate relative to the nozzle thereby the paste is applied to the surface of the semiconductor substrate in a stripe shape. | 12-06-2012 |
20120305073 | DYE-SENSITIZED SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - Provided is a dye-sensitized solar cell, and a method for manufacturing the same, that in a technology in which a current collector electrode is used instead of a transparent conductive film, can be manufactured by a simple cell producing operation and is capable of achieving a desirably thin thickness for the current collector electrode. A dye-sensitized solar cell | 12-06-2012 |
20120305074 | PHOTOELECTRIC CONVERSION ELEMENT AND DYE FOR PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element includes a working electrode having a dye-supported metal oxide electrode in which a dye is supported on a metal oxide layer, a compound having a structure represented by the following general formula (1): is used as the dye. | 12-06-2012 |
20120312366 | FIRE RESISTANT BACK-SHEET FOR PHOTOVOLTAIC MODULE - A back-sheet for a photovoltaic module comprises a fire resistant sheet adhered to a fluoropolymer film. The fire resistant sheet comprises 40 to 100 weight percent of crystallized mineral silicate platelets based on the weight of the fire resistant sheet, and the fire resistant sheet has an average thickness of at least 75 microns, and more preferably at least 100 microns. The crystallized mineral silicate platelets of the fire resistant sheet are selected from the group of mica, vermiculite, clay, talc, and combinations thereof. A photovoltaic module made with such a back-sheet is also disclosed. | 12-13-2012 |
20120312367 | SOLAR CELL - Discussed is a solar cell including a substrate having a first conductivity type; an emitter layer including a plurality of finger lines connected with an emitter layer; a plurality of rear finger lines connected with a back surface field, wherein the emitter layer includes first areas in contact with the plurality of front finger lines and second areas positioned between the plurality of front finger lines and having a lower doping concentration than that of the first areas, the back surface field includes areas in contact with the plurality of rear finger lines, and the number of the plurality of rear finger lines positioned on a rear surface of the substrate and the number of the plurality of front finger lines positioned on a front surface of the substrate are different. | 12-13-2012 |
20120312368 | THICK FILM PASTE CONTAINING BISMUTH-BASED OXIDE AND ITS USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention is directed to an electroconductive thick film paste composition comprising Ag and a Pb-free bismuth-based oxide both dispersed in an organic medium. The present invention is further directed to an electrode formed from the paste composition and a semiconductor device and, in particular, a solar cell comprising such an electrode. | 12-13-2012 |
20120312369 | THICK FILM PASTE CONTAINING BISMUTH-BASED OXIDE AND ITS USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention is directed to an electroconductive thick film paste composition comprising Ag and a Pb-free bismuth-based oxide both dispersed in an organic medium. The present invention is further directed to an electrode formed from the paste composition and a semiconductor device and, in particular, a solar cell comprising such an electrode. | 12-13-2012 |
20120312370 | HYBRID DYE-SENSITIZED SOLAR CELL PHOTOANODES BASED ON AQUEOUS SYNTHESIZED TITANIUM DIOXIDE - The invention describes a novel process for the aqueous synthesis of rutile and anatase nanocrystallites, their blending for preparation of a hybrid paste for single-layer (bi-functional) film deposition and the formulation of new water-based TiO | 12-13-2012 |
20120312371 | ELECTRONIC GATE ENHANCEMENT OF SCHOTTKY JUNCTION SOLAR CELLS - Various systems and methods are provided for Schottky junction solar cells. In one embodiment, a solar cell includes a mesh layer formed on a semiconductor layer and an ionic layer formed on the mesh layer. The ionic layer seeps through the mesh layer and directly contacts the semiconductor layer. In another embodiment, a solar cell includes a first mesh layer formed on a semiconductor layer, a first metallization layer coupled to the first mesh layer, a second high surface area electrically conducting electrode coupled to the first metallization layer by a gate voltage, and an ionic layer in electrical communication with the first mesh layer and the second high surface area electrically conducting electrode. In another embodiment, a solar cell includes a grid layer formed on a semiconductor layer and an ionic layer in electrical communication with the grid layer and the semiconductor layer. | 12-13-2012 |
20120312372 | GLASS COMPOSITIONS USED IN CONDUCTORS FOR PHOTOVOLTAIC CELLS - The invention relates to zinc-containing glass compositions useful in conductive pastes for silicon semiconductor devices and photovoltaic cells. | 12-13-2012 |
20120318341 | PROCESSES FOR UNIFORM METAL SEMICONDUCTOR ALLOY FORMATION FOR FRONT SIDE CONTACT METALLIZATION AND PHOTOVOLTAIC DEVICE FORMED THEREFROM - Processes for fabricating photovoltaic devices in which the front side contact metal semiconductor alloy metallization patterns have a uniform thickness at edge portions as well as a central portion of each metallization pattern are provided. In one embodiment, a method of forming a photovoltaic device is provided that includes a p-n junction with a p-type semiconductor portion and an n-type semiconductor portion one on top of the other, wherein an upper exposed surface of one of the semiconductor portions represents a front side surface of the semiconductor substrate; forming a plurality of patterned antireflective coating layers on the front side surface of the semiconductor surface to provide a grid pattern including a busbar region and finger regions; forming a mask atop the plurality of patterned antireflective coating layers, the mask having a shape that mimics each patterned antireflective coating; electrodepositing a metal layer on the busbar region and the finger regions; removing the mask; and performing an anneal, wherein during the anneal metal atoms from the metal layer react with semiconductor atoms from the busbar region and the finger regions forming a metal semiconductor alloy. | 12-20-2012 |
20120318342 | UNIFORMLY DISTRIBUTED SELF-ASSEMBLED CONE-SHAPED PILLARS FOR HIGH EFFICIENCY SOLAR CELLS - A method for fabricating a photovoltaic device includes applying a diblock copolymer layer on a substrate and removing a first polymer material from the diblock copolymer layer to form a plurality of distributed pores. A pattern forming layer is deposited on a remaining surface of the diblock copolymer layer and in the pores in contact with the substrate. The diblock copolymer layer is lifted off and portions of the pattern forming layer are left in contact with the substrate. The substrate is etched using the pattern forming layer to protect portions of the substrate to form pillars in the substrate such that the pillars provide a radiation absorbing structure in the photovoltaic device. | 12-20-2012 |
20120318343 | SILICON-FREE ALUMINUM PASTE COMPOSITION FOR FORMING AN ALUMINUM BACK ELECTRODE WITH LARGE SILICON PARTICLES - Disclosed are silicon-free aluminum paste compositions for forming an aluminum back electrode with large silicon particles, processes to form aluminum back electrode of solar cells, and the solar cells so-produced. The process applys a silicon-free aluminum paste on a back surface of a p-type silicon substrate. The silicon-free aluminum paste compositions have an additive comprising calcium oxide, calcium oxalate, calcium carbonate, calcium phosphate, or mixtures thereof; an aluminum powder; and an organic vehicle. The process also applys a metal paste on a front side of the p-type silicon substrate and firing the p-type silicon substrate after the application of the aluminum paste at a peak temperature in the range of 600-950° C., whereupon firing the additive promotes a growth of silicon particles having an equivalent diameter in the range of 2-15 microns in a particulate layer of the aluminum back electrode. | 12-20-2012 |
20120318344 | PHOTOVOLTAIC MODULE WITH CHLOROSULFONATED POLYOLEFIN LAYER - A photovoltaic module comprises an active solar cell layer having a front light receiving side and opposite rear side, an encapsulant layer adhered to the rear side of the active solar cell layer, and a back-sheet adhered to the encapsulant layer. The back-sheet comprises a first polymer film adhered to said encapsulant layer, where the first polymer film comprises 20 to 95 weight percent chlorosulfonated polyolefin, such as chlorosulfonated polyethylene, based on the weight of the first polymer film, and 1 to 35 weight percent of adhesive based on the weight of the first polymer film. The first polymer film may further comprise 10 to 70 weight percent of inorganic particulates such as calcium carbonate, titanium dioxide, kaolin and clays. | 12-20-2012 |
20120318345 | SOLAR CELL - A solar cell includes a substrate having a first conductive type; an emitter layer formed on a front side of the substrate and having a second conductive type opposite to the first conductive type; a reflection preventing film on the emitter layer; and a plurality of finger lines that penetrate the reflection preventing film and are connected to the emitter layer. The emitter layer includes a plurality of first regions adjoining the plurality of front finger lines and a plurality of second regions disposed between the plurality of first regions, and the plurality of second regions have a thickness thicker than a thickness of the plurality of first regions. By doing so, a photovoltaic efficiency of the solar cell is improved. | 12-20-2012 |
20120318346 | METHOD OF MANUFACTURING PHOTOELECTRIC CONVERSION ELEMENT, PHOTOELECTRIC CONVERSION ELEMENT, AND ELECTRONIC APPARATUS - A method of manufacturing a photoelectric conversion element includes: forming a current-collecting wiring with a conductive paste containing therein silver particles and a low-melting point glass frit on a transparent conductive substrate when the photoelectric conversion element having a structure in which an electrolyte layer is provided between a porous electrode on the transparent conductive substrate, and a counter substrate is manufactured. | 12-20-2012 |
20120318347 | ANTIREFLECTION COATING AS WELL AS SOLAR CELL AND SOLAR MODULE THEREWITH - An antireflection coating for a solar cell includes at least a first SiN | 12-20-2012 |
20120318348 | ORGANIC PHOTOVOLTAIC-BATTERY HYBRID DEVICE - Photoactive layers for use in a photovoltaic cell are described. The photoactive layers comprise at least one photoactive ion paired with another ion. This photoactive ion pair can be in the form of an ionic liquid, hydrogen bonded ion pair, cocrystal, or complex to allow for greater flexibility and portability. Also described are photovoltaic cells that contain the described photoactive layers sandwiched between two electrodes. | 12-20-2012 |
20120318349 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell and a method for manufacturing the same are discussed. The solar cell includes a substrate of a first conductive type, an emitter region of a second conductive type opposite the first conductive type, the emitter region forming a p-n junction along with the substrate, a passivation layer which is positioned on a back surface of the substrate and has a plurality of via holes exposing portions of the back surface of the substrate, a first electrode connected to the emitter region, and a second electrode which is positioned on a back surface of the passivation layer and is connected to the substrate through the plurality of via holes. | 12-20-2012 |
20120318350 | DOPANT MATERIAL, SEMICONDUCTOR SUBSTRATE, SOLAR CELL ELEMENT, AND PROCESS FOR PRODUCTION OF DOPANT MATERIAL - A dopant material is disclosed. The dopant material comprises a polycrystalline silicon and a dopant element in the polycrystalline silicon. A concentration of the dopant element is at least 1×10 | 12-20-2012 |
20120318351 | SOLAR CELL HAVING A SPECIAL BUSBAR SHAPE, SOLAR CELL ARRANGEMENT CONTAINING SAID SOLAR CELL, AND METHOD FOR PRODUCING THE SOLAR CELL - A solar cell includes a substrate, a semiconductor layer, a first busbar, and a second busbar. Along a connecting line, the first busbar has contact pads which have a maximum width b | 12-20-2012 |
20120325305 | OHMIC CONTACT BETWEEN THIN FILM SOLAR CELL AND CARBON-BASED TRANSPARENT ELECTRODE - A photovoltaic device and method include a photovoltaic stack having an N-doped layer, a P-doped layer and an intrinsic layer. A transparent electrode is formed on the photovoltaic stack and includes a carbon based layer and a high work function metal layer. The high work function metal layer is disposed at an interface between the carbon based layer and the P-doped layer such that the high work function metal layer forms a reduced barrier contact and is light transmissive. | 12-27-2012 |
20120325306 | FIRE RESISTANT BACK-SHEET FOR PHOTOVOLTAIC MODULE - A back-sheet for a photovoltaic module is provided comprising a fire resistant polymeric film and a second polymeric film adhered to the fire resistant polymeric film. The fire resistant polymeric film comprises a polymer that does not melt at temperatures below 275° C. and an inorganic particulate filler selected from inorganic metal oxides and inorganic metal nitrides, and combinations thereof. The fire resistant polymeric film contains from 40 to 75 weight percent inorganic particulate filler based on the total weight of the film, and the fire resistant polymeric film has an average thickness of at least 85 microns. A photovoltaic module comprising such a back-sheet is also provided. | 12-27-2012 |
20120325307 | LOW BOW ALUMINUM PASTE WITH AN ALKALINE EARTH METAL SALT ADDITIVE FOR SOLAR CELLS - Disclosed are aluminum paste compositions for forming an aluminum back electrode, processes to form aluminum back electrode of solar cells, and the solar cells so-produced. The aluminum paste composition has particulate aluminum and an additive wherein the additive is a salt of an alkaline earth metal ion and an organic counterion dispersed in an organic vehicle. | 12-27-2012 |
20120325308 | SILVER PASTE COMPOSITION AND SOLAR CELL USING THE SAME - Provided are a silver paste composition and a solar cell using the same. The silver paste composition is applied on an anti-reflection film, and includes silver powder, glass frit powder, an organic binder and a plasticizer. The silver paste composition for forming a front electrode of a solar cell has a uniform printed pattern and good affinity for a substrate. | 12-27-2012 |
20120325309 | SOLAR CELL AND SOLAR CELL MANUFACTURING METHOD - [The PROBLEMS] A solar cell capable of preventing scratches from occurring on a junction portion between a semiconductor layer and a semiconductor substrate, and capable of suppressing deterioration of conversion efficiency is provided. | 12-27-2012 |
20120325310 | LAMINATE, METHOD FOR PRODUCING SAME, AND FUNCTIONAL ELEMENT USING SAME - Provided is a laminate which includes a transparent conductive film layer that is composed of an oxide thin film mainly composed of titanium oxide and contains an additional element such as niobium, and also contains an anatase phase having more excellent crystallinity and further has high refractive index and low resistivity by forming an optimal buffer layer on the substrate. Also provided are: a semiconductor light emitting element which comprises the laminate; and a functional element such as a solar cell, which includes the laminate. | 12-27-2012 |
20120325311 | GLASS COMPOSITION FOR DYE-SENSITIZED SOLAR CELL AND MATERIAL FOR DYE-SENSITIZED SOLAR CELL - The glass composition for a dye-sensitized solar cell of the present invention is characterized by including, as a glass composition, in terms of mass %, 60 to 87% of Bi | 12-27-2012 |
20120325312 | SOLAR CELLS WITH PLATED BACK SIDE SURFACE FIELD AND BACK SIDE ELECTRICAL CONTACT AND METHOD OF FABRICATING SAME - The present disclosure provides a method of forming a back side surface field of a solar cell without utilizing screen printing. The method includes first forming a p-type dopant layer directly on the back side surface of the semiconductor substrate that includes a p/n junction utilizing an electrodeposition method. The p/n junction is defined as the interface that is formed between an n-type semiconductor portion of the substrate and an underlying p-type semiconductor portion of the substrate. The plated structure is then annealed to from a P++ back side surface field layer directly on the back side surface of the semiconductor substrate. Optionally, a metallic film can be electrodeposited on an exposed surface of the P++ back side surface layer. | 12-27-2012 |
20130000712 | SOLAR CELL DEVICE AND PACKAGING METHOD THEREOF - The present invention discloses a solar cell device and a packaging method thereof. The solar cell device applies to a concentrator photovoltaic cell, and comprises a circuit substrate, a solar cell chip, and an electrode plate. The two sides of the lower surface of the electrode plate respectively have an electronic conducting element. A positive electrode plate disposed on the circuit substrate is electrically connected with a back electrode disposed on the lower surface of the solar cell chip. Through each conducting element of the electrode plate, front electrodes disposed respectively on the two sides of the upper surface of the solar cell chip are connected with a negative electrode plate disposed on the circuit substrate. | 01-03-2013 |
20130000713 | NANOSTRUCTURE AND MANUFACTURING METHOD THEREOF, AND SOLAR CELL INCLUDING THE SAME - A manufacturing method of a nanostructure according to an exemplary embodiment of the present invention includes: adhering a plurality of first nanoparticles on a substrate to form a nanoseed layer; growing the nanoseed layer on the substrate to form a plurality of nanowires; adhering a plurality of second nanoparticles to the side surface of the nanowires to form a nanoshell layer; and growing the nanoshell layer to form a plurality of nanobranches. | 01-03-2013 |
20130000714 | CONDUCTIVE PASTE COMPOSITION CONTAINING LITHIUM, AND ARTICLES MADE THEREFROM - A paste composition contains an electrically conductive silver powder, one or more glass frits or fluxes, and a lithium compound dispersed in an organic medium. The paste is useful in forming an electrical contact on the front side of a solar cell device having an insulating layer. The lithium compound aids in establishing a low-resistance electrical contact between the front-side metallization and underlying semiconductor substrate during firing. | 01-03-2013 |
20130000715 | ACTIVE BACKPLANE FOR THIN SILICON SOLAR CELLS - Fabrication methods and structures relating to backplanes for back contact solar cells that provide for solar cell substrate reinforcement and electrical interconnects are described. The method comprises depositing an interdigitated pattern of base electrodes and emitter electrodes on a backside surface of a semiconductor substrate, attaching a prepeg backplane to the interdigitated pattern of base electrodes and emitter electrodes, forming holes in the prepeg backplane which provide access to the first layer of electrically conductive metal, and depositing a second layer of electrically conductive metal on the backside surface of the prepeg backplane forming an electrical interconnect with the first layer of electrically conductive metal through the holes in the prepeg backplane. | 01-03-2013 |
20130000716 | MANUFACTURING METHOD OF FRONT ELECTRODE OF SOLAR CELL - A method of forming a front electrode of a solar cell includes a step of forming a sub-grid line and a main-grid line on the solar cell. The method further includes steps of: forming a lower layer of the sub-grid line on the solar cell using a lower paste by a first screen; drying the solar cell that is formed with the lower layer of the sub-grid line; forming an upper layer of the sub-grid line and the main-grid line simultaneously on the solar cell using an upper paste by a second screen; and sintering the solar cell that is formed with the sub-grid line and the main-grid line. A method of manufacturing a solar cell using the methods and a solar cell manufactured by the method are also provided. | 01-03-2013 |
20130000717 | BACK REFLECTOR WITH NANOCRYSTALLINE PHOTOVOLTAIC DEVICE - A photovoltaic device and processes of manufacture are provided that employ particularly configured, textured back reflector structures that maintain a smooth, non-textured surface at the interface between the lowermost doped layer of semiconductor material and the intrinsic, light absorbing layer of nanocrystalline semiconductor material. The back reflector structure provides exhibit both superior short circuit current and a superior fill factor to a photovoltaic device such as those using nanocrystalline semiconductor materials. | 01-03-2013 |
20130000718 | ELECTRODES OF SOLAR CELL FORMED BY ACTIVE SOLDER AND METHOD THEREFOR - Electrodes of a solar cell formed by an active solder and a method therefore are provided. The method includes steps of: providing a solar cell substrate; providing an active solder having at least one type of soldering alloy mixed with 6 wt % or less of at least one type of active component and 0.01-2.0 wt % of at least one type of rare earth element (Re); firstly melting the active solder at a temperature lower than 450° C.; then applying the melt active solder on the solar cell substrate (or firstly applying and then melting); and cooling to solidify the active solder, so as to form an electrode pattern. | 01-03-2013 |
20130000719 | ORGANIC SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - An organic solar cell ( | 01-03-2013 |
20130000720 | THIN-FILM PHOTOVOLTAIC CELL AND METHOD FOR MANUFACTURING SAME - A thin-film photovoltaic cell includes a rear-face electrode layer, a photoelectric conversion layer, and a transparent electrode layer stacked in order on one face of an insulating substrate. A first back-face electrode layer and a second back-face electrode layer are stacked in order on the other face of the insulating substrate. Further, the transparent electrode layer and the second back-face electrode layer are electrically connected to each other via first through holes passing through the insulating substrate, and the rear-face electrode layer and the first back-face electrode layer are electrically connected to each other via second through holes passing through the insulating substrate. In accordance with the present invention, the transparent electrode layer around the second through holes is separated by grooves, and the transparent electrode layer and the second back-face electrode layer are electrically insulated from each other. | 01-03-2013 |
20130000721 | SUBSTRATE FOR PHOTOELECTRIC CONVERSION DEVICE, PHOTOELECTRIC CONVERSION DEVICE USING THE SUBSTRATE, AND METHOD FOR PRODUCING THE SUBSTRATE AND DEVICE - A photoelectric conversion device includes a substrate and a transparent, electrically conductive film covering at least a portion of a major surface of the substrate and having an irregular geometry on a surface thereof closer to a semiconductor layer. Furthermore, the photoelectric conversion device includes a first conduction type semiconductor layer covering at least a portion of the irregular geometry of the transparent, electrically conductive film, and a light absorption layer covering the first conduction type semiconductor layer. The irregular geometry has a bump having a maximum height equal to or larger than 50 nm and equal to or smaller than 1200 nm. The bump has a surface having a submicron recess having local peaks having a spacing equal to or larger than 2 nm and equal to or smaller than 25 nm. | 01-03-2013 |
20130000722 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD FOR MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE - It is aimed to provide a photoelectric conversion device having improved conversion efficiency, and a method for manufacturing the photoelectric conversion device. For achieving this object, a photoelectric conversion device including a first semiconductor layer and a second semiconductor layer is employed. In the photoelectric conversion device, the first semiconductor layer includes one principal surface on which a plurality of projections are scattered, includes a I-III-VI group compound semiconductor, and has a first conductivity type. The second semiconductor layer is disposed on the one principal surface, has a thickness in a normal direction of the one principal surface, and has a second conductivity type different from the first conductivity type. Further, a first distance along which each of the projections is projected in the normal direction is longer than a second distance along which the second semiconductor layer is provided in the normal direction. | 01-03-2013 |
20130000723 | PHOTOCHEMICAL ELECTRODE, CONSTRUCTION AND USES THEREOF - The present invention provides an electrode comprising a conductive surface connected to a composite matrix of at least one noble metal nano-particle, at least one photo-catalytic element and at least one connecting group, photovoltaic cells and devices comprising said electrode and processes for preparing said electrode. | 01-03-2013 |
20130000724 | METHOD OF MANUFACTURING GAS BARRIER FILM, AND GAS BARRIER FILM THUS MANUFACTURED - Provided is a gas barrier film produced by according to a roll-to-roll process which is excellent in gas barrier performance. The gas barrier film is produced by providing, as a topmost layer on a second surface of the substrate film, a low-hardness layer having a pencil hardness lower by two or more grades than the pencil hardness of the organic layer; and providing the organic layer on the first surface of the substrate film. | 01-03-2013 |
20130008496 | SOLAR CELL APPARATUS AND MANUFACTURING METHOD THEREOF - Disclosed is a solar cell apparatus and manufacturing method thereof. A solar cell apparatus includes: a support substrate; a first back electrode disposed on the support substrate; a light absorber part disposed on the first back electrode; a buffer disposed on the light absorber part; and a barrier film disposed on a side surface of the light absorber part and extending from the buffer. | 01-10-2013 |
20130008497 | SOLAR CELL WITH NANOSTRUCTURED LAYER AND METHODS OF MAKING AND USING - A solar cell includes a base and a nanostructured layer formed on the base. The nanostructured layer has a nanostructured surface opposite the base. The nanostructured surface has a quasi-periodic, anisotropic array of elongated ridge elements having a wave-ordered structure pattern, each ridge element having a wavelike cross-section and oriented substantially in a first direction. | 01-10-2013 |
20130008498 | PHOTOVOLTAIC MODULE AND MANUFACTURING METHOD THEREOF - Disclosed herein is a photovoltaic module including: a first electrode formed on a substrate; a photoelectric conversion layer formed on the first electrode; a second electrode formed on the photoelectric conversion layer; and a light transmitting back substrate disposed over the second electrode. The photovoltaic module has a color within a range of a*: −25˜0, b*: 10˜50, L*: 20˜50 in Commission Internationale de l'Eclairage (CIE) LAB color coordinate. | 01-10-2013 |
20130008499 | RADIATION-COLLECTING DEVICE - A radiation-collecting device includes at least one radiation-collecting element and a scattering layer placed, in relation to the element, on that side on which the radiation is incident on the device. The scattering layer has a transparent fibrous structure and a transparent medium for encapsulating the fibers of the fibrous structure, the absolute value of the difference between the refractive index of the fibers of the fibrous structure and the refractive index of the encapsulating medium being equal to or greater than 0.05. | 01-10-2013 |
20130008500 | PHYSICAL TEMPERED GLASS, SOLAR COVER PLATE, SOLAR BACKSHEET AND SOLAR PANEL - The present invention pertains to a physical tempered glass and a solar panel utilizing the same. The physical tempered glass of the present invention has a thickness of about 0.5 mm to about 2.8 mm, a compressive strength of about 120 MPa to about 300 MPa, a bending strength of about 120 MPa to about 300 MPa and a tensile strength of about 90 MPa to about 180 MPa. The present invention also relates to the preparation of the physical tempered glass and the solar panel. | 01-10-2013 |
20130008501 | METHOD OF FABRICATION OF A BACK-CONTACTED PHOTOVOLTAIC CELL, AND BACK-CONTACTED PHOTOVOLTAIC CELL MADE BY SUCH A METHOD. - A method for manufacturing a solar ceil from a silicon semiconductor substrate of a first conductivity type, the substrate having a front and a rear surface; and
| 01-10-2013 |
20130008502 | ELECTROLYTE FOR PHOTOELECTRIC CONVERSION ELEMENTS, AND PHOTOELECTRIC CONVERSION ELEMENT AND DYE-SENSITIZED SOLAR CELL USING THE ELECTROLYTE - An object of the present invention is to provide an electrolyte for a photoelectric conversion element that can achieve superior moisture resistance, and a photoelectric conversion element and a dye-sensitized solar cell using the electrolyte. An electrolyte for a photoelectric conversion element of the present invention includes an ionic liquid (A) and a lamellar clay mineral (B). Additionally, the lamellar clay mineral (B) contains an alkylsilyl group. | 01-10-2013 |
20130008503 | ORGANIC PHOTOVOLTAIC DEVICES COMPRISING SOLUTION-PROCESSED SUBSTITUTED METAL-PHTHALOCYANINES AND EXHIBITING NEAR-IR PHOTO-SENSITIVITY - Organic photovoltaic (OPV) devices are disclosed. An exemplary device has first and second electrodes and an organic, photovoltaically active zone located between the first and second electrodes. The photovoltaically active zone includes an organic electron-donor material and an organic electron-acceptor material. The electron-donor material includes one or more trivalent- or tetravalent-metal phthalocyanines with alkylchalcogenide ring substituents, and is soluble in at least one organic solvent. This solubility facilitates liquid-processability of the donor material, including formation of thin-films, on an unlimited scale to form planar and bulk heterojunctions in organic OPVs. These donor materials are photovoltaically active in both visible and near-IR wavelengths of light, enabling more of the solar spectrum, for example, to be applied to producing electricity. Also disclosed are methods for producing the metalated phthalocyanines and actual devices. | 01-10-2013 |
20130008504 | SOLAR POWER GENERATING APPARATUS AND METHOD FOR MANUFACTURING SAME - Disclosed are a solar cell apparatus and a method of fabricating the same. The solar cell apparatus includes a support substrate; first and second back electrodes spaced apart from each other on the support substrate; a light absorbing part on the first back electrode; a first buffer on the light absorbing part; a second buffer on the first buffer; a first barrier layer extending from the first buffer and disposed at a lateral side of the light absorbing part; and a first dummy part extending from the first barrier layer and disposed on a top surface of the second back electrode. | 01-10-2013 |
20130014816 | CONDUCTIVE PASTE, METHOD FOR MANUFACTURING SOLAR CELL ELECTRODES AND SOLAR CELL ELECTRODESAANM Hayashi; IsaoAACI TokyoAACO JPAAGP Hayashi; Isao Tokyo JP - The conductive paste for solar cell electrodes, comprising: a conductive powder, a glass frit, a resin binder and 0.3 wt % or more lithium stearate, based on the total weight of the conductive paste. Also the method for manufacturing a solar cell electrode, comprising: applying on a semiconductor substrate a conductive paste comprising a conductive powder, a glass frit, a resin binder and 0.3 wt % or more lithium stearate, based on the total weight of the conductive paste; and firing the conductive paste. | 01-17-2013 |
20130014817 | CONDUCTIVE PASTE FOR SOLAR CELL ELECTRODES, METHOD FOR THE MANUFACTURE OF SOLAR CELL ELECTRODESAANM Konno; TakuyaAACI KanagawaAACO JPAAGP Konno; Takuya Kanagawa JP - A conductive paste for forming a solar cell electrode, comprising: a conductive powder; a glass frit; a metal resinate wherein a metal contained in the metal resinate is 0.15 to 1 parts by weight based on 100 parts by weight of the conductive powder; and an organic medium. | 01-17-2013 |
20130014818 | Conductive Polymer/Fullerence Blend Thin Films with Honeycomb Framework for Transparent Photovoltaic Application - Optoelectronic devices and thin-film semiconductor compositions and methods for making same are disclosed. The methods provide for the synthesis of the disclosed composition. The thin-film semiconductor compositions disclosed herein have a unique configuration that exhibits efficient photo-induced charge transfer and high transparency to visible light. | 01-17-2013 |
20130014819 | METHOD FOR DOPING A SEMICONDUCTOR SUBSTRATE, AND SOLAR CELL HAVING TWO-STAGE DOPINGAANM Teppe; AndreasAACI KonstanzAACO DEAAGP Teppe; Andreas Konstanz DEAANM Geiger; MatthiasAACI Neu-UlmAACO DEAAGP Geiger; Matthias Neu-Ulm DEAANM Schlosser; ReinholdAACI MuenchenAACO DEAAGP Schlosser; Reinhold Muenchen DEAANM Muenzer; AdolfAACI UnterschleissheimAACO DEAAGP Muenzer; Adolf Unterschleissheim DEAANM Schoene; JanAACI ReichenauAACO DEAAGP Schoene; Jan Reichenau DEAANM Isenberg; JoergAACI FreiburgAACO DEAAGP Isenberg; Joerg Freiburg DEAANM Kuehn; TinoAACI LeipzigAACO DEAAGP Kuehn; Tino Leipzig DEAANM Keller; SteffenAACI KonstanzAACO DEAAGP Keller; Steffen Konstanz DE - A method for doping a semiconductor substrate includes heating the semiconductor substrate by irradiation with laser radiation and at the same time diffusing dopant from a dopant source into the semiconductor substrate in heated regions. The semiconductor substrate is heated by the irradiation with laser radiation. A surface portion of the semiconductor substrate that is less than 10% of the total surface of all irradiated regions is melted and recrystallized. There is also provided a solar cell. | 01-17-2013 |
20130019933 | SEE-THROUGH THIN FILM SOLAR CELLS AND METHOD OF MANUFACTURING THE SAME - A see-through thin film solar cell includes a first substrate, a photoelectric conversion film formed on the surface of the first substrate, a second substrate and a packaging adhesive film located between the second substrate and the photoelectric conversion film. The surface of the photovoltaic film is ablated via a laser to form at least one hollow-out zone through a patterned photo mask, thus averts the problem of reduced lifespan of laser equipment in conventional techniques that form patterns through laser ablation in frequent switching manner. By controlling the thickness of the patterned photo mask, grey scale patterns can be displayed and resolution thereof can also be increased, thereby improve the added value of the thin film solar cell. | 01-24-2013 |
20130019934 | OXYGEN GETTER LAYER FOR PHOTOVOLTAIC DEVICES AND METHODS OF THEIR MANUFACTURE - Methods are generally disclosed for forming a thin film photovoltaic device. According to one embodiment, a transparent conductive oxide layer and an oxygen getter layer can be formed on a transparent substrate. The transparent conductive oxide layer and the oxygen getter layer can then be annealed together such that oxygen atoms move from the transparent conductive oxide layer into the oxygen getter layer. A photovoltaic heterojunction can be formed on the TCO layer. Thin film photovoltaic devices are also generally disclosed. | 01-24-2013 |
20130019935 | SOLAR CELL MODULE AND METHOD FOR MANUFACTURING THE SAME - A manufacturing method of a solar cell module according to an exemplary embodiment of the present invention includes: forming a first electrode on a transparent substrate; forming a first groove at the first electrode by performing a first laser scribing process; forming a semiconductor layer on the first electrode; forming a second groove at the semiconductor layer by performing a second laser scribing process; forming a second electrode on the semiconductor layer; forming a third groove at the semiconductor layer and the second electrode by performing a third laser scribing process; and forming fourth and fifth grooves at the semiconductor layer and the second electrode by performing a fourth laser scribing process. The fourth laser scribing process includes using first and second laser beams. | 01-24-2013 |
20130019936 | ORGANIC SOLAR CELL WITH PATTERNED ELECTRODES - An organic solar cell with patterned electrodes comprises a first electrode layer, a second electrode layer and an organic active layer. The first electrode layer and the second electrode layer are arranged opposite to each other. The first electrode layer has a first carrier injection surface having a plurality of first protrusions. The organic active layer is arranged between the first electrode layer and the second electrode layer and has a first surface joined with the first carrier injection surface. The first surface is bonded to the first carrier injection surface to form a first carrier supply interface having a plurality of crests and troughs corresponding to the first protrusions. Thereby is increased the area of the first carrier supply interface, improved the carrier transport efficiency, and promoted the photoelectric conversion efficiency of the solar cell. | 01-24-2013 |
20130019937 | PHOTOVOLTAIC CELL ENHANCEMENT THROUGH UVO TREATMENT - Photovoltaic cells, methods of fabricating photovoltaic cells, and methods of using photovoltaic cells to capture light energy are provided. A photovoltaic cell can include an electron transporting layer, a photoactive layer, and a hole transporting layer. The electron transporting layer can be ultraviolet ozone treated. The photovoltaic cell can have an inverted configuration. | 01-24-2013 |
20130019938 | METHOD FOR FORMING PHOTOVOLTAIC CELL, AND RESULTING PHOTOVOLTAIC CELL - A photovoltaic cell manufacturing method is disclosed. Methods include manufacturing a photovoltaic cell having a selective emitter and buried contact (electrode) structure utilizing nanoimprint technology. The methods include providing a semiconductor substrate having a first surface and a second surface opposite the first surface; forming a first doped region in the semiconductor substrate adjacent to the first surface; performing a nanoimprint process and an etching process to form a trench in the semiconductor substrate, the trench extending into the semiconductor substrate from the first surface; forming a second doped region in the semiconductor substrate within the trench, the second doped region having a greater doping concentration than the first doped region; and filling the trench with a conductive material. The nanoimprint process uses a mold to define a location of an electrode line layout. | 01-24-2013 |
20130019939 | ENVIRONMENTALLY-FRIENDLY COATINGS AND ENVIRONMENTALLY-FRIENDLY SYSTEMS AND METHODS FOR GENERATING ENERGY - UV-curable coatings for photovoltaic systems, photovoltaic systems having a UV-curable coating, and methods of generating energy through photovoltaic systems having a UV-curable coating are disclosed. The UV-curable coating includes a urethane acrylate blend, a montmorillonite platelet, a light stabilizer, a UV absorber, and a photoinitiator. The coating is substantially transparent to visible and near infrared light and provides a barrier to oxygen and moisture and the coating is configured to adhere to a film. | 01-24-2013 |
20130019940 | ELECTRODE STRUCTURE FOR USE IN ELECTRONIC DEVICE AND METHOD OF MAKING SAME - An electrode structure is provided for use in an electronic device. In certain example embodiments, an electrode structure includes a supporting glass substrate (e.g., soda-lime silica based float glass), a buffer layer (e.g., Si | 01-24-2013 |
20130019941 | LIGHT POWER GENERATION DEVICE - [Problem] To provide a photovoltaic device capable of generating power whether day or night, without affecting the appearance of a structure or reducing lighting or other functions, and able to inhibit rises in room temperature by converting thermal radiation into electrical energy. | 01-24-2013 |
20130019942 | SOLAR CELL PANEL INSPECTION DEVICE, METHOD FOR INSPECTING SOLAR CELL PANEL, METHOD FOR MANUFACTURING SOLAR CELL PANEL, AND SOLAR CELL PANEL - The solar cell panel inspection device comprises a housing, a first terminal for abutting against a back-side electrode layer, a second terminal for abutting against a region in proximity to an outer peripheral end of an outer peripheral insulating area, a mechanism moving first terminal and second terminal vertically and horizontally, a voltage applying section for applying voltage respectively between first terminal and second terminal, a current detecting section for detecting a current flowing between first terminal and second terminal applied with voltage by voltage applying section, means for regulating moisture amount in housing, and means for reducing moisture amount in housing. | 01-24-2013 |
20130019943 | SOLAR POWER GENERATING DEVICE, AND METHOD FOR MANUFACTURING SAME - Disclosed are a solar cell apparatus and a method of fabricating the same. The solar cell apparatus includes a substrate, a back electrode layer on the substrate, a light absorbing layer on the back electrode layer, and a window layer on the light absorbing layer. A third through hole is formed through an entire portion of the window layer and a portion of the light absorbing layer. | 01-24-2013 |
20130025662 | Water Soluble Dopant for Carbon Films - Techniques for reducing the resistivity of carbon nanotube and graphene materials are provided. In one aspect, a method of producing a doped carbon film having reduced resistivity is provided. The method includes the following steps. A carbon material selected from the group consisting of: a nanotube, graphene, fullerene and pentacene is provided. The carbon material and a dopant solution comprising an oxidized form of ruthenium bipyridyl are contacted, wherein the contacting is carried out under conditions sufficient to produce the doped carbon film having reduced resistivity. | 01-31-2013 |
20130025663 | INVERTED PYRAMID TEXTURE FORMATION ON SINGLE-CRYSTALLINE SILICON - A method for texturing a single-crystalline silicon substrate is provided in which inverted pyramids are formed within the textured single-crystalline silicon substrate. The textured single-crystalline silicon substrates containing the inverted pyramids provided by the present disclosure have a low reflectance associated therewith and thus can be used as a component of a silicon solar cell. The method includes forming a plurality of openings that extend beneath an upper surface of a single-crystalline silicon substrate, and forming inverted pyramids in each of the openings by expanding each opening. | 01-31-2013 |
20130025664 | SOLAR CELL ELECTRODE, AND METHOD FOR MANUFACTURING THE SAME, AND PASTE FOR THE SOLAR CELL ELECTRODE - The invention relates to a paste for forming a solar cell electrode, comprising electrically conductive metal particles, glass frit, a cross-linkable agent, a photo polymerization initiator and organic solvent, wherein the content of the cross-linkable agent is 1.0 to 20.0 wt %, the content of the photo polymerization initiator is 0.2 to 15.0 wt %, the content of the organic solvent is greater than 1.0 wt %, based on the total weight of the paste, and wherein over 90 wt % of the organic solvent based on the total weight of the organic solvent has a boiling point at 85° C. or higher. | 01-31-2013 |
20130025665 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell and a method for manufacturing the same are discussed. The solar cell includes a substrate of a first conductive type, an emitter region of a second conductive type opposite the first conductive type positioned at the substrate, a first electrode which is positioned on the substrate and is connected to the emitter region, at least one second electrode which is positioned on the substrate and is connected to the substrate, and an aluminum oxide layer positioned on a front surface and a back surface of the substrate excluding areas of the substrate on which the first electrode and the at least one second electrode are formed. | 01-31-2013 |
20130025666 | Novel Thin Film Solar Cell Structure - The present invention provides a kind of structure of a thin film solar cell, including: a transparent conductive layer, a first electrode, a second electrode, a conductive layer of metal, and a photoelectric conversion layer, wherein changing the structures of said first electrode and said second electrode can improve the efficiency of the cell. Because the distribution of electric potential is not uniform in the transparent conductive layer, it will reduce the efficiency of the cell. We can solve this problem by changing the electrode structures of the cell, and improve the efficiency of the cell. | 01-31-2013 |
20130025667 | Electrode Structure for Improving Efficiency of Solar Cells - The present invention provides an improved electrode structure for improving efficiency of solar cells, and the structure of the solar cells includes a back electrode, a transparent conducting glass layer, a photoelectric conversion layer, and a grid electrode. The transparent conducting glass layer includes a light-penetrated surface for accepting light. The photoelectric conversion layer is disposed between the back electrode and the transparent conducting glass layer to convert light energy into electric energy. The grid electrode is embedded in the transparent conducting glass layer to solve the problems of uneven electric potential for decreasing uneven voltage on the light-penetrated surface and further increasing efficiency of the solar cells. | 01-31-2013 |
20130025668 | ELEMENT AND PHOTOVOLTAIC CELL - The invention provides an element including a semiconductor substrate and an electrode disposed on the semiconductor substrate, the electrode being a sintered product of a composition for an electrode that includes phosphorus-containing copper alloy particles, glass particles and a dispersing medium, and the electrode includes a line-shaped electrode having an aspect ratio, which is defined as electrode short length:electrode height, of from 2:1 to 250:1. | 01-31-2013 |
20130025669 | PHOTOVOLTAIC CELL SUBSTRATE, METHOD OF PRODUCING PHOTOVOLTAIC CELL SUBSTRATE, PHOTOVOLTAIC CELL ELEMENT AND PHOTOVOLTAIC CELL - The invention provides a photovoltaic cell substrate that is a semiconductor substrate comprising an n-type diffusion layer, an n | 01-31-2013 |
20130025670 | SEMICONDUCTOR SUBSTRATE AND METHOD FOR PRODUCING THE SAME, PHOTOVOLTAIC CELL ELEMENT, AND PHOTOVOLTAIC CELL - The semiconductor substrate of the present invention contains a semiconductor layer and an impurity diffusion layer containing at least one impurity atom selected from the group consisting of an n-type impurity atom and a p-type impurity atom and at least one metallic atom selected from the group consisting of K, Na, Li, Ba, Sr, Ca, Mg, Be, Zn, Pb, Cd, V, Sn, Zr, Mo, La, Nb, Ta, Y, Ti, Ge, Te, and Lu. | 01-31-2013 |
20130025671 | METHOD FOR MANUFACTURING LIGHT-ABSORPTION LAYER FOR SOLAR CELL, METHOD FOR MANUFACTURING THIN FILM SOLAR CELL USING THE SAME, AND THIN FILM SOLAR CELL USING THE SAME - Disclosed are a method of manufacturing a light-absorption layer for a solar cell, a method manufacturing a thin film solar cell using the same, and a thin film solar cell fabricated using the same. The method of manufacturing a light-absorption layer for a solar cell includes: preparing an ink composition including at least one metal precursor including at least one chalcogen element and a solvent; applying the ink composition as a precursor phase on a substrate using a solution process; and photo-sintering the ink composition applied on the substrate as a precursor phase. | 01-31-2013 |
20130025672 | GLASS SUBSTRATE COATED WITH LAYERS HAVING IMPROVED MECHANICAL STRENGTH - A transparent glass substrate, associated with a transparent electrically conductive layer capable of constituting an electrode of a photovoltaic module, and composed of a doped oxide, characterized by the interposition, between the glass substrate and the transparent electrically conductive layer, of a layer of one or more first nitride(s) or oxynitride(s), or oxide(s) or oxycarbide(s) having good adhesive properties with the glass, then of a mixed layer of one or more second nitride(s) or oxynitride(s), or oxide(s) or oxycarbide(s) having good adhesive properties with the glass, and of one or more third nitride(s) or oxynitride(s), or oxide(s) or oxycarbide(s) capable of constituting, optionally in the doped state, a transparent electrically conductive layer. | 01-31-2013 |
20130025673 | SOLAR CELLS AND METHOD FOR PRODUCING SAME - Solar cells, where at least one conductor is mechanically and electrically connected to the solar cell and/or further conductors by conductive cladding. The conductive cladding is preferably deposited electrolytically or galvanically from solution or is produced by plasma-spraying. In addition, methods for connecting solar cells by means of at least one conductor and/or for connecting conductors on solar cells, wherein at least one electrically-conductive conductor is mechanically and electrically connected by depositing conductive cladding from solution onto the solar cell and/or at least one conductor. Also, a device for depositing a mechanically-connecting and electrically-conductive cladding from solution onto solar cells in electrolytic cells, comprising means for receiving at least one conductor, preferably a collector or bus-bar conductor contacting surface to be deposited in the electrolyte of the electrolytic cell, preferably at least partially providing electrical contact with a seed-layer of the solar cell, and preferably simultaneously supporting the solar cell. | 01-31-2013 |
20130025674 | LAMINATE STRUCTURE WITH EMBEDDED CAVITIES FOR USE WITH SOLAR CELLS AND RELATED METHOD OF MANUFACTURE - An integrated laminate structure ( | 01-31-2013 |
20130025675 | SOLAR CELL AND METHOD FOR MANUFACTURING SAME - Disclosed are a solar cell and a preparing method of the same. The solar cell includes a substrate, a back electrode layer on the substrate, a light absorbing layer on the back electrode layer, and a window layer on the light absorbing layer. The window layer includes a base layer on the light absorbing layer, and an anti-reflection pattern on the base layer. The anti-reflection pattern includes a top surface, and an inclined surface extending from the top surface in a direction in which the inclined surface is inclined with respect to the top surface. | 01-31-2013 |
20130025676 | SOLAR CELL AND MANUFACTURING METHOD OF THE SAME - A solar cell is provided. The solar cell includes a transparent electrode layer on a substrate, a pattern layer on the transparent electrode layer, the pattern layer including a plurality of pattern parts having inclined side surfaces, a light absorption layer on the pattern layer, and a back surface electrode layer on the light absorption layer. | 01-31-2013 |
20130025677 | SOLAR CELL ELEMENT AND PROCESS FOR PRODUCTION THEREOF - A solar cell element is disclosed. The solar cell element comprises a semiconductor substrate and electrodes. The semiconductor substrate with a first and second main surface comprises a body and a first layer. The electrodes comprise first electrodes on the first layer and second electrodes on the second main surface. At least one of the first electrodes and the second electrodes comprises silver, copper and nickel as a main component. A method for manufacturing a solar cell element is disclosed. An electrically conductive paste containing silver, copper and nickel is prepared. The electrically conductive paste is applied on the semiconductor substrate. The electrically conductive paste is fired to form the solar cell element. | 01-31-2013 |
20130025678 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell and a method for manufacturing the same are disclosed. The solar cell may include a substrate, an emitter layer positioned at a first surface of the substrate, a first anti-reflection layer that is positioned on a surface of the emitter layer and may include a plurality of first contact lines exposing a portion of the emitter layer, a first electrode that is electrically connected to the emitter layer exposed through the plurality of first contact lines and may include a plating layer directly contacting the emitter layer, and a second electrode positioned on a second surface of the substrate. | 01-31-2013 |
20130032202 | PHOTOCATALYTIC MATERIAL AND GLASS SHEET OR PHOTOVOLTAIC CELL INCLUDING SAID MATERIAL - A material includes a glass substrate provided on at least one portion of one of its faces with a photocatalytic coating based on titanium dioxide that covers at most 15% of the subjacent surface, the photocatalytic coating being in the form of a two-dimensional network of interconnected strands. | 02-07-2013 |
20130032203 | SOLAR CELL MODULE ON MOLDED LEAD-FRAME AND METHODS OF MANUFACTURE - A solar cell having a molded lead frame, and method of manufacture of same, is disclosed. Specifically, a plurality of solar cells is manufactured from a strip of lead-frames and soft solder techniques for die assembly and component assembly. After wire bonding, glass attachment and transfer molding, a trim and form process produces individual solar cells having a molded lead frame. | 02-07-2013 |
20130032204 | NOVEL ELECTRODE - Photovoltaic cells containing a novel electrode, as well as related systems, methods, modules, and components, are disclosed. | 02-07-2013 |
20130032205 | SOLAR PHOTOVOLTAIC DEVICE AND A PRODUCTION METHOD THEREFOR - Disclosed are a solar cell apparatus and a method of fabricating the same. The solar cell apparatus includes a substrate, a back electrode layer on the substrate, a light absorbing layer on the back electrode layer, and a front electrode layer on the light absorbing layer. The back electrode layer is provided therein with a through hole extending in one direction. The through hole includes a first region comprising first protrusions extending inwardly from an inner lateral side thereof, and a second region comprising a cutting surface formed more outward than an end portion of each first protrusion. | 02-07-2013 |
20130032206 | SOLAR CELL - A solar cell ( | 02-07-2013 |
20130032207 | METHOD FOR CONTACT-CONNECTING A PHOTOVOLTAIC MODULE TO A CONNECTION HOUSING AND SYSTEM CONSISTING OF A PHOTOVOLTAIC MODULE AND A CONNECTION HOUSING - In a method and system consisting of a photovoltaic module and a connection housing or a junction box, wherein the photovoltaic module comprises at least one solar cell which is coupled at a rear side to an electrically conductive and structured layer for conducting away the electrical energy generated in a solar cell, and, furthermore, at least one transparent carrier layer and a covering layer are provided, wherein the electrically conducting layer can be contact-connected to connections of the connection housing, it is proposed that connections or connection elements of the connection housing can be coupled directly to partial regions having different polarities of the conducting layer via at least one through-opening in the covering layer, as a result of which contact-connection of a photovoltaic module to connection elements of a connection housing can be obtained in a simple and reliable manner. | 02-07-2013 |
20130037093 | SUPERSTRATE SOLAR CELL - A method of fabricating a solar cell includes forming a front contact layer over a substrate, and the front contact layer is optically transparent at specified wavelengths and electrically conductive. A first scribed area is scribed through the front contact layer to expose a portion of the substrate. A buffer layer doped with an n-type dopant is formed over the front contact layer and the first scribed area. An absorber layer doped with a p-type dopant is formed over the buffer layer. A back contact layer that is electrically conductive is formed over the absorber layer. | 02-14-2013 |
20130037094 | CONDUCTIVE PASTES AND SOLAR CELLS COMPRISING THE SAME - A conductive paste is provided. The conductive paste includes a polymer matrix and a filler blended in the polymer matrix, wherein the filler is non-spherical and at least one dimension of the filler has a length greater than or equal to λ/2n, wherein λ is a wavelength of light reflected by the conductive paste and n is a refractive index of the filler, and the polymer matrix and the filler have a weight ratio of 3:7 to 7:3. | 02-14-2013 |
20130037095 | INTEGRATED SOLAR COLLECTORS USING EPITAXIAL LIFT OFF AND COLD WELD BONDED SEMICONDUCTOR SOLAR CELLS - There is disclosed ultrahigh-efficiency single- and multi-junction thin-film solar cells. This disclosure is also directed to a substrate-damage-free epitaxial lift-off (“ELO”) process that employs adhesive-free, reliable and lightweight cold-weld bonding to a substrate, such as bonding to plastic or metal foils shaped into compound parabolic metal foil concentrators. By combining low-cost solar cell production and ultrahigh-efficiency of solar intensity-concentrated thin-film solar cells on foil substrates shaped into an integrated collector, as described herein, both lower cost of the module as well as significant cost reductions in the infrastructure is achieved. | 02-14-2013 |
20130037096 | THICK FILM PASTE CONTAINING LEAD-TELLURIUM-LITHIUM-TITANIUM-OXIDE AND ITS USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention is directed to an electroconductive thick film paste composition comprising electrically conductive Ag, a second electrically conductive metal selected from the group consisting of Ni, Al and mixtures thereof and a lead-tellurium-lithium-titanium-oxide all dispersed in an organic medium. The present invention is further directed to an electrode formed from the thick film paste composition and a semiconductor device and, in particular, a solar cell comprising such an electrode. | 02-14-2013 |
20130037097 | PHOTOVOLTAIC MODULE WITH EDGE SEAL MASKING A BUS BAR - A photovoltaic module with at least one conductor extending along at least a portion of the peripheral edge of the module. A colored opaque edge seal extends over and in contact with at least a portion of the at least one conductor, to mask the appearance of the at least one conductor visible through a substrate. A method of forming the module is also described. | 02-14-2013 |
20130037098 | INFRARED REFLECTIVE LAMINATE - The infrared reflective layered product can reflect infrared radiation with certain wavelengths to prevent heat accumulation and, at the same time, has excellent heat resistance. The infrared reflective layered product comprises a layer (B) as a base layer, a layer (A) layered on one side of the layer (B), and a layer (C) layered on the other side of the layer (B). | 02-14-2013 |
20130037099 | DEVICE FOR GENERATING SOLAR POWER AND METHOD FOR MANUFACTURING SAME - Disclosed are a solar cell apparatus and a method of fabricating the same. The A solar cell apparatus includes a substrate; a back electrode layer on the substrate; a light absorbing layer on the back electrode layer; and a window layer on the light absorbing layer, wherein the light absorbing layer is formed with a third through hole having a first width, and the window layer is formed with a fourth through hole having a second width larger than the first width, and the fourth through hole corresponds to the third through hole. | 02-14-2013 |
20130037100 | Thin Film Photovoltaic Solar Cells - A thin film photovoltaic solar cell ( | 02-14-2013 |
20130037101 | PHOTOVOLTAIC CELL COMPRISING A REGION SUSPENDED BY A CONDUCTIVE PATTERN AND PRODUCTION PROCESS - The photovoltaic cell includes an electrically conductive passivation film separated from an electrically conductive collection layer and a substrate. An electrically conductive connection pattern maintains an area of the collection layer in suspension with respect to the passivation film. Suspension of the collection layer is obtained by making an etching agent pass through a permeable area of the collection layer. | 02-14-2013 |
20130037102 | BACK ELECTRODE TYPE SOLAR CELL AND METHOD FOR PRODUCING BACK ELECTRODE TYPE SOLAR CELL - There is provided a back electrode type solar cell including: a silicon substrate of a first conductivity type; an electrode for the first conductivity type and an electrode for a second conductivity type provided at a back surface opposite to a light-receiving surface of the silicon substrate; and a first conductivity type impurity diffusion layer and a second conductivity type impurity diffusion layer provided at the back surface of the silicon substrate, the first conductivity type impurity diffusion layer and the second conductivity type impurity diffusion layer being adjacently provided, the first conductivity type impurity diffusion layer being provided at a peripheral edge of the back surface of the silicon substrate, and a method for producing the back electrode type solar cell. | 02-14-2013 |
20130037103 | BACK SHEET FOR SOLAR CELL MODULE AND SOLAR CELL MODULE - To provide a back sheet for a solar cell module, which is light in weight and excellent in productivity, wherein a coating film formed from a coating composition containing a fluorinated copolymer (A), which is formed on at least one side of a substrate sheet, is excellent in adhesion to the substrate and free from a problem of cracking, fracturing, whitening or peeling. A back sheet for a solar cell module, comprising a substrate sheet and, as formed on at least one side of the substrate sheet, a coating film formed from a coating composition containing a fluorinated copolymer (A); and a solar cell module using such a back sheet. | 02-14-2013 |
20130042910 | SOLAR CELL - The present invention provides a solar cell comprising an anode ( | 02-21-2013 |
20130042911 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - Provided are a solar cell and a method of fabricating the same. The solar cell may include a first electrode including a first substrate attached with a first transparent conductive film and a metal oxide nanotube provided on the first substrate and adsorbed with a dye, a second electrode facing the first electrode, and an electrolyte filling between the first and second electrodes. In example embodiments, metal nanoparticles may be provided on an inner surface of the metal oxide nanotube. | 02-21-2013 |
20130042912 | SOLDER BONDED BODY, METHOD OF PRODUCING SOLDER BONDED BODY, ELEMENT, PHOTOVOLTAIC CELL, METHOD OF PRODUCING ELEMENT AND METHOD OF PRODUCING PHOTOVOLTAIC CELL - The solder bonded body according to the present invention contains: an oxide body to be bonded having an oxide layer on the surface thereof; and a solder layer bonded to the oxide layer, which the solder layer is formed by an alloy containing at least two metals selected from the group consisting of tin, copper, silver, bismuth, lead, aluminum, titanium and silicon and having a melting point of lower than 450° C. and has a zinc content of 1% by mass or less. | 02-21-2013 |
20130042913 | SHIELDED ELECTRICAL CONTACT AND DOPING THROUGH A PASSIVATING DIELECTRIC LAYER IN A HIGH-EFFICIENCY CRYSTALLINE SOLAR CELL, INCLUDING STRUCTURE AND METHODS OF MANUFACTURE - Solar cell structures and formation methods which utilize the surface texture in conjunction with a passivating dielectric layer to provide a practical and controllable technique of forming an electrical contact between a conducting layer and underlying substrate through the passivating dielectric layer, achieving both good surface passivation and electrical contact with low recombination losses, as required for high efficiency solar cells. The passivating dielectric layer is intentionally modified to allow direct contact, or tunnel barrier contact, with the substrate. Additional P-N junctions, and dopant gradients, are disclosed to further limit losses and increase efficiency. | 02-21-2013 |
20130048071 | THIN REFRACTORY METAL LAYER USED AS CONTACT BARRIER TO IMPROVE THE PERFORMANCE OF THIN-FILM SOLAR CELLS - A thin film amorphous silicon solar cell may have front contact between a hydrogenated amorphous silicon layer and a transparent conductive oxide layer. The cell may include a layer of a refractory metal, chosen among the group composed of molybdenum, tungsten, tantalum and titanium, of thickness adapted to ensure a light transmittance of at least 80%, interposed therebetween, before growing by PECVD a hydrogenated amorphous silicon p-i-n light absorption layer over it. A refractory metal layer of just about 1 nm thickness may effectively shield the oxide from the reactive plasma, thereby preventing a diffused defect when forming the p.i.n. layer that would favor recombination of light-generated charge carriers. | 02-28-2013 |
20130048072 | SOLAR PHOTOVOLTAIC DEVICE AND A PRODUCTION METHOD FOR THE SAME - Disclosed are a solar cell and a method of fabricating the same. The solar cell includes a back electrode layer; a light absorbing layer on the back electrode layer; a protrusion pattern on the light absorbing layer; a first anti-reflective layer having a first thickness on the protrusion pattern; and a second anti-reflective layer having a second thickness smaller than the first thickness on the protrusion pattern. | 02-28-2013 |
20130056054 | HIGH WORK FUNCTION LOW RESISTIVITY BACK CONTACT FOR THIN FILM SOLAR CELLS - Back contact materials and processes for use in the manufacturing of CdTe, CIGS, and CZTS TFPV superstrate solar cells are described. High conductivity, high work function materials of ReO | 03-07-2013 |
20130056055 | SOLAR ENERGY CELL - A solar energy cell includes a photoelectric conversion layer, an anti-reflection layer and a plurality of electrical conductive channels. The anti-reflection layer is disposed on the photoelectric conversion layer. The electrical conductive channels are disposed on the anti-reflection layer and electrically connected with the photoelectric conversion layer, wherein the electrical conductive channels include a conductive paste and pigments to enable a color thereof to be substantially the same as a color of the anti-reflection layer. | 03-07-2013 |
20130056056 | DYE-SENSITIZED SOLAR CELL INCLUDING A COLLECTOR - Disclosed is a dye-sensitized solar cell. The dye-sensitized solar cell includes a working electrode and a counter electrode configured to join the working electrode. The working electrode includes a photo electrode having a plurality of photo electrode cells coated on a transparent conductive substrate and arranged in linear rows and a collector having a plurality of collector cells coated on the transparent conductive substrate and arranged along perimeters of the photo electrode and between the photo electrode cells and a collector bottom portion integrally interconnecting the collector cells. The collector cells have a same length or the collector cells arranged along the perimeters have a different length from the collector cells arranged between the photo electrode cells to increase an active area of the photo electrode. | 03-07-2013 |
20130056057 | DYE-SENSITIZED SOLAR CELL - The present invention provides a dye-sensitized solar cell which enhances an area of a photo electrode by arranging metal wires on a surface of a transparent substrate or a transparent conductive layer without degrading a transparency of the solar cell, allowing the metal wires to act as a collector electrode exclusively or together with a metal electrode. | 03-07-2013 |
20130056058 | CONTACT SOLAR CELL AND METHOD FOR PRODUCING SAME - The present invention relates to a contacted solar cell which has contact places on the front- and rear-side for electrical contacting of the solar cell. The current produced by the solar cells is tapped across the solar cells by means of a conductor fitted on the front- or rear-side, the contact places of the solar cell being arranged, according to the invention, on the front- or rear-side such that, in projection on the front-face of the solar cell, they do not come to coincide. | 03-07-2013 |
20130056059 | BACK CONTACT LAYER STRUCTURE FOR GROUP IBIIIAVIA PHOTOVOLTAIC CELLS - Described are new ohmic contact materials and diffusion barriers for Group IBIIIAVIA based solar cell structures, which eliminate two way diffusion while preserving the efficient ohmic contacts between the substrate and the absorber layers. | 03-07-2013 |
20130056060 | PROCESS FOR THE PRODUCTION OF LFC-PERC SILICON SOLAR CELLS - A process for the production of a LFC-PERC silicon solar cell having an aluminum back electrode wherein an aluminum paste having no or only poor fire-through capability and including particulate aluminum, glass frit, an organic vehicle and 0.01 to <0.05 wt. % of at least one antimony oxide, based on total aluminum paste composition, is used, and wherein the at least one antimony oxide is present in the aluminum paste as separate particulate constituent(s) and/or as glass frit constituent(s). | 03-07-2013 |
20130056061 | BIFACIAL SOLAR CELLS WITH OVERLAID BACK GRID SURFACE - A simplified manufacturing process and the resultant bifacial solar cell (BSC) are provided, the simplified manufacturing process reducing manufacturing costs. The BSC includes an active region located on the front surface of the substrate, formed for example by a phosphorous diffusion step. After removing the PSG, assuming phosphorous diffusion, and isolating the front junction, dielectric layers are deposited on the front and back surfaces. Contact grids are formed, for example by screen printing. Prior to depositing the back surface dielectric, a metal grid may be applied to the back surface, the back surface contact grid registered to, and alloyed to, the metal grid during contact firing. | 03-07-2013 |
20130056062 | POLYMER THICK FILM SILVER ELECTRODE COMPOSITION FOR USE IN THIN-FILM PHOTOVOLTAIC CELLS - The invention is directed to a polymer thick film silver composition comprising: (a) conductive silver flake (b) organic medium comprising (1) phenoxy organic polymeric binder and (2) organic solvent. The composition may be processed at a time and energy sufficient to remove all solvent. | 03-07-2013 |
20130056063 | PREPARATION METHOD OF OXIDE ELECTRODE FOR SENSITIZED SOLAR CELL AND SENSITIZED SOLAR CELL USING THE SAME - The present invention relates to a method of manufacturing an oxide electrode for a dye-sensitized solar cell including metal oxide nanoparticles by using a miller, and a dye-sensitized solar cell manufactured by using the same. More particularly, the present invention provides a method of manufacturing an oxide electrode for a dye-sensitized solar cell. The method includes (a) mixing metal oxide nanoparticles, a binder resin, and a solvent to prepare a metal oxide paste, (b) coating the metal oxide paste to a miller and pulverizing the metal oxide nanoparticles to prepare a paste including the metal oxide nanoparticles uniformly dispersed therein, and (c) coating the paste including the metal oxide nanoparticles dispersed therein on a conductive transparent substrate, performing a heat treatment of the resulting substrate, and adsorbing a dye thereon to manufacture the conductive electrode. | 03-07-2013 |
20130056064 | BACKSIDE PROTECTIVE FILM FOR SOLAR CELL, METHOD FOR PRODUCING SAME, AND SOLAR CELL MODULE - Disclosed is a backside protective film ( | 03-07-2013 |
20130056065 | TRANSPARENT FILM CONTAINING TETRAFLUOROETHYLENE-HEXAFLUOROPROPYLENE COPOLYMER AND HAVING AN ORGANOSILANE COUPLING AGENT TREATED SURFACE - In a first aspect, a transparent film includes a tetrafluoroethylene-hexafluoropropylene copolymer layer having an organosilane coupling agent treated surface such that the treated surface of the transparent film, when directly laminated to an encapsulant layer including ethylene-vinyl acetate copolymer, forms a multilayer film with an average peel strength between the transparent film and the encapsulant layer of greater than 2 lbf/in after curing to crosslink the ethylene-vinyl acetate copolymer and then 1000 hrs of damp heat exposure. | 03-07-2013 |
20130056066 | BACK SIDE PROTECTIVE SHEET FOR SOLAR CELL AND SOLAR CELL MODULE COMPRISING THE SAME - Provided are a back side protective sheet for a solar cell capable of enhancing adherence to an EVA resin as a filler used to seal solar cell elements and of maintaining a weather resistance over a long period of time; and a solar cell module including the back side protective sheet for a solar cell. The back side protective sheet ( | 03-07-2013 |
20130061916 | PHOTOVOLTAIC CELLS WITH COPPER GRID - A photovoltaic device, such as a solar cell, having improved performance is provided. The photovoltaic device includes a copper-containing layer that contains an amount of impurities therein which is sufficient to hinder the diffusion of copper into an underlying semiconductor substrate. The copper-containing layer, which is located within a grid pattern formed on a front side surface of a semiconductor substrate, includes an electroplated copper-containing material having an impurity level of 200 ppm or greater located atop at least one metal diffusion barrier layer. | 03-14-2013 |
20130061917 | PHOTOVOLTAIC DEVICES WITH METAL SEMICONDUCTOR ALLOY METALLIZATION - A photovoltaic device, such as a solar cell, having improved performance is provided. In one embodiment, the photovoltaic device includes a multimetal semiconductor alloy layer located on exposed portions of a front side surface of a semiconductor substrate. The multimetal semiconductor alloy layer includes at least a first elemental metal that forms an alloy with a semiconductor material, and a second elemental metal that differs from the first elemental metal and that does not form an alloy with a semiconductor material at the same temperature as the first elemental metal. The photovoltaic device further includes a copper-containing layer located atop the multimetal semiconductor alloy layer. | 03-14-2013 |
20130061918 | PROCESS FOR THE FORMATION OF A SILVER BACK ELECTRODE OF A PASSIVATED EMITTER AND REAR CONTACT SILICON SOLAR CELL - A process for the formation of an electrically conductive silver back electrode of a PERC silicon solar cell comprising the steps:
| 03-14-2013 |
20130061919 | METHOD OF MANUFACTURING SOLAR CELL ELECTRODE - A method of manufacturing an n-type electrode comprising the steps of: preparing an N-type base semiconductor substrate, comprising an n-base layer, a p-type emitter on the n-base layer, a first passivation layer on the p-type emitter, and a second passivation layer on the n-base layer; applying a conductive paste onto the second passivation layer on the n-base layer, wherein the conductive paste comprises, (i) 100 parts by weight of a conductive powder, (ii) 0.1 to 10 parts by weight of an aluminum powder with particle diameter of 2 to 12 μm, (iii) 3.5 to 25 parts by weight of a glass frit, and (iv) an organic medium; and firing the conductive paste at temperature of 910° C. or lower. | 03-14-2013 |
20130061920 | PHOTOVOLTAIC CELL WITH POROUS SEMICONDUCTOR REGIONS FOR ANCHORING CONTACT TERMINALS, ELECTROLITIC AND ETCHING MODULES, AND RELATED PRODUCTION LINE - A photovoltaic cell ( | 03-14-2013 |
20130061921 | SOLAR CELL AND METHOD OF FABRICATION THEREOF - A solar cell and a method of fabricating solar cells. The method includes a step of separating neighbor solar cells formed on a semiconductor wafer by scribing the wafer to form scribe lines on the wafer and applying a force at, or adjacent to, the scribed lines to separate the solar cells. The scribing is effected on a cap layer covering a window layer of solar cells, thereby minimizing damage to the window layer and mitigating propagation of defects into p-n junctions formed in the solar cells. | 03-14-2013 |
20130061922 | DIFFUSION AGENT COMPOSITION, METHOD OF FORMING IMPURITY DIFFUSION LAYER, AND SOLAR CELL - A diffusion agent composition used in forming an impurity diffusion agent layer on a semiconductor substrate, and containing an impurity diffusion component, a silicon compound, and a solvent containing a solvent having a boiling point of 100° C. or less, a solvent having a boiling point of 120-180° C., and a solvent having a boiling point of 300° C. | 03-14-2013 |
20130068290 | CONDUCTIVE PASTE COMPOSITION AND SEMICONDUCTOR DEVICES MADE THEREFROM - A conductive paste composition contains a source of an electrically conductive metal, a lead-tellurium-based oxide, a discrete oxide of an adhesion promoting element, and an organic vehicle. An article such as a high-efficiency photovoltaic cell is formed by a process of deposition of the paste composition on a semiconductor substrate (e.g., by screen printing) and firing the paste to remove the organic vehicle and sinter the metal and lead-tellurium-based oxide. | 03-21-2013 |
20130068291 | DYE-SENSITIZED SOLAR CELLS - The present invention discloses an innovative way to improve the conversion efficiency of solar energy into electric energy of dye-sensitized solar cells. The solar cells of the present invention present a film obtained by painting a light reflective coating ( | 03-21-2013 |
20130068292 | ALUMINUM NANOSTRUCTURE ARRAY - Described herein is a method for obtaining a three-dimensional nanostructure array on an aluminum substrate. The method includes anodizing the aluminum substrate; forming an oxide layer on the aluminum substrate; texturizing the aluminum substrate; etching the oxide layer from the aluminum substrate to expose the texturized aluminum substrate; and forming a three-dimensional aluminum nanostructure array on the aluminum substrate. The three-dimensional nanostructure array, coated with a light absorber, is utilized in a thin film solar cell or photovoltaic cell. | 03-21-2013 |
20130068293 | SUBSTRATE GEOMETRY FOR THREE DIMENSIONAL PHOTOVOLTAICS FABRICATION - A thin film photovoltaic device with back contacts is disclosed. The thin film photovoltaic device may comprise 1) a first contact disposed in a first layer and having an upper surface and a lower surface; 2) a first semiconductor disposed in a second layer and having a lower surface disposed on the upper surface of the first contact; 3) an insulator or second semiconductor disposed in a third layer and on an upper surface of the first semiconductor; 4) a second contact disposed in a fourth layer and on the insulator or second semiconductor; and 5) an absorber disposed in a fifth layer and about the second contact. The absorber may comprise a p-type or a n-type semiconductor and the first semiconductor may comprise the other of the p-type and n-type semiconductor. The second contact may be patterned. | 03-21-2013 |
20130068294 | DYE-SENSITISED SOLAR CELL WITH NICKEL CATHODE - The present invention relates to a cathode for use in a dye-sensitised solar cell which comprises a redox couple, wherein the cathode comprises: (a) metallic nickel; and (b) intrinsically conducting polymer that, during operation of the cell, reduces an oxidised species of the redox couple. | 03-21-2013 |
20130068295 | PROCESS AND APPARATUS FOR PRODUCING A GLASS SHEET COATED WITH A SEMICONDUCTOR MATERIAL - The invention relates to a process for producing a glass sheet | 03-21-2013 |
20130068296 | SOLAR-CELL-INTEGRATED GAS PRODUCTION DEVICE - The present invention provides a solar-cell-integrated gas production device that can generate a first gas and a second gas by utilizing an electromotive force of a solar cell, and that can supply power to an external circuit by utilizing the same solar cell. | 03-21-2013 |
20130068297 | Black Silicon Solar Cell and Its Preparation Method - A black silicon solar cell includes a metal back electrode, the crystal silicon, a black silicon layer, a passivation layer and a metal gate; wherein, the metal back electrode is located on the back surface of the crystal silicon, the black silicon layer is located on the crystal silicon, the passivation layer is located on the black silicon layer, the metal gate is located on the passivation layer. The fabrication method includes: carrying out pretreatment of the silicon wafer; preparing the black silicon layer on the surface of the pretreated silicon wafer by using plasma immersion ion implantation technology; preparing an emitter on the black silicon layer, and carrying out passivation treatment on the emitter to form the passivation layer; respectively preparing the metal back electrode and the metal gate on the back surface of the single crystal silicon wafer and the passivation layer, respectively. | 03-21-2013 |
20130068298 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell and a method of manufacturing the same are provided. The solar cell includes: i) a first conductive layer; ii) a plurality of nano structures that are positioned on the first conductive layer and that are extended to cross a surface of the first conductive layer and that are separated from each other; iii) a resin layer that is positioned on the first conductive layer and that is filled at space between the plurality of nano structures; iv) at least one semiconductor layer that is positioned on the resin layer and that covers the plurality of nano structures; and v) a second conductive layer that covers the semiconductor layer and that has a light transmittance lower than that of the first conductive layer. | 03-21-2013 |
20130074913 | BILAYER DYE SENSITIZED SOLAR CELL AND FABRICATION METHOD THEREOF - A photovoltaic cell comprises a first electrode that includes a first transparent conductive substrate, a first layer having a plurality of first semiconductor nanofibers, and a second layer having a plurality of second semiconductor super-fine fibers, the first semiconductor nanofibers having an average diameter smaller than an average diameter of the second semiconductor super-fine fibers, a light absorbing material adsorbed to at least some of the first semiconductor nanofibers and second semiconductor super-fine fibers, a second electrode includes a second transparent conductive substrate, and electrolytes dispersed in the first and second layers. | 03-28-2013 |
20130074914 | PHOTOVOLTAIC DEVICES - One aspect of the present invention includes a photovoltaic device. The photovoltaic device includes a window layer disposed on a support and a doped absorber layer disposed on the window layer, wherein the doped absorber layer includes an absorber material and a first metal selected from the group consisting of manganese, cobalt, chromium, zinc, indium, tungsten, molybdenum, and combinations thereof. The photovoltaic devices further includes an interfacial layer disposed on the doped absorber layer, wherein the interfacial comprises a second metal selected from the group consisting of manganese, cobalt, nickel, zinc, and combinations thereof. | 03-28-2013 |
20130074915 | METHOD OF FABRICATING A FLEXIBLE PHOTOVOLTAIC FILM CELL WITH AN IRON DIFFUSION BARRIER LAYER - A method of fabricating a flexible photovoltaic film cell with an iron diffusion barrier layer. The method includes: providing a foil substrate including iron; forming an iron diffusion barrier layer on the foil substrate, where the iron diffusion barrier layer prevents the iron from diffusing; forming an electrode layer on the iron diffusion barrier layer; and forming at least one light absorber layer on the electrode layer. A flexible photovoltaic film cell is also provided, which cell includes: a foil substrate including iron; an iron diffusion barrier layer formed on the foil substrate to prevent the iron from diffusing; an electrode layer formed on the iron diffusion barrier layer; and at least one light absorber layer formed on the electrode layer. | 03-28-2013 |
20130074916 | PROCESS FOR THE PRODUCTION OF A MWT SILICON SOLAR CELL - A process for the production of a MWT silicon solar cell, wherein a conductive metal paste with no or only poor fire-through capability is applied, dried and fired to form a continuous metallization comprising a top set of conductive metal collector lines and a metallization of the inside of the holes of a p-type MWT silicon solar cell wafer, wherein the top set of conductive metal collector lines superimposes a bottom set of conductive metal collector lines on the front-side of the p-type MWT silicon solar cell wafer, said bottom set of conductive metal collector lines having no contact with the inside of the holes. | 03-28-2013 |
20130074917 | PROCESS FOR THE PRODUCTION OF A MWT SILICON SOLAR CELL - A process for the production of a MWT silicon solar cell, wherein a conductive metal paste with no or only poor fire-through capability is applied, dried and fired to form a continuous metallization comprising a top set of conductive metal collector lines and a metallization of the inside of the holes of an n-type MWT silicon solar cell wafer, wherein the top set of conductive metal collector lines superimposes a bottom set of conductive metal collector lines on the front-side of the n-type MWT silicon solar cell wafer, said bottom set of conductive metal collector lines having no contact with the inside of the holes. | 03-28-2013 |
20130074918 | VACUUM WINDOW GLAZING INCLUDING SOLAR CELL AND MANUFACTURING METHOD THEREOF - Disclosed are vacuum window glazing including a solar cell function and a manufacturing method thereof. The vacuum window glazing includes a first sheet glass, a second sheet glass that is vacuum-bonded to the first sheet glass; a vacuum layer that is formed between the first sheet glass and the second sheet glass; and a solar cell panel that is formed on a surface of the second sheet glass in a direction of the vacuum layer. By this configuration, power can be produced through the solar cell formed within the vacuum window glazing while more increasing the heat insulation effect of the vacuum window glazing, and the cooling and heating efficiency of the building can be greatly improved using the outer wall covered with glass. | 03-28-2013 |
20130074919 | ACTUATABLE PUNCH ASSEMBLY, METHOD OF USING SAME, AND A LAMINATE PREPARED THEREBY - An actuatable punch assembly forms a hole within an article. The actuatable punch assembly comprises a base plate coupled to the actuator. At least one segment block is adjustably coupled to the base plate. At least one cutting device for forming the hole within the article is coupled to the segment block. The segment block is adjustable relative to the base plate. The cutting device is adjustable relative to the segment block. As such, the cutting device is adjustable relative to the base plate for varying a position of the cutting device relative to the article to vary a size of the hole formed in the article. | 03-28-2013 |
20130074920 | Photo-Switchable Fullerene-Based Materials as Interfacial Layers in Organic Photovoltaics - Design and use of photo-switching, fullerene-based dyads of the design x-D-y-A or D-y-A-x as interfacial layers (IFL) for organic photovoltaic (OPV) devices are described herein. The fullerene-based dyads and triads of the present invention contain electron-donating substituents such as porphyrins or phthalocyanines that exhibit charge separation states with long lifetimes upon irradiation, resulting in rejection of electrons reaching the electrode and concurrently promoting the conduction of holes. This phenomenon has a strong rectifying effect on the whole device, not just the interfaces, resulting in improved charge extraction from the interior of the photo-active layer. The invention further describes anchoring an IFL to the ITO surface as a monolayer, bilayer, or greater multilayers. One OPV design embodiment of the present invention embodiment involves the formation of covalent bonds via silane groups (—SiR | 03-28-2013 |
20130074921 | Low-Resistance Back Contact For Photovoltaic Cells - Photovoltaic cells (e.g., p-CdTe thin film photovoltaic cells) comprising a back contact buffer layer that makes low-resistance electrical contact to the p-type semiconductor material of the cell (e.g., CdTe). The back contact buffer material comprises Cu and Te. | 03-28-2013 |
20130074922 | ZINC OXIDE BASED FRONT ELECTRODE DOPED WITH YTTRIUM FOR USE IN PHOTOVOLTAIC DEVICE OR THE LIKE - Certain example embodiments of this invention relate to an electrode (e.g., front electrode) for use in a photovoltaic device or the like. In certain example embodiments, a transparent conductive oxide (TCO) based front electrode for use in a photovoltaic device is of or includes zinc oxide, or zinc aluminum oxide, doped with yttrium (Y). In certain example embodiments, the addition of the yttrium (Y) to the conductive zinc oxide or zinc aluminum oxide is advantageous in that potential conductivity loss of the electrode can be reduced or prevented. In other example embodiments, a low-E coating may include a layer of or including zinc oxide, or zinc aluminum oxide, doped with yttrium (Y). | 03-28-2013 |
20130074923 | METHOD FOR PRODUCING ZINC-OXIDE NANOSTRUCTURE ELECTRODES, AND METHOD FOR PRODUCING DYE-SENSITIZED SOLAR CELLS USING SAME - Provided are a method of preparing a zinc oxide nanostructure electrode and a method of preparing a dye-sensitized solar cell using the same. According to the present invention, the method of preparing a zinc oxide nanostructure electrode may include sequentially forming a superhydrophobic self-assembled layer and a zinc layer on a carrier substrate having a stamp pattern included therein, disposing the zinc layer on the carrier to face a first substrate and performing a stamp method to form at least one zinc pattern on the first substrate, oxidizing the zinc pattern to form zinc oxide seeds, and growing at least one zinc oxide nanostructure from the zinc oxide seeds by using a hydrothermal synthesis method to form a zinc oxide nanostructure electrode composed of the at least one zinc oxide nanostructure. | 03-28-2013 |
20130074924 | SOLAR CELL ELEMENT, PROCESS FOR MANUFACTURING SOLAR CELL ELEMENT, AND SOLAR CELL MODULE - The present invention relates to a solar cell element that comprises: a semiconductor substrate; and a collector electrode including a plurality of conductor portions elongated in a first direction on one main surface of the semiconductor substrate, and the plurality of the conductor portions comprise: a linear first conductor region; and a linear second conductor region including a plated surface, the linear second conductor region being electrically connected to the first conductor region. | 03-28-2013 |
20130074925 | THIN FILM SOLAR CELL - Disclosed is a thin-film solar cell which has a high photoelectric conversion efficiency and is provided with a substrate ( | 03-28-2013 |
20130081686 | CAVITY MODE ENHANCEMENT IN DYE-SENSITIZED SOLAR CELLS - Systems and methods for cavity mode enhancement in dye-sensitized solar cells are provided. A dye-sensitized solar cell generally comprises a transparent substrate, an anode layer, an oxide layer, a dye layer, a cathode, and an electrolyte. The anode layer is deposited on a surface of the transparent substrate. The oxide layer is deposited on the anode layer and the dye is deposited on the oxide layer. A cathode is disposed adjacent to the dye layer and an electrolyte is disposed between the anode layer and the cathode. | 04-04-2013 |
20130081687 | METHOD FOR FORMING DIFFUSION REGIONS IN A SILICON SUBSTRATE - A method of manufacturing solar cells is disclosed. The method comprises depositing an etch-resistant dopant material on a silicon substrate, the etch-resistant dopant material comprising a dopant source, forming a cross-linked matrix in the etch-resistant dopant material using a non-thermal cure of the etch-resistant dopant material, and heating the silicon substrate and the etch-resistant dopant material to a temperature sufficient to cause the dopant source to diffuse into the silicon substrate. | 04-04-2013 |
20130081688 | BACK CONTACTS FOR THIN FILM SOLAR CELLS - Method for forming back contact stacks for CIGS and CZTS TFPV solar cells are described wherein some embodiments include adhesion promoter layers, bulk current transport layers, stress management/diffusion barrier layers, optical reflector layers, and ohmic contact layers. Other back contact stacks include adhesion promoter layers, bulk current transport layers, diffusion barrier layers, and ohmic contact layers. | 04-04-2013 |
20130081689 | SOLAR CELL PACKAGE STRUCTURE WITH CIRCUIT DESIGN - A solar cell package structure with a circuit design includes a first conductive substrate, a second conductive substrate, a first conductive wire and a second conductive wire. The second conductive substrate is disposed opposite to the first conductive substrate. The first conductive wire is electrically connected to the first conductive substrate through a first conductive via. The second conductive wire is electrically connected to the second conductive substrate through a second conductive via. | 04-04-2013 |
20130081690 | Spectral Modification - Spectral modification devices and methods are described. For example, an apparatus for spectral modification of incident radiation includes a substrate and Raman shifting material embedded in or on the substrate, the Raman shifting material selected based on a desired optical or electrical performance of a light absorbing structure. | 04-04-2013 |
20130081691 | COATING FLUID FOR BORON DIFFUSION - A coating fluid comprising a boron compound, an organic binder, a silicon compound, an alumina precursor, and water and/or an organic solvent is used to diffuse boron into a silicon substrate to form a p-type diffusion layer. The coating fluid is spin coated onto the substrate to form a uniform coating having a sufficient amount of impurity whereupon a p-type diffusion layer having in-plane uniformity is formed. | 04-04-2013 |
20130081692 | SOLAR CELL HAVING POROUS STRUCTURE IN WHICH METAL NANOPARTICLES ARE CARRIED IN PORES - The present invention intends to provide a solar cell that has, by an increase in the absorbance of light of a surface of a solar cell, a reduction in the reflectance, and so on, a high energy conversion efficiency. The problem was solved by a pn junction type semiconductor solar cell that has a porous structure on a surface thereof, characterized in that metal nanoparticles having a surface plasmon absorption are supported in pores present in the porous structure, further, by a pn junction type semiconductor solar cell in which the porous structure is formed in a photoelectric material itself in a pn junction type semiconductor solar cell, or, the porous structure is formed in a light transmission layer disposed on a surface of a pn junction type semiconductor solar cell. | 04-04-2013 |
20130087189 | METHOD OF CREATING TWO DIMENSIONAL DOPING PATTERNS IN SOLAR CELLS - An improved method of fabricating an interdigitated back contact (IBC) solar cell is disclosed. A first mask is used to perform a patterned ion implantation of n-type dopant to create the back surface field. A second mask is then used to create the p-type emitter on the same surface. The second mask may be aligned to the n-type implant, and may be used in a plurality of orientations to create the desired p-type emitter. In some embodiments, a p-type blanket implant is performed as well. In some embodiments, a doping gradient is created. | 04-11-2013 |
20130087190 | PHOTOVOLTAIC DEVICES AND METHODS OF FORMING THE SAME - This disclosure provides photovoltaic apparatus and methods of forming the same. In one implementation, a photovoltaic device includes an anode contact structure, a cathode contact structure, and an inorganic solar cell disposed between the anode and cathode contact structures. The inorganic solar cell includes a p-type photovoltaic layer, an n-type photovoltaic layer, and one or more minority carrier blocking layers for improving the efficiency of the solar cell by preventing minority carriers within the solar cell from reaching interface recombination surfaces associated with the anode and cathode contact structures. | 04-11-2013 |
20130087191 | POINT-CONTACT SOLAR CELL STRUCTURE - A point-contact solar cell structure includes a semiconductor substrate, a front electrode, a first passivation layer, a second passivation layer, and a rear electrode. The semiconductor substrate includes an upper surface, a lower surface, and an emitter layer, a base layer, and a plurality of locally doped regions located between the upper surface and the lower surface. The plurality of locally doped regions is located on the lower surface at intervals. The second passivation layer is located on the lower surface, and has a plurality of openings disposed respectively corresponding to the locally doped regions. The rear electrode is located on one side of the second passivation layer opposite to the semiconductor substrate, and passes through the second passivation layer via the openings to contact the locally doped regions. The width of at least one opening corresponding to the front electrode is greater than that of the remaining openings. | 04-11-2013 |
20130087192 | PHOTOVOLTAIC DEVICE - A photovoltaic device, and a method of fabricating the same are provided. Here, a base portion and an emitter portion are formed on a surface of a semiconductor substrate. An insulation layer is formed on the base portion and the emitter portion. The insulation layer has a plurality of vias to partially expose the base portion and the emitter portion. A first electrode is formed to contact a region of the emitter portion through at least one of the vias, and a second electrode is formed to contact a region of the base portion through at least another one of the vias. Then, a dicing line is set at a bus electrode portion of the second electrode, and the semiconductor substrate is split into at least two photovoltaic devices at the base portion along the dicing line. | 04-11-2013 |
20130092223 | THREE-DIMENSIONAL SOLAR CELL HAVING INCREASED EFFICIENCY - A nano-scale tower structure array having increased surface area on each tower for gathering incident light is provided for use in three-dimensional solar cells. Embodiments enhance surface roughness of each tower structure to increase the surface area available for light gathering. Enhanced roughness can be provided by manipulating passivation layer etching parameters used during a formation process of the nano-scale tower structures, in order to affect surface roughness of a photoresist layer used for the etch. Manipulable etching parameters can include power, gas pressure, and etching compound chemistry. | 04-18-2013 |
20130092224 | PHOTOELECTRIC DEVICE - A photoelectric device includes a first semiconductor structure and a second semiconductor structure on a substrate, and the first semiconductor structure includes a different conductivity type from the second semiconductor structure. The photoelectric device also includes a first electrode on the first semiconductor structure and a second electrode on the second semiconductor structure, and an interlayer insulating structure adjacent to the second semiconductor structure. The interlayer insulating structure separates the first semiconductor structure from the second semiconductor structure and separates the first semiconductor structure from the second electrode. | 04-18-2013 |
20130092225 | ELECTROCONDUCTIVE PASTE COMPOSITION - An electroconductive paste composition, particularly for solar cells, contains silver particles, glass particles, an organic vehicle, and at least one additive. The additive may be tantalum pentoxide or fine, electrically conductive, metal particles, such as gold and/or platinum group metals. When used to form an electrical contact on a solar cell, such a paste provides for enhanced adhesion of the contact to the cell and improved electron transmission. | 04-18-2013 |
20130092226 | MULTILAYER FILM BACKING FOR PHOTOVOLTAIC MODULES - A multilayer film suitable as a backing for a photovoltaic module is provided. The film comprises, in the order listed: a) a layer of a moulding composition which comprises at least 35% by weight, based on the overall layer moulding composition, of polyamide; b) a layer of a moulding composition which comprises at least 50% by weight, based on the overall layer moulding composition, of a polymer fraction consisting of: I) 30 to 95 parts by weight of polyamide and II) 5 to 70 parts by weight of polyolefin, where a sum of I) and II) in parts by weight is 100; and c) a layer of a moulding composition which comprises at least 35% by weight, based on the overall moulding composition, of polyamide; wherein at least one of layers a), b) and c) further comprises a polyamide elastomer which is a polyetheresteramide, a polyetheramide or a combination thereof. | 04-18-2013 |
20130092227 | BACKING FILM FOR PHOTOVOLTAIC MODULE WITH IMPROVED PIGMENT DISPERSION - A multilayered laminate film containing dispersed pigment which is suitable as a back cover for a solar module is provided. The film comprises, in the order listed: a) a layer of a moulding composition which comprises: at least 35% by weight, of polyamide; and from 1 to 65% by weight of a light-reflecting filler; b) optionally, a layer of a thermoplastic moulding composition; and c) a layer of a moulding composition which comprises at least 35% by weight, of polyamide; and from 1 to 65% by weight of a light-reflecting filler; wherein at least one of layers a) and c) further comprises from 1 to 25% by weight of the layer composition of a polyamide elastomer which is a polyetheresteramide, a polyetheramide or a combination thereof. A solar module containing the multilayered laminate film is also provided. | 04-18-2013 |
20130092228 | MULTILAYER FILM WITH OXYGEN PERMEATION BARRIER FOR THE PRODUCTION OF PHOTOVOLTAIC MODULES - A multilayered film and a photovoltaic module where the oxidation-sensitive solar cell is protected against ingress of oxygen provided. The solar cell is protected by the multilayer film as a back cover. The multilayer film comprises the following layers in direct succession: a solar cell-facing layer of a moulding composition comprising at least 70% by weight of EVOH, an adhesion promoter layer, a middle layer of a thermoplastic moulding composition, an optional adhesion promoter layer, and an outer layer comprising at least 35% by weight of polyamide. | 04-18-2013 |
20130092229 | THIN FILM PHOTOVOLTAIC DEVICES WITH MICROLENS ARRAYS - Textured transparent layers are formed on the incident light receiving surface of thin film solar cells to increase their efficiency by altering the incident light path and capturing a portion of the light reflected at the MLA. The textured transparent layer is an array of lenses of micrometer proportions such as hemispheres, hemi-ellipsoids, partial-spheres, partial-ellipsoids, cones, pyramids, prisms, half cylinders, or combinations thereof. A method of forming the textured transparent layer to the light incident surface of the solar cell is by forming an array of lenses from a photocurable resin and its subsequent curing. The photocurable resin can be applied by inkjet printing or can be applied by roll to roll imprinting or stamping with a mold. | 04-18-2013 |
20130092230 | SUBSTRATE COMPRISING A TRANSPARENT CONDUCTIVE OXIDE FILM AND ITS MANUFACTURING PROCESS - The invention relates to a substrate comprising at least one scattering film made of a transparent conductive oxide (TCO) and to a process for manufacturing such a substrate. It also relates to a solar cell comprising such a substrate. The substrate according to the invention comprises a layer of spherical particles made of a material chosen from dielectric and transparent conductive oxides, the layer being coated with a TCO film and the diameters of said spherical particles belonging to at least two populations of different diameters. The invention is applicable in particular to solar cells. | 04-18-2013 |
20130098435 | HYBRID CONTACT FOR AND METHODS OF FORMATION OF PHOTOVOLTAIC DEVICES - Described herein is a contact for a photovoltaic device and method of making the same. The contact has a transparent conductive oxide stack, where a first portion of the transparent conductive oxide stack is formed by atmospheric pressure vapor deposition and a second portion of the transparent conductive oxide stack is formed by physical vapor deposition. | 04-25-2013 |
20130098436 | Systems, Methods, Devices and Arrangements For Nanowire Meshes - A variety of methods, devices, systems and arrangements are implemented involving nanowire meshes. One such method is implemented to include synthesizing metal nanowires in a solution containing a structure-directing agent. The metal nanowires are deposited on a substrate to form a sheet of nanowires. The deposited metal nanowires are heated to a temperature less than about 200 degrees Celsius and for a period of time of about 10 minutes to 60 minutes, thereby removing the structure-directing agent and modifying the electrical conductivity and optical transmittance of the sheet of nanowires. | 04-25-2013 |
20130098437 | Photovoltaic Cell Having a Structured Back Surface and Associated Manufacturing Method - The invention relates to a photovoltaic cell ( | 04-25-2013 |
20130098438 | TRANSLUCENT LAMINATED FILM AND SOLAR CELL MODULE USING IT - To provide a translucent substrate which sufficiently improves the power generation efficiency of a solar cell, and a solar cell module. | 04-25-2013 |
20130098439 | SILICON WAFER, SEMICONDUCTOR DEVICE, METHOD FOR PRODUCING SILICON WAFER, AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICE - A silicon wafer obtained by etching by not less than 5 μm and not more than 25 μm per surface on either side a surface of crystalline silicon obtained by cutting a silicon crystal ingot, the silicon wafer having a surface with a facet having a width of not less than 10 μm and not more than 150 μm, and a semiconductor device having an electrode at that surface, are provided. Furthermore, a method for producing the silicon wafer and a method for producing the semiconductor device that include the step of etching a surface of the crystalline silicon with an aqueous solution of sodium hydroxide having a sodium hydroxide concentration of not less than 20% by mass and not more than 35% by mass by not less than 5 μm and not more than 25 μm per surface on either side, are also provided. | 04-25-2013 |
20130098440 | PHOTOVOLTAIC CELL AND METHOD OF ITS MANUFACTURE - A method is presented for use in manufacture of a semiconductor device, such as a photovoltaic cell. The method comprises: providing a structure comprising a ZnO layer; applying a surface treatment to said structure for a certain time period to form a layer of ZnS on said ZnO layer; and depositing an active structure on said ZnS layer. The active structure may be a light absorbing structure, including a light absorbing semiconductor or a molecular light absorbing dye. The provision of the ZnS buffer layer between the ZnO layer and the active structure improves the device performance. | 04-25-2013 |
20130098441 | EASY-ADHESIVE FOR SOLAR CELL REAR SURFACE PROTECTION SHEET, SOLAR CELL REAR SURFACE PROTECTION SHEET, AND SOLAR CELL MODULE - To overcome a problem in related art and to provide an easy-adhesive having an excellent adhesive property and an excellent adhesive durability for a solar cell rear surface protection sheet, a solar cell rear surface protection sheet, and a solar cell module formed by using the solar cell rear surface protection sheet. An easy-adhesive for a solar cell rear surface protection sheet according to the present invention exhibits a specific glass transition temperature, a specific number average molecular weight, and a specific hydroxyl value Further, the easy-adhesive for a solar cell rear surface protection sheet contains a (meth)acrylic-based copolymer (A) having no (meth)acryloyl group (A), a compound having a (meth)acryloyl group, and a polyisocyanate compound (C) at a specific rate. | 04-25-2013 |
20130104971 | TRANSPARENT CONDUCTIVE STRUCTURE | 05-02-2013 |
20130104972 | Se OR S BASED THIN FILM SOLAR CELL AND METHOD OF MANUFACTURING THE SAME | 05-02-2013 |
20130104973 | CONDUCTIVE PASTE, AND ELECTRONIC DEVICE AND SOLAR CELL INCLUDING ELECTRODE FORMED USING THE CONDUCTIVE PASTE | 05-02-2013 |
20130104974 | SOLAR CELL AND MANUFACTURING METHOD THEREOF | 05-02-2013 |
20130104975 | SOLAR CELL | 05-02-2013 |
20130104976 | SOLAR CELL WITH INTERCONNECTION SHEET, SOLAR CELL MODULE, AND METHOD FOR MANUFACTURING SOLAR CELL WITH INTERCONNECTION SHEET | 05-02-2013 |
20130104977 | SOLAR CELL, SOLAR CELL WITH INTERCONNECTION, SOLAR CELL MODULE, AND METHOD OF MANUFACTURING SOLAR CELL WITH INTERCONNECTION | 05-02-2013 |
20130104978 | EASY-ADHESIVE FOR SOLAR CELL REAR SURFACE PROTECTION SHEET, SOLAR CELL REAR SURFACE PROTECTION SHEET, AND SOLAR CELL MODULE | 05-02-2013 |
20130112255 | TRANSPARENT FRONT ELECTRODE FOR A PHOTOVOLTAIC DEVICE - A transparent front electrode for a photovoltaic device comprising at least the following layers in sequence: —a glass substrate; —a lower anti-reflection layer, comprising in sequence from the glass substrate *a base layer of an (oxi)nitride of silicon and/or an (oxi)nitride of aluminium, *a middle layer of an oxide of Zn and Sn, *a top layer of an oxide of Zn; —a silver-based functional layer; and —an upper anti-reflection layer comprising in sequence from the silver-based functional layer *a first barrier layer of an oxide of Ni and Cr, *a second barrier layer of an Al-doped oxide of Zn, and *a buffer layer; wherein the first barrier layer of an oxide of Ni and Cr is located directly in contact with the silver-based functional layer or the first barrier layer of an oxide of Ni and Cr is separated from the silver-based functional layer by one or more additional barrier layers. | 05-09-2013 |
20130112256 | VERTICAL PILLAR STRUCTURED PHOTOVOLTAIC DEVICES WITH WAVELENGTH-SELECTIVE MIRRORS - A photovoltaic device operable to convert light to electricity, comprising a substrate, one or more structures essentially perpendicular to the substrate, and a wavelength-selective layer disposed on the substrate, wherein the structures comprise a crystalline semiconductor material. | 05-09-2013 |
20130112257 | COMPOSITE ENCAPSULATION MATERIAL FOR PHOTOVOLTAIC DEVICES AND METHODS OF THEIR MANUFACTURE - Thin film photovoltaic devices are generally provided. The thin film photovoltaic devices can include a transparent substrate that has a first volumetric thermal expansion coefficient; a thin film stack comprising a transparent conductive oxide layer, a photovoltaic heterojunction, and back contact layer; and, a composite encapsulation material on the back contact layer. The thin film stack is generally positioned between the transparent substrate and the composite encapsulation material. The composite encapsulation material can have a second volumetric thermal expansion coefficient that is within about +/−40% of the first volumetric thermal expansion coefficient of the transparent substrate. | 05-09-2013 |
20130112258 | SOLAR CELL - A solar cell with improved photoelectric conversion efficiency is disclosed. The cell solar cell includes a substrate, along with a reflection electrode layer, a light absorption layer, and a transparent layer sequentially laminated on the substrate. The reflection electrode layer includes a first electrode layer contacting the substrate, nanoparticles on the first electrode layer, and a second electrode layer on the first electrode layer and covering the nanoparticles. The second electrode layer has a first surface-roughness of nanometer (nm) scale. | 05-09-2013 |
20130112259 | METHOD FOR MANUFACTURING A SOLAR CELL AND A SOLAR CELL MANUFACTURED ACCORDING TO THIS METHOD - A method for manufacturing a solar cell from a p-doped or n-doped silicon substrate having a first main surface used as an incident-light side and a second main surface used as a back side includes: depositing a thin layer onto the second main surface; depositing a dielectric, glass-forming paste onto the second main surface and drying it, in order to cover the thin layer; heating and/or sintering the paste on the second main surface at temperatures greater than app. 577° C., to produce an aluminum dopant layer in the second main surface; and removing the glass layer formed during the heating and/or sintering, as well as an aluminum-silicon eutectic layer formed during the heating and/or sintering, from the second main surface. | 05-09-2013 |
20130112260 | METHOD FOR PREPARING AN N+PP+ OR P+NN+ STRUCTURE ON SILICON WAFERS - The present invention relates to a method for preparing, on a silicon wafer, an n+pp+ or p+nn+ structure which includes the following consecutive steps: a) on a p or n silicon wafer ( | 05-09-2013 |
20130112261 | Organic Vehicle For Electroconductive Paste - An organic vehicle used in the manufacture of electroconductive silver paste. The organic vehicle comprises an organic binder, a surfactant, and an organic solvent. The preferred embodiment of the invention utilizes at least one of PVP, PMMA, or PVB as the organic binder, allowing for high compatibility with the metallic particles and resulting in an electroconductive paste with low viscosity for fine line printability. Another aspect of the invention relates to an electroconductive paste composition. The preferred embodiment utilizes metallic particles, glass frit, and an organic vehicle comprising a binder, namely at least one of PVP, PMMA, or PVB, a surfactant, and an organic solvent. | 05-09-2013 |
20130112262 | PHOTOVOLTAIC SOLAR CELL AND METHOD FOR PRODUCING A PHOTOVOLTAIC SOLAR CELL - The invention relates to a method for producing a photovoltaic solar cell having a front side designed for coupling in light, comprising the following method steps: A Producing a plurality of cutouts in a semiconductor substrate of a base doping type, B Producing one or more emitter regions of an emitter doping type at least at the front side of the semiconductor substrate, wherein the emitter doping type is opposite to the base doping type, C Applying an electrically insulating insulation layer and D Producing metallic feed through structures in the cutouts, at least one metallic base contact structure at the rear side of the solar cell, which is formed in an electrically conductive manner with the semiconductor substrate in a base doping region, at least one metallic front-side contact structure at the front side of the solar cell, which is formed in an electrically conductive manner with the emitter region at the front side of the semiconductor substrate, and at least one rear-side contact structure at the rear side of the solar cell, which is formed in a manner electrically conductively connected to the feed through contact structure. The invention is characterized in that in method step B and/or a further method step in addition a feed through emitter region of the emitter doping type extending from the front side to the rear side is formed in each case in the semiconductor substrate on the walls of the cutouts, in that in method step C the insulation layer is applied in a manner covering the rear side of the semiconductor substrate, if appropriate further intervening intermediate layers, in that in method step D the rear-side contact structure is applied to the insulation layer, if appropriate to further intermediate layers, in such a way that the rear-side contact structure extends to regions of the semiconductor substrate having base doping and, in these regions, on account of the intervening insulation layer, an electrical insulation is formed between rear-side contact structure and semiconductor substrate, and the base contact structure is applied to the insulation layer, if appropriate to further intermediate layers, in such a way that the base contact structure penetrates through the insulation layer at least in regions, such that an electrically conductive connection is produced between base contact structure and semiconductor substrate. The invention furthermore relates to a photovoltaic solar cell. | 05-09-2013 |
20130112263 | LAYER-BY-LAYER NANOASSEMBLED NANOPARTICLES BASED THIN FILMS FOR SOLAR CELL AND OTHER APPLICATIONS - A solar cell. The solar cell includes a substrate, a first layer comprising a first copper-based material deposited upon the substrate, the first copper-based material electrically attracted to the substrate or to a first optional deposit layer deposited between the substrate and the first layer, and a second layer comprising a second copper-based material deposited upon the first layer or an second optional deposit layer deposited between the first layer and the second layer, the second copper-based material electrically attracted to the first layer or to the second optional deposit layer, wherein the first copper-based material and the second copper-based material are selected from the group consisting of copper indium gallium (di)selenide (CIGS), copper indium selenium (CIS), and cadmium sulfate (CdS). | 05-09-2013 |
20130118569 | METHOD FOR FORMING THIN FILM SOLAR CELL WITH BUFFER-FREE FABRICATION PROCESS - A thin film solar cell and process for forming the same. The solar cell includes a bottom electrode layer, a light absorbing semiconductor layer, and top electrode layer. The absorber layer includes a p-type interior region and an n-type exterior region formed around the perimeter of the layer from a modified native portion of the p-type interior region, thereby forming an active n-p junction that is an intrinsic part of the absorber layer. The top electrode layer is electrically connected to the bottom electrode layer via a scribe line formed in the absorber layer that defines sidewalls. The n-type exterior region of the absorber layer extends along both the horizontal top of the absorber layer, and onto the vertical sidewalls of the scribe line to increase the area of available n-p junction in the solar cell thereby improving solar conversion efficiency. | 05-16-2013 |
20130118570 | DYE FOR PHOTOELECTRIC CONVERSION, SEMICONDUCTOR ELECTRODE, PHOTOELECTRIC CONVERSION ELEMENT, SOLAR CELL, AND NOVEL PYRROLINE-BASED COMPOUND - Provided is a dye for photoelectric conversion containing at least one or more kind of a compound represented by the following General Formula (1) (in Formula (1), R | 05-16-2013 |
20130118571 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a substrate formed of n-type single crystal silicon, an emitter region of a p-type which is positioned at a first surface of the substrate and includes a first emitter region having a first sheet resistance and a second emitter region having a second sheet resistance less than the first sheet resistance, a plurality of surface field regions of the n-type locally positioned at a second surface opposite the first surface of the substrate, a plurality of first electrodes which are positioned only on the second emitter region to be separated from one another and are connected to the second emitter region, and a plurality of second electrodes which are positioned on the plurality of surface field regions to be separated from one another and are connected to the plurality of surface field regions. | 05-16-2013 |
20130118572 | CONDUCTIVE PASTE AND ELECTRONIC DEVICE AND SOLAR CELL INCLUDING AN ELECTRODE FORMED USING THE CONDUCTIVE PASTE - A conductive paste includes a conductive powder, a metallic glass having a glass transition temperature of less than or equal to about 600° C. and a supercooled liquid region of greater than or equal to 0 K, and an organic vehicle, and an electronic device and a solar cell include an electrode formed using the conductive paste. | 05-16-2013 |
20130118573 | PASTE COMPOSITION FOR ELECTRODE, PHOTOVOLTAIC CELL ELEMENT, AND PHOTOVOLTAIC CELL - The present invention provides a paste composition for an electrode comprising a phosphorus-containing copper alloy particle, a tin-containing particle, a nickel-containing particle, a glass particle, a solvent, and a resin. | 05-16-2013 |
20130118574 | ELECTRODE FOR DYE-SENSITIZED SOLAR CELL, PRODUCTION METHOD OF SAME AND DYE-SENSITIZED SOLAR CELL - The present invention is a production method of an electrode for a dye-sensitized solar cell, comprising: a first step of providing current collector wiring on an electrically conductive substrate; and a second step of producing an electrode for a dye-sensitized solar cell by sequentially forming a plurality of thermoplastic wiring protective layers on the current collector wiring so that softening points of the thermoplastic wiring protective layers become lower as the thermoplastic wiring protective layers move away from the current collector wiring, and by heat-treating the second and subsequent thermoplastic wiring protective layers from the current collector wiring at a heat treatment temperature lower than a softening point of the thermoplastic wiring protective layer formed immediately prior thereto. | 05-16-2013 |
20130118575 | CIGS TYPE SOLAR CELL AND ELECTRODE-ATTACHED GLASS SUBSTRATE THEREFOR - To provide a CIGS type solar cell capable of diffusing an alkali metal in a CIGS layer without increasing steps of its manufacturing process or complicating its layer structure. A CIGS type solar cell comprising a glass substrate, a rear surface electrode layer provided on the glass substrate, a CIGS layer provided on the rear surface electrode layer, a buffer layer provided on the CIGS layer and a transparent front surface electrode layer provided on the buffer layer, wherein the rear surface electrode layer contains Mo (molybdenum) and W (tungsten), and the total W content in the rear surface electrode layer is at most 50 mol %. | 05-16-2013 |
20130118576 | COVERING LAYER FOR SOLAR CELL - A module is disclosed, which includes a carrier, at least one solar cell disposed on the carrier, and a covering layer that is applied to a side of the at least one solar cell facing away from the carrier. The covering layer includes side lugs, corner lugs, and notches, and wherein one notch each forms a side edge of a side lug or of a corner lug. The side lugs and corner lugs are divided by a corresponding fold line and are disposed on the side of the carrier facing away from the at least one solar cell. The side edges of the corresponding side lugs and corner lugs formed by a notch are in contact with one another. | 05-16-2013 |
20130118577 | Thin Film Type Solar Cell and Method for Manufacturing the Same - A thin film type solar cell and a method for manufacturing the same is disclosed, wherein the thin film type solar cell includes a first anti-oxidation layer formed on a front electrode, and a semiconductor layer formed on the first anti-oxidation layer, so that it is possible to prevent an oxide from being formed in the interface between the front electrode and the semiconductor layer by preventing a reaction between an oxidant contained in the front electrode and silicon of the semiconductor layer, to thereby realize improved cell efficiency, wherein the method for manufacturing the thin film type solar cell comprises forming the front electrode on a substrate; forming the first anti-oxidation layer on the front electrode; forming the semiconductor layer on the first anti-oxidation layer; and forming a rear electrode on the semiconductor layer. | 05-16-2013 |
20130118578 | SUBSTRATE FOR ELECTRONIC DEVICE, AND PHOTOELECTRIC CONVERSION DEVICE INCLUDING THE SAME - A substrate for an electronic device is formed by an insulating layer-provided metal substrate, which includes an anodized alumina film on the surface of a metal substrate and has a cut end face at at least one side thereof, and an electrode layer, which is provided only at an inner area that is away from the cut end face by a distance of 200 μm or more. | 05-16-2013 |
20130118579 | PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device includes a front electrode, a photoelectric conversion layer formed of a semiconductor material, a transparent conductive layer formed of a transparent conductive oxide, a back electrode formed of a metal material, and a conductive layer formed of a semiconductor material primarily of silicon and having a refractive index higher than the transparent conductive layer contactually sandwiched between the transparent conductive layer and the back electrode. The photoelectric conversion device exhibits a high photoelectric conversion efficiency by keeping low the electrical resistance between the semiconductor layer and the back electrode and by increasing reflectance for light having passed though the semiconductor layer. | 05-16-2013 |
20130118580 | TRANSPARENT ELECTRODE FOR THIN FILM SOLAR CELL, SUBSTRATE HAVING TRANSPARENT ELECTRODE FOR THIN FILM SOLAR CELL AND THIN FILM SOLAR CELL USING SAME, AND PRODUCTION METHOD FOR TRANSPARENT ELECTRODE FOR THIN FILM SOLAR CELL - Disclosed are: a transparent electrode having a zinc oxide film wherein initial characteristics and humidity resistance during long-time use coexist; and a thin film solar cell provided with said electrode. The transparent electrode contains a transparent conductive layer mainly made of zinc oxide. The transparent conductive film preferably has the following characteristics: having surface irregularities; a carrier concentration of 9×10 | 05-16-2013 |
20130125968 | LOW-COST SOLAR CELL METALLIZATION OVER TCO AND METHODS OF THEIR FABRICATION - Methods for fabricating busbar and finger metallization over TCO are disclosed. Rather than using expensive and relatively resistive silver paste, a high conductivity and relatively low cost copper is used. Methods for enabling the use of copper as busbar and fingers over a TCO are disclosed, providing good adhesion while preventing migration of the copper into the TCO. Also, provisions are made for easy soldering contacts to the copper busbars. | 05-23-2013 |
20130125969 | PHOTOVOLTAIC DEVICES AND METHODS OF FORMING THE SAME - This disclosure provides photovoltaic apparatus and methods of forming the same. In one implementation, a method of forming a photovoltaic device includes forming a plurality of substrate features on a surface of a glass substrate, the substrate features having a depth dimension in the range of about 10 μm to about 1000 μm and a width dimension in the range of about 10 μm to about 1000 μm. The method further includes forming a thin film solar cell over the surface of the glass substrate including over the plurality of substrate features. | 05-23-2013 |
20130125970 | COUNTER ELECTRODE FOR DYE-SENSITIZED SOLAR CELL AND PREPARATION METHOD THEREOF - The present invention relates to a counter electrode for DSSC which includes a porous membrane include a carbon-based material calcinated at high temperature and a platinum nano-particles and maintains higher conductivity than a thin membrane and in which the electrolyte moves smoothly, a method of preparing the same, and a DSSC using the same which is improved in photoelectric efficiency. | 05-23-2013 |
20130125971 | PHOTOVOLTAIC DEVICE AND METHOD OF MANUFACTURING THE SAME - Method of manufacturing a photovoltaic device and a photovoltaic device manufactured by using the method. The method includes forming a first conductive-type semiconductor layer using a first impurity on a semiconductor substrate, performing doping on a region of the first conductive-type semiconductor layer using a laser such that the region of the first conductive-type semiconductor layer has a higher concentration of the first impurity than a remaining portion of the first conductive-type semiconductor layer, performing edge isolation to form a groove portion at an edge portion of a rear surface of the semiconductor substrate, forming an antireflection layer on a front surface of the semiconductor substrate, forming a first metal electrode on the front surface of the semiconductor substrate, and forming a second metal electrode and a second conductive-type semiconductor layer including a second impurity that is different from the first impurity, on the rear surface of the semiconductor substrate. | 05-23-2013 |
20130125972 | METHOD FOR FABRICATING P-TYPE SEMICONDUCTOR SUBSTRATE, SOLAR CELL AND METHOD FOR FABRICATING THE SAME - A method for fabricating a p-type semiconductor substrate including the following steps is provided. A carrier is provided, and the carrier includes a III-V compounds semiconductor layer and a III element layer disposed on the III-V compounds semiconductor layer. Si powders are disposed onto the III element layer of the carrier. The carrier is heated to enable the Si powders and the III element layer of the carrier to form a p-type poly-Si layer. In addition, a solar cell and a method of fabricating thereof are also provided. | 05-23-2013 |
20130125973 | SOLAR CELL MODULE AND LIGHT CONTROL SHEET FOR SOLAR CELL MODULE - A solar cell module includes a panel of transparent material that transmits sunlight, a panel of heat-conducting material arranged opposite to the sunlight incidence side, a light transmitting elastomer member, and a solar cell element. The light transmitting elastomer member and the solar cell element is interposed between the panel of transparent material and the panel of heat-conducting material, with the light transmitting elastomer member being disposed on the sunlight incidence side. The light-transmitting elastomer member presses the solar cell element against the panel of heat-conducting material. By altering the optical path of the direct incident light with the refractive action of the light transmitting elastomer, the solar cell module allows the finger electrodes and/or bus bar electrodes of the solar cell element to be placed in the region where there is less incident sunlight than the region where there is direct incident sunlight not affected by refractive action. | 05-23-2013 |
20130125974 | SOLAR CELL WITH METAL GRID FABRICATED BY ELECTROPLATING - One embodiment of the present invention provides a solar cell. The solar cell includes a photovoltaic structure, a transparent-conductive-oxide (TCO) layer situated above the photovoltaic structure, and a front-side metal grid situated above the TCO layer. The TCO layer is in contact with the front surface of the photovoltaic structure. The front-side metal grid includes a first metal layer comprising Cu, and a second metal layer covering a top surface and sidewalls of the first metal layer. The second metal layer comprises at least one of: Ag and Sn. | 05-23-2013 |
20130125975 | CONDUCTOR INTERFACE - Disclosed embodiments include a photovoltaic module including a conductor interface for electrically connecting tabs of internal module wiring with external conductors, where the conductor interface includes retention surfaces for retaining the tabs and external conductors in an electrically connected position. Methods of manufacturing a photovoltaic module are also disclosed. | 05-23-2013 |
20130125976 | Solar cell and method of manufacturing such a solar cell - The invention relates to a solar cell ( | 05-23-2013 |
20130125977 | Structure and Method of Fabricating a CZTS Photovoltaic Device by Electrodeposition - Techniques for using electrodeposition to form absorber layers in diodes (e.g., solar cells) are provided. In one aspect, a method for fabricating a diode is provided. The method includes the following steps. A substrate is provided. A backside electrode is formed on the substrate. One or more layers are electrodeposited on the backside electrode, wherein at least one of the layers comprises copper, at least one of the layers comprises zinc and at least one of the layers comprises tin. The layers are annealed in an environment containing a sulfur source to form a p-type CZTS absorber layer on the backside electrode. An n-type semiconductor layer is formed on the CZTS absorber layer. A transparent conductive layer is formed on the n-type semiconductor layer. A diode is also provided. | 05-23-2013 |
20130125978 | Fluoropolymer Containing Laminates - The multilayer film serves as a laminate. In some embodiments, the film is a multilayered structure that, in its base form, encompasses an intermediate layer with first and second outer layer affixed to opposing sides of the intermediate layer. In some embodiments, the first outer layer is a semi-crystalline fluoropolymer. In some embodiments, the intermediate layer includes a polyester and the second outer layer is an olefinic polymer. | 05-23-2013 |
20130125979 | METHOD FOR PREVENTING CATALYST RELEASE FROM DYE-SENSITIZED SOLAR CELL AND FROM CATALYTIC ELECTRODES - Providing a dye-sensitized solar cell having high durability and thermal resistance, and preventing elution of a platinum group catalyst from a catalytic electrode: by surface-treating the catalytic electrode with (a) a specific sulfur material having a molecular weight of 32 to 10,000 containing a sulfur atom having an oxidation number of -2 to 0, (b) another specific sulfur material containing no sulfur atom having an oxidation number of -2 to 0, but containing a sulfur atom having an oxidation number of +1 to +4 [with the proviso that the sulfur material (b) is such a material that a surface of the surface-treated catalyst electrode has a photoelectron peak within a binding energy range of 161 to 165 eV in an X-ray photoelectron spectrum], or (c) a mixture of the sulfur materials (a) and (b); and/or by adding the sulfur material into the electrolyte layer. | 05-23-2013 |
20130125980 | DEVICE FOR GENERATING PHOTOVOLTAIC POWER AND MANUFACTURING METHOD FOR SAME - Disclosed is a solar cell apparatus. The solar cell apparatus include: a substrate; a back electrode layer on the substrate; a light absorbing layer on the back electrode layer; a window layer on the light absorbing layer; and a bus bar provided beside the light absorbing layer, the bus bar being connected to the back electrode layer. | 05-23-2013 |
20130125981 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - Provided is a solar cell including a back electrode layer disposed on a substrate, and having a side surface inclined at a certain angle from the substrate, a light absorbing layer disposed on the back electrode layer, and a window layer disposed on the light absorbing layer. | 05-23-2013 |
20130133731 | CADMIUM DOPED TIN OXIDE BUFFER LAYER FOR THIN FILM PHOTOVOLTAIC DEVICES AND THEIR METHODS OF MANUFACTURE - Methods for forming a resistive transparent buffer layer on a substrate are provided. The method can include depositing a resistive transparent buffer layer on a transparent conductive oxide layer on a substrate. The resistive transparent buffer layer can comprise a cadmium doped tin oxide that has an as-deposited stoichiometry where cadmium is present in an atomic amount that is less than 33% of a total atomic amount of tin and cadmium. Zinc may also be provided in the resistive transparent buffer layer in certain embodiments. Additionally, thin film photovoltaic devices having such resistive transparent buffer layers are provided. | 05-30-2013 |
20130133732 | METHOD FOR FORMING INTERCONNECT IN SOLAR CELL - A thin film solar cell and process for forming the same. The solar cell includes a bottom electrode, semiconductor light absorbing layer, and top electrode. Interconnects may be formed between the top and bottom electrodes by electrochemical plating of conductive materials in recessed regions formed between the electrodes. In some embodiments, the conductive materials may be optically opaque metals having non-light transmissive properties. The interconnects are highly conductive and minimize the thickness of the top electrode layer, thereby enhancing light transmission and cell energy conversion performance. | 05-30-2013 |
20130133733 | DYE-SENSITIZED SOLAR CELL MODULE USING THIN GLASS SUBSTRATE AND METHOD OF MANUFACTURING THE SAME - Disclosed are a dye-sensitized solar cell module and a method of manufacturing the same. The dye-sensitized solar cell module includes a working electrode formed by stacking a collector and a photo-electrode to which a dye is adsorbed on a transparent conductive substrate; a counter electrode formed by stacking a collector and a catalytic electrode on a transparent conductive substrate; and an electrolyte filled in a space between the working electrode and the counter electrode sealed by a sealant. A glass substrate for the working electrode of glass substrates forming the transparent conductive substrates for the electrodes is a thin glass plate substrate thinner than the glass substrate for the working electrode. | 05-30-2013 |
20130133734 | PHOTOVOLTAIC CELL - A photovoltaic cell includes transparent glazing substrate, protecting a thin-film multilayer including a film having photovoltaic properties; and two films forming electrodes, one the bottom electrode film and the other the top electrode film, placed on either side of the photovoltaic film, the bottom electrode film being a TCO including a zinc oxide substituted by an element selected from Al, Ga, In, B, Ti, V, Y, Zr and Ge or any combination thereof. The cell includes, between the substrate and the bottom electrode film, a succession of at least two films of dielectric materials, including a first film of a material forming a barrier to the alkali metals coming from the glazing substrate, especially during a tempering or annealing operation; and a second film including aluminum nitride AlN, gallium nitride GaN or a mixture thereof, the second film being in contact with the bottom electrode film. | 05-30-2013 |
20130133735 | SOLAR CELL MODULE AND METHOD OF MANUFACTURING THE SAME - Provided are a solar cell module and a method of manufacturing the same. The solar cell module including: a substrate; a bottom electrode layer discontinuously formed on the substrate; a light absorbing layer formed on the bottom electrode layer and including a first trench that exposes the bottom electrode layer; and a transparent electrode layer extending from the top of the light absorbing layer to the bottom electrode layer at the bottom of the first trench, and including a first oxide layer, a metal layer, and a second oxide layer, all of which are staked on the light absorbing layer and the bottom electrode layer. | 05-30-2013 |
20130133736 | PHOTOVOLTAIC CELL DEVICE WITH SWITCHABLE LIGHTING/REFLECTION - The present invention relates to a photovoltaic cell device with combined energy conversion and lighting option and a method a controlling such a device. It comprises a responsive element, a reflector or a light source for changing light absorption and thus appearance of photovoltaic cells (e.g. solar panel). It is also possible to combine the responsive element or the reflector with light source(s) providing extra illumination. When combined with a sensor and control unit, ambient intelligent solar panels and ambient intelligent lighting systems can be obtained. A combination of a luminescent solar concentrator (LSC) and light-emitting device is also possible, where an energy storage device is charged by a photovoltaic cell upon irradiation. The energy storage powers one or more light sources which are coupled to the sides of the luminescent plate. The light emitted by the light sources is coupled into the plate and (partly) converted by the luminescent plate. This results in a plate that homogeneously emits light. | 05-30-2013 |
20130133737 | SOLAR CELL ELEMENTS AND SOLAR CELL MODULE USING SAME - A solar cell element is disclosed. The solar cell element comprises a semiconductor substrate, a first electrode, a second electrode, a first wiring member and a second wiring member. The semiconductor substrate with a first surface and a second surface comprises a plurality of through-holes. The first electrode comprises a plurality of conduction portions and at least one first output extracting portion. The second electrode has a resistivity of less than 2.5×10-8 Ωm (ohm-meter). The first wiring member comprises a first end face in a long direction thereof. The second wiring member comprises a second end face in a long direction thereof facing the first end face. | 05-30-2013 |
20130133738 | FIRING FURNACE FOR FIRING ELECTRODE OF SOLAR CELL ELEMENT, METHOD FOR MANUFACTURING SOLAR CELL ELEMENT, AND SOLAR CELL ELEMENT - Disclosed is a firing furnace for firing an electrode of a solar cell element, which is provided with: a transfer member, which transfers a substrate having a conductive paste applied thereto; a heating section, which heats the substrate and fires the conductive paste; and a cooling section, which cools the heated substrate. The furnace is also provided with a heating means for heating the transfer member. Specifically, at the time of firing the electrode paste using the wire-type firing furnace, since a wire is fired at a temperature substantially equivalent to the ambient temperature of the heating section, deterioration of yield due to having the electrode damaged by a deposited material of the metal component of the conductive paste is suppressed, said deposited material being deposited on the wire, and the wire-type firing furnace can be continuously used. | 05-30-2013 |
20130133739 | PROCESS FOR PARTICLE DOPING OF SCATTERING SUPERSTRATES - Light scattering substrates made by providing a substrate comprising at least one surface, forming a layer of particles by depositing a sol-gel on the at least one surface, and heating the coated substrate. | 05-30-2013 |
20130133740 | PHOTOVOLTAIC DEVICE AND METHOD FOR MANUFACTURING SAME - Disclosed are a solar cell apparatus and a method of fabricating the same. The solar cell apparatus includes a substrate, a back electrode layer on the substrate, a light absorbing layer on the back electrode layer, a window layer on the light absorbing layer, and a conductive layer interposed between the back electrode layer and the light absorbing layer and including a first conductive oxide. | 05-30-2013 |
20130133741 | PHOTOVOLTAIC DEVICE AND MANUFACTURING METHOD THEREOF - In a photovoltaic device, a second electrode includes an Al-based electrode that is connected to an other surface side of a substrate by being embedded in openings on the other surface side of the substrate, and an Ag-based electrode that is provided in a region between the openings on the other surface side of the substrate and is electrically connected to the other surface side of the substrate by at least a part thereof penetrating a back surface insulating film, and a sum of an area of the Ag-based electrode in a plane of the substrate and an area of a peripheral region, which is obtained by extending a pattern of the Ag-based electrode by a diffusion length of a carrier outward in a plane of the substrate, is 10% or less of an area on the other surface side of the substrate. | 05-30-2013 |
20130133742 | Paste for Contacts and Solar Cell Using the Same - Provided are a paste for contacts and a solar cell using the same. The paste for contacts includes Al powder, glass frit, inorganic binder, and P-type oxidation containing I group elements. | 05-30-2013 |
20130139878 | USE OF A1 BARRIER LAYER TO PRODUCE HIGH HAZE ZNO FILMS ON GLASS SUBSTRATES - Embodiments of the invention provide a method for forming a solar cell including forming a layer comprising alumina on a substrate and forming a transparent conductive layer on the layer comprising alumina. The method may also include forming a transparent conductive seed layer on the layer comprising alumina and forming a transparent conductive bulk layer on the transparent conductive seed layer. Embodiments of the invention also include photovoltaic devices having a substrate, a layer comprising alumina adjacent to the substrate, a zinc oxide-containing transparent conductive seed layer adjacent to the layer comprising alumina, and a zinc oxide-containing transparent conductive bulk layer adjacent the zinc oxide-containing transparent conductive seed layer. | 06-06-2013 |
20130139879 | SOLAR CELL - A solar cell with an electrode lead-out structure that a unitary cell to be easily mounted on and removed from a connection side substrate is provided. A solar cell | 06-06-2013 |
20130139880 | ELECTRODE SUBSTRATE AND PHOTOELECTRIC CONVERSION ELEMENT - Provided is an electrode substrate that has a transparent conductive layer, a current-collecting metal layer that is provided on the transparent conductive layer, and an insulating layer that covers the current-collecting metal layer on a base plate, wherein, when a thermal expansion coefficient of the base plate is defined as α, and a thermal expansion coefficient of the insulating layer is defined as β, α>β is satisfied, and in which the thickness of the transparent conductive layer is 0.05 to 5 μm. | 06-06-2013 |
20130139881 | PHOTOVOLTAIC DEVICE AND MANUFACTURING METHOD THEREOF - A In a photovoltaic device, a second electrode includes an aluminum-based electrode that is made of a material including aluminum and is electrically connected to an other surface side of a substrate by being embedded in at least openings on the other surface side of the substrate, and a silver-based electrode that is made of a material including silver, that is provided in a region between the openings on the other surface side of the substrate in a state where the silver-based electrode eats into a back surface insulating film such that the silver-based electrode is insulated from the other surface side of the substrate by the back surface insulating film, and that is electrically connected to the aluminum-based electrode via the back surface reflective film. | 06-06-2013 |
20130139882 | TRANSPARENT PROTECTIVE SHEET AND SOLAR CELL MODULE USING THE SAME - Provided is a transparent protective sheet ( | 06-06-2013 |
20130139883 | HYDROLYSIS-RESISTANT POLYESTER FILM - Provided is a hydrolysis-resistant polyester film having a low acid value due to suppression of acid value-increase during film formation. The hydrolysis-resistant polyester film of the present invention is a polyester film comprising a polyester resin composition, wherein the polyester resin composition that forms the films comprises 0.03 to 6.7 eq/ton of hindered phenol structural units, an acid value of a polyester that forms the film is less than 25 eq/ton, and an intrinsic viscosity of a polyester that forms the film is more than 0.64 dL/g and not less than 0.90 dL/g. | 06-06-2013 |
20130146133 | THIN FILM PHOTOVOLTAIC SOLAR CELL DEVICE - A thin-film photovoltaic solar cell device is disclosed. A transparent conductive oxide (TCO) layer is disposed on a substrate as a front contact. A window layer is disposed on the TCO layer. A metal oxide layer is disposed on the window layer. An absorber layer is disposed on the metal oxide layer. A back contact layer is disposed on the absorber layer. In one embodiment, the device includes a high resistance barrier (HRT) layer interposed between the window layer and the TCO layer. | 06-13-2013 |
20130146134 | SOLAR CELL WITH NANOLAMINATED TRANSPARENT ELECTRODE AND METHOD OF MANUFACTURING THE SAME - The present invention discloses a solar cell with a nanolaminated transparent electrode and a method of manufacturing the same. The solar cell comprises a substrate, a first electrode layer deposited on the substrate, a photovoltaic layer deposited on the first electrode layer, and a second electrode layer deposited on the photovoltaic layer. Wherein, at least one of the first and second electrode layers is a nanolaminated transparent electrode prepared by using atomic layer deposition (ALD). The nanolaminated transparent electrode may serve as both of the transparent electrode and the anti-reflective layer and is able to maintain good transmittance in infrared wavelength. | 06-13-2013 |
20130146135 | CONDUCTIVE PASTE AND ELECTRONIC DEVICE AND SOLAR CELL INCLUDING AN ELECTRODE FORMED USING THE CONDUCTIVE PASTE - A conductive paste including a conductive powder, a metallic glass including aluminum (Al) and a first element which forms a solid solution with the aluminum (Al), and an organic vehicle. | 06-13-2013 |
20130146136 | PHOTOVOLTAIC DEVICE AND METHOD OF MANUFACTURING THE SAME - A photovoltaic device and a method of manufacturing the same, the device including a semiconductor substrate having a first surface and a second surface opposite to the first surface; a silicon nitride gap insulation layer on the first surface of the semiconductor substrate, a portion of the gap insulation layer proximate to the semiconductor substrate having a silicon:nitrogen ratio different from a silicon:nitrogen ratio in a portion of the gap insulation layer distal to the semiconductor substrate; a semiconductor structure on the first surface of the semiconductor substrate; and an electrode on the semiconductor structure. | 06-13-2013 |
20130146137 | CIS-BASED THIN FILM SOLAR CELL - A CIS-based thin film solar cell has a backside electrode layer that is divided by a pattern (P | 06-13-2013 |
20130146138 | SHAPED ARTICLE HAVING FINE SURFACE IRREGULARITIES AND METHOD FOR PRODUCING THE SHAPED ARTICLE - Provided is a shaped article having surface irregularities, including a fine structure including projections and a recess formed between the projections, the fine structure formed by curing a curable resin composition, wherein the curable resin composition contains a composite resin (A) in which a polysiloxane segment (a1) having a structural unit represented by a general formula (1) and/or a general formula (2) and a silanol group and/or a hydrolyzable silyl group is bonded to a vinyl-based polymer segment (a2) having an alcoholic hydroxy group through a bond represented by a general formula (3), and polyisocyanate (B); a content of the polysiloxane segment (a1) with respect to total solids weight of the curable resin composition is 10% to 60% by weight; and a content of the polyisocyanate (B) with respect to total solids weight of the curable resin composition is 5% to 50% by weight. | 06-13-2013 |
20130146139 | LOW COST SOLAR CELL MANUFACTURE METHOD EMPLOYING A REUSABLE SUBSTRATE - A reusable substrate and method for forming single crystal silicon solar cells are described. A method of forming a photovoltaic cell includes forming an intermediate layer on a monocrystalline silicon substrate, forming a monocrystalline silicon layer on the intermediate layer, and forming electrical features in the monocrystalline silicon layer. The method further includes forming openings in the monocrystalline silicon layer, and detaching the monocrystalline silicon layer from the substrate by selectively etching the intermediate layer through the openings. | 06-13-2013 |
20130153015 | METHOD FOR FORMING SOLAR CELLS - A thin film solar cell and process for forming the same. The solar cell includes a bottom electrode layer, semiconductor light absorbing layer, top electrode layer, and a protective moisture barrier layer. In some embodiments, the barrier layer is formed of a water-insoluble material. The barrier layer helps protect the top electrode layer from exposure and damage caused by water and oxygen. | 06-20-2013 |
20130153016 | Solar Cell Flip Chip Package Structure and Method for Manufacturing the same - The present invention provides a solar cell flip chip package structure, comprising: a substrate having a first surface, a second surface and an opening extending from the first surface to the second surface; a conducting layer disposed on the first surface of the substrate; a solar cell flip chip bonded on the conducting layer; a transparent layer attached on the second surface of the substrate; and a storage space formed between the opening extending from the first surface to the second surface, the solar cell flip chip and the transparent layer. | 06-20-2013 |
20130153017 | PACKAGE STRUCTURE AND SOLAR CELL WITH THE SAME - A package structure and a solar cell with the same are provided. The package structure includes a transparent package bulk and at least one structure capable of changing a direction of light. The structure is disposed within the transparent package bulk and at a distance from a surface of the transparent package bulk. When applied to a solar cell, the package structure can reduce gridline shading. | 06-20-2013 |
20130153018 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a solar cell includes texturing a front surface of a semiconductor substrate having a first conductive type dopant by using a dry etching method, forming an emitter layer by ion-implanting a second conductive type dopant into the front surface of the semiconductor substrate, forming a back passivation film on a back surface of the semiconductor substrate; and forming a first electrode electrically connected to the emitter layer and a second electrode being in partial contact with the back surface of the semiconductor substrate. | 06-20-2013 |
20130153019 | METHODS OF FORMING A HIGH EFFICIENCY SOLAR CELL WITH A LOCALIZED BACK SURFACE FIELD - A solar cell, comprising: a doped silicon substrate, the silicon substrate comprising a front surface and a rear surface; a front phosphorous diffusion layer formed on the front surface; a front anti-reflective layer formed on the front phosphorous diffusion layer; a front metal electrode on the front surface in ohmic contact with the front phosphorous diffusion layer through the front anti-reflective layer; a rear passivation layer formed on the rear surface; a rear metal electrode in a pattern on the rear surface passing through the rear passivation layer; and a rear p+ diffusion area on the rear surface between the rear passivation layer and a boron-doped region of the silicon substrate, the rear p+ diffusion area surrounding the rear metal electrode. | 06-20-2013 |
20130153020 | Solar cell screen-printing composition, solar cell and method for manufacturing a metallization structure - In various embodiments, a solar cell screen-printing composition is provided, comprising aluminum; and silicon; the percentage by mass of silicon lying in a range from | 06-20-2013 |
20130153021 | DYE-SENSITIZED SOLAR CELL - The present invention is a dye-sensitized solar cell that has a pair of electrodes that oppose each other, a sealing section that joins the pair of electrodes, an electrolyte that fills a cell space that is surrounded by the pair of electrodes and the sealing section, wherein the sealing section has a resin sealing section that contains a resin, the resin sealing section has a changing-thickness section, the thickness of which increases or decreases as a distance from the electrolyte is increased and which has an inclined face, and the resin sealing section comes into contact, along the inclined face of the changing-thickness section, with an electrode of the pair of electrodes that opposes the inclined face. | 06-20-2013 |
20130153022 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD FOR MANUFACTURING THE SAME - The electric power generation efficiency of a photoelectric conversion device is improved by reducing an absorption loss of light at a back-surface electrode layer. The photoelectric conversion device includes photoelectric conversion units that convert light into electricity, a first zinc oxide layer ( | 06-20-2013 |
20130153023 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell includes a solar cell substrate. The solar cell substrate includes a semiconductor substrate, a surface of a p-type region and a surface of an n-type region exposed on a first principal surface of the solar cell substrate. The solar cell substrate includes a p-side electrode formed on the surface of the p-type region, and an n-side electrode formed on the surface of the n-type region. The semiconductor substrate includes linear grooves extending in a first direction on a surface on a first principal surface side. Each of the p-side electrode and the n-side electrode includes a linear portion extending in the first direction. | 06-20-2013 |
20130153024 | MULTILAYER TRANSPARENT ELECTROCONDUCTIVE FILM AND METHOD FOR MANUFACTURING SAME, AS WELL AS THIN-FILM SOLAR CELL AND METHOD FOR MANUFACTURING SAME - A multilayer transparent electroconductive film is obtained by stacking a transparent electroconductive film (II) on a transparent electroconductive film (I), and in this structure, the transparent electroconductive film (I) contains one or more added elements selected from aluminum and gallium, and the content of the added elements is in a range represented by −2.18×[Al]+1.74≦[Ga]≦−1.92×[Al]+6.10. The transparent electroconductive film (II) contains one or more added elements selected from aluminum and gallium, and the content of the added elements is in a range represented by −[Al]+0.30≦[Ga]≦−2.68×[Al]+1.74. In this case, [Al] is the aluminum content expressed as the atomic ratio (%) Al/(Zn+Al) and [Ga] is the gallium content expressed as the atomic ratio (%) Ga/(Zn+Ga). | 06-20-2013 |
20130153025 | METHOD FOR PRODUCING A SOLAR CELL HAVING A TEXTURED FRONT FACE AND CORRESPONDING SOLAR CELL - The invention relates to a method for producing a solar cell and to a solar cell which can be produced accordingly. On a solar cell substrate, first a ridged texture, which may for example comprise pyramids produced by alkaline etching, is formed both on a front face and on a rear face of the solar cell substrate. Then an etching barrier layer is applied to the front face of the solar cell substrate. Next the texture on the rear face of, the solar cell substrate is smoothed by etching in an isotropically acting etching solution which for example contains acid, wherein the front face is protected by the etching barrier layer. Thus, ridged structures on the rear face can be avoided and in this way reflection can be increased and surface passivation can be improved, both of which can lead to an increased potential efficiency. At the same time an emitter layer formed over the entire surface of the solar cell substrate on the rear face can be removed during etching, so that electrical isolation of the front face contacts and the rear face contacts may be superfluous. | 06-20-2013 |
20130153026 | SUBSTRATE FOR SOLAR CELL, AND SOLAR CELL - Provided is a substrate for a solar cell, wherein a flat chamfered portion is formed on one corner of a silicon substrate having a square shape in a planar view, or a notch is formed on the corner or close to the corner. This invention makes it possible to easily check the position of the substrate and determine the direction of the substrate in a solar cell manufacturing step, and suppresses failures generated due to the direction of the substrate. | 06-20-2013 |
20130160830 | THICK-FILM CONDUCTIVE PASTE COMPOSITION - A conductive thick-film paste composition is useful in forming conductive structures on the front side of a solar cell or other like device. The paste composition has a source of electrically conductive metal, such as silver powder, one or more glass components, and an optional zinc-containing additive, which are dispersed in an organic medium containing a surfactant. | 06-27-2013 |
20130160831 | Reactive Sputtering of ZnS(O,H) and InS(O,H) for Use as a Buffer Layer - A method of manufacturing a solar cell including providing a substrate, depositing a first electrode over the substrate and depositing at least one p-type semiconductor absorber layer over the first electrode. The p-type semiconductor absorber layer comprises a copper indium selenide (CIS) based alloy material. The method also includes depositing by reactive sputtering an n-type In-VI semiconductor layer over the at least one p-type semiconductor absorber layer and depositing a second electrode over the n-type In-VI semiconductor layer. | 06-27-2013 |
20130160832 | MARKING OF A SUBSTRATE OF A SOLAR CELL - The present invention relates to a solar-cell-marking method. The method comprises providing a substrate for a solar cell, forming an etching mask on the substrate, and carrying out an etching process, wherein an elevated marking structure defined by the etching mask is formed on the substrate. The invention further relates to a solar cell comprising such a marking structure. | 06-27-2013 |
20130160833 | LASER CONTACT PROCESSES, LASER SYSTEM, AND SOLAR CELL STRUCTURES FOR FABRICATING SOLAR CELLS WITH SILICON NANOPARTICLES - A laser contact process is employed to form contact holes to emitters of a solar cell. Doped silicon nanoparticles are formed over a substrate of the solar cell. The surface of individual or clusters of silicon nanoparticles is coated with a nanoparticle passivation film. Contact holes to emitters of the solar cell are formed by impinging a laser beam on the passivated silicon nanoparticles. For example, the laser contact process may be a laser ablation process. In that case, the emitters may be formed by diffusing dopants from the silicon nanoparticles prior to forming the contact holes to the emitters. As another example, the laser contact process may be a laser melting process whereby portions of the silicon nanoparticles are melted to form the emitters and contact holes to the emitters. | 06-27-2013 |
20130160834 | BACK-SIDE ELECTRODE OF P-TYPE SOLAR CELL, AND METHOD FOR FORMING THE SAME - The invention relates to a back-side electrode adjacently formed on silicon layer of p-type solar cell, comprises a conductive component comprising, before firing, (a) aluminum powder, (b) organic medium and (c) metal-containing component selected from the group consisting of (i) metal selected from the group consisting of Titanium(Ti), Manganese(Mn) and Cerium (Ce), and (ii) carbide, oxide, nitride, boride, carbonate, hydroxide and resinate of (i) metal. | 06-27-2013 |
20130160835 | BACK-SIDE ELECTRODE OF P-TYPE SOLAR CELL AND METHOD FOR FORMING THE SAME - The invention relates to a back-side electrode adjacently formed on silicon layer of p-type solar cell comprises a conductive component comprising (a) aluminum powder, (b) organic medium and (c) metal-containing component selected from the group consisting of (i) metal selected from the group consisting of Bismuth (Bi), Molybdenum (Mo), Strontium (Sr) and Stibium (Sb), and (ii) carbide, oxide, nitride, boride, carbonate, hydroxide and resinate of (i) metal, and (iii) Copper (Cu). | 06-27-2013 |
20130160836 | SOLAR CELL ELECTRODE, AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a solar cell electrode, comprising the steps of: (a) applying a conductive paste for bus electrode to a wafer in order to form a bus electrode pattern; (b) depositing onto the wafer a photocurable conductive paste for finger electrode from a discharge slot of a dispenser nozzle to thereby form an uncured finger electrode pattern on the wafer, wherein the nozzle moves parallel to the wafer; and (c) curing the uncured finger electrode pattern by exposing the uncured finger electrode pattern to UV light either after forming the uncured finger electrode pattern on the wafer in the step (b), or concurrent with the step (b). | 06-27-2013 |
20130160837 | Photoelectrode and Method for Preparing the Same - The present invention relates to an photoelectrode and the preparation method thereof, wherein said photoelectrode comprises a substrate and a titania layer composed of a mesoporous titania bead having a diameter of 200-1000 nm, specific surface area of 50-100 m | 06-27-2013 |
20130160838 | Solar Cell - The present invention relates to a solar cell comprising an anode, a cathode, and an electrolyte, wherein said anode comprises a substrate and a titania layer composed of a mesoporous titania bead having a diameter of 200-1000 nm, specific surface area of 50-100 m | 06-27-2013 |
20130160839 | SOLAR CELL - A solar cell is discussed. The solar cell includes a substrate of a first conductive type, an emitter region which is positioned at a front surface of the substrate and has a second conductive type different from the first conductive type, a front passivation region including a plurality of layers which are sequentially positioned on the emitter region, a back passivation region which is positioned on a back surface opposite the front surface of the substrate and includes three layers, a plurality of front electrodes which pass through the front passivation region and are connected to the emitter region, and at least one back electrode which passes through the back passivation region and is connected to the substrate. | 06-27-2013 |
20130160840 | SOLAR CELL - A solar cell according to an embodiment includes a semiconductor substrate; an emitter layer formed on at least one of a front surface and a back surface of the semiconductor substrate; a back surface field layer formed on the back surface of the semiconductor substrate; a first electrode formed on the emitter layer; and a second electrode formed on the back surface field layer. The back surface field layer includes a first portion formed at a portion where the second electrode is not formed and having a first resistance, and a second portion in contact with the second electrode and having a second resistance lower than the first resistance. The second electrode includes a plurality of finger electrodes that are parallel to each other and are spaced apart with distances of about 0.1 to about 1 mm, and have widths of about 50 μm to about 70 μm. | 06-27-2013 |
20130160841 | ADHESIVE COMPOSITION, FILM-LIKE ADHESIVE AND CIRCUIT CONNECTING MATERIAL USING THE SAME, CONNECTING STRUCTURE OF CIRCUIT MEMBER AND MANUFACTURING METHOD THEREOF - Provided is an adhesive composition containing an organoaluminum complex (A), a silane coupling agent (B), and a curable component (C). | 06-27-2013 |
20130160842 | DYE SENSITIZED SOLAR CELL - A dye sensitized solar cell comprises a transparent conducting substrate, a dye layer, an electricity-collecting electrode, an insulating adhesive, and a metal foil. The transparent conducting substrate has a transparent substrate and a transparent conducting layer that is disposed on the transparent substrate. The dye layer is disposed on the transparent conducting layer. The electricity-collecting electrode is disposed on the transparent conducting layer and around the dye layer. The insulating adhesive is disposed around the dye layer and on the electricity-collecting electrode. The metal foil is disposed on the dye layer and the insulating adhesive. | 06-27-2013 |
20130160843 | ULTRA-LOW TEMPERATURE SINTERING OF DYE-SENSITESED SOLAR CELLS - This invention relates to the field of dye-sensitised solar cells and discloses a method for reducing the temperature necessary for sintering the metal oxide paste coating the electrode. | 06-27-2013 |
20130160844 | Thick-Film Composition Containing Antimony Oxides And Their Use In The Manufacture Of Semiconductor Devices - This invention provides a thick-film composition for printing the front-side of a solar cell device having one or more insulating layers. The composition comprises a bismuth oxide comprising glass frit and antimony oxide as part of the glass frit or an additive. The invention further refers to a process for preparing a semiconductor device by use of the thick-film composition and an article, especially a solar cell comprising the semiconductor device. The solar cells show improved efficiency. | 06-27-2013 |
20130160845 | GLASS SUBSTRATE FOR CU-IN-GA-SE SOLAR CELL AND SOLAR CELL USING SAME - A glass substrate for a CIGS solar cell, having high cell efficiency and high glass transition temperature is provided. The glass substrate for a vapor-deposited CIGS film solar cell has a glass transition temperature of at least 580° C. and an average thermal expansion coefficient of from 70×10 | 06-27-2013 |
20130160846 | PHOTOVOLTAIC DEVICE - A photovoltaic device is provided which comprises: a transparent substrate; a front-side electrode layer formed over the substrate and comprising a transparent conductive film; a photovoltaic unit formed over the front-side electrode layer; and a backside electrode layer formed over the photovoltaic unit and comprising a transparent conductive film. The backside electrode layer has a structure in which a contact region joined with the photovoltaic unit, a light scattering region having a lower dopant concentration than the contact region, and a conductive region having a higher density than the light scattering region, are layered. | 06-27-2013 |
20130160847 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell includes a solar cell substrate including a principal surface on which a p-type surface and an n-type surface are exposed, a p-side electrode formed on the p-type surface and including a first linear portion linearly extending in a first direction, and an n-side electrode formed on the n-type surface and including a second linear portion linearly extending in the first direction and arranged next to the first linear portion in a second direction orthogonal to the first direction. Corners of a tip end of at least one of the first and second linear portions are formed in a chamfered shape. | 06-27-2013 |
20130160848 | PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device is provided with a substrate ( | 06-27-2013 |
20130167919 | SOLAR CELL HAVING BURIED ELECTRODE - Disclosed herein is a solar cell, which includes a first electrode, a buried electrode, a photoelectric conversion layer and a second electrode. The buried electrode is disposed on the first electrode. The photoelectric conversion layer is disposed over the first electrode and the buried electrode. The buried electrode is embedded in the photoelectric conversion layer. The second electrode is arranged in a way such that the photoelectric conversion layer is positioned between the first electrode and the second electrode. | 07-04-2013 |
20130167920 | CONDUCTIVE SUBSTRATE AND FABRICATING METHOD THEREOF, AND SOLAR CELL - A fabricating method of a conductive substrate including the following steps is provided. A substrate is provided. A barrier layer having a first roughened surface is formed on the substrate by an atmospheric pressure plasma process, wherein the surface roughness (Ra) of the first roughened surface formed by the atmospheric pressure plasma process is between 10 nanometers (nm) and 100 nm. A first electrode layer is formed on the first roughened surface of the barrier layer by a vacuum sputter process, wherein a second roughened surface with the surface roughness (Ra) between 10 nm and 100 nm is formed on a surface of the first electrode layer. Furthermore, a photoelectric conversion layer is formed on the second roughened surface of the first electrode layer. A second electrode layer is formed on the photoelectric conversion layer. A solar cell and a conductive substrate are also provided. | 07-04-2013 |
20130167921 | DOUBLE LAYER ANTIREFLECTION COATING FOR SILICON BASED SOLAR CELL MODULES - A silicon wafer-based solar cell with a two-layer antireflective coating (ARC) combines a 10-30 nm thick hydrogen containing passivation layer (e.g. Si | 07-04-2013 |
20130167922 | CONDUCTING POLYMER-CARBON MATERIAL COMBINED COUNTER ELECTRODE AND MANUFACTURING METHOD THEREOF - A conducting polymer-carbon material combined counter electrode for dye-sensitized solar cell comprises a conducting substrate ( | 07-04-2013 |
20130167923 | SOLAR CELL ELEMENT AND METHOD FOR MANUFACTURING SAME - A solar cell element containing: a semiconductor substrate; an antireflection film disposed in a first region on one main surface of the semiconductor substrate; and a front surface electrode disposed in a second region on the one main surface of the semiconductor substrate and containing silver as a main component and a tellurium-based glass containing tellurium, tungsten, and bismuth. The solar cell element is manufactured by forming the antireflection film on the one main substrate surface; printing on the antireflection film a conductive paste containing a conductive powder mainly containing silver, a tellurium-based glass frit containing tellurium, tungsten, and bismuth, and an organic vehicle; and disposing the antireflection film in the first region and forming the front surface electrode in the second region, by firing the paste and eliminating the antireflection film positioned under the paste. | 07-04-2013 |
20130174900 | NANOWIRE ENHANCED TRANSPARENT CONDUCTIVE OXIDE FOR THIN FILM PHOTOVOLTAIC DEVICES - A thin-film photovoltaic devices includes transparent conductive oxide which has embedded within it nanowires at less than 2% nominal shadowing area. The nanowires enhance the electrical conductivity of the conductive oxide. | 07-11-2013 |
20130174901 | ACTIVE SOLAR CELL AND METHOD OF MANUFACTURE - Methods for improving the efficiency of solar cells, and a solar cell thereof. One aspect involves a solar cell with a semiconductor layer ( | 07-11-2013 |
20130174902 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element comprising: a photoelectric conversion layer; and a plurality of metal nanoparticles arranged in the form of a two-dimensional array on the photoelectric conversion layer on its principal face side that is opposite to its light receiving face, wherein the plurality of metal nanoparticles are arranged with a particle density that is equal to or greater than 5.0×10 | 07-11-2013 |
20130174903 | SOLAR CELL - A solar cell is disclosed. A substrate includes a first surface and a second surface, wherein the substrate is of a first type. A through hole passes through the substrate, wherein the substrate includes a third surface in the through hole. An insulating layer is on the third surface in the through hole and extends to be over the second surface of the substrate. A first thin film semiconductor layer is disposed on the first surface of the substrate, wherein the first thin film semiconductor layer is of a first type. A transparent conductive layer is on the first thin film semiconductor layer. A through hole connection layer is disposed in the through hole and extends to be over the first surface and the second surface of the substrate. | 07-11-2013 |
20130174904 | COMPOSITION FOR ANTIREFLECTIVE FILM FOR SOLAR CELL, ANTIREFLECTIVE FILM FOR SOLAR CELL, METHOD FOR MANUFACTURING ANTIREFLECTIVE FILM FOR SOLAR CELL, AND SOLAR CELL - This composition for an antireflective film includes a translucent binder, wherein the translucent binder contains either one or both of a polymer type binder and a non-polymer type binder, a content of the translucent binder is in a range of 10 parts by mass to 90 parts by mass with respect to 100 parts by mass of a total amount of components other than a dispersion medium, and a refractive index of an antireflective film which is formed by curing the composition for an antireflective film is in a range of 1.70 to 1.90. This method for manufacturing an antireflective film includes: applying the above-described composition for an antireflective film onto a transparent conductive film by a wet coating method to form an antireflective coating film; and curing the antireflective coating film to form an antireflective film. | 07-11-2013 |
20130174905 | SOLAR CELL APPARATUS AND METHOD FOR MANUFACTURING THE SAME - Disclosed are a solar cell apparatus and a method for manufacturing the same. The solar cell apparatus includes a substrate including a cell region and an outer peripheral region surrounding the cell region, a cell in the cell region, and a connection electrode connected to the cell and provided in the outer peripheral region. The cell includes a back electrode on the substrate, a light absorbing part on the back electrode, and a front electrode on the light absorbing part. The connection electrode extends from the back electrode. | 07-11-2013 |
20130174906 | DYE SENSITIZED SOLAR CELL AND METHOD FOR MANUFACTURE - A method for producing a Dye-Sensitized Solar cell (DSC) comprising a substrate, a working electrode, a back contact for extracting photo-generated electrons, an electrolyte, and a counter electrode where the back contact and/or the counter electrode is formed by a porous conductive powder layer, PCPL. The PCPL is prepared by the following steps:
| 07-11-2013 |
20130180580 | PHOTOVOLTAIC MODULE INCLUDING HIGH CONTACT ANGLE COATING ON ONE OR MORE OUTER SURFACES THEREOF, AND/OR METHODS OF MAKING THE SAME - Certain example embodiments of this invention relate to photovoltaic modules that include high contact angle coatings on one or more outermost major surfaces thereof, and/or associated methods. In certain example embodiments, the high contact angle coatings advantageously reduce the likelihood of electrical losses through parasitic leakage of the electrical current caused by moisture on surfaces of the photovoltaic modules, thereby potentially improving the efficiency of the photovoltaic devices. In certain example embodiments, the high contact angle coatings may be nitrides and/or oxides of or including Si, Ti, Ta, TaCr, NiCr, and/or Cr; hydrophobic DLC; and/or polymer-based coatings. The photovoltaic modules may be substrate-type modules or superstrate-type modules in different example embodiments. | 07-18-2013 |
20130180581 | GRAPHENE SHEET COMPRISING AN INTERCALATION COMPOUND AND PROCESS OF PREPARING THE SAME - A graphene sheet including an intercalation compound and 2 to about 300 unit graphene layers, wherein each of the unit graphene layers includes a polycyclic aromatic molecule in which a plurality of carbon atoms in the polycyclic aromatic molecule are covalently bonded to each other; and wherein the intercalation compound is interposed between the unit graphene layers. | 07-18-2013 |
20130180582 | DYE SENSITIZED SOLAR CELL - A dye sensitized solar cell includes a first conducting substrate, a dye layer, a first conducting layer and a second conducting substrate. The dye layer has at least one dye portion and is disposed on the first conducting substrate. The first conducting layer is disposed on the first conducting substrate and around the dye portion, and is formed into at least one hexagon. The second conducting substrate is disposed opposite to the first conducting substrate. | 07-18-2013 |
20130180583 | CONDUCTIVE PASTE FOR FINE-LINE HIGH-ASPECT-RATIO SCREEN PRINTING IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - This invention relates to thick film conductive paste comprised of one or more electrically conductive powders, one or more glass fits, and an organic medium comprising solvent and cellulose ester resin. This paste enables fine line printing in the manufacture of soar cells and exhibits reduced line spreading during the drying and firing steps. Paste stability is also improved. Also provided is a semiconductor device comprising an electrode formed from the thick film conductive paste. | 07-18-2013 |
20130180584 | Method For Producing An Emitter Of A Solar Cell And Solar Cell - A method of producing an emitter of a solar cell comprising introducing a first dopant into a solar cell substrate through a surface of the solar cell substrate, forming a diffusion barrier layer on the surface of the solar cell substrate which is impenetrable by a second dopant, and arranging the second dopant on the diffusion barrier layer. | 07-18-2013 |
20130180585 | METHOD OF MANUFACTURING SOLAR CELL, AND SOLAR CELL - A method of manufacturing a solar cell includes: forming a solar cell substrate having one main surface and the other main surface and having a p-type surface and an n-type surface which are exposed on one region and another region in the one main surface, respectively; forming seed layers in an electrically separated state on the p-type surface and the n-type surface, respectively; and forming a plated film on the seed layer on each of the p-type surface and the n-type surface by an electrolytic plating method. | 07-18-2013 |
20130180586 | SOLAR CELL - A solar cell | 07-18-2013 |
20130186459 | BIFACIAL SOLAR CELL - A bifacial solar cell is discussed. The bifacial solar cell includes a substrate, a p | 07-25-2013 |
20130186460 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a solar cell includes following steps. A first-conductive-type silicon wafer is provided. The silicon wafer has a first (front) surface and a second (back) surface facing each other, and a plurality of nanorods are located on the first surface. A doping process is performed, so that the conductive type of the nanorods and the conductive type of one portion of the silicon wafer located below the nanorods are changed to a second conductive type. A first electrode is formed on the second surface, and a first annealing process is performed on the first electrode. A second electrode is formed on a partial region of the first surface. An atomic layer deposition process is performed to form a passivation layer on the first surface and surfaces of the nanorods. | 07-25-2013 |
20130186461 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A photoelectric device includes a substrate having a generation region and a non-generation region so that the non-generation region is adjacent to the generation region, at least one photoelectric conversion unit in the generation region, and at least one electrode in the non-generation region. The electrode includes an inclined side extending at an acute angle from the substrate. | 07-25-2013 |
20130186462 | TRANSPARENT ELECTROCONDUCTIVE SUBSTRATE FOR SOLAR CELL, METHOD FOR MANUFACTURING THE SUBSTRATE, AND SOLAR CELL USING THE SUBSTRATE - A transparent electroconductive substrate for a solar cell, comprising:
| 07-25-2013 |
20130186463 | CONDUCTIVE SILVER PASTE FOR A METAL-WRAP-THROUGH SILICON SOLAR CELL - A conductive silver via paste comprising particulate conductive silver, a lead-tellurium-lithium-titanium-oxide, titanium resinate and an organic vehicle is particularly useful in providing the metallization of the holes in the silicon wafers of MWT solar cells. The result is a metallic electrically conductive via between the collector lines on the front side and the emitter electrode on the back-side of the solar cell. The paste can also be used to form the collector lines on the front-side of the solar cell and the emitter electrode on the back-side of the solar cell. Also disclosed are metal-wrap-through silicon solar cells comprising the fired conductive silver paste. | 07-25-2013 |
20130186464 | BUFFER LAYER FOR IMPROVING THE PERFORMANCE AND STABILITY OF SURFACE PASSIVATION OF SILICON SOLAR CELLS - Embodiments of the present invention generally relate to the fabrication of solar cells and more specifically to a buffer layer for improving the performance and stability of surface passivation of Si solar cells. Generally, a passivation layer stack containing a buffer layer (interlayer) is formed on a surface of the silicon-based substrate. In one embodiment, the passivation layer stack may be formed on the back surface of the substrate. In another embodiment, the passivation layer stack is formed on the back surface of the substrate and a front emitter region (light receiving surface) of the substrate. | 07-25-2013 |
20130186465 | COUNTER ELECTRODE FOR DYE-SENSITIZED SOLAR CELL AND MANUFACTURING METHOD THEREOF - The invention relates to a counter electrode for a dye-sensitized solar cell and a manufacturing method thereof. The counter electrode comprises a conductive substrate and an acid doped polyaniline layer coated on at least one surface of the conductive substrate. The conductivity of the counter electrode is increased, the recombination probability of I | 07-25-2013 |
20130186466 | ANTI-REFLECTIVE ARTICLES WITH NANOSILICA-BASED COATINGS - Article comprising a transparent substrate having an anti-reflective, structured surface and a coating comprising a porous network of silica nanoparticles thereon, wherein the silica nanoparticles are bonded to adjacent silica nanoparticles. | 07-25-2013 |
20130192669 | PHOTOELECTRIC DEVICE - A photoelectric device, includes a first substrate, the first substrate having first grid electrodes and a light absorption layer disposed between neighboring first grid electrodes, and a second substrate, the second substrate facing the first substrate and having at least one second grid electrode that faces the light absorption layer. | 08-01-2013 |
20130192670 | ALUMINUM PASTE AND USE THEREOF IN THE PRODUCTION OF PASSIVATED EMITTER AND REAR CONTACT SILICON SOLAR CELLS - An aluminum paste having no or only poor fire-through capability and comprising particulate aluminum, an organic vehicle and at least one glass frit selected from the group consisting of lead-free glass frits containing 0.5 to 15 wt. % SiO | 08-01-2013 |
20130192671 | CONDUCTIVE METAL PASTE AND USE THEREOF - A conductive metal paste having no or only poor fire-through capability and including (a) particulate silver, (b) at least one lead-free glass frit including 0.5 to 15 wt. % SiO | 08-01-2013 |
20130192672 | PHOTOELECTRIC DEVICE - A photoelectric device including a first substrate including at least one light absorption layer and a first grid electrode thereon, the first grid electrode withdrawing light-generated carriers of the at least one light absorption layer; a second substrate facing the first substrate, the second substrate including a second grid electrode thereon; and a protection layer covering the first and second grid electrodes, wherein the protection layer includes a first sealing member on the first grid electrode and the second grid electrode, and a second sealing member on the first sealing member, the second sealing member including a vanadium-containing glass frit and the first sealing member including a material different from that of the second sealing member. | 08-01-2013 |
20130192673 | PROTECTIVE SHEET FOR SOLAR CELL MODULE, AND SOLAR CELL MODULE - A protective sheet for a solar cell module that includes a cycloolefin resin layer laminated to one surface of a substrate film with a polyolefin resin layer disposed therebetween, wherein the polyolefin resin layer and the cycloolefin resin layer are formed by co-extrusion molding. | 08-01-2013 |
20130199606 | METHODS OF MANUFACTURING BACK SURFACE FIELD AND METALLIZED CONTACTS ON A SOLAR CELL DEVICE - Embodiments of the present invention are directed to a process for making solar cells. In one embodiment, a method of manufacturing a solar cell device, includes providing a substrate having a first surface and a second surface, selectively disposing a first metal paste in a first pattern on the first surface of the substrate, forming a first dielectric layer over the first metal paste on the first surface of the substrate, forming a second metal paste in a second pattern over the first dielectric layer align with the first metal paste, and simultaneously heating the first and the second metal pastes disposed on the first surface of the substrate to form a first group of contacts on the first surface of the substrate, wherein at least a portion of the second metal paste forms the first group of contacts that each extend through the first dielectric layer to connect with the first metal paste to the first surface of the substrate. | 08-08-2013 |
20130199607 | HAIL RESISTANT PHOTOVOLTAIC MODULES - A photovoltaic module comprising a front sheet, a front encapsulant layer, at least one photoactive cell having a frontface and a backface, and a backing sheet, wherein the backface of the photoactive cell is in direct contact with the backing sheet. | 08-08-2013 |
20130199608 | METHOD FOR MANUFACTURING A PHOTOVOLTAIC CELL COMPRISING A TCO LAYER - A method for fabricating a photovoltaic device, including depositing a TCO-layer on a substrate and annealing the TCO layer by laser irradiation having irradiation parameters, wherein the irradiation parameters are selected such that the annealing includes increasing the haze % of the TCO layer compared to the as deposited TCO layer. Additionally, a TCO layer having a haze % of at least 2% in the visible light wavelength range and a surface roughness of less than 0 nanometer RMS, and a photovoltaic device including such TCO-layer. | 08-08-2013 |
20130199609 | Solar Cell Connector - A device to position solar cells on a vertical axis and provide structural support and act, optionally, as a means of electrical interconnect. | 08-08-2013 |
20130199610 | Process for Producing a Transparent Electrode, Method of Manufacturing a Photovoltaic Cell Array - A method can be used to produce a photovoltaic cell. A first transparent electrically conductive layer is deposited over the substrate. A metal oxide layer is deposited over a surface of the electrically conductive layer facing away from the substrate. The metal oxide layer is subdivided into a number of metal particles by a thermal decomposition. A second transparent electrically conductive layer is deposited over the metal particles. | 08-08-2013 |
20130206220 | Method For Generating Gridlines On Non-Square Substrates - A solar cell production method involves printing longer central gridlines and one or more pairs of shorter “side” gridlines such that end points of the two gridline sets form step patterns on octagonal (pseudo-square) substrates. A special printhead is used that includes a set of central nozzles which receive ink from a first valve by way of a first flow channel to print the longer central gridlines, and additional sets of side nozzles that receive ink from additional valves by way of additional flow channels to print the shorter “side” gridlines. The central nozzles have outlet orifices that offset in the process direction from side outlet orifices of the side nozzles. A start signal is simultaneously sent to the valves such that ink is substantially simultaneously extruded through both the central and side orifices, whereby the extruded ink produces gridline endpoints having the desired step pattern. | 08-15-2013 |
20130206221 | SOLAR CELL WITH METALLIZATION COMPENSATING FOR OR PREVENTING CRACKING - Solar cells comprise metallization patterns that compensate for or tend to prevent cracking of the solar cells that might otherwise reduce performance. | 08-15-2013 |
20130206222 | SOLAR CELL - A solar cell includes a substrate of a first conductive type, a plurality of first electrodes which are positioned on one surface of the substrate and extending in a first direction, an emitter region which is electrically connected to the first electrodes and is of a second conductive type opposite the first conductive type, a plurality of second electrodes which are positioned on another surface of the substrate and extending in the first direction, and a back surface field region including a plurality of first field regions which are locally formed at locations corresponding to the second electrodes in the same direction as the second electrodes and are electrically connected to the second electrodes. A distance between adjacent first field regions is less than a distance between adjacent second electrodes. | 08-15-2013 |
20130206223 | Solar Array of Transparent Nanoantennas - Implementations and techniques for solar arrays of transparent nanoantennas are generally disclosed. | 08-15-2013 |
20130206224 | Electronic Device Module Comprising Film of Homogeneous Polyolefin Copolymer and Adhesive Property Enhancing Graft Polymer - An electronic device module comprising:
| 08-15-2013 |
20130206225 | PHOTOVOLTAIC CELLS HAVING ELECTRICAL CONTACTS FORMED FROM METAL NANOPARTICLES AND METHODS FOR PRODUCTION THEREOF - Photovoltaic cells having copper contacts can be made by using copper nanoparticles during their fabrication. Such photovoltaic cells can include a copper-based current collector located on a semiconductor substrate having an n-doped region and a p-doped region. The semiconductor substrate is configured for receipt of electromagnetic radiation and generation of an electrical current therefrom. The copper-based current collector includes an electrically conductive diffusion barrier disposed on the semiconductor substrate and a copper contact disposed on the electrically conductive diffusion barrier. The copper contact is formed from copper nanoparticles that have been at least partially fused together. The electrically conductive diffusion barrier limits the passage of copper therethrough. | 08-15-2013 |
20130206226 | Back Contacted Photovoltaic Cell with an Improved Shunt Resistance - The invention relates to a photovoltaic cell, comprising a plate shaped substrate of a semiconductor material with a solar face and a connection face, a first volume of the substrate adjacent to the solar face is doted with a first polarity, the second volume is doted with a second polarity and the volumes are separated by a pn-junction, a number of apertures in the substrate extending between both faces and in which a plug has been positioned of which a part is conducting, contact tracks at the solar face of the substrate connected with the first volume and the conducting part of the plug, first contacts at the connection face of the substrate connected with the conducting part of the plug and second contacts located at the connection face of the substrate connected with the second volume, wherein the specific electrical conductivity of the plug decreases from its centre to the contact face with the substrate. | 08-15-2013 |
20130206227 | TRANSPARENT CONDUCTIVE FILM, METHOD OF PRODUCING THE SAME, PHOTOELECTRIC CONVERSION APPARATUS, AND ELECTRONIC APPARATUS - [Object] To provide a transparent conductive film that has sufficiently low sheet resistance and a sufficiently high visible light transmittance, is capable of securing high conductivity on an entire surface thereof, and has excellent corrosion resistance to an electrolyte solution, a method of producing the transparent conductive film, and a photoelectric conversion apparatus and an electronic apparatus using the transparent conductive film. | 08-15-2013 |
20130206228 | SOLAR CELL - A connection part for connecting an interconnector is separated from a region having a photoelectric conversion layer formed thereon to improve a strength of the connection pad, thereby provide a solar cell suppressing cracks, breaks, and the like. A solar cell includes a photoelectric conversion layer, an electrode pad formed on the photoelectric conversion layer, an interconnector connected to the electrode pad, a metal thin film formed under the photoelectric conversion layer, a relay terminal being spaced apart from the photoelectric conversion layer and the metal thin film and connected to the metal thin film by connection conductor, and a connection pad formed on the relay terminal. | 08-15-2013 |
20130213464 | CONDUCTIVE FILM SUBSTRATE, PHOTOVOLTAIC CELL HAVING THE SAME, AND METHOD OF MANUFACTURING THE SAME - A conductive film substrate, a photovoltaic cell having the same, and a method of manufacturing the same. The conductive film substrate includes a base substrate and a transparent conductive film formed on the base substrate. The transparent conductive film is a zinc oxide thin film which has first texture structures and second texture structures concurrently formed on a surface thereof. The second texture structures are smaller than the first texture structures. | 08-22-2013 |
20130213465 | Oxide Thin Film Substrate, Method Of Manufacturing The Same, And Photovoltaic Cell And Organic Light-Emitting Device Including The Same - An oxide thin film substrate which has a high haze value, a method of manufacturing the same, and a photovoltaic cell and organic light-emitting device including the same. The oxide thin film substrate includes a base substrate having a first texture on the surface thereof and a transparent oxide thin film formed on the base substrate. The transparent oxide thin film has a second texture on the surface thereof. | 08-22-2013 |
20130213466 | METHOD OF MANUFACTURING SOLAR CELL, AND SOLAR CELL - A method of manufacturing a solar cell includes forming an emitter layer on a light-receiving surface side of a substrate for a solar cell, forming an antireflection film, patterned so as to expose a part of the light-receiving surface of the substrate, on the substrate, forming a contact region by implanting an impurity to the exposed part by using the antireflection film as a mask, and forming a light-receiving surface electrode on the contact region. | 08-22-2013 |
20130213467 | PRODUCTION OF MICROHOLES - A method and apparatus for producing a multiplicity of holes in thin sheet-like workpieces of dielectric material or semiconductors is provided. The perforation points are marked by HF coupling points and caused to soften using HF energy in order to obtain dielectric breakdowns. The breakdowns are then widened into holes. | 08-22-2013 |
20130213468 | COATING COMPOSITION FOR PROTECTION COVER OF SOLAR CELL - There is provided a protection cover of solar cell having processability at room temperature, solvent resistance, weather resistance and durability without impairing its transparency, and also a curable fluorine-containing coating composition being capable of forming the protection cover. The curable fluorine-containing coating composition for a protection cover of solar cell comprises (A) a curable fluorine-containing resin, (B) a curing agent and (C) a solvent and is used for forming a top coat layer (III) of a cured article comprising the fluorine-containing resin, in which the top coat layer is provided, directly or via a primer layer (IV), on a transparent resin layer (II) provided on the sunlight irradiation side of a solar cell module (I). | 08-22-2013 |
20130213469 | HIGH EFFICIENCY SOLAR CELL STRUCTURES AND MANUFACTURING METHODS - Fabrication methods and structures relating to multi-level metallization for solar cells as well as fabrication methods and structures for forming back contact solar cells are provided. | 08-22-2013 |
20130213470 | SOLAR CELL AND METHOD FOR MANUFACTURING SAME - The invention provides a solar cell and a method for manufacturing same. The solar cell contains a carbon structure layer; a microstructure formed on the carbon structure layer; and a charge separation layer which includes a charge separation junction part and which is formed on the surface of the microstructure. | 08-22-2013 |
20130213471 | ENCAPSULATING MATERIAL FOR SOLAR CELL AND SOLAR CELL MODULE - An encapsulating material for solar cell excellent in a balance among properties including transparency, flexibility, adhesiveness, heat resistance, appearance, crosslinking properties, electrical properties and calender moldability. The encapsulating material includes an ethylene/α-olefin copolymer satisfying the following requirements: (a1) the content ratio of structural units derived from ethylene is from 80 to 90 mol % and the content ratio of structural units derived from α-olefin having 3 to 20 carbon atoms is from 10 to 20 mol %; (a2) MFR is equal to or more than 2 g/10 minutes and less than 10 g/10 minutes as measured under the conditions of a temperature of 190 degrees centigrade and a load of 2.16 kg in accordance with ASTM D1238; (a3) the density is from 0.865 to 0.884 g/cm | 08-22-2013 |
20130220410 | Precursors for Photovoltaic Passivation - Deposition methods are disclosed for producing a passivation layer on a photovoltaic cell. Method includes depositing a passivation layer comprising at least a bi-layer further comprising a silicon oxide and a silicon nitride layer. In one aspect, the silicon precursor(s) used for the deposition of the silicon oxide layer or the silicon nitride layer, respectively, is selected from the family SiR | 08-29-2013 |
20130220411 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell according to an embodiment of the invention includes a substrate of a first conductive type, an emitter region of a second conductive type opposite the first conductive type, which is positioned at the substrate, an anti-reflection layer including a first opening exposing the emitter region and a plurality of second openings which expose the emitter region and are separated from one another, a first electrode which is positioned on a first portion of the emitter region exposed through the first opening and is connected to the first portion, a first bus bar which is positioned on a second portion of the emitter region exposed through the plurality of second openings and is connected to the second portion and the first electrode, and a second electrode which is positioned on the substrate and is connected to the substrate. | 08-29-2013 |
20130220412 | TRANSPARENT ELECTRODES FOR SEMICONDUCTOR THIN FILM DEVICES - A method of producing a transparent electrode suitable for use in an organic semiconductor photovoltaic device. First and second silanes ( | 08-29-2013 |
20130220413 | Plasmonic Structures, Methods for Making Plasmonic Structures, and Devices Including Them - The present invention relates generally to plasmonic structures, methods for making them, and devices including them. In one aspect, a plasmonic structure includes a plurality of metal particles disposed on a substrate; and one or more metal structures electrically coupled to and disposed on a surface of each of the plurality of metal particles. The metal structures have a structure that is different than the structure of the metal particles. The metal structures can be grown, for example, by electrodeposition on the metal particles. Growth of such metal structures can tune the response of the plasmonic structure. | 08-29-2013 |
20130220414 | BACK ELECTRODE TYPE SOLAR CELL - There is provided a back electrode type solar cell ( | 08-29-2013 |
20130220415 | CONJUGATED POLYMER CONTAINING ISOINDIGO UNITS, PREPARATION METHOD AND USE THEREOF - A conjugated polymer containing isoindigo units is disclosed, which has the following structure: P: formula I; wherein, Ar is formula II, formula III or formula IV; R | 08-29-2013 |
20130228218 | THIN FILM TYPE SOLAR CELL AND FABRICATION METHOD THEREOF - A method of fabricating a solar cell includes forming a doped portion having a first conductive type on a semiconductor substrate, growing an oxide layer on the semiconductor substrate, forming a plurality of recess portions in the oxide layer, further growing the oxide layer on the semiconductor substrate, forming a doped portion having a second conductive type on areas of the semiconductor substrate corresponding to the recess portions, forming a first conductive electrode electrically coupled to the doped portion having the first conductive type, and forming a second conductive electrode on the semiconductor substrate and electrically coupled to the doped portion having the second conductive type, wherein a gap between the doped portions having the first and second conductive types corresponds to a width of the oxide layer formed by further growing the oxide layer. | 09-05-2013 |
20130228219 | SOLAR CELL, AND METHOD OF MANUFACTURING THE SAME - A first electrode layer ( | 09-05-2013 |
20130228220 | METHOD FOR THE WET-CHEMICAL ETCHING OF A HIGHLY DOPED SEMICONDUCTOR LAYER - A method for the wet-chemical etching of a silicon layer in an alkaline etching solution is provided, where the silicon layer is the surface region of a solar cell emitter. The method ensures that the surface region of the emitter is etched-back homogeneously using an oxidant-free alkaline etching solution comprising at least one organic moderator is used for the isotropic etching back of the surface region of the emitter, where the moderator has a dopant concentration of at least 10 | 09-05-2013 |
20130228221 | MANUFACTURING METHODS AND STRUCTURES FOR LARGE-AREA THIN-FILM SOLAR CELLS AND OTHER SEMICONDUCTOR DEVICES - Fabrication methods and structures relating to multi-level metallization for solar cells as well as fabrication methods and structures for forming back contact solar cells are provided. | 09-05-2013 |
20130233378 | HIGH-EFFICIENCY PHOTOVOLTAIC BACK-CONTACT SOLAR CELL STRUCTURES AND MANUFACTURING METHODS USING SEMICONDUCTOR WAFERS - A back contact back junction solar cell using semiconductor wafers and methods for manufacturing are provided. The back contact back junction solar cell comprises a semiconductor wafer having a doped base region, a light capturing frontside surface, and a doped backside emitter region. A frontside and backside dielectric layer and passivation layer provide enhance light trapping and internal reflection. Backside base and emitter contacts are connected to metal interconnects forming a metallization pattern of interdigitated fingers and busbars on the backside of the solar cell. | 09-12-2013 |
20130233379 | PATTERNED ALUMINUM BACK CONTACTS FOR REAR PASSIVATION - Embodiments of the invention generally relate to solar cells having reduced carrier recombination and methods of forming the same. The solar cells have eutectic local contacts and passivation layers which reduce recombination by facilitating formation of a back surface field (BSF). A patterned aluminum back contact is disposed on the passivation layer for removing current form the solar cell. The patterned back contact reduces the cost-per-watt of the solar cell by using less material than a full-surface back contact. The methods of forming the solar cells include depositing a passivation layer including aluminum oxide and silicon nitride on a back surface of a solar cell, and then forming openings through the passivation layer. A patterned aluminum back contact is disposed on the passivation layer over the holes, and thermally processed to form a silicon-aluminum eutectic within the openings. | 09-12-2013 |
20130233380 | PHOTOVOLTAIC DEVICE AND METHOD OF MANUFACTURE - A photovoltaic module including a dielectric tunneling layer and methods of forming a photovoltaic module with a dielectric tunneling layer. | 09-12-2013 |
20130233381 | POLYIMIDE RESIN COMPOSITION FOR USE IN FORMING INSULATION FILM IN PHOTOVOLTAIC CELL AND METHOD OF FORMING INSULATION FILM IN PHOTOVOLTAIC CELL USED THEREWITH - Disclosed is a polyimide resin composition for forming an insulation layer in a solar cell, which has an optimal rheological characteristics for screen printing and the like, which has an improved wetting property with various coating substrates, by which continuous printing of 500 times or more can be attained, with which blisters, cissing and pinholes are not generated after printing and drying or during drying or curing, which can coat a predetermined area. A method of forming an insulation layer in a solar cell and a solar cell having the insulation layer formed by this method are also disclosed. The polyimide resin composition for forming an insulation layer in a solar cell contains a mixed solvent of a first organic solvent (A) and a second organic solvent (B); and a heat-resistant polyimide resin having at least one group selected from the group consisting of alkyl groups and perfluoroalkyl groups in recurring units, and having thixotropic property, the polyimide resin being dissolved in the mixed solvent. | 09-12-2013 |
20130233382 | PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device, which includes, on a substrate, a layered structure of a conductive layer formed by a transition metal element, a photoelectric conversion layer formed by a compound semiconductor containing a group Ib element, a group IIIb element and a group VIb element, and a transparent electrode, further includes a transition metal dichalcogenide thin film formed by the transition metal element and the group VIb element between the conductive layer and the photoelectric conversion layer. 80% or less of lot of crystallites forming the transition metal dichalcogenide thin film and occupying the surface of the conductive layer, on which the thin film is formed, have the c-axes thereof oriented substantially perpendicular to the surface of the conductive layer. | 09-12-2013 |
20130240030 | METHOD FOR FABRICATING THIN PHOTOVOLTAIC CELLS - A method for fabricating thin crystalline photovoltaic cells is disclosed. In one aspect, the method includes: forming a weakening layer in a surface portion of a semiconductor substrate; epitaxially growing a stack of semiconductor layers on the substrate for forming an active layer of the photovoltaic cell, the stack having a first thermal coefficient of expansion; providing on the stack patterned contact layer for forming electrical contacts of the photovoltaic cell, the patterned contact layer having a second thermal coefficient of expansion different from the first thermal coefficient of expansion. The process of providing a patterned contact layer simultaneously induces a tensile stress in the weakening layer, resulting in a lift-off from the substrate of a structure including the stack of semiconductor layers and the patterned contact layer. | 09-19-2013 |
20130240031 | SOLAR CELL - A solar cell includes a semiconductor substrate of a first conductive type, an emitter region having a second conductive type different from the first conductive type and positioned at a first surface of the semiconductor substrate, a semiconductor region positioned directly on a second surface opposite the first surface of the semiconductor substrate, forming a charge accumulation layer on the second surface of the semiconductor substrate, and containing impurities of the first conductive type, a first electrode positioned on the first surface of the semiconductor substrate and coupled to the emitter region, and a second electrode positioned on the second surface of the semiconductor substrate and coupled to the semiconductor substrate. | 09-19-2013 |
20130240032 | Dye-Sensitized Solar Cell Using Nitrogen Doped Carbon-Nano-Tube and Method for Manufacturing the Same - Provided are a dye-sensitized solar cell and a method for manufacturing the dye-sensitized solar cell using a carbon nanotube (CN | 09-19-2013 |
20130240033 | METHOD FOR PRODUCING COUNTER ELECTRODE BASED ON ELECTROPHORETIC DEPOSITION OF GRAPHENE, COUNTER ELECTRODE PRODUCED BY THE METHOD AND DYE-SENSITIZED SOLAR CELL INCLUDING THE COUNTER ELECTRODE - Disclosed is a method for producing a counter electrode based on electrophoretic deposition of graphene. The method includes: adding graphene to a dispersion medium to prepare a graphene dispersion; dipping a transparent electrode in the graphene dispersion and applying a voltage to the transparent electrode for 5 seconds to 5 minutes to deposit the graphene on the transparent electrode; and annealing the graphene-adsorbed transparent electrode at 350 to 600° C. under a nitrogen atmosphere. Also disclosed are a counter electrode produced by the method and a dye-sensitized solar cell including the counter electrode. | 09-19-2013 |
20130240034 | BACK SHEET FOR SOLAR CELL AND PROCESS FOR PRODUCTION THEREOF, AND SOLAR CELL MODULE - The present invention provides a back sheet for a solar cell, the back sheet including a polymer base and a polymer layer that is disposed by coating on at least one surface of the polymer base material, the polymer layer including an amorphous colloidal silica and, as a binder, at least one of a polymer selected from a fluorine-based polymer or a silicone-based polymer, the polymer formed by coating having excellent uniformity and the back sheet having superior weather resistance compared to conventional back sheets. | 09-19-2013 |
20130247967 | GASEOUS OZONE (O3) TREATMENT FOR SOLAR CELL FABRICATION - Methods of fabricating solar cells and apparatuses for fabricating solar cells are described. In an example, a method of fabricating a solar cell includes treating a light-receiving surface of a substrate with a gaseous ozone (O | 09-26-2013 |
20130247968 | PHOTOELECTRIC DEVICE - A photoelectric device includes first and second substrates facing each other, a separator between the first and second substrates and having a plurality of openings such that opposite first and second surfaces of the separator are fluidly connected to each other, and first and second electrodes on the first and second surfaces of the separator, respectively, wherein the first and second electrodes are fluidly connected to the openings of the separator. | 09-26-2013 |
20130247969 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell includes a rear electrode layer on a substrate and divided into a plurality of portions by a first separation groove, a light absorption layer and a buffer layer on the rear electrode layer and divided into a plurality of portions by a second separation groove parallel to the first separation groove, a translucent electrode layer on the buffer layer and divided into a plurality of portions by a third separation groove parallel to the first and second separation grooves, a light transmission unit exposing a portion of the substrate and defined by an opening through the rear electrode layer, the light absorption layer, the buffer layer, and the translucent electrode layer, and first and second insulation grooves at respective first and second sides of the light transmission unit, the first and second insulation grooves being perpendicular to the first through third separation grooves. | 09-26-2013 |
20130247970 | SOLAR CELL AND METHOD FOR MANUFACTURING SOLAR CELL - A solar cell includes semiconductor substrate of a first conductivity type; first semiconductor layer having a first conductivity type; second semiconductor layer having a second conductivity type; first electrode; second electrode; and insulating layer. First semiconductor layer and second semiconductor layer are formed on rear surface. When one end portion of insulating layer which is formed on first semiconductor layer and which is on a side close to first electrode is defined as first insulating-layer end portion and another end portion of insulating layer on a side close to second electrode is defined as second insulating-layer end portion in arrangement direction x, a distance from end point of second-semiconductor-layer end portion in contact with rear surface to second insulating-layer end portion in arrangement direction x is shorter than a distance from end point to first insulating-layer end portion in arrangement direction x. | 09-26-2013 |
20130247971 | Oxygen Containing Precursors for Photovoltaic Passivation - Methods for depositing a passivation layer on a photovoltaic cell are disclosed. Methods include depositing a passivation layer comprising at least a bi-layer further comprising a silicon oxide and a silicon nitride layer. The silicon precursor(s) used for the deposition of the silicon oxide layer or the silicon nitride layer, respectively, is selected from the family of Si(OR | 09-26-2013 |
20130247972 | PASSIVATION FILM STACK FOR SILICON-BASED SOLAR CELLS - Methods of forming a passivation film stack on a surface of a silicon-based substrate are provided. In one embodiment, the passivation film stack includes a silicon nitride layer and an aluminum oxide layer disposed between the silicon nitride layer and the silicon-based substrate. The aluminum oxide layer is deposited such that the aluminum oxide layer has a low hydrogen (H) content less than about 17 atomic % and a mass density greater than about 2.5 g/cm | 09-26-2013 |
20130247973 | NANO-OPTIC REFRACTIVE OPTICS - A vertical dipole array structure includes a substrate that supports a film, which is not comprised of a negative-index metamaterial. The film includes a plurality of tilt-oriented portions and apertures. At least two of the tilt-oriented portions are separated by an aperture, and the tilt-oriented portions are configured such that incident radiation is redirected into a negative or positive refraction direction. | 09-26-2013 |
20130247974 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - Disclosed is a solar cell having a silicon monocrystal substrate surface with a textured structure and, near the surface of said substrate, a damage layer reflecting the slice processing history from the time of manufacture of the silicon monocrystal substrate. The damage layer near the surface of the silicon monocrystal substrate is derived from the slice processing history at the time of manufacture of the substrate and functions as a gettering site, contributing to a longer lifetime of the substrate minority carriers. Thanks to this effect, the solar cell characteristics are dramatically increased. Further, new damage need be inflicted, and no additional work is required because damage from the slicing is used. | 09-26-2013 |
20130247975 | SOLAR CELL - A solar cell includes a semiconductor layer including a charge carrier produced therein upon exposure to light, and a passivation layer on a side of the semiconductor layer, the passivation layer configured to apply a stress to the semiconductor layer and change a mobility of the charge carrier into a direction in the semiconductor layer. | 09-26-2013 |
20130247976 | SOLAR CELL - A solar cell includes: a substrate having a front surface and a back surface; a dielectric layer disposed on the back surface and having at least two through holes to expose the back surface; at least two first electrode layers formed on the dielectric layer and respectively filling in the through holes to contact the substrate; at least one second electrode layer entirely formed on the dielectric layer and disposed between the first electrode layers; at least one space disposed on the dielectric layer; and at least one third electrode layer filled in the space to interconnect the second electrode layer and one of the first electrode layers. | 09-26-2013 |
20130247977 | METAL FOIL PATTERN LAMINATE, METHOD FOR PUNCHING METAL FOIL, CIRCUIT BOARD, METHOD FOR MANUFACTURING SAME, AND SOLAR CELL MODULE - A method of manufacturing a circuit board includes: forming a plurality of metal electrodes so as to be separated from each other on a holding sheet by cutting a metal foil held on the holding sheet to remove a portion of the metal foil; forming adhesive layers on surfaces of the plurality of metal electrodes; adhering the adhesive layers to a base material by closely contacting the adhesive layers with the base material; and transcribing the adhesive layers and the plurality of metal electrodes onto the base material by detaching the holding sheet from the plurality of metal electrodes. | 09-26-2013 |
20130247978 | TITANIUM DIOXIDE NANOPARTICLES FOR FABRICATING PHOTO-ELECTRODE FOR EFFICIENT, LONGLASTING DYE-SENSITIZED SOLAR CELL AND FABRICATION METHOD THEREOF - It is disclosed that a photo-electrode of a dye-sensitized solar cell comprising faceted anatase-type titania nanoparticles which adequate for fabricating a photo-electrode of a dye-sensitized solar cell which is efficient and longlasting and a fabrication method thereof. The titania nanoparticles can provide high photoelectric conversion efficiency of the solar cell with help of fast electron mobility due to its high crystallinity and can reduce process time required for adsorbing the dye molecules on the surface of the titania nanoparticles. | 09-26-2013 |
20130247979 | NEW ELECTRICAL CONDUCTOR FOR ATTACHING SILICON WAFERS IN PHOTOVOLTAIC MODULES - The invention relates to an electrical conductor ( | 09-26-2013 |
20130247980 | METHOD FOR FABRICATING BACK ELECTRODE TYPE SOLAR CELL, AND BACK ELECTRODE TYPE SOLAR CELL - A method for fabricating a back electrode type solar cell include the steps of applying a solution including a compound containing first conductivity type impurities, titanium alkoxide, and alcohol to a surface of a first conductivity type silicon substrate, and forming a light-receiving face diffusion layer and an anti-reflection film by subjecting the solution to heat treatment in a nitrogen atmosphere. There is also provided a back electrode type solar cell including a light-receiving face diffusion layer having a sheet resistance greater than or equal to 100 Ω/ and less than 250 Ω/. | 09-26-2013 |
20130255760 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell includes a substrate, a rear electrode layer on the substrate, the rear electrode layer is divided by a first pattern unit, a light absorption layer on the rear electrode layer, the light absorption layer is divided by a second pattern unit that is spaced apart from the first pattern unit, a translucent electrode layer on the light absorption layer, the translucent electrode layer is divided by a third pattern unit that is spaced apart from the first and second pattern units, and a light transmission unit that extends through the rear electrode layer and the light absorption layer. The light transmission unit is between the second pattern unit and the third pattern unit. | 10-03-2013 |
20130255761 | ELECTRODE AND DYE-SENSITIZED SOLAR CELL - A working electrode and dye sensitized solar (DSSC) cell having working electrode where the working electrode includes a porous metal foil conductor and a particulate metal oxide layer on the side of the foil for facing incident light and process for preparing the electrode and | 10-03-2013 |
20130255762 | PASSIVATION LAYER FOR SOLAR CELLS AND METHOD FOR MANUFACTURING THE SAME - The present invention pertains to a passivation layer disposed on a surface of a substrate for use in solar cells, including a first passivation layer formed on the substrate by screen printing. The present invention also pertains to a method for preparing the passivation layer structure. The method according to the present invention can prepare a passivation layer on a surface of a semiconductor substrate by screen printing, and during the screen printing, a patterning step can be performed simultaneously. Therefore, the present invention is able to produce the passivation layer in a more economic and faster manner. | 10-03-2013 |
20130255763 | CARBON ELECTRODE, CARBON ELECTRODE PRODUCTION METHOD, AND PHOTOELECTRIC CONVERSION DEVICE - A carbon electrode of an embodiment includes: a graphene having a graphene skeleton, carbon atoms in the graphene skeleton being partially substituted by a nitrogen atom, wherein the graphene contains an oxygen atom, and the carbon electrode is doped with a cation. | 10-03-2013 |
20130255764 | STACKED ELECTRODE, STACKED ELECTRODE PRODUCTION METHOD, AND PHOTOELECTRIC CONVERSION DEVICE - A stacked electrode of an embodiment includes: a multi-layered graphene film and a metal wiring formed thereon, wherein the metal wiring contains randomly oriented metal nanowires, the multi-layered graphene film contains a laminate of graphene sheets, the graphene sheets each contain an aggregate of graphene plates, and the graphene plates have an average area of (A+B) | 10-03-2013 |
20130255765 | DOPED AI PASTE FOR LOCAL ALLOYED JUNCTION FORMATION WITH LOW CONTACT RESISTANCE - Embodiments of the invention generally relate to solar cells having reduced carrier recombination and methods of forming the same. The solar cells have eutectic local contacts and passivation layers which reduce recombination by facilitating formation of a back surface field (BSF). A patterned aluminum back contact doped with a Group III element is disposed on the passivation layer for removing current form the solar cell. The methods of forming the solar cells include depositing a passivation layer including aluminum oxide and silicon nitride on a back surface of a solar cell, and then forming openings through the passivation layer. An aluminum back contact doped with a Group III element is disposed on the passivation layer in a pattern covering the holes, and thermally processed to form a silicon-aluminum eutectic within the openings. | 10-03-2013 |
20130255766 | CONDUCTIVE PASTE COMPOSITIONS AND SOLAR CELLS USING THE SAME - The present inventive concepts provide a conductive paste composition including conductive particles, a thickening agent, a dispersing agent, a thixotropic agent, an organic solvent, and glass frit, wherein the conductive paste composition has a thixotropic index of about 2 to 7 and a viscosity of about 50,000 to 300,000 cps at a temperature of 25° C. | 10-03-2013 |
20130255767 | THICK-FILM PASTES CONTAINING LEAD- AND TELLURIUM-OXIDES, AND THEIR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention provides a thick-film paste for printing the front-side of a solar cell device having one or more insulating layers. The thick film paste comprises an electrically conductive metal, and a lead-tellurium-oxide dispersed in an organic medium. | 10-03-2013 |
20130255768 | THICK-FILM PASTES CONTAINING LEAD-TELLURIUM-BORON-OXIDES, AND THEIR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention provides a thick-film paste for printing the front side of a solar cell device having one or more insulating layers. The thick-film paste comprises an electrically conductive metal and a lead-tellurium-boron-oxide dispersed in an organic medium. | 10-03-2013 |
20130255769 | THICK-FILM PASTES CONTAINING LEAD-TELLURIUM-LITHIUM-TITANIUM-OXIDES, AND THEIR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention provides a thick-film paste for printing the front side of a solar cell device having one or more insulating layers. The thick film paste comprises an electrically conductive metal, and a lead-tellurium-lithium-titanium-oxide dispersed in an organic medium. | 10-03-2013 |
20130255770 | THICK-FILM PASTES CONTAINING LEAD-TELLURIUM-LITHIUM- OXIDES, AND THEIR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention provides a thick-film paste for printing the front side of a solar cell device having one or more insulating layers. The thick film paste comprises an electrically conductive metal, and a lead-tellurium-lithium-oxide dispersed in an organic medium. | 10-03-2013 |
20130255771 | Collector grid and interconnect structures for photovoltaic arrays and modules - A interconnected arrangement of photovoltaic cells is readily and efficiently achieved by using a unique interconnecting strap. The strap comprises electrically conductive fingers which contact the top light incident surface of a first cell and extend to an interconnect region of the strap. The interconnect region may include through holes which allow electrical communication between top and bottom surfaces of the interconnect region. In one embodiment, the electrically conductive surface of the fingers is in electrical communication with an electrically conductive surface formed on the opposite side of the strap through the through holes of the interconnect region. The interconnection strap may comprise a laminating film to facilitate manufacture and assembly of the interconnected arrangement. | 10-03-2013 |
20130255772 | METHOD FOR THE WET-CHEMICAL ETCHING BACK OF A SOLAR CELL EMITTER - A method for the wet-chemical etching of a highly doped silicon layer in an etching solution is provided. The method includes using, as an etching solution so as to perform etching homogeneously, an HF-containing etching solution containing at least one oxidizing agent selected from the group of peroxodisulfates, peroxomonosulfates, and hydrogen peroxide. | 10-03-2013 |
20130255773 | PHOTOVOLTAIC CELL AND METHODS FOR MANUFACTURE - A material is manufactured from a single piece of semiconductor material. The material manufactured includes a top layer of a semiconductor compound and a bottom layer of a semiconductor bulk. The material may also have an intrinsic semiconductor layer. The material is created from a transformative process on the single-piece semiconductor material caused by heating a semiconductor material having an impurity under particular conditions. The material manufactured exhibits photovoltaic properties because the layers formed during the transformative process create a p-i-n, a p-n, or an n-n junction having a band-gap difference between the n-type layers. | 10-03-2013 |
20130255774 | PHOTOVOLTAIC CELL AND PROCESS OF MANUFACTURE - A material is manufactured from a single piece of semiconductor material. The semiconductor material can be an n-type semiconductor. Such a manufactured material may have a top layer with a crystalline structure, transitioning into a transition layer, further transitioning into an intermediate layer, and further transitioning to the bulk substrate layer. The orientation of the crystalline pores of the crystalline structure align in layers of the material. The transition layer or intermediate layer includes a material that is substantially equivalent to intrinsic semiconductor. Also described is a method for manufacturing a material from a single piece of semiconductor material by exposing a top surface to an energy source until the transformation of the top surface occurs, while the bulk of the material remains unaltered. The material may exhibit photovoltaic properties. | 10-03-2013 |
20130255775 | WIDE BAND GAP PHOTOVOLTAIC DEVICE AND PROCESS OF MANUFACTURE - A wide band gap, heterojunction photovoltaic material comprises a bulk layer, a high-resistivity layer and a microcrystalline silicon carbide layer. The heterojunction semiconductor material is formed by heating a single-piece semiconductor material to form a high-resistivity layer over a bulk layer, the high-resistivity layer having SiC seed crystals at the top surface. A layer of SiC is sputtered over the high-resistivity layer, and the structure is annealed. The annealing and the SiC seed crystals causes the sputtered SiC layer to convert into a microcrystalline β-SiC layer. When the layer of SiC is sputtered using a p-type SiC target, a p-type SiC layer is formed over the high-resistivity layer. The heterojunction material may exhibit photovoltaic properties. Applications include forming a photovoltaic device with the heterojunction material. | 10-03-2013 |
20130255776 | THIN-FILM SOLAR CELL MODULE AND METHOD FOR MANUFACTURING THIN-FILM SOLAR CELL MODULE - A thin-film solar cell module, including: a thin-film solar cell including an electrode; a tab line; and an adhesive layer, wherein the tab line includes convex portions only on one surface thereof, wherein the adhesive layer is disposed only on the one surface of the tab line including the convex portions, and wherein the electrode and the one surface of the tab line including the convex portions are adhered and electrically connected via the adhesive layer. | 10-03-2013 |
20130263921 | Electronic Device and Corrosion Resistant Electrode Stack Therein - Disclosed and claimed herein is an electrode stack having a first electrode, an electrolyte comprising a REDOX couple, a conductive layer disposed on a substrate, wherein the conductive layer is a flaked conductor, and a binder and wherein the conductor is chosen to resist corrosion in the presence of the electrolyte. | 10-10-2013 |
20130263922 | BACK SHEET FOR SOLAR CELLS AND METHOD FOR PREPARING THE SAME - Provided is a back sheet for solar cells including a substrate, a fluororesin layer existing on one side of the substrate and a heat-dissipating ink layer existing on the other side of the substrate. Provided also is a method for preparing the same. The back sheet for solar cells may have an excellent heat dissipation property as well as a high durability. Further, the method for preparing the same may allow a cost-efficient production of solar cells. | 10-10-2013 |
20130269764 | Back Contact Work Function Modification for Increasing CZTSSe Thin Film Photovoltaic Efficiency - Techniques for increasing conversion efficiency of thin film photovoltaic devices through back contact work function modification are provided. In one aspect, a photovoltaic device is provided having a substrate; a back contact on the substrate, wherein at least a portion of the back contact has a work function of greater than about 4.5 electron volts; an absorber layer on a side of the back contact opposite the substrate; a buffer layer on a side of the absorber layer opposite the back contact; and a top electrode on a side of the buffer layer opposite the absorber layer. The absorber layer preferably has thickness that is less than a depletion width+an accumulation width+a carrier diffusion length. | 10-17-2013 |
20130269765 | BIDIRECTIONAL COLOR EMBODIMENT THIN FILM SILICON SOLAR CELL - Provided is a thin film silicon solar cell. The thin film silicon solar cell includes a light absorbing layer, a front transparent electrode disposed on one surface of the light absorbing layer to emit light having a first color, and a rear transparent electrode disposed on the other surface of the light absorbing layer to emit light having a second color. | 10-17-2013 |
20130269766 | INVERTED ORGANIC SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - An inverted organic solar cell including a fiber type substrate, a cathode layer formed on the fiber type substrate, an electron transport layer comprising nanorods formed on the cathode layer, a photoactive layer formed on the electron transport layer, a hole transport layer formed on the photoactive layer, and an anode layer formed on the hole transport layer. | 10-17-2013 |
20130269767 | METHOD OF COATING A SUBSTRATE FOR MANUFACTURING A SOLAR CELL - The invention relates to a method of coating a substrate for manufacturing a solar cell in a deposition environment, the method comprising the steps of: a) Depositing a first zinc oxide layer onto a substrate. Reducing a zinc precursor content in the deposition environment, c) Treating the first zinc oxide layer with a mixture of diborane and water to form a plurality of coating seeds on the surface of the first zinc oxide layer, and d) Depositing a second zinc oxide layer onto the first zinc oxide layer. The method according to the invention allows improving the material quality of silicon layers which may later be grown on such a substrate. Additionally, the light scattering and subsequent light trapping in a respective solar cell may be enhanced by a method according to the invention. The present invention further relates to a solar cell being manufactured according to the invention. | 10-17-2013 |
20130269768 | Method and an Apparatus for Producing a Solar Cell Module and a Solar Cell Module - A method for producing a continuous film of at least one solar cell module comprises the steps of depositing ( | 10-17-2013 |
20130269769 | TRANSPARENT GLASS PANE PROVIDED WITH A SURFACE STRUCTURE - A method of manufacturing a transparent pane, in particular a glass pane, which includes on at least one of its main surfaces a surface structure including an assembly of specified individual motifs in relief, in particular pyramids, cones, or truncated cones, created by embossing or by rolling. A structure is created on the surface of the pane constituted by individual motifs, based on one or more basic motifs but which are distinguished from each other by their depth, their height, and/or the perimeter of their base area, and/or by the position of their peak with respect to their base. With this variation, formation of intensity peaks of the reflected light is prevented and at the same time a high quality of light trapping is obtained by panes suitable, for example, for solar applications. | 10-17-2013 |
20130269770 | CARBON NANOTUBE TRANSPARENT FILMS - The present disclosure generally relates to conductive films and methods for forming conductive films. In some examples, a substrate may be provided having a dispersion of silica nanoparticles provided on a surface thereof. Carbon nanotubes may be adhered to the dispersion of silica nanoparticles on the surface of the substrate to provide the conductive film on the substrate. | 10-17-2013 |
20130269771 | SOLAR CELL - A solar cell includes a substrate of a first conductive type, an emitter region which is positioned at a first surface of the substrate and has a second conductive type different from the first conductive type, a first surface field region which is positioned at the first surface of the substrate and separated from the emitter region, a first auxiliary electrode positioned directly on the emitter region, a second auxiliary electrode positioned directly on the first surface field region, a first main electrode positioned directly on the first auxiliary electrode, and a second main electrode positioned directly on the second auxiliary electrode. Each of the first and second auxiliary electrodes is a transparent conductive layer formed by doping a transparent conductive oxide layer with a conductive material. | 10-17-2013 |
20130269772 | Conductive Thick Film Paste For Solar Cell Contacts - The present invention relates to an inorganic reaction system used in the manufacture of electroconductive pastes. The inorganic reaction system comprises a lead containing matrix forming composition and a tellurium oxide additive. Preferably the lead containing matrix forming composition is between 5-95 wt. % of the inorganic reaction system, and the tellurium oxide additive is between 5-95 wt. % of the inorganic reaction system. The lead containing matrix forming composition may be a glass frit, and may comprise lead oxide. Another aspect of the present invention relates to an electroconductive paste composition that comprises metallic particles, an inorganic reaction system as previously disclosed, and an organic vehicle. Another aspect of the present invention relates to an organic vehicle that comprises one or more of a binder, a surfactant, a solvent, and a thixatropic agent. Another aspect of the present invention relates to a solar cell printed with an electroconductive paste composition as disclosed, as well as an assembled solar cell module. Another aspect of the present invention relates to a method of producing a solar cell. | 10-17-2013 |
20130269773 | TELLURIUM INORGANIC REACTION SYSTEMS FOR CONDUCTIVE THICK FILM PASTE FOR SOLAR CELL CONTACTS - This disclosure relates to electroconductive paste formulations useful in solar panel technology. In one aspect, the disclosure relates to an inorganic reaction system for use in electroconductive paste compositions, wherein the inorganic reaction system comprises a lead containing matrix composition and a tellurium containing matrix composition. In another aspect, the disclosure relates to an electroconductive paste composition comprising a conductive metal component, an inorganic reaction system and an organic vehicle. Another aspect of the disclosure relates to a solar cell produced by applying an electroconductive paste composition of the invention to a silicon wafer. Yet another aspect relates to a solar cell module assembled using solar cells produced by applying an electroconductive paste composition to a silicon wafer, wherein the electroconductive paste composition comprises an conductive metal component, an inorganic reaction system and an organic vehicle. | 10-17-2013 |
20130269774 | ELECTRODE OF SOLAR CELL - Provided is an electrode of a solar cell including a first electrode layer, a photoelectric conversion layer, an antireflective layer, and a second electrode layer. The first electrode layer is disposed on the photoelectric conversion layer. The antireflective layer is disposed on the photoelectric conversion layer to cover the first electrode layer. The second electrode layer is disposed on the antireflective layer and electrically connected to the first electrode layer, wherein a material of the first electrode layer does not react with the photoelectric conversion layer and the antireflective layer during a sintering process, and at least a material of the second electrode layer reacts with the antireflective layer during the sintering process. | 10-17-2013 |
20130269775 | POLYESTER FILM, METHOD FOR PRODUCING THE SAME, BACK SHEET FOR SOLAR CELL, AND SOLAR CELL MODULE - A method for producing a polyester film includes: an unstretched film formation step of forming an unstretched polyester film having a thickness of from 2.5 mm to 5.0 mm by melt-extruding a polyester resin using an extruder, and cooling the polyester resin; and a stretching step of stretching the formed unstretched polyester film in at least one direction after heating the formed unstretched polyester film so as to have a mean temperature T1 (° C.), a surface temperature, and a central temperature. The mean temperature T1 (° C.) satisfies a relationship represented by formula (1): Tg−20° C.10-17-2013 | |
20130269776 | SILANE-CONTAINING THERMOPLASTIC POLYOLEFIN COPOLYMER RESINS, FILMS, PROCESSES FOR THEIR PREPARATION AND PHOTOVOLTAIC MODULE LAMINATE STRUCTURE COMPRISING SUCH RESINS AND FILMS - Disclosure are films based on alkoxysilane-containing polyolefin resins with reduced melt strength, photovoltaic cell laminate structures and methods for their preparation. In the disclosed alkoxysilane-containing polyolefin resin films according to the invention, reduced melt strength is provided by, among other things, using optimized silane:initiator ratios and is shown to reduce detrimental film shrinkage and provide improved photovoltaic laminate structures. | 10-17-2013 |
20130276875 | BONDING OF PHOTOVOLTAIC DEVICE TO COVERING MATERIAL - A solar energy collection system includes a solar cell, a transparent covering, and a eutectic interlayer binding the solar cell and the transparent covering together. At least some of a compound of the eutectic interlayer bonds with the transparent covering, raising the melting temperature of the eutectic interlayer above the melting temperature with the full amount of the compound present. | 10-24-2013 |
20130276876 | BACKSHEETS FOR PHOTOVOLTAIC MODULES USING INFRARED REFLECTIVE PIGMENTS - A multi-layer backsheet for a photovoltaic module comprising a first layer having a reflectance of more than 25% of a light with a wavelength anywhere from about 1000 nm to about 2100 nm, and a reflectance of less than 35% of all light with a wavelength from about 380 nm to about 750 nm; and a second layer having a reflectance of more than 50% of all light with a wavelength from about 380 nm to about 2000 nm. A photovoltaic module comprising the multi-layer backsheet, a method of making the photovoltaic module, and a method of converting sunlight into electricity by exposing the photovoltaic module to sun light. | 10-24-2013 |
20130276877 | Composition and Method For Upconversion of Light and Devices Incorporating Same - An up-converting electrode and a solar cell that combines the up-converting electrode are disclosed. The up-converting electrode comprises a material that up-converts light from longer wavelengths to shorter wavelengths and an electrically conductive material. The electrically conductive material increases the efficiency of the up-converting material such that more light is up-converted. The up-converting electrode can also serve as an electrical contact for the solar cell. | 10-24-2013 |
20130276878 | PHOTOVOLTAIC CELL - A photovoltaic cell is provided that enables cost reduction and stable operation with a simple configuration and enhances conversion efficiency by a new technology of forming an energy level in a band gap. In the photovoltaic cell, a substrate, a conductive first electrode, an electromotive force layer, a p-type semiconductor layer, and a conductive second electrode are laminated, electromotive force is generated by photoexciting the electron in the band gap of the electromotive force layer by light irradiation, the electromotive force layer is filled with an n-type metal oxide semiconductor of fine particles coated by an insulating coat, a new energy level is formed in a band gap by photoexcited structural change caused by ultraviolet irradiation, and efficient and stable operation can be performed by providing a layer of an n-type metal oxide semiconductor between the first electrode and the electromotive force layer. | 10-24-2013 |
20130276879 | SINTERED OXIDE MATERIAL, METHOD FOR MANUFACTURING SAME, SPUTTERING TARGET, OXIDE TRANSPARENT ELECTRICALLY CONDUCTIVE FILM, METHOD FOR MANUFACTURING SAME, AND SOLAR CELL - The invention provides an oxide sintered compact | 10-24-2013 |
20130276880 | TRANSPARENT LAYER COMPOSITE ASSEMBLIES - Transparent layer composite assemblies are provided that are suitable for use in solar modules and light emitting diodes, as well as methods for producing such layer composite assemblies and to the uses thereof. The layer composite assemblies have substrate materials that make it possible to increase the luminous efficiency of light emitting diodes and the efficiency of solar modules. | 10-24-2013 |
20130276881 | PROCESS OF FORMING A GRID ELECTRODE ON THE FRONT-SIDE OF A SILICON WAFER - A process of forming a front-grid electrode on a silicon wafer having an ARC layer, comprising the steps:
| 10-24-2013 |
20130276882 | METHOD FOR FORMING CONDUCTIVE STRUCTURES IN A SOLAR CELL - A method for forming a solar cell and a solar cell having a top electrode with a finger pattern. The finger pattern is formed of a structure of aligned particles that is formed by applying a thin film comprising a fluid matrix with conductive particles on to the solar cell surface, aligning the conductive particles into electrically conductive wires by applying an electric field over the thin film and curing the matrix. | 10-24-2013 |
20130284249 | Composite Materials with Metal Oxide Attached to Lead Chalcogenide Nanocrystal Quantum Dots with Linkers - Composite materials useful for devices such as photoelectrochemical solar cells include a substrate, a metal oxide film on the substrate, nanocrystalline quantum dots (NQDs) of lead sulfide, lead selenide, and lead telluride, and linkers that attach the NQDs to the metal oxide film. Suitable linkers preserve the 1s absorption peak of the NQDs. A suitable linker has a general structure A-B-C where A is a chemical group adapted for binding to a MO | 10-31-2013 |
20130284250 | THICK FILM PASTE CONTAINING BISMUTH-TELLURIUM-OXIDE AND ITS USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention is directed to an electroconductive thick film paste composition comprising electrically conductive Ag, a second electrically conductive metal selected from the group consisting of Ni, Al and mixtures thereof and a Pb-free bismuth-tellurium-oxide all dispersed in an organic medium. The present invention is further directed to an electrode formed from the thick film paste composition and a semiconductor device and, in particular, a solar cell comprising such an electrode. | 10-31-2013 |
20130284251 | BACK CONTACT FOR PHOTOVOLTAIC DEVICES SUCH AS COPPER-INDIUM-DISELENIDE SOLAR CELLS - A photovoltaic device (e.g., solar cell) includes: a front substrate (e.g., glass substrate); a semiconductor absorber film; a back contact including a first conductive layer of or including copper (Cu) and a second conductive layer of or including molybdenum (Mo); and a rear substrate (e.g., glass substrate). The first conductive layer of or including copper is located between at least the rear substrate and the second conductive layer of or including molybdenum, and wherein the semiconductor absorber film is located between at least the back contact and the front substrate. | 10-31-2013 |
20130284252 | BACK CONTACT STRUCTURE FOR PHOTOVOLTAIC DEVICES SUCH AS COPPER-INDIUM-DISELENIDE SOLAR CELLS - A back contact configuration for a CIGS-type photovoltaic device is provided. According to certain examples, the back contact configuration includes an optical matching layer and/or portion of or including MoSe | 10-31-2013 |
20130284253 | HIGH-REFLECTIVITY BACK CONTACT FOR PHOTOVOLTAIC DEVICES SUCH AS COPPER-INDIUM-DISELENIDE SOLAR CELLS - A photovoltaic device (e.g., solar cell) includes: a front substrate (e.g., glass substrate); a semiconductor absorber film; a back contact including a first conductive layer of or including an alloy of molybdenum (Mo) and copper (Cu) and optionally a second conductive layer of or including either molybdenum (Mo) or Cu; and a rear substrate (e.g., glass substrate). The first conductive layer of or including molybdenum and copper is located between at least the rear substrate and a semiconductor absorber film that is located between at least the back contact and the front substrate. | 10-31-2013 |
20130284254 | SOLAR CELLS INCLUDING LOW RECOMBINATION ELECTRICAL CONTACTS AND SYSTEMS AND METHODS OF FORMING THE SAME - Solar cells including low recombination velocity electrical contacts and systems and methods of forming the same. The solar cells include a cell body that defines a front side and an opposed back side. The solar cells further include a front side electrical contact that forms an electrical connection with the front side of the cell body, a back side electrical contact that forms an electrical connection with the back side of the cell body, and at least one intermediate layer that includes an electrically insulating layer and is situated between the cell body and the front side electrical contact and/or the back side electrical contact. | 10-31-2013 |
20130284255 | High-Efficiency Thin-Film Solar Cells - A three-dimensional solar cell comprising a semiconductor substrate with an inverted pyramidal cavity, emitter metallization regions on ridges on the surface of the semiconductor substrate which define an opening of the inverted pyramidal cavity, and base metallization regions on a region which form the apex of the inverted pyramidal cavity. A method for fabricating a three-dimensional thin-film solar cell from an inverted pyramidal three-dimensional thin-film silicon substrate by doping ridges on the surface of the semiconductor substrate which define an opening of an inverted pyramidal cavity on the substrate to form an emitter region, and doping a region which forms the apex of the inverted pyramidal cavity to form a base region. Adding a surface passivation layer to the surface of the substrate. Selectively etching the passivation layer from the emitter region and base region. Then concurrently metallizing the emitter region and base region. | 10-31-2013 |
20130284256 | LEAD-FREE CONDUCTIVE PASTE COMPOSITION AND SEMICONDUCTOR DEVICES MADE THEREWITH - A lead-free conductive paste composition contains a source of an electrically conductive metal, a fusible material, an optional additive, and an organic vehicle. An article such as a high-efficiency photovoltaic cell is formed by a process of deposition of the lead-free paste composition on a semiconductor substrate (e.g., by screen printing) and firing the paste to remove the organic vehicle and sinter the metal and fusible material. | 10-31-2013 |
20130284257 | MICROLENS ARRAY FOR SOLAR CELLS - A dye-sensitized solar cell with internal microlens array includes an anodic electrode, a cathodic counter-electrode, and an electrolyte. The anodic electrode includes a porous nano-structured active metal oxide layer having a sensitizer dye adsorbed thereon. In one embodiment, a microlens array comprising a plurality of microlens elements is disposed between the electrodes, and preferably between a transparent substrate of the anodic electrode and active metal oxide layer for dispersing light incident on the substrate to the active oxide layer. In some embodiments, the microlens elements may be convex or concave in configuration. The microlens array improves solar conversion efficiency of the solar cell. A method for forming a microlens array is further provided. | 10-31-2013 |
20130284258 | METHODS OF GROWING HETEROEPITAXIAL SINGLE CRYSTAL OR LARGE GRAINED SEMICONDUCTOR FILMS AND DEVICES THEREON - A method is disclosed for making semiconductor films from a eutectic alloy comprising a metal and a semiconductor. Through heterogeneous nucleation said film is deposited at a deposition temperature on relatively inexpensive buffered substrates, such as glass. Specifically said film is vapor deposited at a fixed temperature in said deposition temperature where said deposition temperature is above a eutectic temperature of said eutectic alloy and below a temperature at which the substrate softens. Such films could have widespread application in photovoltaic and display technologies. | 10-31-2013 |
20130284259 | SOLAR CELLS AND MANUFACTURING METHOD THEREOF - A solar cell and a method for manufacturing the same are disclosed. The solar cell includes a substrate, an emitter region positioned at one surface of the substrate, a first insulating layer including a lower layer positioned on the emitter region and an upper layer positioned on the lower layer, and a first electrode which is formed of a first conductive paste and is electrically connected to the emitter region. The first insulating layer includes a plurality of first contact holes, and a portion of the first electrode is filled in the plurality of first contact holes. | 10-31-2013 |
20130284260 | Back Electrode Type Solar Cell, Back Electrode Type Solar Cell With Interconnection Sheet, Solar Cell Module, Method of Manufacturing Back Electrode Type Solar Cell With Interconnection Sheet, and Method of Manufacturing Solar Cell Module - A back electrode type solar cell in which a no-electrode-formed region where no electrode is placed is provided in a part of a peripheral portion of a back surface of the back electrode type solar cell such that a line connecting end portions of a plurality of electrodes to one another includes a partially inwardly recessed region and the no-electrode-formed region is located adjacent to each of an electrode for n-type and an electrode for p-type adjacent to each other, a solar cell module, a method of manufacturing a back electrode type solar cell with interconnection sheet, and a method of manufacturing a solar cell module are provided. | 10-31-2013 |
20130284261 | FILM-FORMING MATERIAL - There is provided a novel material used for solar cells that can contribute to the improvement in maximum output of solar cells without using the conventional MPPT system. A film-forming material for forming a light-collecting film on a transparent electrode of a solar cell, including an aromatic group-containing organic polymer compound (A) and a cross-linker (B), wherein the film-forming material exhibits an index of refraction of 1.5 to 2.0 at a wavelength of 633 nm and a transmittance of 95% or more with respect to light having a wavelength of 400 nm, and a solar cell obtained by coating a cured film made from the film-forming material on a surface of a transparent electrode. | 10-31-2013 |
20130284262 | FILM-FORMING MATERIAL - There is provided a novel material used for solar cells that can contribute to the improvement in maximum output of solar cells. A film-forming material for forming a light-collecting film on a transparent electrode of a solar cell, including an aromatic group-containing organic polymer compound (A), wherein the film-forming material exhibits an index of refraction of 1.5 to 2.0 at a wavelength of 633 nm and a transmittance of 95% or more with respect to light having a wavelength of 400 nm, and a solar cell obtained by coating a cured film made from the film-forming material on a surface of a transparent electrode. | 10-31-2013 |
20130284263 | METHOD FOR MANUFACTURING SOLAR CELL ELEMENT AND SOLAR CELL ELEMENT - Provided is a method for manufacturing a solar cell element that can increase the film thickness for collector electrodes formed in a screen printing process and reduce the resistance value of the same as well as contribute to improvements in conversion efficiency. When a collector electrode for a solar cell element is formed by screen printing of a conductive paste, that screen-printing process is repeated a plurality of times. At this time, the squeegee speed during the second or later screen printing is faster than the squeegee speed during the first screen printing. The second and later screen printing is superimposed on the collector electrode printed the first time; therefore, the faster the squeegee speed is, the better the plate release is for the paste and foundation. The amount of paste applied increases, and the film for the collector electrode that is formed becomes thicker. | 10-31-2013 |
20130291934 | THIN FILM SOLAR CELL STRUCTURE - A thin film solar cell includes a protection layer, a substrate and a photovoltaic conversion structure having a stack of one or several of non-planar light absorption layers, a first conductive layer being light transmissive and a second conductive layer being at least partially transparent or totally reflective. When the second conductive layer is totally reflective, it reflects the sunlight to the adjacent part of the thin film solar cell, proceeding another photovoltaic conversion and generating electric power again. If the non-planar light absorption layer is sloped enough, there will be several photovoltaic conversions produced by the same incident sunlight. More power will be generated and the efficiency of conversion is increased. If the second conductive layer is at least partially transparent, the incident light will be reflected less. However, the structure will provide several opportunities of photovoltaic conversions for the light with larger incident angle. | 11-07-2013 |
20130291935 | OPTICAL ANTI-REFLECTION STRUCTURE AND SOLAR CELL INCLUDING THE SAME, AND METHOD FOR MAKING THE OPTICAL ANTI-REFLECTION STRUCTURE - Disclosed herein is an optical anti-reflective structure. The antireflective structure comprises a concave-convex surface structure and a nanoscale columnar structure on the surface of the concave-convex surface structure. Furthermore, a structure of a solar cell having the antireflective structure and a method of making the above antireflective structure are also provided. | 11-07-2013 |
20130291936 | SOLAR CELL - A solar cell is provided. The solar cell includes a substrate, a first electrode, a second electrode, a seed layer, and a plurality of nanorods. The substrate has a first surface and a second surface opposite to each other. A conductive type of a portion of the substrate adjacent to the first surface is first conductive type, and a conductive type of the remaining portion of the substrate is second conductive type. The first electrode is disposed on the first surface. The second electrode is disposed on the second surface. The seed layer is disposed on the first surface. The nanorods are disposed on the seed layer. | 11-07-2013 |
20130291937 | METHOD FOR PRODUCING A SOLAR CELL - A device including a surface layer of a selected material in a predetermined pattern on a substrate surface. A groove or ridge arranged in the substrate surface includes a bottom or top face, respectively, and at least one side face sloping relative to the bottom or top face. The surface layer is deposited on a part of the substrate including the groove or ridge by vacuum chamber sputtering the selected material from a sputtering source whilst moving the substrate past the sputtering source in a direction substantially perpendicular to a sputtering main lobe direction and with a normal to the substrate surface substantially in a predefined angle with the main lobe direction. By uniformly etching away surface layer material deposited on the substrate by the sputtering until freeing a substantial part of the side face, the predetermined pattern becomes defined substantially by the bottom face or the top face. | 11-07-2013 |
20130291938 | THIN FILM PHOTOVOLTAIC CELL AND METHOD OF MANUFACTURE OF SAME - A thin film photovoltaic cell is configured such that a rear face electrode layer, a photoelectric conversion layer and a transparent electrode layer are stacked in this order on one face of an insulating substrate, a back face electrode layer is deposited on the other face, and both electrode layers are electrically connected via a second penetrating hole which penetrates the insulating substrate; and is further configured such that a protective layer is provided at least in a region surrounding the second penetrating hole and located between the transparent electrode layer and the photoelectric conversion layer stacked on the rear face electrode layer, or between the rear face electrode layer and the photoelectric conversion layer. By using a laser to remove a transparent electrode on the periphery of the second penetrating hole in the region in which the protective layer is formed, the two electrode layers are electrically insulated. | 11-07-2013 |
20130291939 | EMITTER FOR SILICON SOLAR CELLS AND METHOD - The present invention relates to photovoltaic devices such as silicon solar cells. Devices shown exhibit improved low light performance and increased breakdown strength. Reasons for such improvements includes emitter concentration profiles leading to significantly reduced leakage currents. | 11-07-2013 |
20130291940 | METHOD OF FORMING CONTACTS FOR A BACK-CONTACT SOLAR CELL - Methods of forming contacts for solar cells are described. In one embodiment, a method includes forming a silicon layer above a substrate, forming and patterning a solid-state p-type dopant source on the silicon layer, forming an n-type dopant source layer over exposed regions of the silicon layer and over a plurality of regions of the solid-state p-type dopant source, and heating the substrate to provide a plurality of n-type doped silicon regions among a plurality of p-type doped silicon regions. | 11-07-2013 |
20130298980 | CONE-SHAPED HOLES FOR HIGH EFFICIENCY THIN FILM SOLAR CELLS - A photovoltaic device includes a substrate having a plurality of hole shapes formed therein. The plurality of hole shapes each have a hole opening extending from a first surface and narrowing with depth into the substrate. The plurality of hole shapes form a hole pattern on the first surface, and the hole pattern includes flat areas separating the hole shapes on the first surface. A photovoltaic device stack is formed on the first surface and extends into the hole shapes. Methods are also provided. | 11-14-2013 |
20130298981 | DYE-SENSITIZED SOLAR CELL BASED ON INDIRECT CHARGE TRANSFER - A dye-sensitized solar cell that includes an electrode having a semiconductor nanoparticle layer dispersed on a transparent conductive substrate, a plurality of semiconductor nanofibers dispersed on the nanoparticle layer, a first light absorption material is attached to the plurality of semiconductor nanofibers in which the first light absorption material having a first light absorption bandwidth, and a second light absorption material deposited on the light absorption material of the plurality of semiconductor nanofibers, the second light absorption material having a second light absorption bandwidth complementary to the first light absorption bandwidth, a counter electrode includes a metal-coated transparent conductive substrate, and an electrolyte in contact with the near-infrared light absorption material and the counter electrode. | 11-14-2013 |
20130298982 | GLASS COMPOSITION AND ITS USE IN CONDUCTIVE SILVER PASTE - A lead-tellurium-lithium-titanium-oxide glass composition is useful as a component of a conductive silver paste. Especially useful are P-containing and V-containing lead-tellurium-lithium-titanium-oxide glass composition. Conductive silver via paste comprising particulate conductive silver and any of the lead-tellurium-lithium-titanium-oxide glass compositions of the invention can be used in providing the metallization of the holes in the silicon wafers of MWT solar cells. The result is a metallic electrically conductive via between the collector lines on the front side and the emitter electrode on the back-side of the solar cell. The paste can also be used to form the collector lines on the front-side of the solar cell and the emitter electrode on the back-side of the solar cell. | 11-14-2013 |
20130298983 | CORROSION-RESISTANT PHOTOVOLTAIC MODULE - The present invention relates to a corrosion-resistant photovoltaic module characterized in that an interconnector ribbon connects the photovoltaic cells and a sacrificial metal as an anode for cathodic protection is attached to the interconnector ribbon to prevent corrosion of a connective part between the photovoltaic cells. The present invention can prevent an increase of serial resistance at a connective part between the ribbon and the cell and can significantly reduce the degradation of generation efficiency by preventing the corrosion of the interconnector ribbon due to the external environment. In addition, the life of the photovoltaic module can be extended | 11-14-2013 |
20130298984 | PASSIVATION OF SILICON SURFACES USING INTERMEDIATE ULTRA-THIN SILICON OXIDE LAYER AND OUTER PASSIVATING DIELECTRIC LAYER - Methods, structures and devices are provided in which a crystalline silicon surface is passivated by an ultra-thin silicon oxide layer and an outer passivating dielectric layer, where the ultra-thin silicon oxide layer has a thickness on an Angstrom scale. In some embodiments, both layers are formed by low temperature processes. The outer passivating layer may be formed according to a PECVD process that employs hydrogen-containing precursor gases, such that hydrogen is incorporated into one or both of the silicon oxide layer and the passivating dielectric layer. The present methods may be employed for the passivation of a wide variety of structures and devices, including photovoltaic cells, MOSFET devices, flash memory devices, and thin-film silicon substrates that may contain such devices. | 11-14-2013 |
20130298985 | MICROELECTRONIC STRUCTURES INCLUDING CUPROUS OXIDE SEMICONDUCTORS AND HAVING IMPROVED P-N HETEROJUNCTIONS - The present invention provides strategies for making higher quality p-n heterojunctions that incorporate cuprous oxide and another material suitable for forming the heterojunction. When incorporated into microelectronic devices, these improved heterojunctions would be expected to provide improved microelectronic properties such as improved defect density, in particular lower interfacial defect density at the p-n heterojunction, leading to improved microelectronic devices such as solar cell devices with improved open circuit voltage, fill factor, efficiency, current density, and the like. | 11-14-2013 |
20130298986 | METHOD FOR MANUFACTURING PHOTOELECTRIC CONVERSION ELEMENT AND PHOTOELECTRIC CONVERSION ELEMENT - A method for manufacturing a photoelectric conversion element includes: forming a hole injection layer by applying a solvent containing a first p-type organic semiconductor and an oxidant capable of oxidizing the first p-type organic semiconductor on a transparent substrate and a transparent electrode provided on the transparent substrate and by removing the solvent by drying to oxidize the first p-type organic semiconductor with the oxidant; forming a photoelectric conversion layer by applying a solvent containing an n-type organic semiconductor and a second p-type organic semiconductor on the hole injection layer and by removing the solvent by drying; and forming a metal electrode using a metal layer on the photoelectric conversion layer. | 11-14-2013 |
20130298987 | METHOD FOR MANUFACTURING A MULTILAYER OF A TRANSPARENT CONDUCTIVE OXIDE - A multi-part transparent conductive zinc oxide layer for a photoelectric conversion device, and a method of producing same. The transparent conductive zinc oxide layer includes at least one basic layer sequence with a varying boron dopant concentration. The basic layer sequence includes a thinner transparent conductive zinc oxide higher-boron-doped layer and a thicker transparent conductive zinc oxide lower-boron-doped layer. The doping density through each individual conductive zinc oxide layer is substantially constant, which is achieved by intentionally doping the thicker transparent conductive zinc oxide lower-boron-doped layer. Optionally, an interlayer may be present between the at least one basic layer sequence and the substrate or an n-doped silicon layer upon which it is disposed. This advantageously permits efficient Edge Isolation by Laser EIL ablation of the transparent conductive zinc oxide layers while maintaining good electrical and optical properties in said layers. | 11-14-2013 |
20130298988 | SOLAR BATTERY AND METHOD OF MANUFACTURING SOLAR BATTERY - There is provided a solar battery, including: a solar cell including a porous electrode provided on at least one surface of a substrate; a conductive wire electrically connected to the porous electrode; and an adhesive material provided between the porous electrode and the conductive wire, wherein a part of the adhesive material penetrates into the porous electrode. There is also provided a method of manufacturing the solar battery. | 11-14-2013 |
20130306142 | GROWTH LAYER FOR PHOTOVOLTAIC APPLICATIONS - Sputtered zinc oxide layer is used to improve and control the crystalline properties of a molybdenum back contact used in photovoltaic cells. Optimum thicknesses for the zinc oxide layer are identified. | 11-21-2013 |
20130306143 | METHOD FOR MANUFACTURING SOLAR CELL WITH INTERCONNECTION SHEET, METHOD FOR MANUFACTURING SOLAR CELL MODULE, SOLAR CELL WITH INTERCONNECTION SHEET, AND SOLAR CELL MODULE - Provided is a method for manufacturing a solar cell with an interconnection sheet, a method for manufacturing a solar cell module, a solar cell with an interconnection sheet, and a solar cell module. Fixing resin is arranged at least on one side of a location between electrodes of solar cell and a location between interconnections of an interconnection sheet. Thereafter, a first cure state of fixing resin is attained. Thereafter, an adjoining member containing conductive material is provided, and a solar cell and interconnection sheet are stacked to soften the fixing resin exhibiting the first cure state and then re-cure the same to attain a second cure state. | 11-21-2013 |
20130306144 | SILVER PASTE COMPOSITION FOR FORMING AN ELECTRODE, AND SILICON SOLAR CELL USING SAME - The present invention relates to a silver paste composition for forming an electrode, and a silicon solar cell using the same. More particularly, the present invention relates to a silver paste composition for forming an electrode, which includes carbon black having specific parameter characteristics to improve rheological properties of the paste and printability, thereby achieving a high aspect ratio and improving electrical characteristics, and a silicon solar cell using the same. | 11-21-2013 |
20130306145 | GLASS SUBSTRATE FOR CU-IN-GA-SE SOLAR CELL AND SOLAR CELL USING SAME - A glass substrate for a CIGS solar cell containing specific amounts of SiO | 11-21-2013 |
20130306146 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - Provided is a solar cell. The solar cell includes: a substrate including through lines opposing to each other; a semiconductor layer on a top side of the substrate; bus lines at both edges of a top side of the semiconductor layer; and bus bars connected electrically to the bus lines, respectively, and extending to a rear side of the substrate through the through lines. | 11-21-2013 |
20130306147 | SOLAR CELL - Disclosed is a solar cell including upper and lower substrates facing each other, a semiconductor layer on a predetermined region of the lower substrate, and a pattern protruding from at least one of the upper and lower substrates. Various patterns are formed between the upper and lower substrates so that a contact area to an adhesive member, which is provided between the upper and lower substrates, is increased, thereby improving adhesive force between the upper and lower substrates. | 11-21-2013 |
20130312819 | REMOVAL OF STRESSOR LAYER FROM A SPALLED LAYER AND METHOD OF MAKING A BIFACIAL SOLAR CELL USING THE SAME - A stressor layer used in a controlled spalling method is removed through the use of a cleave layer that can be fractured or dissolved. The cleave layer is formed between a host semiconductor substrate and the metal stressor layer. A controlled spalling process separates a relatively thin residual host substrate layer from the host substrate. Following attachment of a handle substrate to the residual substrate layer or other layers subsequently formed thereon, the cleave layer is dissolved or otherwise compromised to facilitate removal of the stressor layer. Such removal allows the fabrication of a bifacial solar cell. | 11-28-2013 |
20130312820 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - A solar cell includes a semiconductor substrate and a first antireflective layer. The semiconductor substrate has a first-type semiconductor surface and a second-type semiconductor surface opposite to each other. The first antireflective layer includes a plurality of refraction convexes and a coverage layer. The refraction convexes are formed on the second-type semiconductor surface. Each refraction convex includes a first refraction part and a second refraction part. The first refraction parts are conformally coated with the respective second refraction parts, and the first refraction part is configured to have a refractive index greater than the refractive index of the second refraction part. The coverage layer is formed to cover the second-type semiconductor surface and the refraction convexes, and the coverage layer is configured to have a refractive index smaller than the refractive index of the second refraction part. A solar cell manufacturing method is also provided. | 11-28-2013 |
20130312821 | SOLAR CELL - A solar cell is provided and includes a main body, a plurality of first finger electrodes, a first ribbon, and a second ribbon. The main body includes a first surface and a second surface opposite to the first surface. The first finger electrodes are located on the first surface, and each of the first finger electrodes includes an end portion bendably extending to the second surface. The first ribbon is located on the first surface and is electrically connected to the first finger electrodes. The second ribbon is located on the second surface and is electrically connected to the first finger electrodes. | 11-28-2013 |
20130312822 | SOLAR-CELL DEVICE - The disclosure provides a solar-cell device, including a substrate, a first electrode layer comprising a first two-dimensional periodic structure disposed on the substrate, a first light conversion layer disposed on the first two-dimensional periodic structure, a second light conversion layer disposed on the first light conversion layer; and a second electrode layer disposed on the second light conversion layer. | 11-28-2013 |
20130312823 | SOLAR CELL HAVING A DIELECTRIC REAR FACE COATING AND METHOD FOR PRODUCING SAME - Solar cell ( | 11-28-2013 |
20130312824 | METHOD OF PROVIDING CHLORIDE TREATMENT FOR A PHOTOVOLTAIC DEVICE AND A CHLORIDE TREATED PHOTOVOLTAIC DEVICE - A method of manufacturing a photovoltaic device including depositing a cadmium telluride layer onto a substrate; treating the cadmium telluride layer with a compound comprising chlorine and an element from Groups 1-11, zinc, mercury, or copernicium or a combination thereof; and annealing the cadmium telluride layer. A chloride-treated photovoltaic device. | 11-28-2013 |
20130312825 | CONDUCTIVE PASTES FOR FORMING SOLAR CELL ELECTRODES - The invention relates to a process for manufacturing solar cells. The manufacturing process of the invention comprises the steps of printing a conductive paste onto an n-type silicon layer of a crystalline silicon substrate or onto an antireflection film on the n-type silicon layer, and drying and firing the conductive paste to form an electrode. The conductive paste comprises a conductive powder, a glass frit and an organic vehicle. The glass frit comprises at least one oxide. X-ray photoelectron spectroscopy of the glass fit gives a spectrum representing binding energies of oxygen in which the signal intensity of a peak with a peak top at a range from 529 eV to less than 531 eV has a proportion of 40% or more relative to the total of signal intensities from 526 eV to 536 eV. | 11-28-2013 |
20130312826 | PHOTOVOLTAIC DEVICE AND PHOTOVOLTAIC MODULE - A photovoltaic device is provided with: a photoelectric conversion unit having a light-receiving surface and a rear surface, each having a textured structure formed thereon; a light-receiving-surface electrode provided on the light-receiving surface of the photoelectric conversion unit; and a rear-surface electrode provided on the rear surface of the photoelectric conversion unit. The rear-surface electrode includes: a transparent conductive layer layered on the rear surface of the photoelectric conversion unit; a metallic film layered on substantially the entire surface of the transparent conductive layer, and formed thinly so as to contain surface unevenness reflecting the textured structure; and a projecting electrode formed on the metallic film and having a thickness greater than or equal to the surface unevenness height of the metallic film. | 11-28-2013 |
20130312827 | SOLAR CELL AND METHOD OF MANUFACTURE THEREOF, AND SOLAR CELL MODULE - Disclosed is a solar cell having a collecting electrode on one main surface of a photoelectric conversion section. The collecting electrode includes a first electroconductive layer and a second electroconductive layer in this order from the photoelectric conversion section side, and further includes an insulating layer between the first electroconductive layer and the second electroconductive layer. The first electroconductive layer includes a low-melting-point material, and a part of the second electroconductive layer is conductively connected with the first electroconductive layer through, for example, an opening in the insulating layer. The second electrode layer is preferably formed by a plating method. In addition, it is preferable that before forming the second electroconductive layer, annealing by heating is carried out to generate the opening section in the insulating layer. | 11-28-2013 |
20130319516 | METHOD FOR MANUFACTURING FRONT ELECTRODE OF SOLAR CELL AND SOLAR CELL DEVICE MANUFACTURED BY SAME - A method for manufacturing a front electrode of a solar cell and a solar cell device manufactured by the same method are provided. The method includes steps of providing a substrate; performing a first screen printing process to form at least one first electrode over the substrate; and performing a second screen printing process to form at least one row of a second electrode structure over the substrate. The first electrode is formed with a strip body and a plurality of salients connected to the strip body. The second electrode structure has a plurality of sections of finger electrodes, wherein first ends of the finger electrodes directly contact with first surfaces of the salients of the first electrode, respectively, without extending to the strip body. | 12-05-2013 |
20130319517 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a substrate, a selective emitter region which is positioned at the substrate and includes a lightly doped region and a heavily doped region, a first dielectric layer which is positioned on the selective emitter region and includes a plurality of first openings, which are separated from one another, and a plurality of second openings positioned around the plurality of first openings, a first electrode connected to the selective emitter region through the plurality of first openings and the plurality of second openings, and a second electrode which is positioned on the substrate and is connected to the substrate. The plurality of first openings and the plurality of second openings each have a different plane shape. The plane shape of the first opening has a line shape, and the plane shape of the second opening has a dot shape. | 12-05-2013 |
20130319518 | SOLAR MODULE WITH A CONNECTING ELEMENT - A solar module having a connecting element is described. The solar module has a substrate, a back electrode layer, a photovoltaically active absorber layer, and a cover pane disposed one over the other, at least one prefabricated conductive film at least one connection housing. | 12-05-2013 |
20130319519 | CONCENTRATED PHOTOVOLTAIC CELL - A concentrated photovoltaic cell comprises a semiconductor stack comprising an upper surface and a lower surface opposite to the upper surface, wherein the upper surface is operable to absorb a light which comprises a light intensity distribution on the upper surface; and an upper electrode formed on the upper surface of the semiconductor stack and comprising an electrode pattern approximately corresponding to the light intensity distribution, wherein the light intensity distribution comprises a high light-concentrated area having a first light intensity and a low light-concentrated area having a second light intensity, wherein the second light intensity is lower than the first light intensity. | 12-05-2013 |
20130319520 | THREE-DIMENSIONAL THIN-FILM SEMICONDUCTOR SUBSTRATE WITH THROUGH-HOLES AND METHODS OF MANUFACTURING - A three-dimensional thin-film semiconductor substrate with selective through-holes is provided. The substrate having an inverted pyramidal structure comprising selectively formed through-holes positioned between the front and back lateral surface planes of the semiconductor substrate to form a partially transparent three-dimensional thin-film semiconductor substrate. | 12-05-2013 |
20130319521 | SOLAR CELL SYSTEM - A solar cell system includes a solar cell and a reflecting layer. The solar cell has a sunlight-incidence side. The reflecting layer is disposed on an opposite side of the solar cell from the sunlight-incidence side of the solar cell. The reflecting layer includes a fluororesin and a light-reflective pigment. | 12-05-2013 |
20130319522 | FINE-STRUCTURE LAYERED PRODUCT, PREPARATION METHOD OF THE FINE-STRUCTURE LAYERED PRODUCT AND MANUFACTURING METHOD OF A FINE-STRUCTURE PRODUCT - To provide a fine-structure layered product, and a preparation method of a fine-structure layered product using the fine-structure layered product for enabling a fine concavo-convex structure excellent in environmental resistance, weather resistance and long-term stability to be formed with a large area and high productivity, and provide a manufacturing method of a fine-structure product for enabling a large area to be made with high productivity, a fine-structure layered product of the invention is provided with a substrate, a resin layer that is formed on one main surface of the substrate and that has a fine concavo-convex structure on its surface, and an inorganic layer that is provided on the fine concavo-convex structure of the resin layer and that contains a sol-gel material having a fine concavo-convex structure in a shape associated with the fine concavo-convex structure of the resin layer, where a fluorine element concentration (Es) in a region on the inorganic layer side of the resin layer is higher than an average fluorine concentration (Eb) in the resin layer. | 12-05-2013 |
20130319523 | CONDUCTIVE TRANSPARENT GLASS SUBSTRATE FOR PHOTOVOLTAIC CELL - The invention relates to a conductive transparent glass substrate for a photovoltaic cell, that does not comprise a metal layer and comprises, in succession, a sheet of glass, a barrier layer based on oxide, nitride or oxynitride, a conductive functional layer based on doped zinc oxide or doped indium oxide, and a protection layer based on nitride, oxynitride or oxycarbide such that the barrier layer has a thickness that is at least more than, or equal to 10 nm, and, at the most, less than or equal to 100 nm, the functional layer has a thickness that is at least more than or equal to 200 nm and at the most, less than or equal to 1200 nm, and the protection layer has a thickness that is at least more than or equal to 10 nm, and at the most, lower than or equal to 250 nm. The invention also relates to the method of producing said substrate, to the CdTe-based photovoltaic cells incorporating said substrate, and to the method for producing said cells. | 12-05-2013 |
20130327386 | THREE-DIMENSIONAL PHOTOVOLTAIC DEVICE - A photovoltaic device, comprises (1) a transparent first conductive layer, (2) a semiconductor layer on and in contact with the first conductive layer, (3) an electrolyte or p-type semiconductor on the semiconductor layer, and (4) a second conductive layer on the electrolyte or p-type semiconductor. The semiconductor layer has a thickness of at most 100 nm, the first conductive layer has a surface roughness factor (SRF) of at least 10, and the semiconductor layer has a surface roughness factor (SRF) of at least 10 | 12-12-2013 |
20130327387 | Se OR S BASED THIN FILM SOLAR CELL AND METHOD FOR FABRICATING THE SAME - The present disclosure relates to a Se or S based thin film solar cell and a method for fabricating the same, which may improve crystallinity and electric characteristics of an upper transparent electrode layer ( | 12-12-2013 |
20130327388 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a first electrode, a second electrode facing the first electrode, a photoactive layer between the first electrode and the second electrode and including an electron donor and an electron acceptor, and a partially oxidized metal thin film between the first electrode and the photoactive layer. | 12-12-2013 |
20130327389 | Chalcopyrite -Type Semiconductor Photovoltaic Device - A method comprising providing a layer structure for a photovoltaic device, the layer structure comprising an electrode, a light absorber comprising a layer of chalcopyrite-type semiconductor material, such as copper indium gallium diselenide, disposed on the electrode and a transparent electrode disposed on the light absorber. The method also comprises delivering a spatially-shaped picosecond pulsed laser beam so as to remove material from a region of the transparent electrode so as to expose at least a portion of the light absorber. | 12-12-2013 |
20130327390 | USE OF A UNIFORM LAYER OF INSULATING MATERIAL IN BACK-CONTACT SOLAR CELLS - A uniform layer of insulating material is used to separate the connectors from the non-illuminated side of a back-contact solar cell, particularly a cell of the MWT type, the layer preferably being a uniform woven or non-woven fabric of a heat-resistant material such as glass fibre, and the electrical connection between the contact points of the cell and the connectors being made through the uniform layer thereby partially embedding the insulating material in the connection. | 12-12-2013 |
20130327391 | APPARATUS AND METHOD FOR IMPROVING EFFICIENCY OF THIN-FILM PHOTOVOLTAIC DEVICES - A method for producing apparatus for producing and photovoltaic device including semiconductor layers with halide heat treated surfaces that increase grain growth within at least one of the semiconductor layers and improve the interface between the semiconductor layers. The halide heat treatment includes applying and heating multiple coatings of a halide compound on surfaces adjacent to or part of the semiconductor layers. | 12-12-2013 |
20130327392 | Chemically Linked Colloidal Crystals and Methods Related Thereto - Nanoparticles may be formed into colloidal crystals that are chemically linked to a substrate. In certain implementations, the nanoparticles are formed into a colloidal crystal on an initial substrate, and then brought into contact with a binding precursor capable of chemically linking the colloidal crystal to a final substrate. Reacting the binding precursor to chemically link the colloidal crystal to the final substrate chemically links the colloidal crystal to the final substrate via functional groups linked to the nanoparticles and the final substrate respectively. | 12-12-2013 |
20130327393 | SUPERSTRATE SOLAR CELL - A method of fabricating a solar cell includes forming a front contact layer over a substrate, and the front contact layer is optically transparent at specified wavelengths and electrically conductive. A first scribed area is scribed through the front contact layer to expose a portion of the substrate. A buffer layer doped with an n-type dopant is formed over the front contact layer and the first scribed area. An absorber layer doped with a p-type dopant is formed over the buffer layer. A back contact layer that is electrically conductive is formed over the absorber layer. | 12-12-2013 |
20130327394 | Electroconductive Paste and Solar Cell - An electroconductive paste that contains an Ag powder, glass frit and an organic vehicle. The glass frit is of non-lead type and contains at least B, Bi and Si and the molar ratio of B to Si is | 12-12-2013 |
20130327395 | OXIDE EVAPORATION MATERIAL, TRANSPARENT CONDUCTING FILM, AND SOLAR CELL - An oxide evaporation material according to the present invention includes a sintered body containing indium oxide as a main component thereof and cerium with a Ce/In atomic ratio of 0.001 to 0.110. The L* value in the CIE 1976 color space is 62 to 95. The oxide evaporation material with the L* value of 62 to 95 has an optimal oxygen amount. Accordingly, even when a small amount of an oxygen gas is introduced into a film-formation vacuum chamber, a transparent conducting film having a low resistance and a high transmittance in the visible to near-infrared region is formed by vacuum deposition methods. Since the amount of the oxygen gas introduced is small, the difference in composition between the film and the evaporation material is made small. This reduces the variations in composition and characteristics among films formed in large quantities. | 12-12-2013 |
20130327396 | LAMINATED MOISTURE-PROOF FILM - The present invention relates to a moisture-proof laminated film having, on the substrate thereof, an inorganic thin film layer and having, on the inorganic thin film layer, a plastic film via a polyurethane adhesive satisfying the following requirement (1), or the following requirements (1) and (2). The moisture-proof laminated film keeps excellent moisture-proofness and interlayer strength even after exposed to high temperature condition. (1) −0.1≦E21≦+0.5. (2) −0.3≦E23≦+0.3. (In the above formulae, E21 indicates (E2−E1)/E2, and E23 indicates (E2−E3)/E2. E1, E2 and E3 each mean the tensile storage elastic modulus of the adhesive under specific conditions.) | 12-12-2013 |
20130327397 | SOLAR CELL APPARATUS AND METHOD FOR MANUFACTURING THE SAME - Disclosed are a solar cell apparatus and a method for manufacturing the same. The solar cell apparatus includes a substrate; a back electrode layer including molybdenum on the substrate; a light absorbing layer on the back electrode layer; and a window layer on the light absorbing layer, wherein the back electrode layer includes a first electrode layer on the substrate, a barrier layer on the first electrode layer and a second electrode layer on the barrier layer. | 12-12-2013 |
20130327398 | Thin-Film Photovoltaic Devices and Methods of Manufacture - Improved thin-film photovoltaic devices and methods of manufacturing such devices are described. Embodiments include a substrate-configured thin-film PV device ( | 12-12-2013 |
20130333752 | Photovoltaic Solar Cell With High-Haze Substrate - A solar cell includes a first substrate having a first surface and a second surface. A haze coating is provided over at least a portion of the first surface, the haze coating comprising an oxide coating incorporating nanoparticles. A first conductive layer is provided over at least a portion of the second surface. A semiconductor layer is provided over the first conductive layer. A second conductive layer is provided over at least a portion of the semiconductor layer. | 12-19-2013 |
20130333753 | NANOCRYSTALLINE ZINC OXIDE FOR PHOTOVOLTAIC MODULES - A method for fabricating a thin film solar device. The method includes providing a substrate having a base layer of transparent conductive oxide (TCO) deposited on a surface of the substrate, performing a surface treatment process on at least a portion of the base layer to provide a treated surface of the base layer, and depositing at least one fill layer on the treated surface of the base layer by growing a new TCO layer having a different crystallite path than the base layer. | 12-19-2013 |
20130333754 | SOLAR CELL DEVICE AND PROCESS OF MANUFACTURING SAME - A solar cell device and methods for manufacturing the solar cell device are disclosed. The solar cell device includes a translucent base; a solar cell element on the translucent base; a wiring conductive body on the solar cell element; and a sealing member on the wiring conductive body. The sealing member includes an ethylene-vinyl acetate copolymer; and an acid acceptor that is eccentrically located in the sealing member. | 12-19-2013 |
20130340820 | METAL SUBSTRATE FOR DYE-SENSITIZED SOLAR CELL - This metal substrate for a dye-sensitized solar cell includes a clad material including a nonporous first metal layer, arranged on an anode side of a dye-sensitized solar cell element, made of a metal having corrosion resistance against an electrolyte of the dye-sensitized solar cell element and a second metal layer made of a metal having lower electrical resistance than the first metal layer and bonded to a side of the first metal layer opposite to the dye-sensitized solar cell element. | 12-26-2013 |
20130340821 | CONDUCTIVE COMPOSITIONS AND PROCESSES FOR USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - ORGANIC MEDIUM COMPONENTS - Embodiments of the invention relate to a silicon semiconductor device, and a conductive paste for use in the front side of a solar cell device. | 12-26-2013 |
20130340822 | SOLAR CELL - A solar cell includes a substrate containing impurities of a first conductive type, an emitter region which is positioned at a first surface of the substrate and contains impurities of a second conductive type opposite the first conductive type to form a p-n junction along with the substrate, an anti-reflection layer positioned on the emitter region, a first electrode which is positioned on the anti-reflection layer and is coupled to the emitter region, and a second electrode which is positioned on a second surface of the substrate and is coupled to the substrate. A first area of the anti-reflection layer, which is positioned under the first electrode, has a plurality of openings. The first electrode couples to the emitter region exposed through the plurality of openings. | 12-26-2013 |
20130340823 | SELECTIVE AND/OR FASTER REMOVAL OF A COATING FROM AN UNDERLYING LAYER, AND SOLAR CELL APPLICATIONS THEREOF - A method for patterning a film pattern on a substrate includes forming a film pattern on a substrate surface, forming a coating over the substrate and the film pattern and inducing porosity or openings in the coating. At least a part of the coating overlying the film pattern is removed including etching at least one layer underlying the coating ahead of removing at least part of the coating. | 12-26-2013 |
20130340824 | Efficient Black Silicon Photovoltaic Devices With Enhanced Blue Response - A photovoltaic (PV) device with improved blue response. The PV device includes a silicon substrate with an emitter layer on a light receiving side. The emitter layer has a low opant level such that it has sheet resistance of 90 to 170 ohm/sq. Anti-reflection in the PV device is provided solely by a nano-structured or black silicon surface on the light-receiving surface, through which the emitter is formed by diffusion. The nano structures of the black silicon are formed in a manner that does not result in gold or another high-recombination metal being left in the black silicon such as with metal-assisted etching using silver. The black silicon is further processed to widen these pores so as to provide larger nanostructures with lateral dimensions in the range of 65 to 150 nanometers so as to reduce surface area and also to etch away a highly doped portion of the emitter. | 12-26-2013 |
20130340825 | Dye-Sensitized Solar Cell with Ordered Tin Oxide Composite Nanostructure Electrodes - A dye-sensitized solar cell (DSC) is provided, made from an anode layer of tin oxide (SnO | 12-26-2013 |
20140000691 | INTEGRATION OF A TITANIA LAYER IN AN ANTI-REFLECTIVE COATING | 01-02-2014 |
20140000692 | TRANSPARENT CONDUCTIVE ELECTRODE FOR THREE DIMENSIONAL PHOTOVOLTAIC DEVICE | 01-02-2014 |
20140000693 | INTEGRATION OF A TITANIA LAYER IN AN ANTI-REFLECTIVE COATING | 01-02-2014 |
20140000694 | Conductive Paste And Electronic Device And Solar Cell | 01-02-2014 |
20140000695 | METHODS AND STRUCTURES FOR IMPROVING THE STRUCTURAL INTEGRITY OF SOLAR CELLS | 01-02-2014 |
20140000696 | LOW-BANDGAP RUTHENIUM-CONTAINING COMPLEXES FOR SOLUTION-PROCESSED ORGANIC SOLAR CELLS | 01-02-2014 |
20140000697 | Nanonet-Based Hematite Hetero-Nanostructures for Solar Energy Conversions and Methods of Fabricating Same | 01-02-2014 |
20140000698 | METHOD FOR PRODUCING ELECTRICALLY CONDUCTIVE CONTACTS ON SOLAR CELLS, AND SOLAR CELL | 01-02-2014 |
20140000699 | LAMINATED MOISTURE PROOF FILM | 01-02-2014 |
20140000700 | CONDUCTIVE POLYMER INK COMPOSITION AND ORGANIC SOLAR CELL INCLUDING THE SAME | 01-02-2014 |
20140000701 | Back Contact Electrodes for Cadmium Telluride Photovoltaic Cells | 01-02-2014 |
20140000702 | ADHESIVE COMPOSITION, LAMINATE AND SOLAR CELL MODULE | 01-02-2014 |
20140000703 | Thin Film Article and Method for Forming a Reduced Conductive Area in Transparent Conductive Films for Photovoltaic Modules | 01-02-2014 |
20140007932 | FLEXIBLE III-V SOLAR CELL STRUCTURE - Solar cell structures include stacked layers in reverse order on a germanium substrate wherein a n++ (In)GaAs buffer layer plays dual roles as buffer and contact layers in the inverted structures. The absorbing layers employed in such exemplary structures are III-V layers such as (In)GaAs. Controlled spalling may be employed as part of the fabrication process for the solar cell structures, which may be single or multi-junction. The requirement for etching a buffer layer is eliminated, thereby facilitating the manufacturing process of devices using the disclosed structures. | 01-09-2014 |
20140007933 | THIN FILM SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - Disclosed are a thin film solar cell and a method of manufacturing the thin film solar cell. The thin film solar cell according to an exemplary embodiment of the present invention thin film solar cell includes a substrate: a front electrode layer formed on the substrate; an oxide layer formed on the front electrode layer: a light absorbing layer (intrinsic layer) formed on the oxide layer; and a back electrode layer formed on the light absorbing layer, wherein the oxide layer is formed of a material selected from MoO | 01-09-2014 |
20140014170 | DOUBLE SIDED BARRIER FOR ENCAPSULATING SODA LIME GLASS FOR CIS/CIGS MATERIALS - A method of fabricating a thin film photovoltaic device is provided. The method subjects a soda lime glass substrate having a front side, backside, and edges to a first cleaning process and forms a first coating of silicon dioxide overlying the backside and the edges. The method further subjects the substrate to a second cleaning process and forms a second coating of silicon dioxide overlying the front side and the edges of the substrate. Furthermore, the method includes causing a barrier layer comprising the first coating and the second coating to encapsulate entirely the front side, backside, and edges. The barrier layer includes at least a thickness of oxygen rich silicon dioxide to contain any sodium bearing material within the substrate. Moreover, the method includes forming a thickness of metal material overlying the second coating on the front side followed by an absorber material and window material plus a top electrode. | 01-16-2014 |
20140014171 | HIGH OPTICAL TRANSPARENT TWO-DIMENSIONAL ELECTRONIC CONDUCTING SYSTEM AND PROCESS FOR GENERATING SAME - Hybrid transparent conducting materials are disclosed with combine a polycrystalline film and conductive nanostructures, in which the polycrystalline film is “percolation doped” with the conductive nanostructures. The polycrystalline film preferably is a single atomic layer thickness of polycrystalline graphene, and conductive nanostructures preferably are silver nanowires. | 01-16-2014 |
20140014172 | Thin Film Solar Cell with Ceramic Handling Layer - A solar cell may comprise a stack of thin continuous epitaxial single crystal solar cell layers on a single crystal wafer, and a handling layer on the stack, the handling layer having a waffle-shaped structure with an array of either square or circular apertures, wherein the handling layer includes electrical contacts to the stack. The solar cell may comprise a boundary layer between the stack and the handling layer, the boundary layer being attached to both the stack and the handling layer, and the boundary layer being greater than 10 nanometers thick and parallel to the layers in the stack. The waffle-shaped structure may include perpendicular sets of first and second parallel ridges, wherein at least one of the sets is aligned at a small angle to a cleavage plane of the single crystal wafer. | 01-16-2014 |
20140014173 | Solar Cell and Method for Manufacturing the Same - Provided are a solar cell and a method for manufacturing the same, and more particularly, a solar cell for forming a selective emitter structure and a surface texture using dry plasma etching at the same time, and a method for manufacturing the same. The solar cell includes a silicon semiconductor substrate; an emitter doping layer having a surface, which is textured by a texturing process on an upper portion of the silicon semiconductor substrate and selectively doped; an anti-reflective film layer formed on a front of the substrate; a front electrode accessing to the emitter doping layer by penetrating the anti-reflective film layer; and a rear electrode accessing to a rear of the silicon semiconductor substrate. | 01-16-2014 |
20140014174 | DYE-SENSITIZED SOLAR CELL WITH NITROGEN-DOPED CARBON NANOTUBES - A dye-sensitized solar cell comprises a metal oxide electrode, a counter electrode which faces the metal oxide electrode and an electrolyte arranged between the metal oxide electrode and the counter electrode, wherein the metal oxide electrode comprises a dye located thereon and the electrolyte comprises an electrochemical redox pair. Furthermore, between the metal oxide electrode and the counter electrode, nitrogen-doped carbon nanotubes (N-CNTs) are arranged, which are in electrical contact with the counter electrode. The invention further relates to a method of obtaining electrical energy by means of dye-sensitized solar cells according to the invention and to the use of nitrogen-doped carbon nanotubes as catalyst in the reaction of an electrochemical redox pair, in particular of the redox pair I | 01-16-2014 |
20140014175 | SOLAR CELL ELEMENT AND SOLAR CELL MODULE - A solar cell element and a solar cell module are disclosed. The solar cell element includes a polycrystalline silicon substrate and an aluminum oxide layer on the p-type semiconductor layer. The polycrystalline silicon substrate includes a p-type semiconductor layer located at the uppermost position. The aluminum oxide layer is primarily amorphous. The solar cell module includes the above-mentioned solar cell element. | 01-16-2014 |
20140014176 | METHOD FOR MANUFACTURING PHOTOVOLTAIC DEVICE - A photovoltaic device manufacturing method is disclosed. Methods include manufacturing a photovoltaic cell using nanoimprint technology to define individual cell units of the photovoltaic device. The methods can include providing a substrate; forming a first conductive layer over the substrate; forming first grooves in the first conductive layer using a nanoimprint and etching process; forming an absorption layer over the first conductive layer, the absorption layer filling in the first grooves; forming second grooves in the absorption layer using a nanoimprint process; forming a second conductive layer over the absorption layer, the second conductive layer filling in the second grooves; and forming third grooves in the second conductive layer and the absorption layer, thereby defining a photovoltaic cell unit. | 01-16-2014 |
20140020743 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - A method of manufacturing a solar cell comprising steps of: (a) preparing a semiconductor substrate; (b) forming a metal thin film by vapor deposition on the back side of the semiconductor substrate; (c) applying a thick film conductive paste on the front side of the semiconductor substrate; and (d) firing the metal thin film and the applied thick film conductive paste to form a thin film electrode and a thick film electrode respectively. | 01-23-2014 |
20140020744 | USING AMORPHOUS ZINC-TIN OXIDE ALLOYS IN THE EMITTER STRUCTURE OF CIGS PV DEVICES - A device includes a back contact, an absorber layer coupled to the back contact, a buffer layer coupled to the absorber layer; and an amorphous transparent conductive layer coupled to the buffer layer, wherein the amorphous transparent conductive phase is characterized by, as a function of composition, i) a range of band gaps and ii) a range of work functions. | 01-23-2014 |
20140020745 | METAL OXIDE-ENCAPSULATED DYE-SENSITIZED PHOTOANODES FOR DYE-SENSITIZED SOLAR CELLS - Dye-sensitized semiconducting metal oxide films for photoanodes, photoanodes incorporating the films and DSCs incorporating the photoanodes are provided. Also provided are methods for making the dye sensitized semiconducting metal oxide films. The methods of making the films are based on the deposition of an encapsulating layer of a semiconducting metal oxide around the molecular anchoring groups of photosensitizing dye molecules adsorbed to a porous film of the semiconducting metal oxide. The encapsulating layer of semiconducting metal oxide is formed in such a way that it is not coated over the chromophores of the adsorbed dye molecules and, therefore, allows the dye molecules to remain electrochemically addressable. | 01-23-2014 |
20140020746 | METAL CONTACT SCHEME FOR SOLAR CELLS - A method of forming point metal electrical contacts to a semiconductor surface of a semiconductor device is provided. In a first step a first metal layer is formed over the semiconductor surface. The first metal layer is then anodised to create a porous metal-oxide layer formed over the semiconductor surface. The pores in the porous metal-oxide layer will thus form an array of openings in the porous metal-oxide layer. A contact metal layer is then formed over the porous metal-oxide layer such that parts of the contact metal layer extend into openings of the array of openings. The contact metal layer electrically contacts the semiconductor surface through the array of openings in the porous metal-oxide layer. A dielectric layer may optionally be formed over the semiconductor surface and the porous metal-oxide layer the formed over the dielectric layer and the contact metal then contacts the semiconductor surface through the dielectric layer. | 01-23-2014 |
20140020747 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A method of manufacturing a solar cell includes: forming a dopant layer by doping a dopant to a semiconductor substrate; and forming an electrode electrically connected to the dopant layer. The forming of the electrode includes forming a metal layer on the dopant layer; and heat-treating the metal layer to form a first layer and a second layer. In the heat-treating of the metal layer, a portion of the metal layer adjacent to the semiconductor substrate forms the first layer including a compound formed by a reaction of the metal layer and the semiconductor substrate, and a remaining portion of the metal layer forms the second layer that covers the first layer. | 01-23-2014 |
20140020748 | METHOD OF FORMING A GERMANIUM LAYER ON A SILICON SUBSTRATE AND A PHOTOVOLTAIC DEVICE INCLUDING A GERMANIUM LAYER - A method is presented for forming a Ge containing layer on a Si substrate. The method includes providing a crystalline Si substrate having a surface that has a crystallographic orientation, heating the Si substrate in a vacuum environment, exposing the Si substrate to a surfactant that is suitable for growth of the Ge containing layer on the crystalline Si using surfactant mediation, and thereafter growing the Ge containing layer on the surface of the heated Si substrate using a suitable sputtering technique. The conditions of the growth of the Ge containing layer are selected such that a thin Ge containing layer is formed on the surface of the Si substrate. The thin Ge containing layer has a surface that has crystallographic properties suitable for epitaxial growth of a layer of a further material on the surface of the thin Ge containing layer. | 01-23-2014 |
20140020749 | MULTILAYER WHITE POLYESTER FILM METHOD FOR MANUFACTURING SAID FILM AND USE OF THIS FILM AS PART OF A BACK SHEET FOR PHOTOVOLTAIC CELLS - The invention concerns a multilayer biaxially oriented white polyester film (adhesion, absence of chalking, opacity whiteness, reflectance, hydrolysis resistance & light stability) which has three polyester layers: a core layer and two outer layers and contains TiO | 01-23-2014 |
20140020750 | SILICON SUBSTRATE HAVING TEXTURED SURFACE, AND PROCESS FOR PRODUCING SAME - The present invention addresses the problem of providing a novel silicon substrate having a textured surface by dry-etching the surface of a silicon substrate having (111) orientation and thereby forming a texture thereon. The present invention provides a silicon substrate having (111) orientation, said silicon substrate having a textured surface that includes multiple protrusions which each comprise three slant faces and have heights of 100 to 8000 nm. This process for producing a silicon substrate includes: a step of preparing a silicon substrate having (111) orientation; and a step of blowing an etching gas onto the surface of the silicon substrate, said etching gas containing one or more gases selected from the group consisting of ClF3, XeF2, BrF3, BrF5 and NF3. | 01-23-2014 |
20140020751 | AG PASTE COMPOSITION FOR FORMING ELECTRODE AND PREPARATION METHOD THEREOF - The present invention relates to a silver paste composition for forming an electrode, which allows formation of an electrode for a solar cell showing more improved printability, excellent electrical properties, and energy conversion efficiency, and a preparation method thereof. The silver paste composition for forming an electrode includes a silver powder, a glass fit powder, an organic binder, and carbon black, in which the carbon black has a surface oxygen/carbon atomic ratio (O/C ratio) of 0.4 or higher. | 01-23-2014 |
20140020752 | PHOTOELECTRIC CONVERTER, AND METHOD FOR PRODUCING SAME - A photoelectric converter ( | 01-23-2014 |
20140020753 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD FOR PRODUCING SAME - This photoelectric conversion device ( | 01-23-2014 |
20140020754 | SOLAR CELL - The solar cell ( | 01-23-2014 |
20140020755 | SOLAR CELL AND METHOD FOR PRODUCING SOLAR CELL - Provided is a solar cell having reduced resistance loss during power collection. A first and a second semiconductor layer ( | 01-23-2014 |
20140020756 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD FOR PRODUCING SAME - A photovoltaic device ( | 01-23-2014 |
20140020757 | PHOTOELECTRIC CONVERSION DEVICE AND METHOD FOR PRODUCING PHOTOELECTRIC CONVERSION DEVICE - There is provided a photovoltaic device ( | 01-23-2014 |
20140026949 | OHMIC CONTACT OF THIN FILM SOLAR CELL - A chalcogen-resistant material including at least one of a conductive elongated nanostructure layer and a high work function material layer is deposited on a transition metal layer on a substrate. A semiconductor chalcogenide material layer is deposited over the chalcogen-resistant material. The conductive elongated nanostructures, if present, can reduce contact resistance by providing direct electrically conductive paths from the transition metal layer through the chalcogen-resistant material and to the semiconductor chalcogenide material. The high work function material layer, if present, can reduce contact resistance by blocking chalcogenization of the transition metal in the transition metal layer. Reduction of the contact resistance can enhance efficiency of a solar cell including the chalcogenide semiconductor material. | 01-30-2014 |
20140026950 | DYE SENSITIZED SOLAR CELL AND METHOD OF FORMING GEL ELECTROLYTE - Provided are a gel electrolyte and a dye-sensitized solar cell with the same. The dye-sensitized solar cell may include a first electrode, a second electrode, and an electrolyte interposed between the first and second electrodes. The electrolyte may include a solvent, a hole conductor having an ester functional group, and a polymer material transforming the solvent from a liquid state to a gel state. | 01-30-2014 |
20140026951 | SOLAR BATTERY CELL AND SOLAR BATTERY MODULE - To accurately connect a TAB wire to intended positions while preventing an increase in manufacturing costs. | 01-30-2014 |
20140026952 | TRANSPARENT CONDUCTIVE OXIDE THIN FILM SUBSTRATE, METHOD OF FABRICATING THE SAME, AND ORGANIC LIGHT-EMITTING DEVICE AND PHOTOVOLTAIC CELL HAVING THE SAME - A transparent conductive oxide thin film substrate that has a high level of surface flatness, a method of fabricating the same, and an OLED and photovoltaic cell having the same. The transparent conductive oxide thin film substrate that includes a base substrate, a first transparent conductive oxide thin film formed on the base substrate, the first transparent conductive oxide thin film being treated with a first dopant, and a second transparent conductive oxide thin film formed on the first transparent conductive oxide thin film. The second transparent conductive oxide thin film is treated with a second dopant at a higher concentration than the first dopant. The surface of the second transparent conductive oxide thin film is flatter than the surface of the first transparent conductive oxide thin film. | 01-30-2014 |
20140026953 | Electroconductive Paste Compositions and Solar Cell Electrodes and Contacts Made Therefrom - Electroconductive paste compositions, particularly for solar cells, contain electroconductive metal particles, glass particles, and an organic vehicle. The electroconductive metal particles are provided as a mixture of silver powder particles and at least one selected from nickel powder, tin (IV) oxide powder, and core-shell particles having a silver shell and a core of nickel and/or tin (IV) oxide. The pastes may be used in the manufacture of contacts or electrodes for the front side or back side of solar cells. | 01-30-2014 |
20140026954 | Solar Cell and Method for Manufacturing the Same - Disclosed are a solar cell and a method for manufacturing the same. The solar cell includes a support substrate; a back electrode layer on the support substrate, the back electrode layer being formed with at least one first through hole to expose a part of a top surface of the support substrate; a light absorbing layer on the back electrode layer; a buffer layer on the light absorbing layer; a window layer on the buffer layer; and a high-resistance region on a lateral side of the back electrode layer forming the first through hole. The high-resistance region has a resistance value higher than a resistance value of the light absorbing layer. | 01-30-2014 |
20140026955 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME, AND SOLAR CELL MODULE - A solar cell includes a first conductive type semiconductor substrate in which a through hole is formed, a second conductive type impurity diffusion layer provided on one-surface side of the semiconductor substrate, a light-receiving surface electrode provided to be electrically connected to the impurity diffusion layer, a lead-out electrode led out to the other-surface side of the semiconductor substrate via the through hole and provided to be electrically connected to the light-receiving surface electrode, and a back-surface electrode electrically connected to the semiconductor substrate on the other-surface side of the semiconductor substrate and electrically separated from the lead-out electrode. The lead-out electrode contains a metal member inside the through hole and is electrically connected to the light-receiving surface electrode. | 01-30-2014 |
20140026956 | THIN-FILM PHOTOVOLTAIC DEVICE AND FABRICATION METHOD - A method to fabricate thin-film photovoltaic devices ( | 01-30-2014 |
20140026957 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - Provided are a solar cell and a method of fabricating the same. The solar cell includes: a substrate; a back electrode layer on the substrate; a light absorbing layer on the rear electrode layer; a window layer on the light absorbing layer; a plurality of beads in the light absorbing layer; and a trap layer on each surface of the plurality of beads. | 01-30-2014 |
20140026958 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - A solar cell according to an embodiment includes a substrate; a barrier layer of a nano rod structure on the substrate; a back electrode layer disposed on the barrier layer; a light absorbing layer disposed on the back electrode layer; a buffer layer disposed on the light absorbing layer, and a window layer disposed on the buffer layer. | 01-30-2014 |
20140034122 | FRONT CONTACT SOLAR CELL WITH FORMED ELECTRICALLY CONDUCTING LAYERS ON THE FRONT SIDE AND BACKSIDE - A bipolar solar cell includes a backside junction formed by a silicon substrate and a first doped layer of a first dopant type on the backside of the solar cell. A second doped layer of a second dopant type makes an electrical connection to the substrate from the front side of the solar cell. A first metal contact of a first electrical polarity electrically connects to the first doped layer on the backside of the solar cell, and a second metal contact of a second electrical polarity electrically connects to the second doped layer on the front side of the solar cell. An external electrical circuit may be electrically connected to the first and second metal contacts to be powered by the solar cell. | 02-06-2014 |
20140034123 | SOLAR CELL AND METHOD OF MANUFACTURING - In different exemplary embodiments, a solar cell is provided, including: a substrate with a first region and a second region, wherein the first region includes at least a first electrical conductivity and the second region includes at least a second electrical conductivity which is greater than the first electrical conductivity; and a passivation on the surface of the substrate; and a contact-structure on the surface of the substrate, wherein the contact-structure includes a plurality of contacts; wherein two contacts of the plurality of contacts are disposed at a first distance with respect to each other in the first region; wherein two further contacts of the plurality of contacts are disposed at a second distance with respect to each other in the second region; and wherein the second distance is greater than the first distance. | 02-06-2014 |
20140034124 | Solar Cell and Method of Fabricating the Same - Provided is a solar cell and a method of fabricating the same. The solar cell according to an embodiment includes a supporting substrate; a transparent electrode layer on the supporting substrate; a buffer layer on the transparent electrode layer; a light absorption layer on the buffer layer; a backside electrode layer on the light absorption layer; and a plurality of recesses formed on a top surface of the transparent electrode layer and having a first slope and a second slope. | 02-06-2014 |
20140034125 | METHOD FOR METALLIZING TEXTURED SURFACES - A method for creating electrically conducting or semiconducting patterns on a textured surface including plural reliefs of amplitude greater than or equal to 100 nanometers, including: preparing a substrate during which at least the textured surface of the substrate is made electrically conducting; coating during which at least one layer of an imprintable material is laid on the textured surface, made electrically conducting, of the substrate; pressing a mold including valleys or protrusions to transfer the valleys or the protrusions of the mold into the imprintable material to form patterns therein; removing the mold while leaving the imprint of the patterns in the imprintable material; exposing the textured surface, made electrically conducting, of the substrate, at a bottom of the patterns; and electrically depositing an electrically conducting or semiconducting material into the patterns to form conducting or semiconducting patterns. | 02-06-2014 |
20140041719 | Manufacture of a Solar Module - A photovoltaic cell with reduced shading and series resistance for increased efficiency. A contact grid containing a set of optical structures is embedded into a substrate. An array of electrical contacts is aligned and in electrical communication with the optical structures and provides electrical communication between the active layer and the substrate. | 02-13-2014 |
20140041720 | SOLAR CELL - A solar cell includes a substrate, an emitter region positioned at a first surface of the substrate, a first electrode positioned on the first surface of the substrate, a back passivation layer positioned on a second surface opposite the first surface of the substrate, and a second electrode which is positioned on the back passivation layer and is electrically connected to the substrate through holes of the back passivation layer. The second electrode includes connection electrodes positioned inside the holes of the back passivation layer and a back electrode layer positioned on the connection electrodes and the back passivation layer. An adhesion enhanced layer is positioned between the back electrode layer and the back passivation layer and contains at least one of intrinsic amorphous silicon and intrinsic microcrystalline silicon. | 02-13-2014 |
20140041721 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - A solar cell includes a substrate, a rear electrode layer on the substrate, the rear electrode layer including a plurality of metal columnar grain layers, a light absorbing layer on the rear electrode layer, and a transparent electrode layer on the light absorbing layer. | 02-13-2014 |
20140041722 | Method of Fabricating High Efficiency CIGS Solar Cells - A method for fabricating high efficiency CIGS solar cells including the deposition of Ga concentrations (Ga/(Ga+In)=0.25−0.66) from sputtering targets containing Ga concentrations between about 25 atomic % and about 66 atomic %. Further, the method includes a high temperature selenization process integrated with a high temperature anneal process that results in high efficiency. | 02-13-2014 |
20140041723 | SOLAR CELL AND METHOD FOR FABRICATING THE SAME - A solar cell comprises an n-type semiconductor layer, a p-type semiconductor layer, a p-side electrode layer, an n-side electrode; and a ZnO transparent electrode layer. | 02-13-2014 |
20140041724 | SOLAR BATTERY CELL AND PRODUCTION METHOD THEREOF - A solar battery cell, including semiconductor substrate, an insulating film formed on one face side of the semiconductor substrate, and an electrode electrically connected to the one face side of the semiconductor substrate, the electrode being embedded in a groove that is provided on the insulating film and provided so as to protrude from a surface of the insulating film by a same width as the groove. | 02-13-2014 |
20140041725 | SOLAR CELL APPARATUS AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell apparatus and a method of fabricating the same. The solar cell apparatus includes a substrate; an electrode layer having a first through hole on the substrate; a light absorption layer having a second through hole on the electrode layer; and a window layer having a third through hole overlapped with the second through hole on the light absorption layer. Since the second through hole overlaps with the third through hole, an area of a dead zone, which is a non-active region, is reduced so that the efficiency of the solar cell apparatus is improved. | 02-13-2014 |
20140048129 | SOLAR CELL AND FABRICATING METHOD THEREOF - A solar cell includes a substrate. The substrate has a light-receiving surface and a back surface opposite to the light-receiving surface. The substrate includes plural trenches formed on the back surface. The solar cell includes plural n-type diffusion areas and plural p-type diffusion areas alternately disposed on the back surface and the surface of the trenches. The possibility of recombination of the electron-hole pair while moving can be reduced because of the trenches, which are formed in the substrate. | 02-20-2014 |
20140048130 | CRYSTALLINE SILICON SOLAR CELL WATER, AND SOLAR CELL EMPLOYING THE SAME - The disclosure provides a crystalline silicon solar cell wafer, and a solar cell employing the same. The crystalline silicon solar cell wafer, having an edge isolation structure, includes: a crystalline silicon substrate having a first surface, a second surface, and a side surface, and an insulating layer formed merely on the side surface of the crystalline silicon substrate. | 02-20-2014 |
20140048131 | CONDUCTIVE MEMBER, PRODUCTION METHOD OF THE SAME, TOUCH PANEL, AND SOLAR CELL - A conductive member containing a base material and a conductive layer provided on the base material, wherein the conductive layer includes (i) a metallic nanowire having an average short-axis length of 150 nm or less and (ii) a binder, the binder including a three-dimensional crosslinked structure that includes a partial structure represented by the following Formula (Ia) and a partial structure represented by the following Formula (IIa) or Formula (IIb). In the Formulae, each of M | 02-20-2014 |
20140048132 | SOLAR CELL AND METHOD OF PREPARING THE SAME - A solar cell includes a substrate, a back electrode layer provided on the substrate, a light absorbing layer provided on the back electrode layer, a buffer layer including ZnS and provided on the light absorbing layer, and a window layer provided on the buffer layer. | 02-20-2014 |
20140053899 | Increasing the Efficiency of Solar Cells By Transfer of Solder - Thickening a contact grid of a solar cell for increased efficiency. A mold containing soldering material is heated. The mold is aligned with the contact grid such that the soldering material is in physical contact with the contact grid. The mold is re-heated, transferring the solder material from the mold to the contact grid to create a thickened contact grid. | 02-27-2014 |
20140053900 | SOLAR CELL ELEMENT - A solar cell element comprising a p-side electrode; a p-type group III-group V compound semiconductor layer; an n-type group III-group V compound semiconductor layer; an n-side group III-group V compound electrode layer; and a V | 02-27-2014 |
20140060632 | USE OF A CONDUCTIVE COMPOSITION CONTAINING LEAD-TELLURIUM-BASED OXIDE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES WITH LIGHTLY DOPED EMITTERS - The present invention provides a process for using a thick-film conductive paste composition to form an electrode on a silicon semiconductor device, e.g, a photovoltaic cell, containing a lightly doped emitter. The thick-film paste comprises a source of an electrically conductive metal and a Pb—Te-based oxide dispersed in an organic medium. Also provided are devices made by the process and a photovoltaic cell comprising a lightly doped emitter and an electrode formed from the thick-film conductive paste composition. | 03-06-2014 |
20140060633 | BACK CONTACT PASTE WITH Te ENRICHMENT CONTROL IN THIN FILM PHOTOVOLTAIC DEVICES - Methods for forming a back contact on a thin film photovoltaic device are provided. The method can include: applying a conductive paste onto a surface defined by a p-type absorber layer (of cadmium telluride) of a p-n junction; and, curing the conductive paste to form a conductive coating on the surface such that during curing an acid from the conductive paste reacts to enrich the surface with tellurium but is substantially consumed during curing. The conductive paste can comprises a conductive material, an optional solvent system, and a binder. Thin film photovoltaic devices are also provided, such as those that have a conductive coating that is substantially free from an acid. | 03-06-2014 |
20140060634 | USE OF AN INERT GRAPHITE LAYER IN A BACK CONTACT OF A PHOTOVOLTAIC CELL - Photovoltaic devices are provided that include a transparent superstrate; a transparent conductive oxide on the transparent superstrate; an n-type window layer on the transparent superstrate; a p-type absorber layer on the n-type window layer; and an inert conductive paste layer on the back surface of the p-type absorber layer. The p-type absorber layer includes cadmium telluride, and defines a back surface positioned opposite from the n-type window layer that is tellurium enriched. The inert conductive paste layer is substantially free from an acid or acid generator. Methods are also generally provided of forming such a back contact. | 03-06-2014 |
20140060635 | PHOTOVOLTAIC DEVICES - Photovoltaic devices are presented. A photovoltaic device includes a window layer and a semiconductor layer including a semiconductor material disposed on window layer. The semiconductor layer includes a first region and a second region, the first region disposed proximate to the window layer, and the second region including a chalcogen-rich region, wherein the first region and the second region include a dopant, and an average atomic concentration of the dopant in the second region is greater than an average atomic concentration of the dopant in the first region. | 03-06-2014 |
20140060636 | End-Group-Directed Self-Assembly of Organic Compounds Useful for Photovoltaic Applications - The present invention provides for an organic compound comprising electron deficient unit covalently linked to two or more electron rich units. The present invention also provides for a device comprising the organic compound, such as a light-emitting diode, thin-film transistor, chemical biosensor, non-emissive electrochromic, memory device, photovoltaic cells, or the like. | 03-06-2014 |
20140060637 | DYE-SENSITIZED SOLAR CELL, ITS PHOTOELECTRODE AND PRODUCING METHOD THEREOF - A dye-sensitized solar cell includes a negative electrode, a positive electrode, a photoelectrode mounted between the negative electrode and the positive electrode, and an electrolyte located between the photoelectrode and the positive electrode. The photoelectrode is adapted to absorb a dye. The photoelectrode includes a dense layer, a scattering layer and a carrier transport layer. The dense layer, the scattering layer and the carrier transport layer are stacked one upon another. The dense layer is formed by titanium dioxide nanoparticles having a diameter of 15-20 nm. The scattering layer is formed by titanium dioxide nanospheres having a diameter of 200-500 nm. The carrier transport layer is formed by titanium dioxide nanotubes having a length of 300-800 nm. Furthermore, a photoelectrode for the dye-sensitized solar cell, as well as a method for producing the photoelectrode, are also disclosed. | 03-06-2014 |
20140060638 | SOLAR CELL - A solar cell according to an embodiment of the invention includes: a substrate; a dopant layer formed at the substrate; an electrode electrically connected to the dopant layer, wherein the electrode includes a plurality of finger electrodes that are parallel to each other; and a ribbon-connected portion formed on the dopant layer, wherein the ribbon-connected portion includes a non-conductive material. A portion of the plurality of finger electrodes is formed on the ribbon-connected portion. | 03-06-2014 |
20140060639 | COPPER OXIDE CORE/SHELL NANOCRYSTALS FOR USE IN PHOTOVOLTAIC CELLS - The present application relates to a copper oxide nanocrystal with a cupric oxide (CuO) shell surrounding a cuprous oxide (Cu | 03-06-2014 |
20140060640 | ELECTRODE FOR PHOTOVOLTAIC DEVICE - An electrode for a photovoltaic device includes a Mo layer and a sulfurization-resistant layer formed on the Mo layer. The sulfurization-resistant layer contains at least one element X selected from a group consisting of Nb, Ti, Ta, Au, V, Mn, and W. A molar ratio of the element X to Mo contained in the sulfurization-resistant layer preferably satisfies X/(Mo+X)>about 0.5. A thickness (initial thickness) of the sulfurization-resistant layer before being exposed to sulfurizing atmosphere is preferably about 3 to about 200 nm. | 03-06-2014 |
20140060641 | REDOX COUPLE FOR ELECTROCHEMICAL AND OPTOELECTRONIC DEVICES - The present invention provides an improved redox couple for electrochemical and optoelectronic devices. The redox couple is based on a complex of a first row transition metal, said complex containing at least one mono-, bi-, or tridentate ligand comprising a substituted or unsubstituted ring or ring system comprising a five-membered N-containing heteroring and/or a six-membered ring comprising at least two heteroatoms, at least one of which being a nitrogen atom, said five- or six-membered heteroring, respectively, comprising at least one double bond. The invention also relates to electrolytes and to the devices containing the complex, and to the use of the complex as a redox couple. The invention further provides electrochemical and/or optoelectronic devices comprising a first and a second electrode and, between said first and second electrode, a charge transport layer, said a charge transport layer comprising tetracyanoborate ([B(CN) | 03-06-2014 |
20140060642 | LIGHT-REFLECTING GRATING STRUCTURE FOR PHOTOVOLTAIC DEVICES - A photovoltaic cell includes an absorbing layer configured to generate electron-hole pairs from incident photons of incoming light; and a first grating layer arranged at a first surface of the absorbing layer which is opposite to a second surface of the absorbing layer from which light is incident, wherein the first grating layer includes at least one grating extending along the first surface, wherein the at least one grating has grating structures which are dimensioned to provide a reflectivity for light incident through the absorbing layer back into the absorbing layer. | 03-06-2014 |
20140060643 | Light Absorbing Oxide Materials for Photovoltaic and Photocatalytic Applications and Devices - Provided are materials, methods and devices for absorption of visible or solar terrestrial electromagnetic radiation. The disclosed materials, methods and devices employ a multi-component oxide material comprising a solar terrestrial light absorbing metallic oxide and a catalytic oxide to achieve conversion of absorbed visible or solar terrestrial electromagnetic radiation into useful work, such as for photocatalytic or photovoltaic applications. | 03-06-2014 |
20140060644 | 2D CRYSTALLINE FILM BASED ON ZNO INTEGRATION OF ONTO A CONDUCTIVE PLASTIC SUBSTRATE - The invention relates to a method for forming, on a conductive plastic substrate, a 2D crystalline layer based on zinc oxide, possibly doped, characterized in that: the 2D layer is formed by electrochemical deposition; the electrochemical deposition is performed at a temperature ranging between 55° C. and 65° C.; the electrochemical deposition is performed in the presence of oxygen, by means of a solution including a zinc source at a concentration ranging between 2.5 mM and 7 mM; and a supporting electrolyte at a concentration ranging between 0.06 M et 0.4 M. | 03-06-2014 |
20140060645 | PROFILES FOR FIXING RIGID PLATES - The present invention relates to profiles for attaching rigid plates, especially photovoltaic modules, to a roof and to a method and system for attaching photovoltaic modules to a roof structure with the help of profiles. | 03-06-2014 |
20140069494 | METHOD AND APPARATUS FOR INCREASING CONDUCTIVITY OF SOLAR CELL ELECTRODE, AND SOLAR CELL - A method and apparatus for increasing conductivity of a solar cell electrode are disclosed. The method includes forming at least one finger on a surface of a substrate, and providing an electrical pulse passing through the finger, in which the duration of the electrical pulse is between 1 microsecond and 1 second. The finger is utilized as an electrode of a solar cell, and includes an adhesive and plural conductive particles blended therein. The temperature of the finger is raised by passing therethrough the electrical pulse to eliminate contaminants and oxidation in the finger and micro-weld the conductive particles in the finger. | 03-13-2014 |
20140069495 | SOLAR CELL PROTECTIVE SHEET, PRODUCING METHOD OF SAME, AND SOLAR CELL MODULE - A solar cell protective sheet includes a substrate and a thermoplastic resin layer laminated on at least one surface of the substrate. The thermoplastic resin layer contains at least one pigment selected from the group consisting of titanium oxide, talc, magnesium oxide, cerium oxide, barium sulfate, calcium carbonate and carbon black. The solar cell protective sheet has excellent adhesiveness to an encapsulant of a solar cell module and can suppress the warping caused in the solar cell module. | 03-13-2014 |
20140069496 | Planar Plasmonic Device for Light Reflection, Diffusion and Guiding - A planar plasmonic device includes a first material layer having a surface configured to receive at least one photon of incident light. A patterned plasmonic nanostructured layer is disposed adjacent and optically coupled to the first material layer. The patterned plasmonic nanostructured layer includes a selected one of: a) at least a portion of a surface of the patterned plasmonic nanostructured layer includes a textured surface, and b) at least one compound nanofeature including a first material disposed adjacent to a second material within the compound nanofeature. | 03-13-2014 |
20140069497 | PROTECTIVE FILM FOR SOLAR CELL AND SOLAR CELL COMPRISING THE SAME - A protective film for a solar cell including a barrier film having a multilayer structure in which a substrate layer, an organic-inorganic hybrid layer and an inorganic barrier layer are sequentially stacked and a fluorine-based polymer layer, and a solar cell including the same are provided. Here, at least one of the substrate layer, the organic-inorganic hybrid layer, the inorganic barrier layer and the fluorine-based polymer layer includes at least one of a UV stabilizer and a UV absorbent. The protective film for a solar cell can prevent degradation of efficiency of a solar cell module due to oxygen and moisture penetration, and can prevent degradation of performance of the protective film caused by UV rays, and thus can significantly improve efficiency and lifespan of the solar cell module. | 03-13-2014 |
20140069498 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - Provided is a solar cell, including: a semiconductor substrate having a p-n junction; an antireflection film formed on at least one side of the semiconductor substrate; first electrodes formed on the antireflection film; and second electrodes covering the first electrodes, wherein only the first electrodes selectively penetrate the antireflection film and is thus connected with the semiconductor substrate by a punch through process. | 03-13-2014 |
20140076392 | SOLAR CELL - A thin film solar cell and process for forming the same. The solar cell includes a bottom electrode layer, semiconductor light absorbing layer, and a TCO top electrode layer. In one embodiment, a TCO seed layer is formed between the top electrode and absorber layers to improve adhesion of the top electrode layer to the absorber layer. In one embodiment, the seed layer is formed at a lower temperature than the TCO top electrode layer and has a different microstructure. | 03-20-2014 |
20140076393 | FLEXIBLE SOLAR CELL AND MANUFACTURING METHOD THEREOF - A flexible solar cell and a manufacturing method thereof are provided. The flexible solar cell includes a rigid transparent substrate, a transparent electrode, a photoactive layer, a metal electrode, an encapsulating structure and a flexible substrate. The transparent electrode is disposed on the rigid transparent substrate, the photoactive layer is disposed on the transparent electrode, and the metal electrode is disposed on the photoactive layer. The transparent electrode, the photoactive layer and the metal electrode are sealed by the encapsulating structure disposed on the rigid transparent substrate. The flexible substrate opposite to the rigid transparent substrate is disposed on the encapsulating structure. | 03-20-2014 |
20140076394 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a substrate, an emitter region including a lightly doped emitter region having a first sheet resistance and a heavily doped emitter region having a second sheet resistance less than the first sheet resistance, a first dielectric layer positioned on the emitter region, a first electrode including a first finger electrode positioned on the heavily doped emitter region in a first direction and a first bus bar electrode positioned on the lightly doped emitter region in a second direction, and a second electrode positioned on the substrate. The first finger electrode includes a seed layer contacting the heavily doped emitter region and a conductive metal layer formed on the seed layer, and the first bus bar electrode includes electrically conductive metal particles and a thermosetting resin. | 03-20-2014 |
20140076395 | POLYMER SOCKETS FOR BACK-CONTACT PHOTOVOLTAIC CELLS - Polymer sockets are provide for accepting and electrically connecting a back-contact photovoltaic cells having at least one set of linearly arranged backface emitter contacts and at least one set of linearly arranged backface collector contacts. A process for electrically connecting the polymer sockets to each other and to back-contact photovoltaic cells is also provided. | 03-20-2014 |
20140076396 | SEMICONDUCTOR SUBSTRATE AND METHOD FOR PRODUCING THE SAME, PHOTOVOLTAIC CELL ELEMENT, AND PHOTOVOLTAIC CELL - The semiconductor substrate of the present invention contains a semiconductor layer and an impurity diffusion layer containing at least one impurity atom selected from the group consisting of an n-type impurity atom and a p-type impurity atom and at least one metallic atom selected from the group consisting of K, Na, Li, Ba, Sr, Ca, Mg, Be, Zn, Pb, Cd, V, Sn, Zr, Mo, La, Nb, Ta, Y, Ti, Ge, Te, and Lu. | 03-20-2014 |
20140083493 | CONDUCTIVE CONTACT FOR SOLAR CELL - Conductive contacts for solar cells and methods of forming conductive contacts for solar cells are described. For example, a solar cell includes a substrate. A conductive contact is disposed on the substrate. The conductive contact includes a layer composed of a first metal species having a plurality of pores. The conductive contact also includes a second metal species disposed in the plurality of pores. Portions of both the first and second metal species are in contact with the substrate. | 03-27-2014 |
20140083494 | METHOD OF FORMING METAL OXIDE NANOTUBE AND DYE-SENSITIZED SOLAR CELL FORMED THEREBY - Provided are a method of forming metal oxide nanotube and a dye-sensitized solar cell formed thereby. The method may include providing a metal electrode and a counter electrode in an electrolyte containing a negatively polarized surfactant, and applying voltages to the metal electrode and the counter electrode to form a metal oxide nanotube on the metal electrode. The metal oxide nanotube may have a (001)-plane. | 03-27-2014 |
20140083495 | CONDUCTIVE SILVER PASTE FOR A METAL-WRAP-THROUGH SILICON SOLAR CELL - A conductive silver via paste comprising particulate conductive silver, a vanadium-phosphorus-oxide and an organic vehicle is particularly useful in providing the metallization of the holes in the silicon wafers of MWT solar cells. The result is a metallic electrically conductive via between the collector lines on the front side and the emitter electrode on the back-side of the solar cell. The paste can also be used to form the collector lines on the front-side of the solar cell and the emitter electrode on the back-side of the solar cell. Also disclosed are metal-wrap-through silicon solar cells comprising the fired conductive silver paste. | 03-27-2014 |
20140083496 | PHOTOELECTRIC CONVERSION ELEMENT AND SOLAR CELL - A photoelectric conversion element includes a photoelectric conversion layer, a transparent electrode, an intermediate layer, and a window layer. The photoelectric conversion layer includes a homojunction of a p-type compound semiconductor layer and an n-type compound semiconductor layer. The p-type and n-type compound semiconductors include group I-III-VI | 03-27-2014 |
20140083497 | Electrical Circuit and Method for Producing an Electrical Circuit - An electrical circuit includes a solar cell having a photovoltaically active front side and a back side, and a redistribution wiring plane located on the back side of the solar cell. The redistribution wiring plane is electrically and mechanically connected to the solar cell. The electrical circuit also includes an electronic or micromechanical component located on a back-side side of the redistribution wiring plane facing away from the solar cell. The electronic or micromechanical component is electrically and mechanically connected to the redistribution wiring plane via a connection produced by a mounting and connection technology. | 03-27-2014 |
20140083498 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell is discussed. The solar cell includes a semiconductor substrate, a p-type conductive region formed at the semiconductor substrate and including a p-type impurity, and a passivation film formed on the p-type conductive region and including aluminum oxide. The passivation film has a thickness of 7 to 17 Å. | 03-27-2014 |
20140083499 | SOLAR MODULE AND MANUFACTURING METHOD THEREFOR - A solar module and manufacturing method for the solar module are provided which are able to reduce problems caused by thermal stress. The solar module ( | 03-27-2014 |
20140083500 | COMPOSITION FOR AN ACTIVE LAYER OR ELECTRODE OF PHOTOVOLTAIC CELLS - A composition including a graft copolymer, having: a linear trunk including at least one non-aromatic vinyl polymer or an unsaturated polyolefin; and at least two grafts attached to said trunk via a chemical bond, each graft having a conjugated polymer, characterized in that said composition further includes: fullerenes and a conjugated polymer; or carbon and/or graphene nanotubes. Also, a photovoltaic module incorporating such a composition, and to the use of said composition for the same purposes. Finally, methods for synthesizing the molecules forming all or part of the composition. | 03-27-2014 |
20140083501 | TRANSPARENT CONDUCTING FILM HAVING DOUBLE STRUCTURE AND METHOD OF MANUFACTURING THE SAME - Disclosed is a double-structure transparent conducting film having both excellent electrical characteristics and excellent light trapping performance, and a method of manufacturing the same. | 03-27-2014 |
20140090701 | SPACER FORMATION IN A SOLAR CELL USING OXYGEN ION IMPLANTATION - A solar cell is disclosed. The solar cell has a front side facing the sun during normal operation, and a back side facing away from the sun. The solar cell comprises a silicon substrate, a first polysilicon layer with a region of doped polysilicon on the back side of the substrate. The solar cell also comprises a second polysilicon layer with a second region of doped polysilicon on the back side of the silicon substrate. The second polysilicon layer at least partially covers the region of doped polysilicon. The solar cell also comprises a resistive region disposed in the first polysilicon layer. The resistive region extends from an edge of the second region of doped polysilicon. The resistive region can be formed by ion implantation of oxygen into the first polysilicon layer. | 04-03-2014 |
20140090702 | BUS BAR FOR A SOLAR CELL - Various embodiments of the present invention are directed to a reduced-area bus bar for collecting current from contacts on the surface of a solar cell. According to various embodiments described herein, a reduced-area bus bar is provided having a width that varies at various points along its longitudinal axis. In particular, the larger width portions of the reduced-area bus bar are configured to provide sufficient pull strength when an interconnecting ribbon is soldered along the bus bar, while the smaller width portions of the reduced-area bus bar enable a reduction in the material required to form the bus bar. Additionally, various embodiments are contemplated in which the reduced-area bus bar comprises a series of segments disposed in a spaced-apart relationship along the bus bar's longitudinal axis. | 04-03-2014 |
20140090703 | DYE-SENSITIZED SOLAR CELL - A dye-sensitized solar cell includes four generation cells, wherein their negative electrodes and positive electrodes are facing each other, with an electrolytic solution sealed in between, and being contacted by generation layers. On the other main side of a polyimide layer on which the positive electrodes are formed, wiring layers corresponding to the positive electrodes are provided in positions overlapping with both the corresponding positive electrodes and the positive electrodes adjacent thereto. The wiring layers corresponding to the positive electrodes are connected by through holes and conductor penetrating the polyimide layer. The negative electrodes of the generation cells are connected, on the outer periphery side, with the wiring layers that are connected to the positive electrodes of the adjacent generation cells, except for the wiring layer and negative electrode on which leader terminal parts are formed. | 04-03-2014 |
20140090704 | METHOD AND SYSTEM FOR MANUFACTURING BACK CONTACTS OF PHOTOVOLTAIC DEVICES - A method for manufacturing a photovoltaic device includes a step of depositing one of an amorphous layer of ZnTe and a multilayer stack of Zn and Te adjacent a semiconductor layer. The one of the amorphous layer and the multilayer stack is then subjected to an energy impulse at a temperature equal to or greater than its critical temperature. The energy impulse results in an explosive crystallization to form a polycrystalline layer of ZnTe from the one of the amorphous layer and the multilayer stack. | 04-03-2014 |
20140090705 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element comprising: a photoelectric conversion layer; and a light reflection layer including a metal film provided on one of main surface sides of the photoelectric conversion layer, wherein penetration parts penetrating from one main surface of the metal film to the other main surface are provided in a plurality of portions in the metal film. | 04-03-2014 |
20140090706 | SOLAR CELL APPARATUS AND METHOD OF FABRICATING THE SAME - A solar cell apparatus according to the embodiment includes a substrate; a back electrode layer on the substrate; a light absorbing layer on the back electrode layer; a first buffer layer including CdS on the light absorbing layer; a second buffer layer including Zn on the first buffer layer; and a window layer on the second buffer layer. | 04-03-2014 |
20140096820 | Laser Doping of Crystalline Semiconductors Using a Dopant-Containing Amorphous Silicon Stack For Dopant Source and Passivation - Techniques and structures for laser doping of crystalline semiconductors using a dopant-containing amorphous silicon stack for dopant source and passivation. A structure includes a crystalline semiconductor having at least one surface, a doped crystalline region disposed in at least one selected area of the semiconductor surface, and a dopant-containing amorphous silicon layer stack containing a same dopant as present in the doped crystalline region on at least a portion of the semiconductor surface outside the selected area, wherein the dopant-containing amorphous silicon layer stack passivates the portion of the semiconductor surface on which it is disposed. | 04-10-2014 |
20140096821 | SOLAR CELL AND METHOD FOR MAKING THEREOF - A solar cell includes a doped layer disposed on a first surface of a semiconductor substrate, a doped polysilicon layer disposed in a first region of a second surface of the semiconductor substrate, a doped area disposed in a second region of the second surface, and an insulating layer covering the doped polysilicon layer and the doped area. The insulating layer has openings exposing portions of the doped polysilicon layer and the doped layer, and the doped polysilicon layer and doped layer are respectively connected to a first electrode and a second electrode through the openings. The semiconductor substrate and the doped layer have a first doping type. One of the doped polysilicon layer and the doping area has a second doping type, and the other one of the doped polysilicon layer and the doping area has the first doping type which is opposite to the second doping type. | 04-10-2014 |
20140096822 | METHOD FOR MANUFACTURING A SILICON MONOCRYSTAL SEED AND A SILICON-WAFER, SILICON-WAFER AND SILICON SOLAR-CELL - Within the scope of a Silicon-wafer making, in which a silicon monocrystal seed is arranged in the bottom region of a crucible, wherein the silicon monocrystal seed has a seed surface with a {110}-crystal orientation perpendicular to the bottom region of the crucible, in which liquid high-purity silicon is solidified, starting from the seed surface of the silicon monocrystal seed, and in which the silicon block is split into Silicon-wafers in such a manner that a wafer surface has a {100}-crystal orientation, wherein the silicon monocrystal seed is manufactured from a silicon monocrystal block, the block axis of which has a [110]-spatial orientation, wherein the silicon monocrystal block is cut-off for forming the seed surface of the silicon monocrystal seed with the {110}-crystal orientation parallel to the block axis. | 04-10-2014 |
20140096823 | PHOTOVOLTAIC DEVICES WITH ELECTROPLATED METAL GRIDS - One embodiment of the present invention provides a solar cell. The solar cell includes a photovoltaic structure and a front-side metal grid situated above the photovoltaic structure. The front-side metal grid also includes one or more electroplated metal layers. The front-side metal grid includes one or more finger lines, and each end of a respective finger line is coupled to a corresponding end of an adjacent finger line via an additional metal line, thus ensuring that the respective finger line has no open end. | 04-10-2014 |
20140096824 | PROCESS AND STRUCTURES FOR FABRICATION OF SOLAR CELLS - Contact holes of solar cells are formed by laser ablation to accommodate various solar cell designs. Use of a laser to form the contact holes is facilitated by replacing films formed on the diffusion regions with a film that has substantially uniform thickness. Contact holes may be formed to deep diffusion regions to increase the laser ablation process margins. The laser configuration may be tailored to form contact holes through dielectric films of varying thicknesses. | 04-10-2014 |
20140102529 | SOLAR CELL INTERCONNECT ASSEMBLY AND METHOD FOR MANUFACTURING THE SAME - A solar cell interconnect assembly and a method for manufacturing the same are provided. In an embodiment, the method may include: providing a solar cell having an interconnect member formed thereon, the interconnect member comprising a metallic part formed on a surface of the solar cell and a first precursor layer formed over the metallic part; providing an interconnector comprising a second precursor layer at a surface thereof; heating the interconnector and the interconnect member to a temperature equal to or above a eutectic temperature of the materials of the first and second precursor layers and pressing one of them against the other so as to form a eutectic liquid phase; and isothermal solidifying the eutectic liquid to form a bonding layer of eutectic alloy. | 04-17-2014 |
20140102530 | MICROCRYSTALLINE SILICON THIN FILM SOLAR CELL AND THE MANUFACTURING METHOD THEREOF - The present invention relates to a microcrystalline silicon thin film solar cell and the manufacturing method thereof, using which not only the crystallinity of a microcrystalline silicon thin film that is to be formed by the manufacturing method can be controlled and adjusted at will and the defects in the microcrystalline silicon thin film can be fixed, but also the device characteristic degradation due to chamber contamination happening in the manufacturing process, such as plasma enhanced chemical vapor deposition (PECVD), can be eliminated effectively. | 04-17-2014 |
20140102531 | SYSTEMS AND METHODS FOR MONOLITHICALLY INTEGRATED BYPASS SWITCHES IN PHOTOVOLTAIC SOLAR CELLS AND MODULES - Structures and methods for a solar cell having an integrated bypass switch are provided. According to one embodiment, an integrated solar cell and bypass switch comprising a semiconductor layer having background doping, a frontside, and a backside is provided. A patterned first level metal is positioned on the layer backside and an electrically insulating backplane is positioned on the first level metal. A trench isolation pattern partitions the semiconductor layer into a solar cell region and at least one monolithically integrated bypass switch region. A patterned second level metal is positioned on the electrically insulating backplane and which connects to the first level metal through the backplane to complete the electrical metallization of the monolithically integrated solar cell and bypass switch structure. | 04-17-2014 |
20140102532 | SOLAR CELL MODULE, METHOD FOR MANUFACTURING SOLAR CELL MODULE, AND TAB WIRE FOR THIN FILM SOLAR CELLS - To ensure connection reliability between a tab wire for a collector and a tab wire for a terminal box over a long period of time. This solar cell module is provided with: a solar cell, on one surface of which an electrode is arranged; and a tab wire, which includes a collector tab unit that is connected onto the electrode of the solar cell with a connection layer interposed therebetween and a terminal box tab unit that is provided on one surface of the solar cell with an insulating layer interposed therebetween, and in this structure, the collector tab unit and the terminal box tab unit are continuously formed via a folded part. | 04-17-2014 |
20140102533 | Polyvinylidene Fluoride Resin Film, Multilayer Film, Backsheet for Solar Cell Module and Production Process of Film - The invention provides a polyvinylidene fluoride resin (PVDF) film in which a crystal structure determined from an absorbance by an infrared absorption spectrum is such that a proportion of a β-type crystal is 10% or more based on the sum total of an α-type crystal and the β-type crystal, further preferably a film whose tensile modulus in TD at 120° C. is 90 MPa or less, or a film in which a ratio of its tensile modulus in TD at a temperature of 100° C. to its tensile modulus in TD at a temperature of 23° C. is 4% or less, in particular an extruded film, a backsheet for solar cell module, which comprises the film, and a production process of the film, which comprises quenching a PVDF sheet-shaped material melt-extruded at 5 to 70° C. and preferably then subjecting the quenched material to a heat treatment. | 04-17-2014 |
20140102534 | HIGH-CONCENTRATION SOLAR CELL CHIP - A high-concentration solar cell includes an epitaxial layer structure, an upper patterned electrode on the top surface, and a back electrode on the back surface. The upper patterned electrode includes a primary pattern and a secondary pattern, where the primary pattern is composed of a series of small metal isosceles trapezoids around the perimeter of the cell. The narrower base of each metal trapezoid points toward an interior of the cell. A lead soldering pad is located within each metal trapezoid for being soldered to an external conductor for carrying the solar cell current. The secondary pattern consists of thin spaced conductors that connect to the angled sides and base of each trapezoid and spread current across the top surface of the cell. The current along the angled sides of each trapezoid is well-distributed to all the spaced conductors connected to the angled sides to avoid current crowding. | 04-17-2014 |
20140102535 | SOLAR CELL ELEMENT AND METHOD FOR MANUFACTURING SAME - A solar cell element having a transparent substrate body, a Na | 04-17-2014 |
20140109962 | INTERCONNECTOR FOR SOLAR CELLS, AND SOLAR CELL MODULE - The purpose of the present invention is to provide an interconnector for solar cells, which reduces the stress acting on a solar cell and suppresses warping and cracking of the solar cell. An interconnector for solar cells of the present invention is characterized by comprising an electrically conductive wire part and a surface layer that is formed on at least one wide surface of the electrically conductive wire part. The interconnector for solar cells is also characterized in that the surface layer has a function of reducing the stress that is caused by the difference between the thermal expansion coefficient of the electrically conductive part and the thermal expansion coefficient of a solar cell, said stress being generated when the interconnector is joined to the solar cell. | 04-24-2014 |
20140109963 | SINGLE JUNCTION TYPE CIGS THIN FILM SOLAR CELL AND METHOD FOR MANUFACTURING THE THIN FILM SOLAR CELL - Provided is a single junction type GIGS thin film solar cell, which includes a CIGS light absorption layer manufactured using a single junction. The single junction type GIGS thin film solar cell includes a substrate, a back contact deposited on the substrate, a light absorption layer deposited on the back contact and including a P type GIGS layer and an N type GIGS layer coupled to the P type CIGS layer using a single junction, and a reflection prevention film deposited on the light absorption layer. | 04-24-2014 |
20140109964 | SOLAR CELL ELEMENT - A solar cell element includes: a transparent body; a Li | 04-24-2014 |
20140116503 | DYE-SENSITIZED SOLAR CELL AND PROCESS FOR MANUFACTURING THE SAME - A dye-sensitized solar cell is provided, wherein it can be produced by a relatively easy and simple process and ensures high conversion efficiency even in cases where the thickness of the porous semiconductor layer is increased. The dye-sensitized solar cell | 05-01-2014 |
20140116504 | COMPOUND SEMICONDUCTOR SOLAR CELL - Provided is a compound semiconductor solar cell including a back electrode disposed on a substrate, a hole-injection layer disposed on the back electrode, a light-absorbing layer disposed on the hole-injection layer, and a front transparent electrode disposed on the light-absorbing layer. The hole-injection layer may be formed of a metal oxide layer containing one or more metallic element. | 05-01-2014 |
20140116505 | RESIN COMPOSITION - There is provided a resin composition capable of forming a cured film having excellent light resistance, high transparency, and a high refractive index. A resin composition including: a component (A); a component (B); and a component (C) below, in which the composition is formed into a film and is then heated at 150° C. or higher to achieve a refractive index of 1.65 or higher: the component (A): a triazine compound having at least two nitrogen atoms substituted with a hydroxymethyl group and/or an alkoxymethyl group; the component (B): an ethylene glycol compound having at least one acrylic moiety and having an aromatic group substituted with an organic group or a condensed aromatic group; and the component (C): an acid compound having a pKa of 2 or lower. | 05-01-2014 |
20140116506 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A method of manufacturing a solar cell includes forming jagged portions non-uniformly on a surface of a substrate, forming a first type semiconductor and a second type semiconductor in the substrate, forming a first electrode to contact the first type semiconductor, and forming a second electrode to contact the second type semiconductor. An etchant used in a wet etching process in manufacturing the solar cell includes about 0.5 wt % to 10 wt % of HF, about 30 wt % to 60 wt % of HNO | 05-01-2014 |
20140116507 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell and a method for manufacturing the same are disclosed. The solar cell may include a substrate, an emitter layer positioned at a first surface of the substrate, a first anti-reflection layer that is positioned on a surface of the emitter layer and may include a plurality of first contact lines exposing a portion of the emitter layer, a first electrode that is electrically connected to the emitter layer exposed through the plurality of first contact lines and may include a plating layer directly contacting the emitter layer, and a second electrode positioned on a second surface of the substrate. | 05-01-2014 |
20140116508 | COLUMN STRUCTURE THIN FILM MATERIAL USING METAL OXIDE BEARING SEMICONDUCTOR MATERIAL FOR SOLAR CELL DEVICES - A thin film material structure for solar cell devices. The thin film material structure includes a thickness of material comprises a plurality of single crystal structures. In a specific embodiment, each of the single crystal structure is configured in a column like shape. The column like shape has a dimension of about 0.01 micron to about 10 microns characterizes a first end and a second end. An optical absorption coefficient of greater than 10 | 05-01-2014 |
20140124023 | SOLAR CELL - A solar cell and a method of fabricating a solar cell. A solar cell including a substrate; a first electrode layer on the substrate; a light absorbing layer on the first electrode layer; an alloy layer between the first electrode layer and the light absorbing layer; a buffer layer on the light absorbing layer; a first through-hole formed through the buffer layer, the light absorbing layer, the alloy layer, and the first electrode layer to the substrate; and an insulating barrier in at least one portion of the first through-hole. | 05-08-2014 |
20140124024 | ALUMINUM-BASED COMPOSITIONS AND SOLAR CELLS INCLUDING ALUMINUM-BASED COMPOSITIONS - The present invention describes an aluminum-based paste composition including an aluminum powder, one or more glass frits, an organo-aluminate compound; and an organic vehicle. The present invention also describes a solar cell including an aluminum-based paste composition applied on a back surface of a silicon wafer. | 05-08-2014 |
20140124025 | METAL OXIDE SEMICONDUCTOR ELECTRODE HAVING POROUS THIN FILM, DYE-SENSITIZED SOLAR CELL USING SAME, AND METHOD FOR MANUFACTURING SAME - The present invention relates to a dye-sensitized solar cell and to a method for manufacturing same, and more specifically, to a novel dye-sensitized solar cell for preventing photoelectron recombination due to a triiodide, and to a method for manufacturing same. The dye-sensitized solar cell, according to the present invention, comprises a metal oxide which is produced by co-adsorption of a reactive compound, which can react with iodine, with a dye on a surface of the dye-sensitized solar cell. The dye-sensitized solar cell can achieve high efficiency by preventing the photoelectron recombination due to the triiodide while using a small amount of the dye. | 05-08-2014 |
20140124026 | SOLAR CELL DEVICE - A photovoltaic cell including: (a) a housing including an at least partially transparent cell wall having an interior surface; (b) an electrolyte, containing an iodide based species; (c) a transparent electrically conductive coating disposed on the interior surface; (d) an anode disposed on the conductive coating, the anode including: (i) a porous film containing titania, the porous film adapted to make intimate contact with the iodide based species, and (ii) a dye, absorbed on a surface of the porous film, the dye and the porous film adapted to convert photons to electrons; (e) a cathode disposed on an interior surface of the housing; (f) electrically-conductive metallic wires, disposed within the cell, and electrically contacting the anode and the coating, and (g) a second electrically conductive coating including an inorganic binder and an inorganic electrically conductive filler, the second coating bridging between each of the wires and the transparent coating. | 05-08-2014 |
20140124027 | SOLAR CELL AND METHOD OF MANUFACTURING A SOLAR CELL - The solar cell of the present invention includes a semiconductor substrate, a back-side electrode arranged in a region excluding at least a predetermined conductor arrangement region in the back surface of the semiconductor substrate, and solder adhering to the back surface of the semiconductor substrate in the conductor arrangement region and to the back-side electrode. The method of manufacturing a solar cell of the present invention includes preparing a semiconductor substrate, forming a back-side electrode having an empty portion through which the back surface of the semiconductor substrate is exposed in a region excluding at least a predetermined conductor arrangement region in the back surface of the semiconductor substrate, and soldering in the empty portion by bringing solder into contact with the back surface of the semiconductor substrate exposed in the empty portion and with the back-side electrode and performing ultrasonic soldering. | 05-08-2014 |
20140124028 | SOLAR CELL SUBSTRATE, METHOD FOR MANUFACTURING SAME, AND SOLAR CELL USING SAME - One aspect of the present invention is a solar cell substrate, comprising: a lower substrate; and a lower electrode that is formed on the upper part of said lower substrate, wherein a metal diffusion-preventing film having at least one or two or more metal layers is included between said lower substrate and said lower electrode, and if two or more metal layers are formed, the metal layers adjoining each other can be different metals. Additionally, a solar cell, which is another aspect of the present invention, comprises: a lower substrate; and a lower electrode that is formed on the upper part of said lower substrate, wherein a metal diffusion-preventing film having at least one or two or more metal layers is included between said lower substrate and said lower electrode, and if two or more metal layers are formed, the metal layers adjoining each other comprise solar cell substrates which are of different metals; p-type light absorption layers formed on said solar cell substrates; n-type buffer layers formed on said light absorption layers; transparent windows formed on said buffer layers; and upper electrodes formed on said transparent windows. | 05-08-2014 |
20140124029 | CONDUCTING SUBSTRATE FOR A PHOTOVOLTAIC CELL - A conducting substrate includes a dielectric substrate having alkali ions, an electrode coating having a molybdenum-based layer on the substrate, and a stack of several layers between the substrate and the electrode coating. The stack comprises a first layer impermeable to alkali on the substrate, a layer for retention of alkali on the first layer impermeable to alkali and made of another material, and a second layer impermeable to alkali on the layer for retention of alkali and made of a material other than the layer for retention of alkali. The ratio of the thickness of the layer for retention of alkali to the first layer impermeable to alkali is equal to 2 or more. | 05-08-2014 |
20140124030 | THIN FILM SOLAR CELL AND METHOD FOR MANUFACTURING SAME - The invention relates to a thin film solar cell including a transparent substrate, a transparent electrode layer, at least one photoelectric conversion unit, and a back electrode layer in this order from the light incident side. The transparent substrate includes a transparent base, a transparent undercoat layer having fine particles and a binder, and an insulating irregularity layer in this order from the light incident side. Consequently, light reflection by the transparent substrate is suppressed and optical path length of the incident light is increased due to light diffusion, so that improved optical confinement effect can be achieved. | 05-08-2014 |
20140124031 | OPTOELECTRONIC DEVICES INCORPORATING FLUOROPOLYMER COMPOSITIONS FOR PROTECTION - The fluoropolymer compositions of the present invention generally incorporate ingredients comprising one or more fluoropolymers, an ultraviolet light protection component (hereinafter UV protection component), and optionally one or more additional ingredients if desired. The UV protection component includes a combination of at least one hindered tertiary amine (HTA) compound having a certain structure and a weight average molecular weight of at least 1000. This tertiary amine is used in combination with at least one organic, UV light absorbing compound (UVLA compound) having a weight average molecular weight greater than 500. When the HTA compound and the UVLA compound are selected according to principles of the present invention, the UV protection component provides fluoropolymer compositions with significantly improved weatherability characteristics for protecting underlying materials, features, structures, components, and/or the like. In particular, fluoropolymer compositions incorporating the UV protection component of the present invention have unexpectedly improved ability to resist blackening, coloration, or other de gradation that may be caused by UV exposure. As a consequence, devices protected by these compositions would be expected to have dramatically improved service life. The compositions have a wide range of uses but are particularly useful for forming protective layers in optoelectronic devices. | 05-08-2014 |
20140124032 | SOLAR CELL ELEMENT - A solar cell element includes: a transparent body; a Mg | 05-08-2014 |
20140124033 | UNIFORMLY DISTRIBUTED SELF-ASSEMBLED CONE-SHAPED PILLARS FOR HIGH EFFICIENCY SOLAR CELLS - A method for fabricating a photovoltaic device includes applying a diblock copolymer layer on a substrate and removing a first polymer material from the diblock copolymer layer to form a plurality of distributed pores. A pattern forming layer is deposited on a remaining surface of the diblock copolymer layer and in the pores in contact with the substrate. The diblock copolymer layer is lifted off and portions of the pattern forming layer are left in contact with the substrate. The substrate is etched using the pattern forming layer to protect portions of the substrate to form pillars in the substrate such that the pillars provide a radiation absorbing structure in the photovoltaic device. | 05-08-2014 |
20140130856 | MOLYBDENUM SELENIDE SUBLAYERS WITH CONTROLLED THICKNESS IN SOLAR CELLS AND METHODS FOR FORMING THE SAME - A solar cell with a molybdenum back electrode layer and a molybdenum selenide ohmic contact layer over the molybdenum back electrode, is provided. The molybdenum selenide layer includes an accurately controlled thickness. A distinct interface exists between the molybdenum back electrode layer and the molybdenum silicide layer. The molybdenum silicide layer is produced by forming a molybdenum layer or a molybdenum nitride layer or a molybdenum oxide layer over an initially formed molybdenum layer such that an interface exists between the two layers. A selenization and sulfurization process is carried out to selectively convert the molybdenum-containing layer to molybdenum selenide but not the original molybdenum back electrode layer which remains as a molybdenum layer. | 05-15-2014 |
20140130857 | PHOTOELECTRIC CONVERTER AND METHOD FOR PRODUCING SAME - A photoelectric converter is provided with a photoelectric conversion unit, a light-receiving-surface electrode provided on the light-receiving surface of the photoelectric conversion unit, and a rear-surface electrode provided on the rear surface of the photoelectric conversion unit. The rear-surface electrode includes a transparent conductive film layered on the rear surface of the photoelectric conversion unit, and a metallic film layered on substantially the entire surface of the transparent conductive film with the exception of an end edge region. | 05-15-2014 |
20140130858 | SOLAR CELL - A solar cell including a substrate, a first electrode layer in which a 1a-th through-region is formed, a second electrode layer in which a 1b-th through-region is formed at a position corresponding to the 1a-th through-region, and a light absorbing layer formed on the second electrode layer. Here, the solar cell can be implemented to be thin and have improved power generating efficiency. | 05-15-2014 |
20140130859 | SOLAR CELL SUBSTRATE AND SOLAR CELL USING SAME - The present invention relates to a solar cell substrate, and to a solar cell using same. The solar cell according to one embodiment of the present invention comprises: a lower substrate; and a lower electrode formed on the lower substrate. The lower electrode is formed of a Mo—X—Na three-component-system compound metal layer. Here, X may be one of Nb, Ni, Si, Ti, W, and Cr. The solar cell according to another embodiment of the present invention may comprise: a solar cell substrate including a lower substrate and a Mo—X—Na three-component-system compound metal layer that is a lower electrode formed on the lower substrate; a light-absorption layer formed on the solar cell substrate; a buffer layer formed on the light-absorption layer; a transparent window formed on the buffer layer; and an upper electrode formed on the transparent window. | 05-15-2014 |
20140130860 | METHOD FOR FORMING ALUMINA FILM AND SOLAR CELL ELEMENT - A solar cell element and a method for forming an alumina film are disclosed. The method comprises: preparing a substrate; supplying sources of an aluminum source material that contains aluminum atoms and an oxygen source material that contains oxygen atoms comprising H | 05-15-2014 |
20140130861 | SOLAR CELL - The solar cell ( | 05-15-2014 |
20140130862 | SOLAR CELL - Provided is a solar cell with improved photoelectric conversion efficiency. A second busbar portion ( | 05-15-2014 |
20140130863 | PHOTOVOLTAIC MODULE - A photovoltaic module comprises a plurality of photovoltaic elements connected by a wiring member; a bus bar portion provided on the light-receiving surface of each of the photovoltaic elements; and an adhesive having a first adhesion section and a second adhesion section, the adhesive being provided on the bus bar portion to connect the bus bar portion and the wiring member to each other. The first adhesion section has a higher electrical conductivity than that of the second adhesion section, and the second adhesion section has a higher translucency than that of the first adhesion section. | 05-15-2014 |
20140137931 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell having improved electric energy generation efficiency and a method of manufacturing the solar cell. The solar cell includes a substrate, a rear electrode layer on the substrate and comprising a first rear electrode and a second rear electrode spaced from each other, a window electrode layer on the rear electrode layer and comprising a first window electrode electrically coupled to the second rear electrode at a contact region on the second rear electrode, a light-absorbing layer between the rear electrode layer and the window electrode layer, and an insulating layer on a first portion of the second rear electrode, wherein the first portion is between an edge of the second rear electrode facing the first rear electrode and the contact region. | 05-22-2014 |
20140137932 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - Provided are a solar cell and a method of fabricating the same. The method may include forming a light absorbing layer on a substrate, forming a window electrode on the light absorbing layer, and attaching a light scattering sheet with a concavo-convex structure to the window electrode. The light scattering sheet may be a single layer made of adhesive material. | 05-22-2014 |
20140137933 | DEVICES AND METHODS FOR PROVIDING CARRIER SELECTIVE CONTACT DEVICES - Devices comprising: an absorbing medium (AM) having first and second sides; a first membrane layer (ML) having first and second sides, wherein the first side of the first ML contacts the first side of the AM; a second ML having first and second sides, wherein the first side of the second ML contacts the second side of the AM; a first contact in contact with the second side of the first ML; and a second contact in contact with the second side of the second ML, wherein a first band alignment mismatch between the first contact and the AM causes a first surface of the AM on the first side of the AM to be in inversion, and wherein a second band alignment mismatch between the second contact and the AM causes a second surface of the AM on the second side of the AM to be under accumulation. | 05-22-2014 |
20140137934 | PHOTOVOLTAIC CELL AND METHOD OF MANUFACTURING SUCH A CELL - A fire through conductor paste is applied as a plurality of mutually separate islands on a dielectric layer on a semi-conductor body of a photo-voltaic cell. A connecting structure of a further conductor paste is applied connecting the islands, at least on the dielectric layer between locations of the islands, so that the islands are connected by the connecting structure. Different compositions are used for the fire through conductor paste and the further conductor paste, which behave differently during firing. The fire through conductor paste and the further conductor paste are fired under process conditions wherein the fire through conductor paste fires through the dielectric layer and the further conductor paste does not fire through the dielectric layer. In this way the fire through metal paste establishes electric contact through the dielectric layer between the semi-conductor body and a structure formed from the further conductor paste. | 05-22-2014 |
20140137935 | BACK SHEET FOR SOLAR CELLS, AND SOLAR CELL USING SAME - A solar-cell back sheet comprising a laminate of an adhesive layer ( | 05-22-2014 |
20140137936 | VINYLIDENE FLUORIDE RESIN COMPOSITION, RESIN FILM, BACK SHEET FOR SOLAR CELLS, AND SOLAR CELL MODULE - Provided is a vinylidene fluoride resin composition resistant to yellowing in the test for evaluation of durability of moist heat resistance, even when it is laminated directly on an ethylene vinyl acetate copolymer (EVA)-based sealing material, and a resin film, a back sheet for solar cells and a solar cell module comprising the same. | 05-22-2014 |
20140137937 | ETCHING COMPOSITION AND ITS USE IN A METHOD OF MAKING A PHOTOVOLTAIC CELL - This invention provides a method of making a photovoltaic cell. The method uses an etching composition comprising one or more onium salts selected from the group consisting of iodonium salts and sulfonium and an organic medium to etch the anti-reflection coating. Also provided is a photovoltaic cell made by this method. | 05-22-2014 |
20140137938 | PROTECTIVE SHEET FOR SOLAR CELLS, METHOD FOR PRODUCING SAME, BACK SHEET FOR SOLAR CELLS, AND SOLAR CELL MODULE - A solar cell protective sheet comprising a polyester support having a thickness of from 145 μm to 300 μm, a thermal shrinkage in an in-plane first direction after aged at 150° C. for 30 minutes of from 0.2 to 1.0% and a thermal shrinkage in a second direction perpendicular to the first direction of from −0.3 to 0.5%, and a polymer layer arranged on the polyester support and having a residual solvent amount of at most 0.1% by mass, is excellent in adhesiveness between the polyester support and the functional layer formed thereon by water-based coating and can maintain a good shape when kept in a high-temperature high-humidity environment. | 05-22-2014 |
20140144497 | ATOMIC LAYER DEPOSITION FOR PHOTOVOLTAIC DEVICES - A photovoltaic device and method include a substrate, a conductive layer formed on the substrate and an absorber layer formed on the conductive layer from a Cu—Zn—Sn containing chalcogenide material. An emitter layer is formed on the absorber layer and a buffer layer is formed on the emitter layer including an atomic layer deposition (ALD) layer. A transparent conductor layer is formed on the buffer layer. | 05-29-2014 |
20140144498 | HIGH STRAIN POINT GLASS - The present invention relates to a glass composition that includes: 57 to 75 percent by weight of SiO | 05-29-2014 |
20140144499 | PHOTOVOLTAIC MODULE BACKSHEET HAVING A THERMOPLASTIC POLYOLEFIN COMPOSITE LAYER - The present invention relates to backsheets containing formulated thermoplastic polyolefin (TPO) which may be used with photovoltaic modules. More specifically, the backsheets of the present invention have a first exterior layer that comprises TPO and a polyamide, such that a residual fusion heat after lamination of that layer is at least 40 J/g. Using such a layer, sufficient bonding to the encapsulant of a photovoltaic module can be achieved, while maintaining high heat resistance, having low distortion at high operating temperatures, and having relatively low high water vapor transmission rate. The present invention also relates to photovoltaic modules containing the backsheets of the present invention, as well as to methods for making the backsheets of the present invention. | 05-29-2014 |
20140144500 | SEMICONDUCTOR INKS FILMS, COATED SUBSTRATES AND METHODS OF PREPARATION - This invention provides compositions useful for preparing films of CZTS and its selenium analogues on a coated substrate. This invention also provides processes for preparing films and coated substrates comprising CZTS/Se microparticles embedded in an inorganic matrix. This invention also provides processes for preparing photovoltaic cells comprising films of CZTS and its selenium analogues. | 05-29-2014 |
20140144501 | METHOD OF FABRICATING A TRANSPARENT ELECTRODE AND A DYE-SENSITIZED SOLAR CELL USING THE TRANSPARENT ELECTRODE - A method of fabricating a transparent electrode includes preparing conductive nanoparticles, preparing a metal oxide sol, mixing and reacting the conductive nanoparticles with the metal oxide sol to form a metal oxide solution including a metal oxide combined with the conductive nanoparticles, coating the metal oxide solution on a substrate, and performing an annealing process on the coated metal oxide solution. | 05-29-2014 |
20140144502 | PHOTOELECTRIC CONVERSION ELEMENT, METHOD FOR MANUFACTURING PHOTOELECTRIC CONVERSION ELEMENT, AND SOLAR CELL - A photoelectric conversion element of an embodiment includes: a back electrode; a heterojunction-type light absorbing layer on the back electrode, containing Cu, selected from Al, In and Ga, and selected from Se and S, and having a chalcopyrite structure; a transparent electrode on the light absorbing layer, wherein aback electrode side-part of the light absorbing layer is of p-type, and a transparent electrode-side part of the light absorbing layer is of n-type, the light absorbing layer has a part with an average crystal grain size of 1,000 nm to 3,000 nm in the vicinity of the back electrode, and the light absorbing layer has apart with an average crystal grain size of at most 500 nm in the vicinity of the transparent electrode or the light absorbing layer has an amorphous part in the vicinity of the transparent electrode. | 05-29-2014 |
20140144503 | POLYMER SHEET FOR SOLAR CELL, AND SOLAR CELL MODULE - A polymer sheet for a solar cell, including: a first polymer layer; a second polymer layer; and a polymer support, arranged in this order, wherein the first polymer layer includes a polymer selected from the group consisting of a fluorine polymer and a silicone polymer, the first polymer layer contacts the second polymer layer, and a roughness (Rz) of an interface between the first polymer layer and the second polymer layer is in a range of from 0.2 μm to 3.0 μm. | 05-29-2014 |
20140150862 | ASSEMBLY FOR BACK CONTACT PHOTOVOLTAIC MODULE - An assembly for forming a back-contact photovoltaic module includes an integrated back-sheet with a substrate, an electrically conductive metal circuit adhered to a front surface of the substrate, and a back insulating layer adhered to the electrically conductive metal circuit. The back insulating layer has openings aligned with the electrically conductive metal circuit and with electrical contacts on the back side of a back-contact solar cell. A front sheet and front encapsulant layer are provided on a front surface of the solar cell. The back insulating layer or the front encapsulant layer has a concave opening that complements the solar cell profile. When the back-contact solar cell is received in the concave opening, the electrical contacts on the back side of the solar cell align with the openings of the back insulating layer and with the electrically conductive metal circuit. A process for forming the described assembly is also provided. | 06-05-2014 |
20140150863 | Directionally Controlled Elastically Deployable Roll-Out Array - A directionally-controlled roll-out elastically deployable solar array structure is disclosed. The structure includes one or more longitudinal elastic roll out booms that may be closed section or open section to allow for efficient rolled packaging onto a lateral mandrel. A flexible photovoltaic blanket is attached to a tip structure and to a lateral base support structure, but remains uncoupled from the longitudinal booms. The solar array system may be stowed simultaneously into a rolled package comprised of the roll out booms and the flexible planar blanket together, or onto independent rolls. Alternatively, the system may be stowed by rolling the booms, and accordion Z-folding the hinged flexible photovoltaic blanket into a flat stack. Structural deployment is motivated by the elastic strain energy of the roll out booms, and several methods of deployment direction control are provided to ensure a known, controlled, and unidirectional deployment path of the elastically unrolling booms. | 06-05-2014 |
20140150864 | Gas Barrier Film and Electronic Device - Disclosed is a gas barrier film, which demonstrates superior gas barrier properties and surface flatness, demonstrates a high degree of adhesion between layers and is resistant to cracking when bent, and an electronic device provided therewith. A gas barrier film ( | 06-05-2014 |
20140158192 | SEED LAYER FOR SOLAR CELL CONDUCTIVE CONTACT - Seed layers for solar cell conductive contacts and methods of forming seed layers for solar cell conductive contacts are described. For example, a solar cell includes a substrate. An emitter region is disposed above the substrate. A conductive contact is disposed on the emitter region and includes a conductive layer in contact with the emitter region. The conductive layer is composed of aluminum/silicon (Al/Si) particles having a composition of greater than approximately 15% Si with the remainder Al. In another example, a solar cell includes a substrate having a diffusion region at or near a surface of the substrate. A conductive contact is disposed above the diffusion region and includes a conductive layer in contact with the substrate. The conductive layer is composed of aluminum/silicon (Al/Si) particles having a composition of greater than approximately 15% Si with the remainder Al. | 06-12-2014 |
20140158193 | STRUCTURES AND METHODS OF FORMATION OF CONTIGUOUS AND NON-CONTIGUOUS BASE REGIONS FOR HIGH EFFICIENCY BACK-CONTACT SOLAR CELLS - Fabrication methods and structures relating to back contact solar cells having patterned emitter and non-nested base regions are provided. | 06-12-2014 |
20140158194 | NANOPARTICLE APPARATUS AND METHOD - There is set forth herein a method for forming a suspension having nanoparticles. There is also set forth herein a method for making an interface including nanoparticles. A morphology of conductive nanoparticle interface is set forth herein. | 06-12-2014 |
20140158195 | BIAXIALLY STRETCHED POLYESTER FILM, METHOD OF PRODUCING SAME, AND SOLAR CELL MODULE - Provided is a biaxially stretched polyester film in which a thickness is from 200 μm to 800 μm, fracture strength in both a longitudinal stretching direction and a lateral stretching direction is from 180 MPa to 300 MPa, an internal haze (Hin) is from 0.3% to 20%, a difference (ΔH=Hsur−Hin) between an external haze (Hsur) and the internal haze (Hin) is 2% or less, and an intrinsic viscosity is from 0.68 to 0.90, a method of the biaxially stretched polyester film, a solar cell power generation module using the biaxially stretched polyester film. | 06-12-2014 |
20140158196 | ELEMENT AND PHOTOVOLTAIC CELL - An element of the present invention includes a silicon substrate; an electrode which is provided on the silicon substrate and which is a sintered product of a paste composition for an electrode containing a phosphorus-containing copper alloy particle, a glass particle, a solvent and a resin; and a solder layer containing a flux, which is provided on the electrode. | 06-12-2014 |
20140166092 | Method of Fabricating Nanocone Texture on Glass and Transparent Conductors - A method of fabricating submicron textures on glass and transparent conductors includes depositing a plurality of silica or silica-coated polystyrene nanospheres onto a substrate, etching the silica coated polystyrene nanospheres and the substrate to form a plurality of nanocone projections on a first side of the substrate, and depositing a transparent conducting oxide onto the substrate on top of the nanocone projections. | 06-19-2014 |
20140166093 | SOLAR CELL EMITTER REGION FABRICATION USING N-TYPE DOPED SILICON NANO-PARTICLES - Methods of fabricating solar cell emitter regions using N-type doped silicon nano-particles and the resulting solar cells are described. In an example, a method of fabricating an emitter region of a solar cell includes forming a plurality of regions of N-type doped silicon nano-particles on a first surface of a substrate of the solar cell. A P-type dopant-containing layer is formed on the plurality of regions of N-type doped silicon nano-particles and on the first surface of the substrate between the regions of N-type doped silicon nano-particles. At least a portion of the P-type dopant-containing layer is mixed with at least a portion of each of the plurality of regions of N-type doped silicon nano-particles. | 06-19-2014 |
20140166094 | SOLAR CELL EMITTER REGION FABRICATION USING ETCH RESISTANT FILM - Methods of fabricating solar cell emitter regions using etch resistant films and the resulting solar cells are described. In an example, a method of fabricating an emitter region of a solar cell includes forming a plurality of regions of N-type doped silicon nano-particles on a first surface of a substrate of the solar cell. A P-type dopant-containing layer is formed on the plurality of regions of N-type doped silicon nano-particles and on the first surface of the substrate between the regions of N-type doped silicon nano-particles. A capping layer is formed on the P-type dopant-containing layer. An etch resistant layer is formed on the capping layer. A second surface of the substrate, opposite the first surface, is etched to texturize the second surface of the substrate. The etch resistant layer protects the capping layer and the P-type dopant-containing layer during the etching. | 06-19-2014 |
20140166095 | HYBRID EMITTER ALL BACK CONTACT SOLAR CELL - An all back contact solar cell has a hybrid emitter design. The solar cell has a thin dielectric layer formed on a backside surface of a single crystalline silicon substrate. One emitter of the solar cell is made of doped polycrystalline silicon that is formed on the thin dielectric layer. The other emitter of the solar cell is formed in the single crystalline silicon substrate and is made of doped single crystalline silicon. The solar cell includes contact holes that allow metal contacts to connect to corresponding emitters. | 06-19-2014 |
20140166096 | FIELD-EFFECT INTER-DIGITATED BACK CONTACT PHOTOVOLTAIC DEVICE - A method for forming a photovoltaic device includes patterning a dielectric layer on a substrate to form a patterned dielectric having local spacings between shapes and remote spacings between groups of shapes, and depositing a doped epitaxial layer over the patterned dielectric such that selective crystalline growth occurs in portions of the epitaxial layer in contact with the substrate and noncrystalline growth occurs in portions of the epitaxial layer in contact with the patterned dielectric. First metal contacts are formed over the local spacings of the patterned dielectric, and second metal contacts are formed over the remote spacings. Exposed portions of the noncrystalline growth are etched using the first and second metal contacts as an etch mask to form alternating interdigitated emitter and back contact stacks. | 06-19-2014 |
20140166097 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - Provided is a solar cell including a first electrode, a first semiconductor layer on the first electrode, a second semiconductor layer on the first semiconductor layer, and a second electrode on the second semiconductor layer. The second semiconductor layer may include a nano wire that may be formed along a grain boundary of a top surface thereof to have a mesh-shaped structure. | 06-19-2014 |
20140166098 | Sheet Assembly with Aluminum Based Electrodes - Various methods for preparing and/or processing electrically conductive aluminum members such as used in electronic circuits and components are described. Also described are various sheet assemblies using patterned aluminum conductive elements as components of electric circuitry. The sheet assemblies can be used as backsheets for back contact photovoltaic cells or as antennas for RFID tags. | 06-19-2014 |
20140166099 | CRYSTALLINE PHOTOVOLTAIC CELLS AND METHODS OF MANUFACTURING - Crystalline photovoltaic (PV) cells and methods of manufacturing cells are described. One example method of manufacturing a PV cell includes depositing a plurality of first fingers on a crystalline silicon wafer. The first fingers extend in a first direction parallel to each other and comprise a substantially non-silver conductive material. | 06-19-2014 |
20140166100 | SOLAR CELL - A solar cell including a substrate | 06-19-2014 |
20140166101 | METHOD FOR MANUFACTURING ANTI-REFLECTIVE COATING FOR SOLAR CELL HAVING MOTH-EYE STRUCTURE AND SOLAR CELL INCLIDING THE SAME - A method of manufacturing antireflective coating for solar cell having a moth-eye structure and a solar cell including the same are provided to greatly reduce reflectivity by forming an antireflective coating layer having a moth-eye structure on an upper electrode layer of the solar cell using a bottom-up method. A bottom electrode layer is formed on a substrate. A photoreactive layer is formed on the bottom electrode layer. The photoreactive layer is made of CIS (Copper, Indium, Selenide) materials. A buffer layer is formed on the photoreactive layer. A ZnO layer is formed on the buffer layer. A top electrode layer is formed on the ZnO layer. | 06-19-2014 |
20140166102 | PHOTOVOLTAIC CELL - A photo-voltaic cell has a first and second two-dimensional array of contact points on the first surface, each coupled to a respective one of base and emitter areas in or on the semi-conductor body. Electrically separate first and second conductor structures on the first surface emanate from each contact point, coupled to contact points of the first and second two-dimensional array respectively. The first conductor structure comprises sets of first conductor line branches, the first conductor line branches of each set branching out from a respective one of the contact points of the first two-dimensional array in at least three successive different directions at less than a hundred and eighty degrees to each other. The second conductor structure comprise second conductor line branches in at least three different directions in areas between respective pairs of adjacent non-parallel ones of the first conductor line branches, each second conductor line branch coupled at least to a respective one of the contact points of the second two-dimensional array. | 06-19-2014 |
20140166103 | Broadband Metamaterial Absorbers - Broadband metamaterial absorbers are disclosed. In some embodiments, a photovoltaic cell includes a light absorbing layer capable of absorbing solar energy and converting the absorbed energy into electrical current; a perforated conductive film disposed on a light absorbing surface of the light absorbing layer, the conductive film being configured to increase light absorption in the light absorbing layer; and a rear electrode disposed on a surface of the absorbing layer opposite to the light absorbing surface of the light absorbing layer, wherein the rear electrode and the conductive film are in electrical communication with the absorbing layer to collect electrical current generated in the light absorbing material. | 06-19-2014 |
20140166104 | PHOTOELECTRIC CONVERSION ELEMENT - A dye-sensitized solar cell comprises a pair of electrodes that face each other; an electrolyte that is disposed between the pair of electrodes; and a sealing section that connects the pair of electrodes and is provided around the electrolyte. In the dye-sensitized solar cell, the sealing section comprises at least one corner section when the sealing section is viewed in planar view from the electrode side, and a first contact surface at the corner section with at least one of the pair of electrodes comprises a first curve line-containing surface containing a curved curve line on the electrolyte side. | 06-19-2014 |
20140166105 | BARRIER LAMINATE, GAS BARRIER FILM, AND DEVICE EMPLOYING THE SAME - The present invention provides a barrier laminate, comprising an organic layer and an inorganic barrier layer adjacent to the organic layer, characterized in that the organic layer comprises a polymer obtained by polymerizing a polymerizable compound having two or more polymerizable groups per molecule, and has a refractive index of 1.60 or higher, and in that the refractive index of the inorganic barrier layer is 1.60 or higher. The gas barrier film exhibits high barrier properties and transparence. | 06-19-2014 |
20140166106 | SOLAR CELL BACK-SHEET AND SOLAR CELL MODULE - Provided is a solar cell backsheet including a polymer base material, and a colored layer that is disposed directly on the polymer base material, and that contains a binder having an acid value of 2 mg KOH/g to 10 mg KOH/g and a pigment at a content of 2.5 g/m | 06-19-2014 |
20140174518 | ENHANCED ADHESION OF SEED LAYER FOR SOLAR CELL CONDUCTIVE CONTACT - Enhanced adhesion of seed layers for solar cell conductive contacts and methods of forming solar cell conductive contacts are described. For example, a method of fabricating a solar cell includes forming an adhesion layer above an emitter region of a substrate. A metal seed paste layer is formed on the adhesion layer. The metal seed paste layer and the adhesion layer are annealed to form a conductive layer in contact with the emitter region of the substrate. A conductive contact for the solar cell is formed from the conductive layer. | 06-26-2014 |
20140174519 | METAL-FOIL-ASSISTED FABRICATION OF THIN-SILICON SOLAR CELL - One embodiment relates to a method of fabricating a solar cell. A silicon lamina is cleaved from the silicon substrate. The backside of the silicon lamina includes the P-type and N-type doped regions. A metal foil is attached to the backside of the silicon lamina. The metal foil may be used advantageously as a built-in carrier for handling the silicon lamina during processing of a frontside of the silicon lamina. Another embodiment relates to a solar cell that includes a silicon lamina having P-type and N-type doped regions on the backside. A metal foil is adhered to the backside of the lamina, and there are contacts formed between the metal foil and the doped regions. Other embodiments, aspects and features are also disclosed. | 06-26-2014 |
20140174520 | THIN FILM PHOTOVOLTAIC CELL AND METHOD OF MANUFACTURE OF SAME - A thin film photovoltaic cell has an insulating substrate divided into a plurality of unit cells by alternately forming patterning lines in layers stacked on two faces of the insulating substrate; a rear face electrode layer, a photoelectric conversion layer, and a transparent electrode layer stacked in order on one face of the insulating substrate accordingly; and a back face electrode layer deposited on the other face of the insulating substrate. The photovoltaic cell further has a first penetrating hole penetrating the insulating substrate to electrically connect the transparent electrode layer and the back face electrode layer; a second penetrating hole penetrating the insulating substrate to electrically connect the rear face electrode layer and the back face electrode layer; and a transparent electrode layer removal portion in which the transparent electrode layer at least in a region surrounding the second penetrating hole is removed by an ultraviolet pulsed laser. | 06-26-2014 |
20140174521 | SURFACE-TEXTURED CONDUCTIVE GLASS FOR SOLAR CELLS, AND PREPARATION METHOD AND APPLICATION THEREOF - Disclosed are surface-textured conductive glass for solar cells, and a preparation method and application thereof. In the surface-textured conductive glass for solar cells, a transparent conductive film is coated on a glass substrate, and the upper surface of the transparent conductive film is textured with nano/micro-scopic U-shaped pits uniformly distributed. The preparation method comprises: coating the transparent conductive film by magnetron sputtering, and then absorbing nano/micro-spheres onto the surface of the transparent conductive film as a mask by using an immersion coating method, followed by increasing the thickness of the transparent conductive film in gaps among the nano/micro-spheres by magnetron sputtering, and finally removing the nano/micro-spheres by using an ultrasonic vibration method to realize the large-scale and low-cost production of the conductive glass with nano/microscopic U-shaped surface texture. The conductive glass obtained by the method above has high repeatability, proper U-shaped texture feature size, high distribution uniformity, high production efficiency and low production cost, and thus is suitable for popularization and applications. | 06-26-2014 |
20140174522 | Solar Cell - An embodiment of the present invention provides a solar cell. The solar cell includes a semiconductor substrate, a plurality of finger electrodes, and a plurality of bus electrodes. The finger electrodes are disposed on a surface of the semiconductor substrate. The bus electrodes are disposed on the surface of the semiconductor substrate separately. At least one of the bus electrodes includes a plurality of branch electrodes, and the branch electrodes are disposed on the surface of the semiconductor substrate in parallel. An outer side of each of the branch electrodes is connected to at least one of the finger electrodes. This embodiment may help reduce the cost for manufacturing the solar cell. | 06-26-2014 |
20140174523 | SHEET FOR PHOTOVOLTAIC CELL - Provided are a sheet for a photovoltaic cell, a method of manufacturing the same, and a photovoltaic module. The sheet for a photovoltaic cell having excellent moisture barrier property, weather resistance, moisture resistance, thermal resistance, and light resistance, and the photovoltaic module including the same may be provided. | 06-26-2014 |
20140174524 | PHOTOELECTRIC CONVERSION ELEMENT, METHOD FOR MANUFACTURING THE SAME, ELECTRONIC APPARATUS, COUNTER ELECTRODE FOR PHOTOELECTRIC CONVERSION ELEMENT, AND ARCHITECTURE - Provided are a counter electrode, which is excellent in electrolytic solution resistance and electrical conductivity, and which is capable of corresponding to an application process carried out by pattern printing during a manufacturing process, a photoelectric conversion element using the counter electrode, and a method for manufacturing the same. A dye-sensitized photoelectric conversion element has a structure in which an electrolyte layer is filled between a porous electrode to which a photosensitizing dye is adsorbed and a counter electrode. The counter electrode includes: a metal counter electrode; a conductive primer layer that contains conductive carbon, and at least one resin selected among a polyamide imide resin, a polyamide resin, and polyimide resin as a binder resin; and a catalyst layer containing conductive carbon and an inorganic binder. The metal counter electrode and the catalyst layer are formed to come into close contact with each other through the conductive primer layer. | 06-26-2014 |
20140174525 | PHOTOVOLTAIC CELL WITH WRAP THROUGH CONNECTIONS - Known photovoltaic cells with wrap through connections have output terminals of both polarities on its back surface, one of which is coupled to the front surface via the wrap through connections. The invented solar cell is manufactured by creating an emitter layer on the back surface. Electrode material is applied in mutually separate first and second areas on the back surface. The electrode material in the first area contacts the emitter. The second area covers a surrounding of a hole that provides for the connection on the back surface. The electrode material in the second area lies on the emitter and around the second area the emitter is interrupted by a trench. On the front surface a further area of electrode material is applied over the hole. If necessary the electrode material in the second area on the back surface is applied on a supporting surface that is substantially electrically isolated from current flowing laterally through the emitter layer underneath the first area. | 06-26-2014 |
20140174526 | INTERDIGITATED ELECTRODE FORMATION - The disclosed technology generally relates to photovoltaic devices and methods of fabricating photovoltaic devices, and more particularly relates to interdigitated back contact photovoltaic cells and methods of fabricating the same. In one aspect, a method of forming first and second interdigitated electrodes on a semiconductor substrate comprises providing a dielectric layer on the rear surface of the semiconductor substrate. The method additionally comprises providing a metal seed layer on the dielectric layer. The method additionally comprises patterning the metal seed layer by laser ablation, thereby separating it into a first seed layer and a second seed layer with a separation region interposed therebetween, wherein the first seed layer and the second seed layer are interdigitated and electrically isolated from each other. The method further comprises thickening the first seed layer and the second seed layer by plating, thereby forming the first electrode and the second electrode. | 06-26-2014 |
20140174527 | PHOTOVOLTAIC DEVICES WITH BASE METAL BUSS BARS - A photovoltaic cell such as a solar cell is disclosed. The cell comprises (a) a semiconductor substrate having a front surface, (b) one or more anti-reflection coating layers on the front surface of the semiconductor substrate, (c) a plurality of silver-containing fingers in contact with the one or more anti-reflection coating layers and in electrical contact with the semiconductor substrate; and (d) one or more base metal containing buss bars each in contact with the one or more anti-reflection coating layers and the silver-containing fingers. The base metal may be selected from one or more of copper, nickel, lead, tin, iron, indium, zinc, bismuth and cobalt. Methods for making protovoltaic cells with base metal containing buss bars are also disclosed. | 06-26-2014 |
20140174528 | FLEXIBLE ORGANIC ELECTRONIC DEVICE - An organic electronic device includes at least an organic-inorganic layered barrier layer, a plastic support, a transparent electrode layer, an organic active layer, a metal electrode layer and an upper sealing member, and contains a strong acid polymer, wherein an n-type oxide semiconductor layer is provided adjacent to the metal electrode layer on the plastic support-side of the metal electrode layer. | 06-26-2014 |
20140174529 | CONDUCTIVE ALUMINUM PASTE AND THE FABRICATION METHOD THEREOF, THE SOLAR CELL AND THE MODULE THEREOF - This present disclosure relates to conductive aluminum paste for fabricating a silicon solar cell. Herein, the conductive aluminum paste is composed of organic carrier, aluminum powder, nano-scale metal particle, and glass frit, wherein the nano-scale metal particle has a particle size distribution D50 in the range from 10 nanometers to 1000 nanometers and the weight percentage of the nano-scale metal particle associated with the conductive aluminum paste is around 0.1 through 10 wt %. Furthermore, the characteristics of the conductive aluminum paste are for reducing the sheet resistance value of the electrode, increasing the adhesion in the silicon solar cell package module, and enhancing the electro-optical conversion efficiency of the silicon solar cell. | 06-26-2014 |
20140174530 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - A solar cell includes; a substrate; a first electrode disposed on the substrate, and including a first groove formed therein, a semiconductor layer disposed on the first electrode, and including a second groove formed therein, and a second electrode disposed on the semiconductor layer and connected to the first electrode via the second groove, wherein a third groove passing through the first electrode, the semiconductor layer, and the second electrode is formed in a first region, a fourth groove passing through only the semiconductor layer and the second electrode is formed in a second region, and the first region and the second region are alternately disposed along a direction of extension of the third groove. | 06-26-2014 |
20140174531 | SOLAR CELL, SOLAR CELL MODULE, AND METHOD FOR MANUFACTURING SOLAR CELL - A solar cell has a collecting electrode formed therein. The collecting electrode is provided with: a main conductive layer that contains copper; and an overcoat layer that covers at least a part of the main conductive layer. | 06-26-2014 |
20140182670 | LIGHT TRAPPING AND ANTIREFLECTIVE COATINGS - Light trapping and antireflection coatings are described, together with methods for preparing the coatings. An exemplary method comprises forming a light trapping coating on a substrate and a conformal antireflection coating on the light trapping coating. The light trapping coating comprises particles embedded in a support matrix having a thickness between about one third and two thirds of the mean particle size. The mean particle size is between about 10 μm and about 500 μm. The index of refraction of the particles and support matrix is substantially the same as the index of refraction of the substrate at wavelengths of interest. The index of refraction of the conformal antireflection coating is approximately equal the square root of the index of refraction of the substrate. | 07-03-2014 |
20140182671 | BACK CONTACT HAVING SELENIUM BLOCKING LAYER FOR PHOTOVOLTAIC DEVICES SUCH AS COPPER-INDIUM-DISELENIDE SOLAR CELLS - A photovoltaic device (e.g., solar cell) includes: a front substrate (e.g., glass substrate); a semiconductor absorber film; a back contact including a first conductive layer of or including copper (Cu) and a second conductive layer of or including molybdenum (Mo); and a rear substrate (e.g., glass substrate). A selenium blocking layer is provided between at least the Cu inclusive layer and the Mo inclusive layer. | 07-03-2014 |
20140182672 | COMPOSITION FOR SOLAR CELL ELECTRODES AND ELECTRODE FABRICATED USING THE SAME - A composition for solar cell electrodes, a solar cell electrode prepared from the composition, a solar cell, and a method of manufacturing the same, the composition including silver powder; silver iodide; glass frit; and an organic vehicle, wherein the silver iodide is present in an amount of about 0.1 wt % to about 30 wt %, based on a total weight of the composition. | 07-03-2014 |
20140182673 | INSITU EPITAXIAL DEPOSITION OF FRONT AND BACK JUNCTIONS IN SINGLE CRYSTAL SILICON SOLAR CELLS - Fabrication of a single crystal silicon solar cell with an insitu epitaxially deposited very highly doped p-type silicon back surface field obviates the need for the conventional aluminum screen printing step, thus enabling a thinner silicon solar cell because of no aluminum induced bow in the cell. Furthermore, fabrication of a single crystal silicon solar cell with insitu epitaxial p-n junction formation and very highly doped n-type silicon front surface field completely avoids the conventional dopant diffusion step and one screen printing step, thus enabling a cheaper manufacturing process. | 07-03-2014 |
20140182674 | TRANSPARENT CONDUCTIVE FILM, METHOD OF PRODUCING THE SAME, FLEXIBLE ORGANIC ELECTRONIC DEVICE, AND ORGANIC THIN-FILM SOLAR BATTERY - A transparent conductive film includes: a conductive stripe formed on a plastic support by a mask deposition process, the conductive stripe including a plurality of conductive lines made of a metal or an alloy having a film thickness of not less than 50 nm and not greater than 500 nm and a line width of not less than 0.3 mm and not greater than 1 mm in plan view and being arranged at an interval of not less than 3 mm and not greater than 20 mm; and a transparent conductive material layer formed to cover the plastic support and the conductive stripe, the transparent conductive material having a specific resistance of not greater than 4×10 | 07-03-2014 |
20140182675 | SOLAR CELL AND PRODUCTION METHOD FOR SOLAR CELL - A solar cell, comprising: a photoelectric conversion unit; a transparent conductive layer comprising a transparent conductive oxide, and formed upon the main surface of the photoelectric conversion unit; and a finger section and a bus bar section that are formed upon the transparent conductive layer. The transparent conductive layer has particles on a contact surface where the finger section and the bus bar section are formed. The particle diameter of the particles is, for example, 10-200 nm. | 07-03-2014 |
20140190560 | BACK-SIDE ELECTRODE OF P-TYPE SOLAR CELL - A back-side aluminum electrode adjacently formed on silicon wafer of p-type solar cell, comprising, (a) first aluminum layer and (b) second aluminum layer, wherein (a) first aluminum layer formed adjacent to the silicon wafer, formed from first aluminum paste comprises aluminum powder and glass frit, wherein the weight ratio of the glass frit for the aluminum powder (glass/aluminum) is 0.02-1.0, and wherein (b) second aluminum layer formed adjacent to the first aluminum layer, formed from second aluminum paste comprises at least aluminum powder, wherein the weight ratio (glass/aluminum) of the second aluminum paste is less than the weight ratio(glass/aluminum) of the first aluminum paste. | 07-10-2014 |
20140190561 | SOLAR CELL WITH REDUCED BASE DIFFUSION AREA - In one embodiment, a solar cell has base and emitter diffusion regions formed on the back side. The emitter diffusion region is configured to collect minority charge carriers in the solar cell, while the base diffusion region is configured to collect majority charge carriers. The emitter diffusion region may be a continuous region separating the base diffusion regions. Each of the base diffusion regions may have a reduced area to decrease minority charge carrier recombination losses without substantially increasing series resistance losses due to lateral flow of majority charge carriers. Each of the base diffusion regions may have a dot shape, for example. | 07-10-2014 |
20140190562 | SOLAR CELL BACKSHEET AND SOLAR CELL MODULE - A solar cell backsheet is provided which includes: a substrate that is a biaxially stretched polyethylene terephthalate film having a pre-peak temperature of from 160° C. to 225° C. as measured by differential scanning calorimetry (DSC); a coating layer that is provided at at least one side of the substrate, and includes a binder containing an acrylic resin, a crosslinked structure part derived from a carbodiimide crosslinking agent, and inorganic fine particles; and an adhesive layer that is provided on the coating layer, and includes a resin binder as a main component. | 07-10-2014 |
20140190563 | SOLAR CELL AND METHOD FOR MANUFACTURING SOLAR CELL - A solar cell is provided with: a photoelectric conversion unit; terminal sections for plating, which are formed on the light receiving surface of the photoelectric conversion unit; and a plated electrode formed on the light receiving surface by means of electrolytic plating using the terminal sections for plating. The terminal sections for plating are formed at positions separated from wiring material connecting sections of the plated electrode, said positions being on the light receiving surface. The plated electrode includes, for instance, a plurality of finger sections, and bus bar sections, each of which is formed to intersect the finger sections, and includes each of the wiring material connecting sections. | 07-10-2014 |
20140196781 | METHOD OF MANUFACTURING THICK-FILM ELECTRODE - A method of manufacturing a thick-film electrode comprising steps of: (a) applying a conductive paste onto a substrate comprising, (i) 100 parts by weight of a conductive powder, wherein the conductive powder is 16 to 49 weight percent based on the weight of the conductive paste; (ii) 0.5 to 10 parts by weight of a metal additive comprising bismuth (Bi); (iii) 1 to 25 parts by weight of a glass frit; and (iv) 50 to 300 parts by weight of an organic medium; and (b) firing the applied conductive paste to form the thick-film electrode, wherein thickness of the thick-film electrode is 0.5 to 15 μm. | 07-17-2014 |
20140196782 | METHOD FOR MAKING SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE MADE THEREBY - Disclosed is a method for yield enhancement of making a semiconductor device. The method for yield enhancement of making a semiconductor device comprises the steps of: providing the semiconductor device comprising an epitaxial layer including a defect; forming a dielectric layer on the epitaxial layer; detecting and identifying a location of the defect; and etching the dielectric layer and leaving a part of the dielectric layer to cover an area substantially corresponding to the detected defect. The semiconductor device made by the method is also disclosed. | 07-17-2014 |
20140196783 | SOLAR CELL, SOLAR CELL MODULE, AND METHOD FOR PRODUCING SOLAR CELL - A solar cell includes bus bar portions and finger portions . Each of the finger portions has a first end portion that extends in a longitudinal direction of the finger portion, and a second end portion that is connected to the bus bar portion. The first end portion has a triangular cross section, and the second end portion has a trapezoidal cross section. | 07-17-2014 |
20140196784 | HIGH EFFICIENCY PHOTOVOLTAIC CELLS WITH SELF CONCENTRATING EFFECT - Novel structures of photonics devices (e.g. photovoltaic cells also called as solar cells) are provided. The Cells are based on the micro (or nano) structures which could not only increase the surface area but also have the capability of self-concentrating the light incident onto the photonics devices. Using of such structures, it is possible to achieve significant performance improvement. For example, if such structures are used in the photovoltaic cells, large power generation capability per unit physical area is possible over the conventional cells, and have enormous applications such as in space, in commercial, residential and industrial applications. Such structures are also beneficial to other photonics devices such as photodetector to enhance the performance. | 07-17-2014 |
20140202528 | THIN FILM SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A thin film solar cell includes a first substrate, a first electrode on the first substrate, and divided by a first dividing groove, a light absorbing layer disposed on the first electrode, and divided by a second dividing groove parallel with the first dividing groove, a second electrode disposed on the light absorbing layer, divided by a third dividing groove that parallel with the first and second dividing grooves, a second substrate disposed on the second electrode, facing the first substrate, and a metal foil attached to the first substrate and the second substrate to encapsulate a gap between the first substrate and the second substrate, a first end portion of the metal foil being attached to a first surface of the first substrate using a metal material, and a second end portion of the metal foil being attached to the second substrate. | 07-24-2014 |
20140202529 | SILICON SOLAR CELL MANUFACTURE - A silicon solar cell is manufactured by providing a carrier plate, and by applying a first contact pattern to the carrier plate. The first contact pattern includes a set of first laminar contacts. The silicon solar cell is further manufactured by applying a multitude of silicon slices to the first contact pattern, and by applying a second contact pattern to the multitude of silicon slices. Each first laminar contact of the set of first laminar contacts is in spatial laminar contact with maximally two silicon slices. The second contact pattern includes a set of second laminar contacts. Each second laminar contact of the set of second laminar contacts is in spatial laminar contact with maximally two silicon slices. | 07-24-2014 |
20140202530 | LIGHT HARVESTING SYSTEM EMPLOYING SURFACE FEATURES FOR EFFICIENT LIGHT TRAPPING - A light harvesting system employing a photoresponsive layer having a plurality of light input ports that are formed in a light input surface of the layer. Light received by the light input ports is admitted into the photoresponsive layer an incidence angle that is greater than a predetermined critical angle, such as the angle of the total internal reflection (TIR). The admitted light is retained in the photoresponsive layer and is propagated within the layer until it is substantially absorbed. | 07-24-2014 |
20140202531 | CONDUCTIVE COMPOSITION, CONDUCTIVE MEMBER AND PRODUCTION METHOD THEREOF, TOUCH PANEL, AND SOLAR CELL - A conductive composition includes at least: a) metal conductive fibers having an average minor axis length of from 1 nm to 150 nm; and b) a compound represented by the following Formula (1) or Formula (2) in an amount of from 0.1% by mass to 1000% by mass with respect to the metal conductive fibers: | 07-24-2014 |
20140209159 | SEMICONDUCTOR STRUCTURE AND FABRICATING PROCESS FOR THE SAME - A semiconductor structure and a fabricating process for the same are provided. The semiconductor structure includes a micro battery cell coupled to a solar cell by a semiconductor fabricating process. | 07-31-2014 |
20140209160 | Three-Dimensional Metamaterial Device with Photovoltaic Bristles - The systems, methods, and devices of the various embodiments provide a photovoltaic cell made up of an array of photovoltaic bristles. The photovoltaic bristles may be configured individually and in an array to have a high probability of photon absorption. The high probability of photon absorption may result in high light energy conversion efficiency. | 07-31-2014 |
20140209161 | Nanostructured CIGS Absorber Surface for Enhanced Light Trapping - A technique includes fabricating a layered precursor including: depositing a first film including a first indium gallium selenide compound on a substrate; then depositing a second film including a CuSe compound; then heating the substrate, the first film and the second film to convert the CuSe compound in the second film to a Cu | 07-31-2014 |
20140209162 | PHOTOELECTRIC DEVICE AND PHOTOELECTRIC SYSTEM INCLUDING THE SAME - A photoelectric device and a photoelectric system including the same are provided. The photoelectric device includes a photoelectric module configured to perform photoelectric conversion and a cover glass facing the photoelectric module and having a receiving space that receives the photoelectric module. The cover glass includes a plate-shaped base part formed to face a main surface of the photoelectric module and a sidewall protruding from an edge of the base part to face a side section of the photoelectric module. | 07-31-2014 |
20140209163 | REAR SURFACE PROTECTIVE SHEET FOR SOLAR CELL - The present invention provides a rear-surface protective sheet for solar cells, the rear-surface protective sheet having a function of suppressing temperature increase in solar cells. The present invention provides a rear-surface protective sheet for solar cells that is disposed on a rear surface of a solar cell, comprising at least one heat conversion layer containing a heat conversion filler having a function of converting thermal energy to vibrational energy to consume the thermal energy, | 07-31-2014 |
20140209164 | SOLAR CELL MODULE - A solar cell module that has enhanced output characteristics is provided. The solar cell module contains a solar cell, a wiring material and a resin adhesive layer. The solar cell has first and second electrodes on one of its principal surfaces. The wiring material is electrically connected to the solar cell. The resin adhesive layer adheres the solar cell to the wiring material. The wiring material has an insulating substrate and a wiring on the insulating substrate. The wiring is electrically connected to the first or second electrode. The wiring has a transversal cross section having an edge length on a side opposite to the insulating substrate that is smaller than an edge length on a side of the insulating substrate. | 07-31-2014 |
20140209165 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - Provided is a solar cell with improved photoelectric conversion efficiency. The solar cell includes a photoelectric conversion body and first and second electrodes. The photoelectric conversion body includes a substrate made of semiconductor material. The first and second electrodes are disposed at intervals on one main surface of the photoelectric conversion body. Terraces each formed of a crystal plane are provided on a main surface of the substrate on the one main surface side of the photoelectric conversion body. At least one of the terraces exists between the first electrode and the second electrode. | 07-31-2014 |
20140209166 | METHOD FOR PRODUCING MONOCRYSTALLINE N-SILICON SOLAR CELLS, AS WELL AS A SOLAR CELL PRODUCED ACCORDING TO SUCH A METHOD - A method for producing monocrystalline n-silicon solar cells having a rear-side passivated p | 07-31-2014 |
20140216536 | FLEXIBLE DYE-SENSITIZED SOLAR CELL - The present invention provides a flexible dye-sensitized solar cell, comprising: an anode, which is a photoelectrode comprising a substrate covered with an electrophoretically deposited TiO | 08-07-2014 |
20140216537 | METALLIZATION PROCESS FOR SOLAR CELLS - Several embodiments of a metallization process are disclosed, which achieve lower contact resistance and higher conductivity than methods currently employed with solar cells. These parameters result in a solar cell having improved performance and efficiency. In one embodiment, two different metals are used to create the metallization layer, where the first metal is selected for superior ohmic contact to the substrate and the second metal is selected based on conductivity. In a second embodiment, a first metal is evaporated or sputtered on the substrate. A second metal is then screen printed on the substrate. A removal step, such as etching is then performed to remove unwanted metal from the substrate. | 08-07-2014 |
20140216538 | IMPRINT MATERIAL - An imprint material which is in a transparent and homogeneous varnish form, is not peeled off in cross-cut tests, in which the adhesion of coating films is evaluated, and forms films that can have a mold release force of 0.5 g/cm or less. An imprint material including: a component (A): a compound containing at least one alkylene oxide unit having carbon atom number of 2, 3 or 4 and at least two polymerizable groups; a component (B): a photopolymerization initiator; a component (C): a solvent that swells or dissolves a surface portion of a film base material to which the imprint material is applied; and a component (D): a silicone compound. | 08-07-2014 |
20140216539 | INTERDIGITATED ELECTRICAL CONTACTS FOR LOW ELECTRONIC MOBILITY SEMICONDUCTORS - Structures useful for forming contacts to materials having low charge carrier mobility are described. Methods for their formation and use are also described. These structures include interdigitated electrodes capable of making electrical contact to semiconducting materials having low electron and/or whole mobility. In particular, these structures are useful for organic semiconducting devices made with conducting polymers and small molecules. They are also useful for semiconducting devices made with nanocrystalline semiconductors. | 08-07-2014 |
20140216540 | CONDUCTIVE SILVER PASTE FOR A METAL-WRAP-THROUGH SILICON SOLAR CELL - A conductive silver via paste comprising particulate conductive silver, a vanadium-phosphorus-antimony-zinc-based-oxide, a tellurium-boron-phosphorus-based-oxide or a tellurium-molybdenum-cerium-based-oxide and an organic vehicle is particularly useful in providing the metallization of the holes in the silicon wafers of MWT solar cells. The result is a metallic electrically conductive via between the collector lines on the front side and the emitter electrode on the back-side of the solar cell. The paste can also be used to form the collector lines on the front-side of the solar cell and the emitter electrode on the back-side of the solar cell. Also disclosed are metal-wrap-through silicon solar cells comprising the fired conductive silver paste. | 08-07-2014 |
20140216541 | SILICON SUBSTRATE WITH TEXTURE STRUCTURE AND FORMING METHOD THEREOF - A silicon substrate includes a texture structure in which quadrangular pyramid-shaped first textures having a ( | 08-07-2014 |
20140216542 | SEMICONDUCTOR MATERIAL SURFACE TREATMENT WITH LASER - A photovoltaic device and its method of manufacture are disclosed. The device is formed by forming a window layer over a substrate, forming an absorber layer over the window layer, and annealing the absorber layer using a laser beam to remove contaminants from the surface of the absorber layer and/or to reduce the thickness of the absorber layer. | 08-07-2014 |
20140216543 | THIN FILM SOLAR CELL AND MANUFACTURING METHOD THEREFOR - In the present invention, in order to achieve a point contact, a thin film solar cell has a thin film light absorbing layer ( | 08-07-2014 |
20140216544 | ELECTRICALLY CONDUCTIVE ADHESIVE, SOLAR BATTERY MODULE USING THE SAME, AND PRODUCTION METHOD THEREOF - To provide an electrically conductive adhesive, which contains: a curable resin; electrically conductive particles: a curing agent; and a black colorant consisting of titanium black, wherein the electrically conductive particles are silver-coated copper powder, and wherein the electrically conductive adhesive is configured to connect an electrode of a solar battery cell with tab wire. | 08-07-2014 |
20140216545 | RECTANGULAR CONDUCTOR FOR SOLAR BATTERY, METHOD FOR FABRICATING SAME AND LEAD WIRE FOR SOLAR BATTERY - A rectangular conductor for a solar battery and a lead wire for a solar battery, in which warping or damaging of a silicon crystal wafer is hard to occur at the time of bonding a connection lead wire even when a silicon crystal wafer is configured to have a thin sheet structure, can be provided. A conductor | 08-07-2014 |
20140216546 | SOLDERABLE POLYMER THICK FILM CONDUCTIVE ELECTRODE COMPOSITION FOR USE IN THIN-FILM PHOTOVOLTAIC CELLS AND OTHER APPLICATIONS - The invention is directed to a polymer thick film conductive composition comprising (a) a conductive silver-coated copper powder; and (b) an organic medium comprising two different resins and organic solvent, wherein the ratio of the weight of the conductive silver-coated copper powder to the total weight of the two different resins is between 5:1 and 45:1. | 08-07-2014 |
20140230889 | SOLAR CELL, METHOD FOR MANUFACTURING THE SAME AND SOLAR CELL MODULE - A solar cell, a method for manufacturing the same and a solar cell module are described. The solar cell includes a substrate of a second conductivity type, an emitter layer, a first oxide layer, an auxiliary passivation layer, a back surface field layer, a second oxide layer, a first electrode and a second electrode. The substrate includes a first surface and a second surface opposite each other. The emitter layer, the first oxide layer and the auxiliary passivation layer are sequentially disposed on the first surface. Materials of the auxiliary passivation layer and the first oxide layer are different. The back surface field layer and the second oxide layer are sequentially disposed on the second surface. The first electrode is disposed above the first surface and contacts with the emitter layer. The second electrode is disposed above the second surface and contacts with the back surface field layer. | 08-21-2014 |
20140230890 | Dye-Sensitized Solar Cell with Metal Foam Electrode - A dye-sensitized solar cell has a working electrode, electrolyte, and counter electrode. The counter electrode includes a nickel (Ni) foam, titanium (Ti) foam, manganese (Mn) foam, or molybdenum (Mo) foam, and has a surface that is nitrided. The reaction efficiency for the solar cell is enhanced by the increased surface area reacting with the electrolyte, which results from using a metal foam. Mechanical properties, such as strength and ductility, and electroconductivity are improved due to the use of metals. The production costs are reduced by using substitute materials, which are low-cost and have oxidation-reduction efficiency. | 08-21-2014 |
20140230891 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - A solar cell includes a back electrode layer provided on a support substrate and including a first through hole, a light absorbing layer provided on the first through hole and the back electrode layer and including a second through hole, a front electrode layer provided on the second through hole and the light absorbing layer, and a first conductive layer provided on the front electrode layer. Furthermore, the first conductive layer is formed on at least a portion of the front electrode layer which corresponds to the second through hole. | 08-21-2014 |
20140230892 | EDGE PROTECTED BARRIER ASSEMBLIES - The present application is directed to an assembly comprising an electronic device, and a multilayer film. The multilayer film comprises a substrate adjacent the electronic device, a barrier stack adjacent the substrate opposite the electronic device, and a weatherable sheet adjacent the barrier stack opposite the substrate. The multilayer film has been fused. | 08-21-2014 |
20140230893 | BORON NITRIDE ANTI-REFLECTION COATINGS AND METHODS - High performance photovoltaic devices are provided. Certain embodiments relate to the use of Boron-Nitride (BN) thin films as anti-reflection coating (ARC) material on Si and GaAs solar cells. A low and wide reflectance window covering a large energy range of the solar spectrum is available. For a large part of the useful solar spectrum, the index of refraction of the grown BN thin films remains constant at about 2.8. In another embodiment, a BN ARC is applied directly on ordinary window glass providing the device's mechanical strength. | 08-21-2014 |
20140230894 | BIFACIAL CRYSTALLINE SILICON SOLAR PANEL WITH REFLECTOR - Bifacial crystalline solar cells and associated solar panel systems are provided. The include a p-type crystalline silicon layer and a barrier layer. The panels include at least two rows of cells. The cells in each row are connected to one another in series. The rows are connected in parallel. A reflector is used to reflect light towards the underside of the panel. A long axis of the reflector is arranged to be parallel to the rows of cells. | 08-21-2014 |
20140230895 | METHOD OF MAKING A STRUCTURE COMPRISING COATING STEPS AND CORRESPONDING STRUCTURE AND DEVICES - A method of producing a structure comprising a substrate ( | 08-21-2014 |
20140230896 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell and a method of fabricating the same. The solar cell includes a back electrode layer, a light absorbing layer on the back electrode layer, a front electrode layer on the light absorbing layer, and a plurality of light path changing particles in the front electrode layer or between the light absorbing layer and the front electrode layer. | 08-21-2014 |
20140238477 | ANTI-REFLECTIVE COATING FOR PHOTOVOLTAIC CELLS - A surface of a photovoltaic cell is coated with a solution that includes barium titanate to reduce reflection of sunlight that is incident on the surface. The solution may include a base of polydimethylsiloxane and carbon nanotubes. The process may be used in the fabrication of new photovoltaic cell assemblies, or to retrofit existing assemblies in situ. | 08-28-2014 |
20140238478 | BACK JUNCTION SOLAR CELL WITH ENHANCED EMITTER LAYER - Back junction solar cells having improved emitter layer coverage and methods for their manufacture are disclosed. In one embodiment, a back junction solar cell includes an n-type base layer having an emitter layer formed from a first p-type doped region (e.g., formed by liquid phase epitaxial regrowth) and a second p-type doped region (e.g., formed by ion implantation) that extends beyond the first region. In various embodiments, this configuration permits the first p-type doped region to be formed with a border between it and the edges of the wafer (e.g., to prevent inadvertent shunting of the cell), while the second p-type doped region extends the emitter layer to improve emitter layer coverage. In certain embodiments, the second doped p-type region may extend to the edges of the wafer's n-type base layer. | 08-28-2014 |
20140238479 | THIN FILM SOLAR CELL - Provided is a thin film solar cell including a rear electrode formed on a substrate, a light absorbing layer formed on the rear electrode, a buffer layer formed on the light absorbing layer, and a front transparent electrode formed on the buffer layer. The buffer layer includes copper oxide. | 08-28-2014 |
20140238480 | NANOSTRUCTURED ELECTRODES, METHODS OF MAKING ELECTRODES, AND METHODS OF USING ELECTRODES - Embodiments of the present disclosure provide for electrodes, devices including electrodes, methods of making electrodes, and the like. In an embodiment, the electrode includes MoS | 08-28-2014 |
20140238481 | SODIUM OUT-FLUX FOR PHOTOVOLTAIC CIGS GLASSES - Photovoltaic devices where glass substrates have a composition where (RO+M | 08-28-2014 |
20140238482 | PHOTOELECTRIC CONVERSION ELEMENT AND MANUFACTURING METHOD THEREOF - A photoelectric conversion element comprising: at least a photoelectric conversion layer; an electron extraction electrode provided on one major surface side of the photoelectric conversion layer; a hole extraction electrode provided on the other major surface side of the photoelectric conversion layer; and an electron extraction layer that is provided between the photoelectric conversion layer and the electron extraction electrode and includes at least an electron transport layer, wherein the photoelectric conversion element further comprises, between the photoelectric conversion layer and the electron transport layer, a conduction band bottom energy adjustment layer configured to reduce conduction band bottom energy of the electron extraction layer to energy lower than conduction band bottom energy of the electron transport layer. | 08-28-2014 |
20140238483 | THREE-DIMENSIONAL SOLAR CELL HAVING INCREASED EFFICIENCY - A nano-scale tower structure array having increased surface area on each tower for gathering incident light is provided for use in three-dimensional solar cells. Embodiments enhance surface roughness of each tower structure to increase the surface area available for light gathering. Enhanced roughness can be provided by manipulating passivation layer etching parameters used during a formation process of the nano-scale tower structures, in order to affect surface roughness of a photoresist layer used for the etch. Manipulable etching parameters can include power, gas pressure, and etching compound chemistry. | 08-28-2014 |
20140238484 | N-TYPE SILICON SOLAR CELL WITH CONTACT/PROTECTION STRUCTURES - A solar cell is formed on an n-type semiconductor substrate having a p+ emitter layer by forming spaced-apart contact/protection structures on the emitter layer, depositing a blanket dielectric passivation layer over the substrate's upper surface, utilizing laser ablation to form contact openings through the dielectric layer that expose corresponding contact/protection structures, and then forming metal gridlines on the upper surface of the dielectric layer that are electrically connected to the contact structures by way of metal via structures extending through associated contact openings. The contact/protection structures serve both as protection against substrate damage during the contact opening formation process (i.e., to prevent damage of the p+ emitter layer caused by the required high energy laser pulses), and also serve as optional suicide sources that facilitate optimal contact between the metal gridlines and the p+ emitter layer. | 08-28-2014 |
20140238485 | Method of Bonding Semiconductor Elements and Junction Structure - [Problem] The present invention provides a method for bonding semiconductor elements while assuring excellent electric conductivity and transparency at an interface, and a junction structure according to the bonding method. The present invention also provides a method for bonding semiconductor elements wherein excellent electric conductivity is assured at an interface and optical characteristics favorable for element characteristics can be designed, and a junction structure according to the bonding method. | 08-28-2014 |
20140238486 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell and a method of fabricating the solar cell. The solar cell includes a back electrode layer; a light absorbing layer on the back electrode layer; and a buffer layer on the light absorbing layer, wherein the buffer layer includes a first buffer layer, a second buffer layer on the first buffer layer and a third buffer layer on the second buffer layer, and wherein the first buffer layer includes a group I-VI compound. A method of fabricating a solar cell includes the steps of: forming a back electrode layer on a substrate; forming a light absorbing layer on the back electrode layer; forming a second buffer layer on the light absorbing layer including selenium; and forming a third buffer layer including sulfide on the second buffer layer. | 08-28-2014 |
20140246083 | PHOTOVOLTAIC DEVICES AND METHOD OF MAKING - A photovoltaic device is presented. The photovoltaic device includes a buffer layer disposed on a transparent conductive oxide layer; a window layer disposed on the buffer layer; and an interlayer interposed between the transparent conductive oxide layer and the window layer. The interlayer includes a metal species, wherein the metal species includes gadolinium, beryllium, calcium, barium, strontium, scandium, yttrium, hafnium, cerium, lutetium, lanthanum, or combinations thereof. A method of making a photovoltaic device is also presented | 09-04-2014 |
20140246084 | ANTI-REFLECTION GLASS SUBSTRATE - A glass substrate of which at least one surface multiple concave and convex portions. Rp representing the size of the convex portion is 37 nm to 200 nm; a tilt angle θp indicating a maximum frequency in the frequency distribution of a tilt angle θ of the convex portion is 20° to 75°; and an absolute value of a difference between θp and θ | 09-04-2014 |
20140246085 | AQUEOUS DISPERSION COMPOSITION, BACK SHEET FOR AN ECO-FRIENDLY PHOTOVOLTAIC MODULE, AND METHOD FOR MANUFACTURING SAME - Provided are an aqueous dispersion composition, a backsheet for a photovoltaic module, a method of preparing the same, and a photovoltaic module. Since the aqueous dispersion composition includes a fluorine-based polymer, a pigment and an aqueous dispersion binder, a backsheet for a photovoltaic module using the aqueous dispersion composition is prepared without a toxic organic solvent, and thus is environmentally friendly and economical. In addition, as the aqueous dispersion binder is used, a chalking phenomenon in which the pigment projected on a surface of the backsheet is easily detached may be prevented, thereby enhancing both productivity and quality. | 09-04-2014 |
20140246086 | PHOTOVOLTAIC MODULE AND METHOD FOR MANUFACTURING SAME - A photovoltaic module ( | 09-04-2014 |
20140246087 | PHOTOELECTRIC CONVERSION ELEMENT AND SOLAR CELL - An aspect of one embodiment, there is provided a photoelectric conversion element, including a first electrode having optical transparency, a second electrode, and an optical absorption layer provided between the first electrode and the second electrode, the optical absorption layer having a compound semiconductor constituted with a chalcopyrite structure or a stannite structure, the compound semiconductor having a first element of a Group 11 element and a second element of a Group 16 element and comprising a p-type portion and an n-type portion provided between the p-type portion and the first electrode, the n-type portion and the p-type portion jointly having a homo junction, wherein the n-type portion comprises a dopant which has a formal charge V | 09-04-2014 |
20140246088 | THIN FILMS AND PREPARATION PROCESS THEREOF - A process for the preparation of a thin film having at least one layer of a predetermined thickness not exceeding 5 microns is provided such that the integrity of the thin film is preserved. The process for the preparation of such a thin film comprises the step of rolling at least one sheet. The step of rolling is preceded by a step of stacking at least one sheet on a substrate having a predetermined thickness. The process of stacking preferably includes the step of bonding at least one sheet to a substrate. The sheet is a metal, alloy or a combination thereof, the metal and the alloy being of metals selected from the groups IB, IIB, IIIA, IVA, IVB, VB and VIB. | 09-04-2014 |
20140251418 | TRANSPARENT CONDUCTIVE OXIDE LAYER WITH HIGH-TRANSMITTANCE STRUCTURES AND METHODS OF MAKING THE SAME - A solar cell with a transparent conductive layer having improved transmittance is described. The solar cell can include a solar cell substructure comprising an absorber layer disposed over a substrate; and a transparent conductive oxide (TCO) layer disposed over the substructure. The TCO layer can include a TCO film with a plurality of spaced-apart, high-transmittance structures therein. The TCO layer can have a higher transmittance of absorbable radiation than a comparable, homogeneous TCO film. The high-transmittance structures can be selected from the group consisting of perforations, high-transmittance particles, and combinations thereof. Methods of making solar cell with a transparent conductive layer having improved transmittance are also described. | 09-11-2014 |
20140251419 | PHOTOVOLTAIC AND DIRECT THERMAL APPARATUS AND METHODS - Apparatus and methods related to solar energy are provided. A metallic entity has a photovoltaic material in contact therewith. The metallic entity at least partially defines a fluid conduit. An electrode pattern is in contact with the photovoltaic material. Electrical energy generated by the photovoltaic material is coupled to an electrical load by way of the metallic entity and the electrode pattern. Thermal energy is conducted through the metallic entity and is transferred to a fluid coolant flowing through the fluid conduit. Various hybrid photovoltaic and direct thermal energy apparatuses are therefore contemplated. | 09-11-2014 |
20140251420 | TRANSPARENT CONDUCTIVE OXIDE LAYER WITH LOCALIZED ELECTRIC FIELD DISTRIBUTION AND PHOTOVOLTAIC DEVICE THEREOF - A photovoltaic device includes a substrate; a back contact layer disposed above the substrate; an absorber layer for photon absorption disposed above the back contact layer; a buffer layer disposed above the absorber layer; a conductive coating disposed above the buffer layer; and a transparent conductive layer disposed over the conductive coating. The conductive coating includes at least one type of nanomaterial, which has at least one dimension in the range of from 0.5 nm to 1000 nm. | 09-11-2014 |
20140251421 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing solar cell includes providing a semiconductor substrate. A coating layer is then formed on a plurality of sides. Subsequently, an anti-reflective layer is formed on the layer. Finally at least one first electrode and at least one second electrode are formed. The first and second electrodes respectively and electrically connect to the second conductive amorphous substrate and the semiconductor substrate. The potential induced degradation is greatly reduced. | 09-11-2014 |
20140251422 | SOLAR CELL WITH DOPING BLOCKS - A solar cell with doping blocks is provided, which includes: a semiconductor substrate, an anti-reflection layer, a plurality of front electrodes, and a back electrode layer. The semiconductor substrate has a first surface, and a plurality of doping block layers is arranged under the first surface and spaced from each other. The anti-reflection layer is disposed on the doping block layer and the semiconductor substrate. The front electrodes penetrate the anti-reflection layer and are arranged on the doping block layers. The back electrode layer is disposed on a second surface of the semiconductor substrate. | 09-11-2014 |
20140251423 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell and a method of manufacturing the same are disclosed. The solar cell includes a substrate, a conductive type region formed at the substrate, an insulating film formed on the conductive type region, and an electrode electrically connected to the conductive type region through openings formed in the insulating film. The electrode includes finger electrodes and at least one bus bar electrode formed in a direction crossing the finger electrodes. The bus bar electrode includes electrode parts separated from each other. The insulating film includes a plurality of openings corresponding to the electrode parts to be exposed between the electrode parts at a portion at which the bus bar electrode is disposed. The electrode parts include seed layers electrically connected to the conductive type region via the openings of the insulating film and plating layers disposed on the seed layers and the insulating film. | 09-11-2014 |
20140251424 | SOLAR CELL - A solar cell is disclosed. The solar cell includes a semiconductor substrate, a conductive region formed at the semiconductor substrate and having a conductive type identical to or different from that of the semiconductor substrate, a passivation film formed on the semiconductor substrate so as to cover the conductive region, and an electrode electrically connected to at least one of the semiconductor substrate and the conductive region. The passivation film includes a first layer formed on the conductive region and including silicon oxide, a second layer formed on the first layer and including an oxide having a negative charge, and a third layer formed on the second layer and having an index of refraction different from that of the second layer. | 09-11-2014 |
20140251425 | Heterostructure Si Solar Cells Using Wide-Bandgap Semiconductors - To improve the efficiency of heterostructure silicon photovoltaic devices, II-VI wide bandgap semiconductor layers can replace the TCO/doped amorphous silicon/intrinsic amorphous silicon layers on the front side or on both sides of the silicon bulk layer. For example, photovoltaic devices are described containing a first contact electrode; a first doped II-VI semiconductor layer disposed over the first contact electrode; a doped crystalline silicon layer disposed over the first doped II-VI semiconductor layer; and a second contact electrode disposed over the doped silicon layer, where one of the doped crystalline silicon layer and the first doped II-VI semiconductor layer is n-doped N and the other is p-doped. | 09-11-2014 |
20140251426 | VAPOR BARRIER FILM, DISPERSION FOR VAPOR BARRIER FILM, METHOD FOR PRODUCING VAPOR BARRIER FILM, SOLAR CELL BACK SHEET, AND SOLAR CELL - An object of the present invention is to provide a water vapor barrier film having good flexibility, good moisture resistance, and high mechanical strength. A further object of the present invention is to provide a dispersion for water vapor barrier films which is intended to be used to produce the water vapor barrier film, a method for producing the water vapor barrier film, a solar cell back sheet incorporating the water vapor barrier film, and a solar cell incorporating the water vapor barrier film or the solar cell back sheet. The present invention provided a water vapor barrier film containing: phyllosilicate mineral; and a synthetic resin, wherein the phyllosilicate mineral includes a non-swelling clay mineral and a swelling clay mineral, the phyllosilicate mineral is present in an amount of not less than 30% by weight and not more than 90% by weight of the total weight of the water vapor barrier film, and the water vapor barrier film has a water vapor permeability, measured at 40° C. and 90% RH, of not more than 0.5 g/m | 09-11-2014 |
20140251427 | PHOTOELECTRODE FOR DYE-SENSITIZED SOLAR CELL, METHOD FOR MANUFACTURING THEREOF AND DYE-SENSITIZED SOLAR - A photoelectrode for a dye-sensitized solar cell includes, a sensitizer supported in a functional semiconductor layer of a photoelectrode structure provided with the functional semiconductor layer on a transparent conductive layer of a translucent substrate made by forming the transparent conductive layer on a plastic translucent support, in which the functional semiconductor layer includes a roll-pressed layer which is being in contact with the transparent conductive layer and roll-pressing traces extending in parallel with a roll-pressing treatment direction on a surface of the roll-pressed layer, and a surface roughness Ra in a first direction which is in parallel with the roll-pressing treatment direction is smaller than a surface roughness Ra in a second direction which is orthogonal to the first direction on a surface of the functional semiconductor layer. | 09-11-2014 |
20140251428 | METHOD FOR MANUFACTURING DYE-SENSITIZED SOLAR CELLS AND SOLAR CELLS SO PRODUCED - A dye-sensitized solar cell having a porous conductive powder layer, which layer is formed by deposition of a deposit comprising metal hydride particles onto a substrate; heating the deposit in a subsequent heating step in order to decompose the metal hydride particles to metal particles; and sinter said metal particles for forming a porous conductive powder layer. | 09-11-2014 |
20140251429 | CONDUCTIVE STRUCTURE AND METHOD FOR MANUFACTURING SAME - Provided is a conductive structure body comprising: a substrate; a conductive pattern; and a darkened pattern comprising CuOx (009-11-2014 | |
20140251430 | POLYMER SOLAR CELL AND METHOD FOR PREPARING SAME - The present invention relates to a polymer solar cell and a method for preparing the same. The cell comprises a conductive anode substrate, a hole buffer layer, an active polymer layer, an electron buffer layer and a cathode laminated in succession, wherein the hole buffer layer comprises a metal compound host and a guest doped in the metal compound host, the metal compound host being one selected from ZnO, ZnS and CdS and the doped gust being one selected from Li2CO3, Li2O, LiF, LiCl and LiBr. By doping a lithium compound with few electrons as a dopant into the metal compound host, a p-type doped layer facilitating the hole transportation is formed in the polymer solar cell. The dopant and the metal compound host have stable properties and would not corrode the conductive anode substrate, facilitating industrial production in the future and effectively improving the energy conversion efficiency of the polymer solar cell. | 09-11-2014 |
20140261654 | FREE-STANDING METALLIC ARTICLE FOR SEMICONDUCTORS - A free-standing metallic article, and method of making, is disclosed in which the metallic article is electroformed on an electrically conductive mandrel. The mandrel has an outer surface with a preformed pattern, wherein at least a portion of the metallic article is formed in the preformed pattern. The metallic article is separated from the electrically conductive mandrel, which forms a free-standing metallic article that may be coupled with the surface of a semiconductor material for a photovoltaic cell. | 09-18-2014 |
20140261655 | SOLAR CELL WITH ABSORBER LAYER WITH THREE DIMENSIONAL PROJECTIONS FOR ENERGY HARVESTING, AND METHOD FOR FORMING THE SAME - A solar cell with an absorber layer including three dimensional tubular projections and the method for forming the same, is provided. The three dimensional tubular projections are formed in various configurations and include surfaces facing in various directions and are adapted to absorb sunlight directed to the solar cell panel at various angles. The method for forming the absorber layer includes introducing impurities onto a layer over a solar cell substrate to form as nucleation sites and depositing an absorber layer to form a base layer portion and tubular projections at the nucleation sites. The solar cell is exposed to sunlight and the absorber layer including the three dimensional tubular projections, absorbs direct and reflected sunlight directed to the solar cell at various angles. | 09-18-2014 |
20140261656 | THIN-FILM TRANSPARENT CONDUCTIVE STRUCTURE AND DEVICES MADE THEREWITH - A transparent conductive structure useful in the fabrication of electrical, electronic, and optoelectronic devices is provided by a mesh-like metallic structure in the form of a thin film having a plurality of apertures, e.g. one having an average size of 250 nm to 425 nm as measured in the largest dimension and an average nearest-neighbor spacing of 300 nm to 450 nm. In another aspect, the metallic thin film has plural sublayers of different metals, and may have apertures up to 2 μm in size and an average nearest-neighbor spacing of up to 2.5 μm. The metallic thin film may be 20 to 200 nm thick, and may be formed on a flexible or rigid substrate or on a device itself. The structure exhibits a transparency enhanced over a value determined simply by the fraction of the area of the metallic film occupied by the apertures. | 09-18-2014 |
20140261657 | THIN FILM SOLAR CELL AND METHOD OF FORMING SAME - A solar cell comprises a back contact layer, an absorber layer on the back contact layer, a buffer layer on the absorber layer, and a front contact layer above the buffer layer. The front contact layer has a first portion and a second portion. The first and second portions of the front contact layer differ from each other in thickness or dopant concentration. | 09-18-2014 |
20140261658 | ORGANIC SOLAR CELL - An organic solar cell includes a conductive substrate, an organic material, and two metal layers. The conductive substrate includes an electrode. The organic material is disposed above the conductive substrate. The metal layers are disposed above the organic material, and a gap is configured between the two metal layers. The width of the gap is between 1 nm and 5000 nm. | 09-18-2014 |
20140261659 | Free-Standing Metallic Article for Semiconductors - A free-standing metallic article, and method of making, is disclosed in which the metallic article is electroformed on an electrically conductive mandrel. The mandrel has an outer surface with a preformed pattern, wherein at least a portion of the metallic article is formed in the preformed pattern. The metallic article is separated from the electrically conductive mandrel, which forms a free-standing metallic article that may be coupled with the surface of a semiconductor material for a photovoltaic cell. | 09-18-2014 |
20140261660 | TCOs for Heterojunction Solar Cells - Methods are used to develop and evaluate new materials and deposition processes for use as TCO materials in HJCS solar cells. The TCO layers allow improved control over the uniformity of the TCO conductivity and interface properties, and reduce the sensitivity to the texture of the wafer. In Some embodiments, the TCO materials include indium, zinc, tin, and aluminum. | 09-18-2014 |
20140261661 | FREE-STANDING METALLIC ARTICLE WITH OVERPLATING - A free-standing metallic article, and method of making, is disclosed in which the metallic article is electroformed on an electrically conductive mandrel. The mandrel has an outer surface layer having a preformed pattern. The outer surface layer has a dielectric region and an exposed metal region. The metallic article has a plurality of electroformed elements that are formed on the exposed metal region of the outer surface layer of the electrically conductive mandrel. A first electroformed element has an overplated portion formed above the outer surface layer of the mandrel. The metallic article is configured to serve as an electrical conduit for a photovoltaic cell, and forms a unitary, free-standing piece when separated from the electrically conductive mandrel. | 09-18-2014 |
20140261662 | METHOD OF MANUFACTURING A SOLAR CELL ELECTRODE - A method of manufacturing a p-type electrode of a solar cell comprising: (a) preparing an n-type semiconductor substrate comprising an n-type base layer, a p-type emitter and a passivation layer formed on the p-type emitter; (b) applying a conductive paste onto the passivation layer, wherein the conductive paste comprises, (i) 100 parts by weight of a conductive powder, (ii) 1 to 12 parts by weight of a lead-free glass frit comprising, 20 to 33 mol. % of Bi | 09-18-2014 |
20140261663 | High Haze Underlayer For Solar Cell - A solar cell has a substrate and an undercoating formed over at least a portion of the substrate. The undercoating includes a continuous first layer of tin oxide and a second layer having oxides of Sn, P, and Si. A transparent conductive coating is formed over at least a portion of the undercoating. The second layer includes protrusions on an upper surface that cause uneven crystal growth of the conductive coating. | 09-18-2014 |
20140261664 | Photovoltaic Cell Having An Antireflective Coating - The present invention relates to a photovoltaic cell that includes a transparent substrate that has a first surface and a second surface. A transparent conductive oxide coating resides over the second surface of the transparent substrate. A photovoltaic coating resides over the transparent conductive oxide coating. The photovoltaic cell also includes an antireflective coating that resides over the first surface of the transparent substrate. The antireflective coating includes, in order from the first surface of the transparent substrate: a first layer that includes one or more metal oxides, for example, zinc stannate; a second layer that includes one or more metal oxides, for example, silica and alumina; a third layer that includes one or more metal oxides, for example, zinc stannate; and a fourth layer that includes one or more metal oxides, for example, silica. | 09-18-2014 |
20140261665 | METHODS AND SEMICONDUCTOR MATERIALS SUITABLE FOR PHOTOVOLTAIC CELLS - Methods and semiconductor materials produced by such methods that are suitable for use in photovoltaic cells, solar cells fabricated with such methods, and solar panels composed thereof. Such methods include a wet-chemical synthesis method capable of producing a Group I-III-VI | 09-18-2014 |
20140261666 | METHODS OF MANUFACTURING A LOW COST SOLAR CELL DEVICE - Embodiments of the present invention are directed to processes for making solar cells by simultaneously co-firing metal layers disposed both on a first and a second surface of a bifacial solar cell substrate. Embodiments of the invention may also provide a method forming a solar cell structure that utilize a reduced amount of a silver paste on a front surface of the solar cell substrate and a patterned aluminum metallization paste on a rear surface of the solar cell substrate to form a rear surface contact structure. Embodiments can be used to form passivated emitter and rear cells (PERC), passivated emitter rear locally diffused solar cells (PERL), passivated emitter, rear totally-diffused (PERT), “iPERC,” Crystalline Reduced-cost Aluminum Fire-Through (CRAFT), pCRAFT, nCRAFT or other high efficiency cell concepts. | 09-18-2014 |
20140261667 | PHOTOVOLTAIC DEVICE HAVING IMPROVED BACK ELECTRODE AND METHOD OF FORMATION - A back electrode for a PV device and method of formation are disclosed. A ZnTe material is provided over an absorber material and a MoN | 09-18-2014 |
20140261668 | GROWTH OF CIGS THIN FILMS ON FLEXIBLE GLASS SUBSTRATES - An article made by: sputtering molybdenum onto a flexible glass substrate, and depositing a photovoltaic material on the molybdenum by sputtering, thermal evaporation, multi-target ternary or binary sputtering, or nanoparticle techniques. | 09-18-2014 |
20140261669 | GROWTH OF CIGS THIN FILMS ON FLEXIBLE GLASS SUBSTRATES - An article made by: depositing a bottom contact onto a flexible glass substrate, and depositing a photovoltaic material on the bottom contact. | 09-18-2014 |
20140261670 | REDUCED CONTACT RESISTANCE AND IMPROVED LIFETIME OF SOLAR CELLS - A solar cell, having a front side which faces the sun during normal operation, and a back side opposite the front side can include a silicon substrate having doped regions and a polysilicon layer disposed over the doped regions. The solar cell can include a conductive filling formed between a first metal layer and doped regions and through or at least partially through the polysilicon layer, where the conductive filling electrically couples the first metal layer and the doped region. In an embodiment, a second metal layer is formed on the first metal layer, where the first metal layer and the conductive filling electrically couple the doped regions and the second metal layer. In some embodiments, the solar cell can be a front contact solar cell or a back contact solar cell. | 09-18-2014 |
20140261671 | CONDUCTIVITY ENHANCEMENT OF SOLAR CELLS - Methods and structures for forming a contact region on a solar cell are presented. The solar cell can have a front side which faces the sun during normal operation, and a back side opposite the front side and a silicon substrate. The silicon substrate can include at least one doped region a dielectric layer formed over the doped region. The solar cell can also include a first metal contact, such as an electrolessly plated metal contact, within a contact region through a first dielectric layer and on the doped region. The solar cell can include a printed metal, such as aluminum, formed or deposited on the first metal contact. The solar cell can include a first metal layer having a first metal contact and the first printed metal. The solar cell can include a second metal layer, such as an electrolytically electroplated metal layer, formed on the first metal layer. | 09-18-2014 |
20140261672 | TITANIUM METAL AS ELECTRODE FOR ORGANIC SOLAR CELLS, FLEXIBLE ORGANIC SOLAR CELL ON TI FOIL AND METHOD OF MANUFACTURE - Titanium metal is used as an electrode in organic solar cells. Methods of making an organic photovoltaic cell are described using titanium foil that is etched using lower and higher concentrations of a hydrofluoric acid solution. Subsequently, other layers were disposed on the titanium foil to complete the solar cell. Etching at the lower concentration for about 30 seconds properly treated the surface of the electrode and resulted in an advantageous solar cell. Etching at the higher concentrations resulted in a poor morphology that resulted in poor performance. | 09-18-2014 |
20140261673 | TUNING THE ANTI-REFLECTIVE, ABRASION RESISTANCE, ANTI-SOILING AND SELF-CLEANING PROPERTIES OF TRANSPARENT COATINGS FOR DIFFERENT GLASS SUBSTRATES AND SOLAR CELLS - Coating compositions disclosed herein include silane-based precursors that are used to form coatings through a sol-gel process including a hydrolyzed organosilane-based sol and a hydrolyzed tetraalkoxysilane-based sol. The coatings are characterized by anti-reflective, abrasion resistant, and anti-soiling properties and the tunability of those properties with respect to different glass substrates, solar cells or geographic locations of the solar panels. The coatings formed from the compositions described herein have wide application, including, for example, use as abrasion resistant coatings on the outer glass of solar cells, wherein the coating adheres through siloxane linkages. In some embodiments, when applied to glass and cured at a temperature of less than 300° C., the dried sol gel has abrasion resistance sufficient to pass standard EN-1096-2 with a loss of transmission of no more than 0.5% and enables a post-test light transmission gain of greater than 1% as compared to uncoated glass. | 09-18-2014 |
20140261674 | PHOTOVOLTAIC MODULE HAVING PRINTED PV CELLS CONNECTED IN SERIES BY PRINTED CONDUCTORS - A PV module is formed having an array of PV cells, where the cells are separated by gaps. Each cell contains an array of small silicon sphere diodes (10-300 microns in diameter) connected in parallel. The diodes and conductor layers may be patterned by printing. A continuous metal substrate supports the diodes and conductor layers in all the cells. A dielectric substrate is laminated to the metal substrate. Trenches are then formed by laser ablation around the cells to sever the metal substrate to form electrically isolated PV cells. A metallization step is then performed to connect the cells in series to increase the voltage output of the PV module. An electrically isolated bypass diode for each cell is also formed by the trenching step. The metallization step connects the bypass diode and its associated cell in a reverse-parallel relationship. | 09-18-2014 |
20140261675 | PHOTOELECTRIC CELLS INCORPORATING WRINKLES AND FOLDS TO ENHANCE EFFICIENCY AND BENDABILITY AND METHOD OF MAKING - A photovoltaic cell and method of making are disclosed. The photovoltaic cell includes a substrate having a surface at least partially covered in wrinkles and folds, the folds dividing the surface into a plurality of domains. A photoactive layer is formed on the substrate. At least one transparent electrode is coupled to the photoactive layer and configured to allow transmission of light into the photoactive layer. The domains may have a wrinkle periodicity of less than 2 μm. The folds may have a fold density of less than 0.25. The transport layer may comprise PEDOT:PSS. The photoactive layer may comprise P3HT:PCBM. The photoactive layer may comprise a bulk heterojunction. | 09-18-2014 |
20140261676 | USE OF A BUFFER LAYER TO FORM BACK CONTACT TO A GROUP IIB-VIA COMPOUND DEVICE - A method of making a back contact to a Group IIB-VIA compound layer employed in a device such as a solar cell and in particular a CdTe solar cell. The method involves deposition of a contact buffer layer comprising an ionic conductor over a surface of a CdTe film, which is the absorber of the solar cell. A highly conductive contact layer is deposited over the contact buffer layer. In some examples, the compound device is a device such as a solar cell and in particular a CdTe solar cell in a sub-strate configuration or structure. The method involves deposition of a contact buffer layer comprising an ionic conductor on a surface of a highly conductive contact layer. A CdTe film, which is the absorber layer of the solar cell is then deposited over the contact buffer layer. | 09-18-2014 |
20140261677 | LAMINATED STRUCTURE AND LAMINATED STRUCTURE PRODUCTION METHOD - A laminated structure includes an anti-reflection structure having periodic concavo-convex parts on a surface thereof, and a transparent conductive layer formed on the concavo-convex parts. An arbitrary convex part, excluding a convex part located at an outermost side, and six convex parts having distances from the arbitrary convex part that amount to a smallest sum, are arranged to satisfy a condition requiring a connecting part to exist between the arbitrary convex part and each of four convex parts amongst the six convex parts, and a condition requiring a concave part to exist between the arbitrary convex part and each of two remaining convex parts amongst the six convex parts. | 09-18-2014 |
20140261678 | SOLAR CELL APPARATUS AND METHOD OF FABRICATING THE SAME - According to the embodiment, there is provided a solar cell apparatus. The solar cell apparatus includes a back electrode layer on a substrate, a light absorbing layer on the back electrode layer, a buffer layer on the light absorbing layer, a front electrode layer on the buffer layer, and a connection part making contact with the front electrode layer, passing through the light absorbing layer, and making contact with the back electrode layer. The connection part includes a material different from a material constituting the front electrode layer. | 09-18-2014 |
20140261679 | SOLAR CELL APPARATUS AND METHOD OF FABRICATING THE SAME - A solar cell apparatus according to the embodiment includes the steps of a support substrate; a back electrode layer on the support substrate; a light absorbing layer on the back electrode layer; a buffer layer on the light absorbing layer; and a front electrode layer on the buffer layer, wherein the back electrode layer comprises: a first electrode part having a first thickness; and a second electrode part adjacent to the first electrode part and having a second thickness less than the first thickness. A method for fabricating a solar cell apparatus according to the embodiment includes the steps of forming a back electrode layer on a substrate; etching the back electrode layer; forming a light absorbing layer on the back electrode layer; forming a buffer layer on the light absorbing layer; and forming a front electrode layer on the buffer layer. | 09-18-2014 |
20140261680 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell, and a method of fabricating the same. The solar cell includes: a back electrode layer disposed on a support substrate; a light absorbing layer disposed on the back electrode layer; a front electrode layer disposed on the light absorbing layer; a connecting wire extending through the light absorbing layer and electronically connecting the back electrode layer with the front electrode layer; and a side insulating part formed on a side surface of the connecting wire. | 09-18-2014 |
20140261681 | FLEXIBLE SOLAR CELL PHOTOVOLTAIC ASSEMBLY PREPARED WITH FLEXIBLE SUBSTRATE - This invention is directed to a flexible solar cell photovoltaic module with high light transmittance based on modified substrate, which belongs to the field of thin-film solar cell technology. The objective of the present invention to provide a technical solution for a transparent flexible solar cell module and its fabrication method. Technical features include using a stainless steel template to mold a modified polyimide PI substrate (the PI substrate). The PI substrate has light-passing through-holes, including draining holes and convergence holes, through and distributed on the PI substrate, a conductive film layer, and various stacked photoelectric conversion film layers. The creativeness of the present invention is obvious, such as reducing the short circuit and current leakage due to crystallization of the photoelectric layer interface caused by a subsequent process of laser etching the conductive film layer, reducing the composition on the surface of the solar cell, reducing steps of the fabrication process, and lowering the production cost. Further, the present invention significantly increases the conversion efficiency and load capacity of the solar cell and the quality-cost ratio. The transparent flexible solar cell photovoltaic module also has a broad range of applications. | 09-18-2014 |
20140283904 | Solar Cell of Anti Potential Induced Degradation and Manufacturing Method Thereof - A solar cell of anti potential induced degradation and a manufacturing method thereof are disclosed by embodiments of the invention. The method includes: performing plasma cleaning on a silicon wafer by using an oxidizing gas, so as to form a first silicon oxide film on the surface of the silicon wafer; and forming an anti-reflection film on the surface of the first silicon oxide film, where the anti-reflection film includes at least a silicon oxide film. | 09-25-2014 |
20140283905 | Solar Power Brick - A solar power brick includes an optical guide layer, at least one solar cell, at least one electrical connection line and an outer frame. The optical guide layer and the solar cells are provided in the outer frame to combine as an integrated body. The optical guide layer is provided at the central part of the outer frame, and the solar cell is provided between the optical guide layer and the outer frame. The optical guide layer with optical refraction is used to direct the incident light onto the solar cells at the lateral sides to convert incident light into electricity for supplying the electricity to the external devices. The present invention is appropriate for generating electricity and possibly applied to the field which needs the built-in power supply, thereby increasing the optical illuminated area and the received amount of light, improving the overall generating efficiency. | 09-25-2014 |
20140283906 | SYSTEM AND METHOD FOR CONTROLLING AN INVERSION LAYER IN A PHOTOVOLTAIC DEVICE - A semiconductor photovoltaic device with an absorber layer for absorbing incident light, and a light transmitting layer located on the semiconductor body. The light transmitting layer induces an inversion layer in the semiconductor body and the current collected at the inversion layer is transported to a conductive electrode spaced from the light transmitting layer on the semiconductor body. | 09-25-2014 |
20140283907 | METHOD OF MANUFACTURE OF CHALCOGENIDE-BASED PHOTOVOLTAIC CELLS - The invention is a method of forming a cadmium sulfide based buffer on a copper chalcogenide based absorber in making a photovoltaic cell. The buffer is sputtered in two steps the first being at low rates or relatively high pressures and the second at high rates or relatively low pressures. The resulting cell has good efficiency and according to one embodiment is characterized by a narrow interface between the absorber and buffer layers. The buffer is further characterized according to a second embodiment by a relatively high oxygen content. | 09-25-2014 |
20140290729 | SOLAR CELL COMPRISING A P-DOPED SILICON WAFER AND AN ALUMINUM ELECTRODE - A solar cell comprising a p-doped silicon wafer, wherein the p-doped silicon wafer comprises a light-receiving side and a back side; and an aluminum electrode formed on the back side of the silicon wafer; wherein the aluminum electrode comprises an aluminum base layer formed adjacently on the back side of the silicon wafer and an aluminum cover layer formed on the aluminum base layer, and wherein the aluminum cover layer comprises aluminum and boron oxide (B | 10-02-2014 |
20140290730 | METHOD OF MANUFACTURING THIN FILM SOLAR CELL AND THIN FILM SOLAR CELL MANUFACTURED BY THE METHOD - A method of manufacturing a buffer layer for a thin film solar cell includes preparing a reaction solution including an ammonia compound, a zinc source, and a sulfur source at a temperature below 70° C.; and immersing a substrate on which an optical absorption layer is formed in the reaction solution. The concentration of the zinc source in the reaction solution is in the range of about 0.01M to about 0.09M. | 10-02-2014 |
20140290731 | SLIPPERY SURFACES WITH HIGH PRESSURE STABILITY, OPTICAL TRANSPARENCY, AND SELF-HEALING CHARACTERISTICS - The present disclosure describes a strategy to create self-healing, slippery liquid-infused porous surfaces (SLIPS). Roughened (e.g., porous) surfaces can be utilized to lock in place a lubricating fluid, referred to herein as Liquid B to repel a wide range of materials, referred to herein as Object A (Solid A or Liquid A). SLIPS outperforms other conventional surfaces in its capability to repel various simple and complex liquids (water, hydrocarbons, crude oil and blood), maintain low-contact-angle hysteresis (<2.5°), quickly restore liquid-repellency after physical damage (within 0.1-1 s), resist ice, microorganisms and insects adhesion, and function at high pressures (up to at least 690 atm). Some exemplary application where SLIPS will be useful include energy-efficient fluid handling and transportation, optical sensing, medicine, and as self-cleaning, and anti-fouling materials operating in extreme environments. | 10-02-2014 |
20140290732 | SLIPPERY SURFACES WITH HIGH PRESSURE STABILITY, OPTICAL TRANSPARENCY, AND SELF-HEALING CHARACTERISTICS - The present disclosure describes a strategy to create self-healing, slippery liquid-infused porous surfaces (SLIPS). Roughened (e.g., porous) surfaces can be utilized to lock in place a lubricating fluid, referred to herein as Liquid B to repel a wide range of materials, referred to herein as Object A (Solid A or Liquid A). SLIPS outperforms other conventional surfaces in its capability to repel various simple and complex liquids (water, hydrocarbons, crude oil and blood), maintain low-contact-angle hysteresis (<2.5°), quickly restore liquid-repellency after physical damage (within 0.1-1 s), resist ice, microorganisms and insects adhesion, and function at high pressures (up to at least 690 atm). Some exemplary application where SLIPS will be useful include energy-efficient fluid handling and transportation, optical sensing, medicine, and as self-cleaning, and anti-fouling materials operating in extreme environments. | 10-02-2014 |
20140290733 | PHOTOELECTRIC CONVERSION ELEMENT AND PHOTOVOLTAIC CELL - A photoelectric conversion element includes a ferroelectric layer as a photoelectric conversion layer. The ferroelectric layer is formed from a polycrystalline ferroelectric material and includes a plurality of domains. Adjacent two of the plurality of domains have different polarized states. | 10-02-2014 |
20140290734 | PHOTOELECTRIC CONVERSION ELEMENT AND PHOTOVOLTAIC CELL - A photoelectric conversion element includes a ferroelectric layer; a first electrode and a second electrode provided on a surface or a surface layer portion of the ferroelectric layer; a common electrode provided on a surface or a surface layer portion of an opposite side to a side of the ferroelectric layer on which the first electrode and the second electrode are provided; and a pair of lead-out electrodes extracting electric power from the ferroelectric layer, in which the first electrode and the second electrode are arranged alternately in a predetermined direction. | 10-02-2014 |
20140290735 | COMPOSITION FOR SOLAR CELL ELECTRODES AND ELECTRODE FABRICATED USING THE SAME - A composition for solar cell electrodes includes silver powder; a silver alloy (AgX) that includes silver (Ag) and a metal (X), the silver alloy having a eutectic point of about 150° C. to about 900° C.; a glass frit; and an organic vehicle | 10-02-2014 |
20140290736 | BARRIER ASSEMBLIES - The present application is directed to an assembly comprising an electronic device and a multilayer film. The multilayer film comprises a barrier stack adjacent the electronic device, and a weatherable sheet adjacent the barrier stack opposite the electronic device. The weatherable sheet is bonded to the electronic device. | 10-02-2014 |
20140290737 | THIN FILM VLS SEMICONDUCTOR GROWTH PROCESS - A composition comprising a substrate, a polycrystalline III-V semiconductor layer, and an oxide layer disposed above the polycrystalline III-V semiconductor layer is described. A growth method that enables fabrication of continuous thin films of polycrystalline indium phosphide (InP) directly on metal foils is described. The method describes the deposition of an indium (In) thin film (up to 20 microns thick) directly on molybedenum (Mo) foil, followed by the deposition of a thin oxide capping layer (up to 1 micron thick). This capping layer prevents dewetting of the In from the substrate during subsequent high temperature processing steps. The Mo/In/Capping Layer stack is then heated in the presence of phosphorous precursors, causing supersaturation of the liquid indium with phosphorous, followed by precipitation of InP. These polycrystalline III-V films have grain sizes 100-200 microns, minority carrier lifetimes >2 ns and hall mobilities of 500 cm̂2/V-s. | 10-02-2014 |
20140290738 | METHOD OF FORMING OPTOELECTRONIC DEVICE HAVING A STABILIZED METAL OXIDE LAYER - The present invention is a method comprising depositing a metal oxide layer as part of the production of an optoelectrically active device and exposing the metal oxide layer to a reactive agent to form a relatively hydrophobic surface. The invention also includes device so made, preferably a photovoltaic device, which shows improved stability as compared to devices not subject to the treatment. | 10-02-2014 |
20140299182 | METHOD FOR PRODUCING A SOLAR CELL - A method for producing an MWT-PERC solar cell is provided, in which openings in the substrate of the solar cell have contact passages and emitter regions that are present on the back side of the solar cell are completely removed outside the contact passages and a dielectric layer is applied on the back side, whereby a paste, which does not act in an electrically contacting manner opposite the substrate, is used for the contact passages. | 10-09-2014 |
20140299183 | ELECTRODE STRUCTURE ON A DEVICE AND METHOD OF FABRICATING THE SAME - The invention discloses an electrode structure on a device and a method of fabricating the same. The invention respectively utilizes a stencil with a plurality of rows of parallel and hollowed grooves and a screen with a plurality of parallel bridge mesh areas and at least one strip mesh area to print a patterned metal paste corresponding to the electrode structure on a front surface of the device. The patterned metal paste is baked and then sintered to form the electrode structure. | 10-09-2014 |
20140299184 | SEMICONDUCTOR DOME-ARRAY STRUCTURES USING NON-PERMANENT AND PERMANENT MOLD TEMPLATES - A photo-active device is provided that has a cavity in an integrated, transparent mold material. An active material layer is disposed therein along with other layers disposed in and about said cavity to define a dome-like array architecture. A process for forming the dome-like array structure includes disposing an active layer into a series of empty periodically positioned cavities of a dome-like array template working mold material. Each of the series of empty periodically positioned cavities has curvature variations of the interior surface of the dome-array cavities optimized for device efficiency, reduction of performance sensitivity to light impingement angle, or a combination thereof. At least one of absorber layers, contact layers, spacer/transport layers, and electrode layers are also disposed in the series of cavities. | 10-09-2014 |
20140299185 | PHOTOELECTRICAL COVERSION MODULE - A photoelectrical conversion module includes at least one photoelectrical conversion device, at least one first ribbon, a second ribbon, and at least two encapsulation layers. The photoelectrical conversion device includes a solar substrate having a plurality of finger electrodes. The first ribbon is located on the solar substrate and is intersected with the finger electrodes. The first ribbon has a first connection portion located out of the solar substrate. The second ribbon has at least one second connection portion. The first connection portion is intersected and overlapped with the second connection portion, such that a portion of the first connection portion is located above the second connection portion, and another portion of the first connection portion is located under the second connection portion. The relative position of the first and second ribbons is fixed by the encapsulation layers. | 10-09-2014 |
20140299186 | INTERDIGITATED BACK CONTACT PHOTOVOLTAIC CELL WITH FLOATING FRONT SURFACE EMITTER REGIONS - A photo-voltaic cell with semiconductor substrate having a first conductivity type has a first pattern of base and emitter surface regions on the back surface, the base and emitter surface regions being coupled to first and second output terminals respectively. A second pattern of first and second further surface regions on the front surface, electrically floating with respect to the first and second output terminals. The first and second further surface regions have the first and second conductivity type respectively. The first and second further surface regions at least partly overlap the emitter and base regions respectively, when seen in a projection along a direction perpendicular to the first surface. | 10-09-2014 |
20140305499 | PROTECTIVE INSULATING LAYER AND CHEMICAL MECHANICAL POLISHING FOR POLYCRYSTALLINE THIN FILM SOLAR CELLS - A method for forming a photovoltaic device includes forming an absorber layer with a granular structure on a conductive layer; conformally depositing an insulating protection layer over the absorber layer to fill in between grains of the absorber layer; and planarizing the protection layer and the absorber layer. A buffer layer is formed on the absorber layer, and a top transparent conductor layer is deposited over the buffer layer. | 10-16-2014 |
20140305500 | LOW THERMAL DISTORTION SILICONE COMPOSITE MOLDS - Photovoltaic modules, and methods for fabricating said photovoltaic modules, are provided and include a photovoltaic cell operable to convert photons to electrons having a light transparent superstrate material with a superstrate absorption coefficient and a superstrate refractive index, and an encapsulant having an encapsulant absorption coefficient and an encapsulant refractive index, wherein an absorption coefficient relationship between the superstrate absorption coefficient and the encapsulant absorption coefficient and a refractive index relationship between the superstrate refractive index and the encapsulant refractive index are selected such that there is a gain in efficiency. | 10-16-2014 |
20140305501 | METHOD OF FABRICATING A BACK-CONTACT SOLAR CELL AND DEVICE THEREOF - Methods of fabricating back-contact solar cells and devices thereof are described. A method of fabricating a back-contact solar cell includes forming an N-type dopant source layer and a P-type dopant source layer above a material layer disposed above a substrate. The N-type dopant source layer is spaced apart from the P-type dopant source layer. The N-type dopant source layer and the P-type dopant source layer are heated. Subsequently, a trench is formed in the material layer, between the N-type and P-type dopant source layers. | 10-16-2014 |
20140305502 | GLASS SUBSTRATE AND METHOD FOR PRODUCING GLASS SUBSTRATE - A method for producing a glass substrate includes (a) a step of forming molten glass having a temperature T2 less than or equal to 1500° C. on molten tin having an iron concentration greater than or equal to 100 ppm to produce a glass ribbon having a temperature T4 less than or equal to 1100° C. and a logarithm log ρ greater than or equal to 8.8, and (b) a step of cooling the glass ribbon to room temperature to produce the glass substrate. The temperature T2 represents a temperature when a logarithm of a viscosity η (dPa·s) is 2, the temperature T4 represents a temperature when the logarithm of the viscosity η (dPa·s) is 4, and the logarithm log ρ represents a logarithm of a volume resistivity ρ (Ω·cm) at 150° C. | 10-16-2014 |
20140311559 | THE DUAL SURFACE SUNLIGHT TRAPPING PHOTOVOLTAIC SOLAR LEAF MODULE - We describe an invention, the Photovoltaic Solar Leaf Module, comprising of an active photovoltaic (PV) thin film layer being sandwiched and laminated by two sheets of light trapping surface textured polymer, which also form a durable, light weight and flexible protective casing around the active PV thin film layer, which is capable of absorbing sunlight on its front and back surfaces. A method of mounting our PV leaf module is described whereby many PV solar leaf modules are mounted in an array onto many horizontal rods, which are then mounted along a vertical pole supported by a base, which is attached to the ground surface. Another method of mounting the PV leaf module is also described whereby many PV solar leaf modules are electrically and mechanically attached to a long flexible electrical cord, forming an array of many PV solar leaf modules along the length of the flexible electrical cord. | 10-23-2014 |
20140311560 | MULTILAYER LAMINATE FOR PHOTOVOLTAIC APPLICATIONS - A multilayer laminate for a photovoltaic device includes a barrier polymer layer. The barrier polymer layer includes a fluoropolymer layer disposed on a polyester layer. A polymeric support layer is disposed on the polyester layer of the barrier polymer layer and a conductive layer is disposed on the polymeric support layer. The conductive layer includes a copper layer disposed on an aluminum layer, wherein the conductive layer is patterned. | 10-23-2014 |
20140311561 | MULTILAYER LAMINATE FOR PHOTOVOLTAIC APPLICATIONS - A multilayer laminate for a photovoltaic device includes a barrier polymer layer. The barrier polymer layer includes a fluoropolymer layer disposed on a polyester layer. A polymeric support layer is disposed on the polyester layer of the barrier polymer layer and a conductive layer is disposed on the polymeric support layer. The conductive layer includes a copper layer disposed on an aluminum layer. | 10-23-2014 |
20140311562 | SOLAR CELL - Disclosed is a solar cell including a semiconductor substrate, an emitter layer formed at the semiconductor substrate, the emitter layer being a conductive type different from that of the semiconductor substrate, a back surface field layer formed at the semiconductor substrate, the back surface field layer being the same conductive type as that of the semiconductor substrate, a first electrode electrically connected to the emitter layer, and a second electrode electrically connected to the back surface field layer. The second electrode includes a plurality of finger electrodes arranged at a first pitch, the back surface field layer includes a plurality of first portions corresponding to the respective finger electrodes, and at least one connecting projection protrudes from any one of each finger electrode and each first portion. | 10-23-2014 |
20140311563 | Method Of Manufacturing A Solar Cell With Local Back Contacts - The invention relates to the manufacturing process of a solar cell ( | 10-23-2014 |
20140311564 | ZNMGO FILM AND METHOD OF MANUFACTURING ZNMGO FILM - A method of manufacturing a ZnMgO film includes the steps of in order: dissolving a zinc material and a magnesium material in an aqueous ammonia solution having a temperature at which, in an aqueous solution state diagram which represents ion concentrations on a vertical axis and pH on a horizontal axis, a line a demarcated by a region where Zn(OH) | 10-23-2014 |
20140311565 | SOLAR CELL APPARATUS AND METHOD OF FABRICATING THE SAME - A solar cell apparatus according to the embodiment includes a substrate including a top surface and a bottom surface which are opposite to each other; a back electrode layer on the top surface of the substrate; a light absorbing layer on the back electrode layer; a front electrode layer on the light absorbing layer; a connecting member on the bottom surface of the substrate; and a bus bar connected to the connecting member, wherein the bus bar comprises: an electrode contact portion making contact with the back electrode layer; and a substrate contact portion making contact with the substrate. | 10-23-2014 |
20140318611 | MULTI-LEVEL SOLAR CELL METALLIZATION - Fabrication methods and structures relating to multi-level metallization for solar cells as well as fabrication methods and structures for forming thin film back contact solar cells are provided. | 10-30-2014 |
20140318612 | MANUFACTURING METHOD OF SILICON SOLAR CELL AND SILICON SOLAR CELL - A manufacturing method of a silicon solar cell and the silicon solar cell thereof are provided. A silicon substrate formed with a doped layer on a light receiving surface thereof is provided. First and second dielectric layers are respectively formed on the light receiving surface and the rear surface of the silicon substrate. A patterned second dielectric layer with an opening and a groove in the silicon substrate are formed by partially removing the second dielectric layer and the silicon substrate. First and second electrode compositions are respectively formed on the light receiving surface and the rear surface, and the second electrode composition is filled into the groove. After performing a high temperature process to co-firing the silicon substrate and the first and second electrode compositions, a first electrode and a second electrode are respectively formed on the light receiving surface and the rear surface. | 10-30-2014 |
20140318613 | SOLAR CELL - A solar cell is provided that includes a semiconductor substrate with a front-side contact and a rear-side contact. The front-side contact includes contact fingers running parallel to one another and at least one busbar running transversely with respect thereto. A connector runs along the busbar and is cohesively connected thereto. In order to avoid cracking in the event of forces acting on the connector, the busbar includes sections that have soldering edges and over which the connector extends. | 10-30-2014 |
20140318614 | BACK-CONTACT SOLAR CELL AND METHOD FOR PRODUCING SUCH A BACK-CONTACT SOLAR CELL - A method for producing a solar cell that has a semiconductor substrate of a first conductivity type. The method includes producing a plurality of passage openings, creating a layer of a conductivity type opposite the first conductivity type along a front side, producing a front-side contact in the form of a metallization and a back-side contact. Electrically conductive front-side contact areas bound the passage openings on the front side and are formed when the front-side contact is formed. The passage openings are provided with an electrically insulating first layer on the inside, and an electrically conductive material is subsequently introduced, starting from a back side, through the passage openings up to the front-side contact areas while back-side contact areas are simultaneously formed. | 10-30-2014 |
20140318615 | CONDUCTIVE COMPOSITION, SILICON SOLAR CELL INCLUDING THE SAME, AND MANUFACTURING METHOD THEREOF - A conductive composition for a front electrode busbar of a silicon solar cell includes a metallic powder, a solder powder, a curable resin, a reducing agent, and a curing agent. A method of manufacturing a front electrode busbar of a silicon solar cell includes applying the composition to the front surface of the silicon solar cell wherein its front electrode finger line is formed. A substrate includes a front electrode busbar of a silicon solar cell, formed with a conductive composition. A silicon solar cell includes one or more electrodes containing a conductive composition including a conductive powder, a curable resin, a reducing agent, and a curing agent. A method of manufacturing the silicon solar cell includes forming a first electrode array with a first conductive composition, forming a second electrode, and forming a third electrode with a third conductive composition. | 10-30-2014 |
20140318616 | BACK-PROTECTIVE SHEET FOR SOLAR CELL MODULE AND SOLAR CELL MODULE USING SAME - A back-protective sheet for a solar cell module includes a polyolefin resin multi-layer film having a layer A/layer B/layer C three layer constitution, a plastic film, and a UV absorbing layer disposed in this order, wherein the layer A includes a resin composition prepared by mixing a polyethylene resin and a polypropylene resin, the layer B includes a polypropylene resin composition containing white particles, and the layer C comprises a polypropylene resin composition. | 10-30-2014 |
20140318617 | BACKSHEET FOR SOLAR CELL MODULE, LAMINATE, AND SOLAR CELL MODULE - An object of the present invention is to provide a backsheet, laminate, and solar cell module that can prevent the infiltration of water through a region of adhesion with the junction box. The present invention is a backsheet for a solar cell module, the backsheet having a water-impermeable sheet and a coating film formed on at least one side of the water-impermeable sheet, wherein the coating film is formed from a coating material containing a curable functional group-containing fluorinated polymer; a first surface treatment layer is formed on the coating film at least on a surface on an opposite side to the water-impermeable sheet; and the wetting index of the first surface treatment layer is at least 40 dyn/cm. | 10-30-2014 |
20140318618 | PASTE COMPOSITION FOR FRONT ELECTRODE OF SOLAR CELL AND SOLAR CELL USING THE SAME - The present invention relates to a paste composition for a front electrode of a solar cell comprising nano silver powder surface-treated with hexanoic acid, and a solar cell comprising a front electrode formed using the paste composition. | 10-30-2014 |
20140326304 | Solar Cell By-Pass Diode with Improved Metal Contacts - The present disclosure provides a system, method, and apparatus for a solar cell by-pass diode with improved metal contacts. The method involves depositing a dielectric layer (e.g., SiO2 layer) on the metal contacts, and etching off a portion of the dielectric layer after sintering the metal contacts. The dielectric layer prevents the contact metal from oxidation during sintering. The unetched portion of the dielectric layer is used as the P-N junction passivation by the by-pass diode. | 11-06-2014 |
20140326305 | SOLAR CELL AND METHOD FOR MANUFACTURING SAME - Disclosed are a solar cell and a method for manufacturing the same. The solar cell comprises asymmetric nanowires each of which has an angled sidewall, and thus incident light can be concentrated at a p-n junction portion by means of a total reflection phenomenon of light caused by the difference between the refractive indices of a semiconductor layer and a transparent electrode layer, and light absorption may increase due to an increase in the light travel distance, thus improving photoelectric efficiency. Further, the method for manufacturing the solar cell involves etching a substrate and integrally forming the substrate and a p-type semiconductor layer including the asymmetric nanowires each of which has the angled sidewalls, thereby enabling reduced manufacturing costs and simple and easy manufacture of the nanowires having the angled sidewalls. | 11-06-2014 |
20140326306 | HIGHLY EFFICIENT SOLAR CELL MODULE - The subject invention discloses a solar cell module comprising: a first glass layer, wherein one side of the glass layer comprises embossing, the surface angle of the embossing is in the range of 1 to 45 degrees, and the surface of the embossing comprises a reflective coating; a first encapsulated layer located above the first glass layer; a bifacial solar cell located above the first encapsulated layer; a second encapsulated layer located above the bifacial solar cell; and a second glass layer located above the second encapsulated layer. | 11-06-2014 |
20140326307 | Photoelectric Conversion Device and Manufacturing Method Thereof - A photoelectric conversion device with a novel anti-reflection structure. In the photoelectric conversion device, a front surface of a semiconductor substrate which serves as a light-receiving surface is covered with a group of whiskers (a group of nanowires) so that surface reflection is reduced. In other words, a semiconductor layer which has a front surface where crystals grow so that whiskers are formed is provided on the light-receiving surface side of the semiconductor substrate. The semiconductor layer has a given uneven structure, and thus has effects of reducing reflection on the front surface of the semiconductor substrate and increasing conversion efficiency. | 11-06-2014 |
20140326308 | SOLAR CELL CONTACT FORMATION USING LASER ABLATION - The formation of solar cell contacts using a laser is described. A method of fabricating a back-contact solar cell includes forming a poly-crystalline material layer above a single-crystalline substrate. The method also includes forming a dielectric material stack above the poly-crystalline material layer. The method also includes forming, by laser ablation, a plurality of contacts holes in the dielectric material stack, each of the contact holes exposing a portion of the poly-crystalline material layer; and forming conductive contacts in the plurality of contact holes. | 11-06-2014 |
20140326309 | METHOD FOR PREVENTING AN ELECTRICAL SHORTAGE IN A SEMICONDUCTOR LAYER STACK, THIN SUBSTRATE CPV CELL, AND SOLAR CELL ASSEMBLY - The invention relates to a method for preventing an electrical shortage between at least two layers of a semiconductor layer stack attached by the surface of one of its layers to a substrate via a conductive adhesive by providing an isolating layer on the side walls of the stack or by removing excess material after attaching the stack to the substrate. The invention also relates to a thin substrate CPV cell and to a solar cell assembly. | 11-06-2014 |
20140326310 | Organic Photovoltaic Device - The invention relates to an organic photovoltaic device, in a layered structure, comprising a substrate, a bottom electrode, a top electrode, wherein the bottom electrode is closer to the substrate than the top electrode, an electronically active region, the electronically active region being provided between and being in electrical contact with the bottom electrode and the top electrode, a light absorbing region provided in the electronically active region, and at least one of a non-flat layer provided in the electronically active region, and an electronically inactive non-flat layer provided between the substrate and the bottom electrode. Furthermore, the invention relates to a method of producing a photovoltaic device. | 11-06-2014 |
20140326311 | METAL-CHALOGENIDE PHOTOVOLTAIC DEVICE WITH METAL-OXIDE NANOPARTICLE WINDOW LAYER - A metal-chalcogenide photovoltaic device includes a first electrode, a window layer spaced apart from the first electrode, and a photon-absorption layer between the first electrode and the window layer. The photon-absorption layer includes a metal-chalcogenide semiconductor. The window layer includes a layer of metal-oxide nanoparticles, and at least a portion of the window layer provides a second electrode that is substantially transparent to light within a range of operating wavelengths of the metal-chalcogenide photovoltaic device. A method of producing a metal-chalcogenide photovoltaic device includes providing a photovoltaic substructure, providing a solution of metal-oxide nanoparticles, and forming a window layer on the substructure using the solution of metal-oxide nanoparticles such that the window layer includes a layer of metal-oxide nanoparticles formed by a solution process. | 11-06-2014 |
20140332067 | Via Fill Material For Solar Applications - The present invention is directed toward a via fill material for use in solar applications that exhibits low series resistance and high shunt resistance. The via fill material according to the invention includes silver powder, a glass frit and a vehicle. | 11-13-2014 |
20140332068 | SCREEN PRINTING ELECTRICAL CONTACTS TO NANOWIRE AREAS - A process is provided for contacting a nanostructured surface. The process may include (a) providing a substrate having a nanostructured material on a surface, (b) passivating the surface on which the nanostructured material is located, (c) screen printing onto the nanostructured surface and (d) firing the screen printing ink at a high temperature. In some embodiments, the nanostructured material compromises silicon. In some embodiments, the nanostructured material includes silicon nanowires. In some embodiments, the nanowires are around 150 nm, 250 nm, or 400 nm in length. In some embodiments, the nanowires have a diameter range between about 30 nm and about 200 nm. In some embodiments, the nanowires are tapered such that the base is larger than the tip. In some embodiments, the nanowires are tapered at an angle of about 1 degree, about 3 degrees, or about 10 degrees. In some embodiments, a high temperature can be approximately 700C, 750C, 800C, or 850C. | 11-13-2014 |
20140332069 | CONTROLLED EXPANSION FLEXIBLE METAL SUBSTRATE MATERIAL HAVING A TEXTURED STRUCTURE - The present invention relates to a controlled expansion flexible metal substrate material and to a production method therefor. In the present invention, an electrocasting method is used in order to produce a metal substrate material which comprises a controlled expansion alloy and has a textured structure and a wide width. Also, the flexible metal substrate material of the present invention can be used as the substrate for a silicon thin-film solar cell since the Fe—Ni alloying compositional ratio is controlled in such a way that the thermal expansion coefficient approximates that of silicon. The present invention is devised in such a way that the optical pathway is extended and the photoelectric conversion efficiency is improved since a textured structure is provided on the surface of a flexible metal substrate material by forming a textured structure on the surface of a plating drum used as a plating cathode or anode used in an electrocasting method. | 11-13-2014 |
20140332070 | THIN-FILM SOLAR CELL MODULE AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a thin-film solar cell module includes a rear surface electrode layer deposition step for depositing a rear surface electrode layer on a substrate, an alkali metal adding step for adding an alkali metal to the rear surface electrode layer, a light absorbing layer deposition step for depositing a light absorbing layer on the rear surface electrode layer, a division groove forming step for forming a division groove that divides the light absorbing layer and exposing a front surface of the rear surface electrode layer in the division groove, an alloying step for alloying the rear surface electrode layer and the alkali metal on the front surface of the rear surface electrode layer exposed in the division groove, and a transparent conductive film deposition step for depositing a transparent conductive film on the light absorbing layer and in the division groove. | 11-13-2014 |
20140332071 | ELECTRICALLY CONDUCTIVE POLYMERIC COMPOSITIONS, CONTACTS, ASSEMBLIES, AND METHODS - Electrically conductive polymeric compositions adapted for use in forming electronic devices are disclosed. The compositions are thermally curable at temperatures less than about 250° C. Compositions are provided which may be solvent-free and so can be used in processing or manufacturing operations without solvent recovery concerns. Core-shell conductive particles provide the conductivity of the compositions and devices contemplated herein. | 11-13-2014 |
20140332072 | Photovoltaic Cell And Method Of Forming The Same - A photovoltaic (PV) cell comprises a base substrate comprising silicon and including an upper doped region. A coating layer is disposed on the upper doped region and has an outer surface. Fingers are disposed in the coating layer. Each finger has a lower portion in electrical contact with the upper doped region, and an upper portion extending outwardly through the outer surface. Each finger comprises a first metal. A busbar is spaced from the upper doped region, which is free of physical contact with the busbar. The busbar is in electrical contact with the upper portions of the fingers. The busbar comprises a second metal and a third metal different from the first and second metals. The third metal has a melting temperature of no greater than about 300° C. A method of forming the PV cell is also provided. | 11-13-2014 |
20140338737 | SOLAR CELL - A solar cell including a substrate; a first electrode on the substrate; an intermediate connection layer on the first electrode, the intermediate layer including a first region and a third region; a light absorbing layer on the third region of the intermediate connection layer; and a wire on the first region of the intermediate connection,wherein a thickness of the first region of the intermediate connection layer is different from a thickness of the third region of the intermediate connection layer. | 11-20-2014 |
20140338738 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a substrate of a first conductive type, a first doped region positioned at a first surface of the substrate and contains impurities of a second conductive type different from the first conductive type, and a first electrode part electrically connected to the first doped region. The first electrode part includes a thermosetting resin, and first and second conductive particles distributed in the thermosetting resin. The second conductive particles have a work function greater than the first conductive particles and form silicide at an interface contacting the first doped region. | 11-20-2014 |
20140338739 | INTEGRATED BACK-SHEET FOR BACK CONTACT PHOTOVOLTAIC MODULE - An integrated back-sheet for a back contact photovoltaic module is provided. The integrated back-sheet is formed from a polymer substrate and a conductive metal foil that is die cut to provide a metal foil circuit that is adhered to the polymer substrate. A back contact solar cell module incorporating the integrated back-sheet with the die cut metal foil circuit is also provided. Processes for forming such integrated back-sheets and back contact solar cell modules are also provided. | 11-20-2014 |
20140338740 | PREPARATION OF CEMENTITIOUS ARTICLES WITH A HIGH SURFACE FINISH FOR USE IN ELECTRICAL DEVICES - The preparation of cementitious articles with a high surface finish, useful for the preparation of electrical devices, in particular photovoltaic devices, is described. The process involves exposing a prefabricated cementitious article to specific temperature and pressure intervals, for a given time period, and then coating the article thus treated with a polymer film, preferably based on polyimide, in specific quantities. Heat-resistant cementitious surfaces with a low, controlled and regular roughness, without pointlike defects, suitable for the deposition of additional thin films, in particular metallic films and absorbent films, typically used for the manufacture of photo voltaic cells and/or modules, are obtained. | 11-20-2014 |
20140338741 | CONDUCTING SUBSTRATE FOR A PHOTOVOLTAIC CELL - A subject-matter of the invention is a conducting substrate ( | 11-20-2014 |
20140338742 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell and a method of fabricating the same. The solar cell includes a support substrate, a back electrode layer on the support substrate, a light absorbing layer on the back electrode layer, and a front electrode layer on the light absorbing layer. The back electrode layer includes at least three layers. The method includes forming a first layer on a support substrate, forming a second layer on the first layer, forming a third layer on the second layer, forming a light absorbing layer on the third layer, and forming a front electrode layer on the light absorbing layer. | 11-20-2014 |
20140338743 | SOLAR CELL AND METHOD FOR PREPARING THE SAME - The present invention relates to a solar cell and a method for preparing the same. The solar cell of the present invention comprises a first conductive type substrate; a second conductive type emitter layer which is located on the substrate and has a first opening; an anti-reflective film which is located on the emitter layer and has a second opening communicating with the first opening; a first electrode which fills the first opening and comprises phosphorus and nickel silicide; a second electrode which is formed on the first electrode, fills the second opening and comprises phosphorus and nickel; a front side electrode which is formed on the second electrode; and a back side electrode which is located on the rear side of the substrate. | 11-20-2014 |
20140338744 | Process For Texturing The Surface Of A Silicon Substrate, Structured Substrate And Photovoltaic Device Comprising Such A Structured Substrate - The invention relates to a process for texturing the surface of a silicon substrate, comprising a step of exposing said surface to an MDECR plasma generated, at least from argon, using between 1.5 W/cm | 11-20-2014 |
20140338745 | SOLAR CELL MODULE - A solar cell module includes a solar cell, a light-receiving side protection member, aback side protection member, and an encapsulant. The light-receiving side protection member is made of a glass plate or a ceramic plate. The back side protection member is made of a resin sheet. The encapsulant contains an antioxidant. The encapsulant includes: a back side encapsulant and a light-receiving side encapsulant. A content rate of the antioxidant in the back side encapsulant is higher than a content rate of the antioxidant in the light-receiving side encapsulant. | 11-20-2014 |
20140338746 | SOLAR CELL MODULE - A solar cell module is provided with a solar cell, a first protection member, a second protection member, and a sealing material. The first protection member is disposed at a first side of the solar cell. The second protection member is made of a resin sheet that is disposed at a second side of the solar cell. A sealing material is disposed between the first protection member and the second protection member. The sealing material seals the solar cell. The solar cell includes a photoelectric conversion body ( | 11-20-2014 |
20140345683 | SHUNT TREATMENT IN INVERTED AND WAFER BONDED SOLAR CELLS - Provided are methods and systems for treating shunts on solar cell substrates. Also provided are solar cells including such substrates. A shunt detected on a substrate proximate to a metallized grid pattern is electrically disconnected from at least the bus portion of the grid, which reduces shunt's impact on performance on the solar cell. An antireflective layer may be disposed between the shunt and a portion of the grid extending over the shunt. The exposure pattern of a photoresist used to form the antireflective layer may be adjusted accordingly to achieve this result. In some embodiments, the metallized grid may be modified by adjusting the exposure pattern of a photoresist used to form this grid. The grid may be modified to avoid any contact between the grid and the shunt or to disconnect a portion of the grid contacting the shunt from the bus portion area of the grid. | 11-27-2014 |
20140345684 | COLORED SOLAR CELLS AND PANELS CONTAINING THE SAME - The present invention provides a high efficiency colored solar cell, which exhibits both a high conversion efficiency and optically desirable color, comprising a photoelectric conversion substrate; at least one anti-reflection layer formed on the photoelectric conversion substrate; and two or more transparent inorganic dielectric layers formed on the anti-reflection layer(s), wherein the transparent inorganic dielectric layers include at least one layer containing an oxide of titanium. The subject invention also provides a panel comprising the above-mentioned high efficiency colored solar cell. | 11-27-2014 |
20140345685 | Photovoltaic Cell And Method Of Forming The Same - A photovoltaic cell comprises a base substrate comprising silicon and including a rear region. A first electrode is disposed on, and is in electrical communication with, the rear region, and comprises a first metal present in the first electrode in a majority amount. A second electrode is spaced from the rear region such that the rear region is free of physical contact with the second electrode. The second electrode is in electrical contact with the first electrode. The second electrode comprises a polymer, a second metal present in the second electrode in a majority amount, and a third metal different from the first and second metals. The third metal has a melting temperature of no greater than about 300° C. The rear region is in electrical communication with the second electrode via the first electrode. A method of forming the PV cell is also provided. | 11-27-2014 |
20140345686 | HIGH-THROUGHPUT CONTINUOUS GAS-PHASE SYNTHESIS OF NANOWIRES WITH TUNABLE PROPERTIES - A method for forming wires, including providing catalytic seed particles suspended in a gas, providing gaseous precursors that comprise constituents of the wires to be formed and growing the wires from the catalytic seed particles. The wires may be grown in a temperature range between 425 and 525 C and may have a pure zincblende structure. The wires may be III-V semiconductor nanowires having a Group V terminated surface and a <111>B crystal growth direction. | 11-27-2014 |
20140345687 | NIOBIUM THIN FILM STRESS RELIEVING LAYER FOR THIN-FILM SOLAR CELLS - A photovoltaic device includes a thermal stress relieving layer on top of a substrate; a back ohmic contact on the thermal stress relieving layer; and a p-type semiconductor photon absorber layer on the back ohmic contact. The back ohmic contact comprises a metallic compound of the sacrificial back electrode metal layer and the absorber layer, in combination with the thermal stress relieving layer. The thermal stress relieving layer has a substantially similar thermal expansion coefficient with respect to the substrate and the absorber layer and a lower Young's modulus with respect to the sacrificial back electrode metal layer. | 11-27-2014 |
20140345688 | SOLAR CELL HAVING DOPED SEMICONDUCTOR HETEROJUNCTION CONTACTS - A silicon solar cell has doped amorphous silicon contacts formed on a tunnel silicon oxide layer on a surface of a silicon substrate. High temperature processing is unnecessary in fabricating the solar cell. | 11-27-2014 |
20140352771 | METHOD FOR MANUFACTURING BOWL-SHAPED SURFACE STRUCTURES OF SINGLE-CRYSTALLINE SILICON SUBSTRATES AND A SINGLE-CRYSTALLINE SILICON SUBSTRATE WITH BOWL-SHAPED SURFACE STRUCTURES - A single-crystalline silicon substrate with bowl-shaped surface structures and a manufacturing method of the same are provided. The manufacturing method comprises a sandblasting treatment for forming a textured structure on one surface of the single-crystalline silicon substrate and an etching process for etching the textured structure into plural bowl-shaped surface structures, thereby to manufacture the bowl-shaped surface structures with anti-reflection effect and to lower the reflection ratio of the single-crystalline silicon substrate. Without the need of coating an anti-reflection film, the single-crystalline silicon substrate with bowl-shaped textured surface structures has a very low reflection ratio of less than 2% in the 400-800 nm wavelength visible light region, and can be used as efficient silicon-based solar cell substrate. | 12-04-2014 |
20140352772 | SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - A solar cell includes a substrate, a barrier layer on the substrate, a back electrode layer on the barrier layer, a light absorption layer on the back electrode layer, a buffer layer on the light absorption layer, and a transparent electrode layer on the buffer layer. The barrier layer is selectively formed on the substrate. Accordingly, since alkali elements may be uniformly distributed in the light absorption layer, the efficiency of the solar cell may be improved. | 12-04-2014 |
20140352773 | SOLAR CELL - A solar cell includes a photovoltaic substrate having a first surface and a second surface and a plurality of bus bar electrode net structures. The bus bar electrode net structures are separately disposed on the first surface, each bus bar electrode net structure includes a bus bar electrode, a plurality of finger electrodes, at least one connecting line electrode and at least one vertical finger electrode. The bus bar electrode is disposed on the first surface. The finger electrodes are separately disposed at two sides of the bus bar electrode. The connecting line electrode is disposed on the first surface. Each connecting line electrode connects with ends of at least two finger electrodes. The vertical finger electrode is disposed on the first surface, and is parallel to the bus bar electrode and disposed between the two ends of the finger electrode to connect with at least two adjacent finger electrodes. | 12-04-2014 |
20140352774 | METHOD OF MANUFACTURING SOLAR CELL ELECTRODE - A method of manufacturing a solar electrode comprising steps of:(a) stencil printing a conductive paste onto a front side of a semiconductor substrate through a printing mask comprising: (i) 60 wt % to 95 wt % of a conductive powder, (ii) 0.1 wt % to 10 wt % of glass frit, (iii) 3 wt % to 30 wt % of an organic medium, (iv) 0.4 wt % to 1.7 wt % of an amide compound, based on the total weight of the conductive paste and (b) firing the applied conductive paste to form an electrode. | 12-04-2014 |
20140352775 | REPEATEDLY CHARGEABLE AND DISCHARGEABLE QUANTUM BATTERY - The purpose of this invention is to provide a repeatedly chargeable and dischargeable quantum battery that is available for a long period of time without an aging change. The quantum battery is charged by causing an n-type metal oxide semiconductor to have a photo-exited structural change, thereby the electrode of quantum battery is prevented from being oxide and a price reduction and stable operation are possible. The repeatedly usable quantum battery is constituted by laminating; a first metal electrode having an oxidation preventing function, charging layer in which an energy level is formed in the band gap by causing an n-type metal oxide semiconductor covered with an insulating material to have a photo-exited structure change and electrons are trapped at the energy level; p-type metal oxide semiconductor layer; and a second metal electrode having the oxidation preventing function, the electrodes are passive metal layers formed of metals having passive characteristics. | 12-04-2014 |
20140352776 | POLYESTER FILM, SOLAR CELL BACKSHEET, AND SOLAR CELL - [Problem] An object of the present invention is to provide a polyester film whose wet heat resistance is not reduced even when incorporated into a solar cell backsheet or solar cell. | 12-04-2014 |
20140352777 | AUTOMATED FLEXIBLE SOLAR CELL FABRICATION AND INTERCONNECTION UTILIZING ROLLS EXPANDED METALLIC MESH - A method for forming photovoltaic cells comprises providing a first roll of a photovoltaic material and a second roll of an expanded metallic mesh. The photovoltaic material comprises a photoactive material adjacent to a flexible substrate, and the expanded metallic mesh comprises a plurality of openings. Next, an electrically insulating material is provided adjacent to an edge portion of the photovoltaic material. The photovoltaic material from the first roll can then be brought in proximity to the expanded mesh from the second roll to form a nascent photovoltaic cell. The electrically insulating material can be disposed between the expanded metallic mesh and the photovoltaic material. Next, the nascent photovoltaic cell is cut into individual sections to form a plurality of photovoltaic cells. | 12-04-2014 |
20140352778 | SOLAR CELL PASTES FOR LOW RESISTANCE CONTACTS - Paste compositions, methods of making a paste composition, solar cells, and methods of making a solar cell contact are disclosed. The paste composition can include a conductive metal component, a glass component, and a vehicle. The glass component can include SiO | 12-04-2014 |
20140352779 | ARRANGEMENTS WITH PYRAMIDAL FEATURES HAVING AT LEAST ONE NANOSTRUCTURED SURFACE AND METHODS OF MAKING AND USING - One embodiment is a nanostructured arrangement having a base and pyramidal features formed on the base. Each pyramidal feature includes sloping sides converging at a vertex. The nanostructured arrangement further includes a nanostructured surface formed on at least one of the sloping sides of at least one of the pyramidal features. The nanostructured surface has a quasi-periodic, anisotropic array of elongated ridge elements having a wave-ordered structure pattern. Each ridge element has a wavelike cross-section and oriented substantially in a first direction. | 12-04-2014 |
20140352780 | SOLAR CELL, SOLAR CELL MODULE, AND METHOD OF MANUFACTURING SOLAR CELL - A solar cell includes a photoelectric conversion body having principal surface with rugged structures and an electrode on the principal surface. The electrode includes first conductive materials, second conductive materials and resin. The second conductive materials are flat-shaped so that an aspect ratio of the second conductive materials, which is a ratio of a major axis diameters to their average thickness (the major axis diameter divided by the average thickness), is larger than that of the first conductive materials. In the electrode, a volume fraction of the second conductive materials is larger than that of the first conductive materials. The rugged structures include rugged structures which are larger than an average particle size of the first conductive materials, but smaller than an average major axis diameter of the second conductive materials. | 12-04-2014 |
20140352781 | LASER CONTACT PROCESSES, LASER SYSTEM, AND SOLAR CELL STRUCTURES FOR FABRICATING SOLAR CELLS WITH SILICON NANOPARTICLES - A laser contact process is employed to form contact holes to emitters of a solar cell. Doped silicon nanoparticles are formed over a substrate of the solar cell. The surface of individual or clusters of silicon nanoparticles is coated with a nanoparticle passivation film. Contact holes to emitters of the solar cell are formed by impinging a laser beam on the passivated silicon nanoparticles. For example, the laser contact process may be a laser ablation process. In that case, the emitters may be formed by diffusing dopants from the silicon nanoparticles prior to forming the contact holes to the emitters. As another example, the laser contact process may be a laser melting process whereby portions of the silicon nanoparticles are melted to form the emitters and contact holes to the emitters. | 12-04-2014 |
20140352782 | METHOD AND STRUCTURE FOR ELIMINATING EDGE PEELING IN THIN-FILM PHOTOVOLTAIC ABSORBER MATERIALS - A method for manufacturing a thin-film photovoltaic device includes providing a glass substrate contained sodium species. The glass substrate comprising a surface region and a peripheral edge region surround the surface region. The method further includes forming a barrier material overlying the surface region and partially overlying the peripheral edge region and forming a conductor material overlying the barrier material. Additionally, the method includes forming at least a first trench in a vicinity of the peripheral edge region to remove substantially the conductor material therein and forming precursor materials overlying the patterned conductor material. Furthermore, the method includes thermally treating the precursor materials to transform the precursor materials into a film of photovoltaic absorber. The first trench is configured to maintain the film of photovoltaic absorber substantially free from peeling off the conductor material. | 12-04-2014 |
20140352783 | SOLAR CELL MODULE - A solar cell module includes a solar cell and a sealing material. The solar cell includes a photoelectric conversion body, a transparent conductive oxide layer, and an electrode. The transparent conductive oxide layer is disposed on a first main surface of the photoelectric conversion body. The electrode is disposed on the transparent conductive oxide layer. The sealing material seals the solar cell. A portion of the sealing material located on the electrode contains an ethylene-vinyl acetate copolymer. The electrode contains a basic compound. Therefore, even when moisture enters sealing material to generate acetic acid, the basic compound neutralizes the acetic acid to prevent the acetic acid from dissolving transparent conductive oxide layers. | 12-04-2014 |
20140360567 | BACK CONTACT SOLAR CELLS USING ALUMINUM-BASED ALLOY METALLIZATION - Methods and structures for photovoltaic back contact solar cells having multi-level metallization with at least one aluminum-silicon alloy metallization layer are provided. | 12-11-2014 |
20140360568 | COLLECTOR SHEET FOR SOLAR CELL AND SOLAR CELL MODULE EMPLOYING SAME - Provided is a collector sheet for a solar cell, wherein the collector sheet for solar cell can prevent short circuiting between a non-photoreception surface side element and a wiring section, as well as cushioning shocks. This collector sheet ( | 12-11-2014 |
20140360569 | Method for Processing Surface of Light-Transmitting Glass and Light-Transmitting Glass Processed by said Method - To impart antiglare properties without reducing the amount of transmitted light, a method for processing a surface of light-transmitting-glass according to the present invention comprises a blasting step of ejecting abrasive grains with particle sizes of #800 to #3000 (average particle diameter 14 μm to 4 μm) such as WA (white alundum: high-purity alumina) having higher hardness than that of the glass onto a light-receiving surface of the glass having light-transmitting property to be processed for forming indentations and protrusions in the light-receiving surface of the glass, and after the blasting step, a hydrofluoric acid treatment step of immersing the light-receiving surface of the glass into a hydrofluoric acid solution in 10% to 20% concentration for 30 to 600 seconds thereby increase a height (amplitude) of indentations and protrusions of the surface of light-transmitting-glass. | 12-11-2014 |
20140360570 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - The manufacturing method of a solar cell includes forming a photoelectric conversion unit and forming an electrode connected to the photoelectric conversion unit. The step of forming the electrode includes forming a seed formation layer connected to the photoelectric conversion unit, forming an anti-oxidation layer on the seed formation layer, performing a thermal process such that a material of the seed formation layer and a material of the photoelectric conversion unit react with each other to form a chemical bonding layer at a portion at which the seed formation layer and the photoelectric conversion unit are adjacent to each other, forming a conductive layer and a capping layer on the seed formation layer in a state in which a mask is used on the seed formation layer, and patterning the seed formation layer using either the conductive layer or the capping layer as a mask. | 12-11-2014 |
20140360571 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - A manufacturing method of a solar cell is discussed. The manufacturing method of the solar cell includes forming a tunneling layer on one surface of a semiconductor substrate, forming a semiconductor layer on the tunneling layer, doping the semiconductor layer with a first conductive dopant and a second conductive dopant to form a first conductive semiconductor layer and a second conductive semiconductor layer, and diffusing hydrogen into the first and second conductive semiconductor layers to hydrogenate the first and second conductive semiconductor layers. | 12-11-2014 |
20140360572 | SOLAR CELL APPARATUS AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell apparatus and a method of fabricating the same. The solar cell apparatus includes a substrate, a back electrode layer on the substrate, a light absorbing layer on the back electrode layer, a front electrode layer on the light absorbing layer, a bus bar provided beside the light absorbing layer while being connected to the back electrode layer, and a conductive part surrounding the bus bar. The method includes forming a back electrode layer on a substrate, forming a bus bar on the back electrode layer, forming a light absorbing layer beside the bus bar on the back electrode layer, and forming a front electrode layer on the light absorbing layer. A conductive part surrounds the bus bar in the step of forming the bus bar. | 12-11-2014 |
20140360573 | SEALING SHEET FOR SOLAR CELL - A solar cell encapsulant sheet including a resin layer (S) formed of a resin composition containing an olefine-based resin, wherein the storage elastic modulus at 25° C. of the resin layer (S) is 200 MPa or less, at least one surface of the resin layer (S) is the sheet surface of the encapsulant sheet, and the sheet surface satisfies the following requirements (a), (b) and (c): (a) the dynamic friction coefficient on a tempered white glass plate is 1.5 or less, (b) the surface roughness Rzjis is from 0.1 to 50 μm, and (c) the static friction coefficient on a tempered white glass plate is 1.5 or less. | 12-11-2014 |
20140360574 | THIN FILM PHOTOVOLTAIC MODULE WITH STABILIZED POLYMER - The present invention provides a photovoltaic device comprising metal and a poly(vinyl butyral) layer that incorporates a suitable amount of 1H-benzotriazole. When electrical bias is applied to the photovoltaic device, 1H-benzotriazole forms a barrier layer at the metal/poly(vinyl butyral) interface, which, for example, unexpectedly virtually eliminated the yellowing of poly(vinyl butyral) in photovoltaic devices comprising silver components. | 12-11-2014 |
20140366935 | THIN FILM SOLAR CELL AND METHOD OF FORMING SAME - A solar cell device with improved performance and a method of fabricating the same is described. The solar cell includes a back contact layer formed on a substrate, an absorber layer formed on the back contact layer, a buffer layer formed on the absorber layer, and a front contact layer formed by depositing a transparent conductive oxide layer on the buffer layer and annealing the deposited TCO layer. | 12-18-2014 |
20140366936 | NEW PN STRUCTURE FORMED BY IMPROVED DOPING METHODS TO SIMPLIFY MANUFACTURING PROCESS OF DIODES FOR SOLAR CELLS - A method for doping a semiconductor substrate is disclosed wherein a layer of a first conductivity type is first formed followed by forming a blocking layer with an open area. An etch process is performed through the open area to remove the layer of the first conductivity type to exposed the top surface of the semiconductor substrate. Dopant ions are introduced to form a dopant region of a second conductivity type on the beneath the top surface of the semiconductor substrate wherein the dopant region of the second conductivity type is not in contact with the dopant layer of the first conductivity type that is not etched off thus forming a PN structure to form diodes for the interdigitated back contact photovoltaic cells. Since the ion doping processes are self-aligned, the mask requirements are minimized and the production cost for solar cells are reduced. | 12-18-2014 |
20140366937 | SOLAR CELL - A solar cell is disclosed, which includes: a semiconductor substrate, an anti-reflective layer, a passivation layer, a back electrode and back bus bar. The semiconductor substrate has a first surface and a second surface. The anti-reflective layer is disposed on the first surface. The back electrode is a continuous electrode or a flat electrode overlapping the whole back side of the solar cell. The continuous electrode or the flat electrode connects to the semiconductor substrate through a continuous opening. In another embodiment, the continuous electrode is passing through the passivation layer directly and connecting to the semiconductor substrate. That is, the solar cell includes a continuous opening or a continuous electrode. | 12-18-2014 |
20140366938 | WAFER SOLAR CELL AND SOLAR CELL PRODUCTION METHOD - A wafer solar cell comprising a semiconductor layer, a back-side emitter layer, a passivation layer arranged on the emitter layer, openings being formed in said passivation layer, and a metallization layer arranged on the passivation layer, wherein the emitter layer, the passivation layer and/or the metallization layer substantially completely covers a solar cell back side, and wherein adjacent to each opening a doping region is formed which extends into the emitter layer and/or into the semiconductor layer and is doped by means of a metal from the metallization layer and/or from the passivation layer. Furthermore, the invention relates to a solar cell production method for producing such a wafer solar cell. | 12-18-2014 |
20140366939 | METHODS FOR FABRICATING PHOTOVOLTAIC MODULES BY TUNING THE OPTICAL PROPERTIES OF INDIVIDUAL COMPONENTS - Methods for fabricating a photovoltaic module, and the resulting photovoltaic module, are provided and include selecting a photovoltaic cell operable to convert photons to electrons, selecting a light transparent superstrate material having a superstrate absorption coefficient and a superstrate refractive index, and selecting an encapsulant having an encapsulant absorption coefficient and an encapsulant refractive index, wherein an absorption coefficient relationship between the superstrate absorption coefficient and the encapsulant absorption coefficient and a refractive index relationship between the superstrate refractive index and the encapsulant refractive index are selected such that there is a gain in efficiency, and assembling the photovoltaic module using the selected materials. | 12-18-2014 |
20140366940 | SOLAR CELL APPARATUS AND METHOD OF FABRICATING THE SAME - A solar cell apparatus according to the embodiment includes a support substrate; a barrier layer on the support substrate, the barrier layer including a compound of a material included in the support substrate; a back electrode layer on the barrier layer; a light absorbing layer on the back electrode layer; a buffer layer on the light absorbing layer; and a window layer on the buffer layer. | 12-18-2014 |
20140366941 | SOLAR CELL MODULE AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell module and a method of fabricating the same. The solar cell module includes a back electrode layer disposed on a support substrate and having a first separation pattern, a light absorbing layer disposed on the back electrode layer and having a second separation pattern, and a plurality of solar cells disposed on the light absorbing layer and formed with a front electrode layer including an insulator. | 12-18-2014 |
20140366942 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell and a method of fabricating the same. The solar cell includes a molybdenum layer on a support substrate; an ohmic layer on the molybdenum layer; a light absorbing layer on the ohmic layer; and a front electrode layer on the light absorbing layer, wherein the ohmic layer comprises a first ohmic layer and a second ohmic layer having crystal structures different from each other. | 12-18-2014 |
20140373908 | PHOTOVOLTAIC DEVICES - A photovoltaic device is presented. The photovoltaic device includes a layer stack; and an absorber layer is disposed on the layer stack. The absorber layer includes cadmium, tellurium, and selenium. A semiconductor layer is further disposed on the absorber layer, wherein a valence band offset between the semiconductor layer and the absorber layer is less than about 1.3 electron Volts, and a band gap of the semiconductor layer is in a range from about 1.2 electron Volts to about 3.5 electron Volts. | 12-25-2014 |
20140373909 | Fire Through Aluminum Paste for SiNx And Better BSF Formation - Paste compositions, methods of making a paste composition, photovoltaic cells, and methods of making a photovoltaic cell contact are disclosed. The paste composition can include a conductive metal component such as aluminum, phosphate glass, phosphorus compounds such as alky! phosphate, and a vehicle. The contact can be formed on a passivation layer on a silicon wafer by applying the paste on the passivation layer and firing the paste. During firing, the metal component can fire through the passivation layer, thereby electrically contacting the silicon substrate. | 12-25-2014 |
20140373910 | ANTI-REFLECTIVE COATING WITH HIGH OPTICAL ABSORPTION LAYER FOR BACKSIDE CONTACT SOLAR CELLS - A multilayer anti-reflection structure for a backside contact solar cell. The anti-reflection structure may be formed on a front side of the backside contact solar cell. The anti-reflection structure may include a passivation level, a high optical absorption layer over the passivation level, and a low optical absorption layer over the high optical absorption layer. The passivation level may include silicon dioxide thermally grown on a textured surface of the solar cell substrate, which may be an N-type silicon substrate. The high optical absorption layer may be configured to block at least 10% of UV radiation coming into the substrate. The high optical absorption layer may comprise high-k silicon nitride and the low optical absorption layer may comprise low-k silicon nitride. | 12-25-2014 |
20140373911 | SOLAR CELL - Discussed is a solar cell including a photoelectric conversion portion, and first and second electrodes connected to the photoelectric conversion portion, wherein at least one of the first and second electrodes includes a plurality of finger electrodes, and at least one bus bar electrode formed in a direction crossing the finger electrodes, wherein the bus bar electrode includes a main bus bar, and an auxiliary bus bar formed adjacent to the main bus bar such that the auxiliary bus bar is spaced from the main bus bar by a predetermined spacing area, and wherein the spacing area has a width equal to or less than a pitch of the plurality of finger electrodes. | 12-25-2014 |
20140373912 | POLYMER COMPOUND AND ORGANIC PHOTOELECTRIC CONVERSION DEVICE - A polymer compound comprising a repeating unit represented by the formula (A) and a repeating unit represented by the formula (B) manifests large absorbance of light having long wavelength, and can be used in an organic photoelectric conversion device and an organic thin film transistor. | 12-25-2014 |
20140373913 | SOLAR CELL METALLIZATIONS CONTAINING ORGANOZINC COMPOUND - Paste compositions, methods of making paste compositions, contacts, and methods of making contacts are disclosed. The paste compositions include a solid portion and a vehicle system. The solid portion includes a conductive metal component and a glass binder. The vehicle system includes organometallic compound containing zinc. The organometallic compounds containing zinc can be dissolved in the vehicle system and the vehicle system does not include particles that contain zinc. The paste compositions can be used to form contacts in solar cells or other related components. | 12-25-2014 |
20140373914 | PROTECTIVE SHEET - The present invention can provide a protective sheet, a protective sheet preventing curl generation in a laminated article formed by using the same and having excellent appearance, and a solar cell module formed by using this protective sheet. A protective sheet includes a weather-resistant film A, an adhesive layer 1, a film B, an adhesive layer 2, a film C in the stated order, the film C having a thickness of 60 μm or more, in which the width W | 12-25-2014 |
20140373915 | MULTILAYER SHEET, BACK SHEET FOR SOLAR CELLS AND SOLAR CELL MODULE - Provided are a multilayer sheet superior in weather resistance, heat resistance, and moisture proofness and also favorable in interlayer adhesiveness, and a back sheet for solar cells and a solar cell module prepared by using the same. The multilayer sheet | 12-25-2014 |
20140373916 | PHOTOVOLTAIC DEVICE AND METHOD OF MANUFACTURE - The disclosure is directed at a photovoltaic device for converting solar power into electric power, the photovoltaic device including a first electrode; a second electrode; an organic photoactive region in between the first electrode and the second electrode; and an interface stabilizing region in between the organic photoactive region and one of the first electrode or the second electrode; wherein the interface stabilizing region is selected from at least one of a non-conjugated organic material, a non-conjugated metalloorganic compound, and a non-alkali metal halide inorganic metal compound. | 12-25-2014 |
20140373917 | PHOTOVOLTAIC DEVICES AND METHOD OF MAKING - In one aspect of the present invention, a photovoltaic device is provided. The photovoltaic device includes a transparent layer; a first porous layer disposed on the transparent layer, wherein the first porous layer comprises a plurality of pores extending through a thickness of the first porous layer; a first semiconductor material disposed in the plurality of pores to form a patterned first semiconductor layer; and a second semiconductor layer disposed on the first porous layer and the patterned first semiconductor layer, wherein the patterned first semiconductor layer is substantially transparent. Method of making a photovoltaic device is also provided. | 12-25-2014 |
20140373918 | PHOTOVOL TAlC MODULES AND METHODS OF MAKING THE SAME - Photovoltaic modules and methods of making photovoltaic modules are disclosed. The photovoltaic modules comprise a front transparency, at least one photovoltaic cell, and a polyurea back coat. | 12-25-2014 |
20150007874 | BACK-CONTACT SOLAR CELL MODULE - A back-contact solar cell module, comprising: silicon wafer having a sunlight receiving surface and a rear surface, wherein n+ region and p+ region are formed on the rear surface; an n+ electrode formed on the n+ region of the silicon wafer; a p+ electrode formed on the p+ region of the silicon wafer; a printed wiring board comprising a substrate, a cathode and an anode, being placed in a way that the anode and the cathode are in contact with the n+ electrode and the p+ electrode respectively; wherein at least one of the n+ electrode and the p+ electrode, prior to firing, comprises a conductive composition comprising 11.0-39.9 wt % of silver particles, 10.0-40.0 wt % of glass frit, and 0.5-20.0 wt % of palladium particles, based on total weight of the composition. | 01-08-2015 |
20150007875 | PIN PHOTOVOLTAIC CELL AND PROCESS OF MANUFACTURE - A PIN photovoltaic (PIN PV) device is composed of a first electrode layer, a p-type semiconductor layer, an intrinsic semiconductor layer, an n-type semiconductor substrate, and a back surface electrode. Also described is a method for manufacturing a PIN PV device. In a first embodiment, the method includes cleaning an n-type semiconductor substrate; introducing an inert gas under vacuum and a high temperature to form a high resistivity layer on the top surface of the substrate; forming or depositing a p-type semiconductor layer on the high resistivity layer; forming a transparent electrode layer on the p-type semiconductor layer; and forming a metal electrode on the bottom surface of the substrate. In a second embodiment, an SiC or SiO2 isolation layer is formed on the bottom surface of the substrate after initial cleaning of the wafer before the high resistivity layer is formed on the top of the substrate. | 01-08-2015 |
20150007876 | COLLECTOR SHEET FOR SOLAR CELL, AND SOLAR CELL MODULE USING COLLECTOR SHEET FOR SOLAR CELL - Provided is a collector sheet for a solar cell, and contributing to improvement of power generation efficiency. A collector sheet ( | 01-08-2015 |
20150007877 | POLYSILAZANE COATING FOR PHOTOVOLTAIC CELLS - A method of fabricating a photovoltaic cell, and a device produced by such a method, are described. The method includes providing a semiconductor substrate and electrically coupling an electrically conductive article to a top surface of the semiconductor substrate. An anti-reflective coating is formed over the semiconductor substrate and the electrically conductive article, in which the anti-reflective coating has a plurality of sub-layers. Each of the sub-layers comprises polysilazane and has a different index of refraction from the other sub-layers. A photovoltaic cell is formed from the semiconductor substrate, the electrically conductive article and the anti-reflective coating. | 01-08-2015 |
20150007878 | SOLAR CELL - A solar cell includes a solar cell body, a plurality of busbars and a plurality of finger electrodes. The number of the finger electrodes is adjusted according to a width of the finger electrodes, a gap between the finger electrodes and a laid length of the solar cell body, such that a photovoltaic conversion efficiency of the solar cell can be substantially enhanced. | 01-08-2015 |
20150007879 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - Discussed is a solar cell including a semiconductor substrate, a tunneling layer formed on one surface of the semiconductor substrate, a first conductive semiconductor layer formed on a surface of the tunneling layer and a second conductive semiconductor layer formed on the surface the tunneling layer. A separation portion separates the first and second conductive semiconductor layers from each other, and is formed on the surface of the tunneling layer at a location corresponding to at least a portion of a boundary between the first and second conductive semiconductor layers. | 01-08-2015 |
20150007880 | GLASS FRIT, AND CONDUCTIVE PASTE COMPOSITION AND SOLAR CELL COMPRISING THE SAME - The present invention relates to a glass frit, a conductive paste composition comprising the glass frit, and a solar cell fabricated using the conductive paste composition. The glass frit of the present invention comprises SiO2, PbO, and at least one selected from the group consisting of Al2O3, ZrO2, ZnO, and Li2O. Further, the conductive paste composition of the present invention comprises a silver (Ag) powder, a lithium titanium oxide, a glass frit, a binder, and a solvent. The conductive paste composition of the present invention can be used to provide a solar cell having low contact resistance to enhance photoelectric efficiency. | 01-08-2015 |
20150007881 | ALUMINUM CONDUCTOR PASTE FOR BACK SURFACE PASSIVATED CELLS WITH LOCALLY OPENED VIAS - This invention relates an aluminum conductor paste formulation and its method of application on rear side passivated locally opened vias; dot or line geometry or combination thereof employing laser ablation or chemical etching methods. Such Back Surface Passivated Si-solar cells include dielectric layers of Al203, SiNx, Si02, SiC, α-Si, Si02/SiNx, Al203/SiNx, Si02/Al203/SiNx. The Al-conductor paste of this invention achieves; (i) non-degradation of passivation stack, (ii) defect free surfaces and void free vias, (iii) a strong and uniform Back Surface Field (BSF) layer within dot vias and line vias. | 01-08-2015 |
20150007882 | FLEXIBLE NANOWIRE BASED SOLAR CELL - A solar cell comprises a layer ( | 01-08-2015 |
20150007883 | ENCAPSULATING MATERIAL FOR SOLAR CELL AND SOLAR CELL MODULE - An encapsulating material for solar cell that is capable of suppressing the corrosion of metal and the occurrence of yellowing at a high temperature and has excellent long-term reliability in a constant temperature and humidity is provided. | 01-08-2015 |
20150007884 | PHOTOVOLTAIC MODULE - A photovoltaic module includes an encapsulated photovoltaic element and an infrared-transmissive decorative overlay simulating conventional roofing. | 01-08-2015 |
20150007885 | POLYESTER FILM AND METHOD FOR PRODUCING THE SAME, BACK SHEET FOR SOLAR CELL, AND SOLAR CELL MODULE - A polyester film containing a polyester support having a terminal carboxylic acid value of from 3 to 20 eq/ton and IV of from 0.65 to 0.9 dL/g, and a conductive layer having a surface specific resistance of from 10 | 01-08-2015 |
20150007886 | POLYMER SHEET, BACK PROTECTIVE SHEET FOR SOLAR CELL, AND SOLAR CELL MODULE - Surface shape defects in a polymer sheet having a visual transmittance of from 5% to 50% and a visual absorption of from 10% to 50% are easily recognized. | 01-08-2015 |
20150007887 | SOLAR CELL AND METHOD FOR MANUFACTURING SAME - A solar cell is provided with: an n-type region formed over a substrate; a p-type region formed over the substrate and the n-type region; and mark sets for judging positional deviation between the n-type region and the p-type region. The mark sets respectively include first marks, and second marks, which are formed within the first marks. | 01-08-2015 |
20150013757 | MANUFACTURING METHOD OF THE ORGANIC SOLAR CELL - The invention relates to a manufacturing method of an organic solar cell. First deposit in order a first electrode and a first transmission layer on a substrate. Then coat a photoresist layer having a preferred thickness ranging from 1000 nm to 1600 nm on the surface of the first transmission layer by a spin coater at a preferred spin-coating speed ranging from 3000 rpm and 6000 rpm for 30 seconds. Then develop an area by a photolithograph process, and coat an organic active layer in the area and on the surface of the photoresist layer by use of the spin coater at a preferred spin-coating speed ranging from 500 rpm and 800 rpm, in which the organic active layer has a thickness ranging from 230 nm to 320 nm at 500 rpm. Final deposit a second transmission layer and a second electrode on the organic active layer to obtain the organic solar cell. | 01-15-2015 |
20150013758 | PROCESS FOR TREATING A HETEROJUNCTION PHOTOVOLTAIC CELL - The invention provides a process for treating an n-type photovoltaic cell free from all but trace amounts of boron atoms, said process comprising the following steps: providing an n-type heterojunction photovoltaic cell ( | 01-15-2015 |
20150013759 | MICROCRYSTALLINE SILICON SOLAR CELL STRUCTURE AND MANUFACTURING METHOD THEREOF - A microcrystalline silicon solar cell structure and a manufacturing method thereof are revealed to comprise a substrate, a n-type semiconductor layer deposited on the substrate, an intrinsic layer deposited on n-type semiconductor layer and a p-type semiconductor layer deposited on the intrinsic layer and a transparent conductive oxide layer on the p-type semiconductor layer, wherein the intrinsic layer also acts as a major light-absorbing layer of the microcrystalline silicon solar cell by doping 8˜12 vppm p-type ions of the group III element therein, which enables to modify the intrinsic layer with slight n type to improve the conversion efficiency of a battery. | 01-15-2015 |
20150013760 | EVA SHEET COMPRISING MICROPARTICLES FOR SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - An EVA sheet for a photovoltaic module comprising microparticles, the main component of which is an ethylene-vinyl acetate resin is provided. | 01-15-2015 |
20150013761 | BACK SHEET FOR SOLAR CELL MODULE AND METHOD FOR MANUFACTURING THE SAME - Provided is a back sheet for a solar cell module, and more particularly, a back sheet having a novel multilayer structure substituted for an existing structure in which PVF (Tedlar) film/PET film/PVF (Tedlar) film are sequentially laminated, excellent hydrolysis resistance, and significantly excellent heat adhesion. | 01-15-2015 |
20150013762 | DEVICE FOR INDIVIDUAL FINGER ISOLATION IN AN OPTOELECTRONIC DEVICE - An optoelectronic device including at least one of a solar device, a semiconductor device, and an electronic device. The device includes a semiconductor unit. A plurality of metal fingers is disposed on a surface of the semiconductor unit for electrical conduction. Each of the metal fingers includes a pad area for forming an electrical contact. The optoelectronic device includes a plurality of pad areas that is available for connection to a bus bar, wherein each of the metal fingers is connected to a corresponding pad area for forming an electrical contact. | 01-15-2015 |
20150013763 | CONDUCTIVE COMPOSITION, CONDUCTIVE MEMBER, CONDUCTIVE MEMBER PRODUCTION METHOD, TOUCH PANEL, AND SOLAR CELL - The conductive composition contains at least (a) conductive metal fibers, and (b) at least one compound selected from a compound represented by the following Formula (1) and a compound represented by the following Formula (2). In Formula (1), each of R | 01-15-2015 |
20150013764 | CONDUCTIVE COMPOSITION, CONDUCTIVE MEMBER, CONDUCTIVE MEMBER PRODUCTION METHOD, TOUCH PANEL, AND SOLAR CELL - The conductive composition contains at least (a) conductive metal fibers, and (b) at least one compound selected from a compound represented by the following Formula (1), a compound represented by the following Formula (2), and a compound having a partial structure represented by the following Formula (3). Each of R | 01-15-2015 |
20150013765 | MULTI-LAYERED FILM AND PHOTOVOLTAIC MODULE INCLUDING THE SAME - A multi-layered film, a backsheet for photovoltaic modules, a method of manufacturing the same, and a photovoltaic module are provided. The multi-layered film can be configured so that a resin layer including a fluorine-based polymer and an oxazoline group-containing polymer is formed on a substrate. As a result, the resin layer including the fluorine-based polymer can have excellent durability and weather resistance, and show high interfacial adhesive strength to the substrate. During the preparation of the multi-layered film, a drying process can also be performed at a relatively low temperature, so that the manufacturing costs can be reduced and the quality of the product can be prevented from being deteriorated by thermal deformation or thermal shock. The multi-layered film may be effectively used as the backsheet in a variety of photovoltaic modules. | 01-15-2015 |
20150013766 | POLYESTER FILM, BACK SHEET FOR SOLAR CELL, AND SOLAR CELL MODULE - The invention provides a polyester film including: a polyester substrate; and a layered portion that is disposed on at least one surface of the polyester substrate and has an elastic modulus of from 5 MPa to 800 MPa, a ratio of a peak intensity at 988 cm | 01-15-2015 |
20150020877 | HIGH-EFFICIENCY SOLAR PHOTOVOLTAIC CELLS AND MODULES USING THIN CRYSTALLINE SEMICONDUCTOR ABSORBERS - Fabrication methods and structures relating to backplanes for back contact solar cells that provide for solar cell substrate reinforcement and electrical interconnects as well as Fabrication methods and structures for forming thin film back contact solar cells are described. | 01-22-2015 |
20150020878 | ANTI-REFLECTIVE COATING FILM, SOLAR CELL INCLUDING THE ANTI-REFLECTIVE COATING FILM, AND METHOD OF PREDICTING STRENGTH OF THE ANTI-REFLECTIVE COATING FILM FOR THE SOLAR CELL - An anti-reflective coating film is formed from a coating solution composition that includes a silane-based precursor. When measured via Fourier Transform Infrared (FT-IR) Spectroscopy using a wavelength of 1064 nm, the coating solution composition exhibits a peak intensity ratio I | 01-22-2015 |
20150020879 | LAMINATE AND ORGANIC EL ELEMENT, WINDOW, AND SOLAR BATTERY MODULE USING SAME - To improve the gas barrier property of a laminate containing a substrate containing resin or rubber and oxide glass. A laminate | 01-22-2015 |
20150020880 | Electro-Conductive Paste for Forming an Electrode of a Solar Cell Device, a Solar Cell Device and Method for Producing the Solar Cell Device - In order to provide an electro-conductive paste bringing no increase of the contact resistance for forming an electrode of a solar cell device, the electro-conductive paste is characterized by containing an electro-conductive particle, an organic binder, a solvent, a glass frit, and an organic compound including alkaline earth metal, a metal with a low melting point or a compound affiliated with a metal with a low melting point. | 01-22-2015 |
20150020881 | DIELECTRIC COATING FOR SINGLE SIDED BACK CONTACT SOLAR CELLS - A dielectric coating material system for use in a single-sided back contact solar cell is disclosed. The material system serves to electrically isolate electrodes of opposite polarity types on the same side of a silicon-based solar call, and includes titanium and phosphorus. | 01-22-2015 |
20150027521 | LOW REFLECTION ELECTRODE FOR PHOTOVOLTAIC DEVICES - A method for forming a photovoltaic device includes forming a photovoltaic absorption stack on a substrate including one or more of I-III-VI | 01-29-2015 |
20150027522 | ALL-BLACK-CONTACT SOLAR CELL AND FABRICATION METHOD - A method of fabricating an all-back-contact (ABC) solar cell is disclosed. A doped layer of a first polarity ( | 01-29-2015 |
20150027523 | Nanostructures and Methods for Manufacturing the Same - A resonant tunneling diode, and other one dimensional electronic, photonic structures, and electromechanical MEMS devices, are formed as a heterostructure in a nanowhisker by forming length segments of the whisker with different materials having different band gaps. | 01-29-2015 |
20150027524 | SILVER SOLAR CELL CONTACTS - Solar cell conductor formulations made are from two silver powders having different particle size distributions, an aluminum powder, and two frit glass compositions having softening points in the range of 250-700° C. and whose softening points differ by at least 10° C. | 01-29-2015 |
20150027525 | SOLAR CELL AND PREPARING METHOD OF THE SAME - A solar cell according to the embodiment includes a back electrode layer on a support substrate; a first through hole dividing the back electrode layer into a plurality of back electrodes; a first contact pattern in the back electrode layer; a light absorbing layer formed on the back electrode layer and including a second contact pattern on the first contact pattern; and a front electrode layer on the light absorbing layer. | 01-29-2015 |
20150027526 | SOLAR CELL MODULE AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell module and a method of fabricating the same. The solar cell module includes a back electrode layer on a support substrate; a light absorbing layer on the back electrode layer; a first buffer layer on the light absorbing layer; a second buffer layer on the buffer layer; and a front electrode layer on the second buffer layer. | 01-29-2015 |
20150027527 | Solar Cell and Process for Producing a Solar Cell - A solar cell core is produced such that a charge separation and a charge transfer to an emitter and to a base located on a side of the solar cell that is opposite from the emitter are provided when there is incident light in a front side of the solar cell. An electrically conductive emitter-contact structure is produced in the form of contact fingers that are in direct electrical contact with the emitter. A solderable metallic emitter-terminal structure is produced in the form of conductor bars that are in direct electrical contact with the emitter-contact structures and transversely connect the contact fingers of the emitter-contact structure. The solderable metallic emitter-terminal structure is produced at least from nickel, a nickel alloy, tin and/or a tin alloy, and a solder or an electrically conductive strip arrangement covered with a solder is applied to this emitter-terminal structure. | 01-29-2015 |
20150027528 | SELECTIVE REMOVAL OF A COATING FROM A METAL LAYER, AND SOLAR CELL APPLICATIONS THEREOF - A method and resulting structure of patterning a metal film pattern over a substrate, including forming a metal film pattern over the substrate; depositing a coating over the substrate surface and the metal film pattern; and removing the coating over the metal film pattern by laser irradiation. The substrate and coating do not significantly interact with the laser irradiation, and the laser irradiation interacts with the metal film pattern and the coating, resulting in the removal of the coating over the metal film pattern. The invention offers a technique for the formation of a metal pattern surrounded by a dielectric coating for solar cells, where the dielectric coating may function as an antireflection coating on the front surface, internal reflector on the rear surface, and may further may function as a dielectric barrier for subsequent electroplating of metal patterns on either surface. | 01-29-2015 |
20150027529 | ELECTRODES FORMED BY OXIDATIVE CHEMICAL VAPOR DEPOSITION AND RELATED METHODS AND DEVICES - The present invention generally relates to electrodes formed by oxidative chemical vapor deposition and related methods and devices. | 01-29-2015 |
20150027530 | SOLAR MODULE BACK SHEET, AND METHOD FOR MANUFACTURING SAME - Provided are a polyester white film and a back sheet for a solar cell module using the same, and in particular, provided is a polyester white film having improved light reflectance. | 01-29-2015 |
20150027531 | SILICON-CONTAINING FILM AND METHOD FOR FORMING SILICON-CONTAINING FILM - A silicon-containing film includes a first chemical vapor deposition layer and a second chemical vapor deposition layer. The first chemical vapor deposition layer includes elemental silicon. The first chemical vapor deposition layer is formed by a plasma CVD method such that oxygen concentration is greater than or equal to 0% by element and less than 10% by element. The second chemical vapor deposition layer includes elemental silicon. The second chemical vapor deposition layer is formed by the plasma CVD method such that oxygen concentration is greater than 35% by element and less than or equal to 70% by element. A ratio of the thickness of the second chemical vapor deposition layer relative to the thickness of the first chemical vapor deposition layer is 1.5-9. | 01-29-2015 |
20150027532 | SOLAR CELL, SOLAR CELL MODULE AND METHOD OF MANUFACTURING SOLAR CELL - A solar cell includes a photoelectric conversion body including one principal surface provided with a p-type surface and an n-type surface, a p-side electrode disposed on the p-type surface, an n-side electrode disposed on the n-type surface, and an insulating layer disposed between the p-side electrode and the n-side electrode and including a convex shaped surface. | 01-29-2015 |
20150034154 | FINGER STRUCTURES PROTRUDING FROM ABSORBER LAYER FOR IMPROVED SOLAR CELL BACK CONTACT - Thin film photovoltaic devices that include a transparent substrate; a transparent conductive oxide layer on the transparent substrate; a n-type window layer on the transparent conductive oxide layer; a p-type absorber layer on the n-type window layer; and, a back contact on the p-type absorber layer are provided. The p-type absorber layer comprises cadmium telluride, and forms a photovoltaic junction with the n-type window layer. Generally, the p-type absorber layer defines a plurality of finger structures protruding from the p-type absorber layer into the back contact. The finger structures can have an aspect ratio of about 1 or greater and/or can have a height that is about 20% to about 200% of the thickness of the p-type absorber layer. Methods of forming such finger structures protruding from a back surface of the p-type absorber layer are also provided. | 02-05-2015 |
20150034155 | OPTOELECTRONIC DEVICE AND THE MANUFACTURING METHOD THEREOF - An optoelectronic device includes: a semiconductor stack including an upper surface and a side surface; a first electrode formed on the upper surface of the semiconductor stack; a first anti-reflection structure formed on the first electrode and the upper surface; and a second anti-reflection structure different from the first anti-reflection structure formed on the side surface. | 02-05-2015 |
20150034156 | RADIATION CURABLE ADHESIVE COMPOSITION FOR PHOTOVOLTAIC BACKSHEETS - The invention relates to a radiation curable adhesive system for use in bonding a high thermal deformation temperature layer to a UV opaque, pigmented or non-pigmented fluoropolymer film The radiation curable adhesive system uses an adhesive composition optimized for cure using long wavelength UV energy. The adhesive system may also be optimized for curing by LED or e-beam radiation. The system is designed for curing through a UV opaque fluoropolymer film—and especially where titanium dioxide is used as the pigment. A preferred multilayer film structure is a polyvinylidene fluoride (PVDF)/curable adhesive/polyester terephthalate (PET) structure. This film structure is especially useful as a backsheet for a photovoltaic module. | 02-05-2015 |
20150034157 | ADHESIVE FOR LAMINATED SHEETS - An adhesive for laminated sheets comprising a urethane resin obtainable by mixing an acrylic polyol with an isocyanate compound. The acrylic polyol is obtained by polymerizing a mixture including a first monomer having a hydroxyl group and acrylonitrile. The isocyanate compound includes both an isocyanate compound having no aromatic ring and an isocyanate compound having an aromatic ring. Also cured reaction products of the adhesive and films laminated using the adhesive. | 02-05-2015 |
20150040976 | METHOD FOR PREPARING SOLAR PAINT AT ROOM TEMPERATURE FOR DYE SENSITIZED SOLAR CELLS FOR WINDOW PANES AND FLEXIBLE SUBSTRATES - The present invention discloses a room temperature process for the fabrication of dye sensitized solar cells (DSSCs). Particularly, the invention discloses a room temperature process for preparing easily curable, binder free titania based solar paint that gives a high conversion efficiency to be used in fabrication of DSSCs at room temperature. | 02-12-2015 |
20150040977 | BACKSHEET FILM WITH IMPROVED HYDROLYTIC STABILITY - This disclosure generally relates to films capable of use in photovoltaic modules, to films, to methods of use and manufacture of these films, and to photovoltaic cells and/or modules including these films. One exemplary embodiment of such a film is a barrier layer having a moisture vapor transmission rate of less than 3.0 g/m2-day, wherein the barrier layer includes a polyethylene terephthalate having an apparent crystal size of less than 65 angstroms. Another exemplary embodiment of such a film is a multilayer film for use as a backsheet in a photovoltaic module including: a first layer including a fluoropolymer; a second layer including a polyethylene terephthalate having an apparent crystal size of less than 65 angstroms; and a third layer including an olefinic polymer. The first layer and the third layer are bonded to opposing major surfaces of the second layer. | 02-12-2015 |
20150040978 | SOLAR-CELL EFFICIENCY ENHANCEMENT USING METASURFACES - A solar-energy module is disclosed. The module includes a first electrode configured to receive incident visible light with a different refractive index than the medium through which light travels prior to becoming incident on the first electrode, the first electrode having a first metasurface arrangement formed through the first electrode, and configured to selectively i) match the optical impedances of the first electrode and the medium, and ii) cause light to be refracted substantially away from normal refraction angle, a photon-absorbing material coupled to the first electrode on a first surface of the photon-absorbing material and configured to receive refracted light through the first electrode and adapted to produce an electrical current in response to the refracted light, length of the photon absorbing material substantially larger than thickness of the photon-absorbing material, and a second electrode coupled to the photon-absorbing material on a second surface of the photon-absorbing material. | 02-12-2015 |
20150040979 | Silicon Wafers with p-n Junctions by Epitaxial Deposition and Devices Fabricated Therefrom - High efficiency silicon solar cells, including IBC cells, may be formed from lightly doped p-n sandwich structures fabricated in-situ by epitaxial growth. For example, the solar cell may comprise: an n-type silicon layer greater than or equal to 20 microns thick, with a dopant concentration between 1E15/cm | 02-12-2015 |
20150047697 | TRANSPARENT CONDUCTIVE COATINGS FOR USE IN HIGHLY FLEXIBLE ORGANIC PHOTOVOLTAIC FILMS ON THIN FLEXIBLE SUBSTRATES WITH PRESSURE-SENSITIVE ADHESIVES - Flexible transparent conductive films, flexible OPV devices, and semitransparent flexible OPV devices, and methods for the fabrication of flexible transparent conductive films, and the use of those films in fabricating flexible OPV devices, and semitransparent flexible OPV devices are presented. High-throughput and low-cost fabrication options also allow for economical production. | 02-19-2015 |
20150047698 | PROTECTIVE COATINGS FOR PHOTOVOLTAIC CELLS - A photovoltaic cell comprises a protective layer, a substrate adjacent to the protective layer, and a barrier layer adjacent to the substrate. The protective layer can comprise niobium, or a metal carbide, metal boride, metal nitride, or metal silicide. The barrier layer can comprise an electrically conductive material. The photovoltaic cell further comprises an absorber layer adjacent to the barrier layer. The absorber layer in some cases comprises copper indium gallium di-selenide (CIGS). The photovoltaic cell further comprises an optically transparent window layer adjacent to the absorber layer, and an electrically non-conductive aluminum zinc oxide (AZO) layer adjacent to the window layer. A transparent oxide layer is disposed adjacent to the AZO layer. | 02-19-2015 |
20150047699 | THIN FILM PHOTOVOLTAIC DEVICES WITH A MINIMALLY CONDUCTIVE BUFFER LAYER - A thin film photovoltaic device ( | 02-19-2015 |
20150047700 | CONDUCTIVE PASTE FOR SOLAR CELL ELECTRODES, SOLAR CELL, AND METHOD FOR MANUFACTURING SOLAR CELL - A conductive paste for solar cell electrodes according to an embodiment of the present invention comprises a glass frit containing many glass particles, and a non-glass component containing mainly at least one of silver and copper and additionally metallic element A1. The metallic element A1 is at least one selected from the group consisting of vanadium, niobium, tantalum, rhodium, rhenium, and osmium. A solar cell according to an embodiment of the present invention includes a semiconductor substrate, an antireflection film disposed in a first region on a main surface of the semiconductor substrate, and an electrode disposed in a second region different from the first region on the main surface of the semiconductor substrate and formed by firing the conductive paste for electrodes. | 02-19-2015 |
20150047701 | SOLAR CELL - This solar cell is provided with: a substrate, which is a crystalline silicon layer; an intrinsic i-type semiconductor layer, which is directly provided on the light receiving surface side of the substrate; a wide gap layer, which is provided on the i-type semiconductor layer, and which has a p-type or an n-type impurity added thereto; and a transparent conductive layer, which is provided on the wide gap layer. The refractive index of the i-type semiconductor layer has a value between the refractive index of the substrate and that of the transparent conductive layer, and the refractive index of the wide gap layer has a value larger than the refractive index of the transparent conductive layer. | 02-19-2015 |
20150047702 | Method of design and growth of single-crystal 3D nanostructured solar cell or detector - Photovoltaic devices conformally deposited on a nano-structured substrate having hills and valleys have corresponding hills and valleys in the device layers. We have found that disposing an insulator in the valleys of the device layers such that the top electrode of the device is insulated from the device layer valleys provides beneficial results. In particular, this insulator prevents electrical shorts that otherwise tend to occur in such devices. | 02-19-2015 |
20150053261 | SOLAR CELL - A surface reflectivity of a solar cell is reduced by applying a nanopillar array including a plurality of nanopillars to the solar cell. Further, by constituting the nanopillars with a Si/SiGe superlattice and controlling a Ge composition ratio of a SiGe layer ( | 02-26-2015 |
20150053262 | TRANSPARENT SOLAR CELL AND REAR-REFLECTIVE TRANSPARENT SOLAR CELL MODULE HAVING THE SAME - Provided are a transparent solar cell and a rear-reflective transparent solar cell module having the same. The transparent solar cell includes a transparent substrate, a first transparent electrode on the transparent substrate, a light absorption layer on the first transparent electrode, a re-absorption enhancing layer on the light absorption layer, and a second transparent electrode on the re-absorption enhancing layer. | 02-26-2015 |
20150053263 | SEMICONDUCTOR LAMINATE AND METHOD FOR MANUFACTURING SAME, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE, DOPANT COMPOSITION, DOPANT INJECTION LAYER, AND METHOD FOR FORMING DOPED LAYER - The present invention provides a method for producing a semiconductor laminate including a substrate having formed thereon a silicon layer with small surface unevenness and high continuity. The method of the present invention for producing a semiconductor laminate having a substrate 10 and a sintered silicon particle layer 5 on the substrate includes (a) coating a silicon particle dispersion containing a dispersion medium and silicon particles dispersed in the dispersion medium, on a substrate 10 to form a silicon particle dispersion layer 1, (b) drying the silicon particle dispersion layer 1 to form a green silicon particle layer 2, (c) stacking a light-transmitting layer 3 on the green silicon particle layer, and (d) irradiating the green silicon particle layer 2 with light through the light-transmitting layer 3 to sinter the silicon particles constituting the green silicon particle layer 2, and thereby form a sintered silicon particle layer 5. | 02-26-2015 |
20150059842 | SOLAR CELL - A solar cell according to the embodiment includes a substrate; a first electrode layer on a substrate; a light absorbing layer on the first electrode layer; a second electrode layer including a transmittive conductive material on the light absorbing layer; and a grid electrode including a transmittive conductive material on the second electrode layer. | 03-05-2015 |
20150059843 | SOLAR CELL USING PRINTED CIRCUIT BOARD - A solar cell using a printed circuit board (PCB) includes a substrate that is formed of an insulating material and in and through which a plurality of fixing holes and communication holes are alternately formed; a plurality of photoelectric effect generators that have ball or polyhedral shapes fixed to the substrate to be disposed over the plurality of fixing holes, and generate photoelectric effects by receiving light through light-receiving portions that are exposed to an upper portion of the substrate; a plurality of upper electrodes that are formed on a top surface of the substrate, and are connected to the respective light-receiving portions of the photoelectric effect generators; and a plurality of lower electrodes that are formed on a bottom surface of the substrate to be connected to respective non-light-receiving portions of the photoelectric effect generators, and communicate with the plurality of upper electrodes through the plurality of communication holes. | 03-05-2015 |
20150059844 | FLEXIBLE PHOTO-ANODE OF DYE-SENSITIZED SOLAR CELL AND MANUFACTURING METHOD THEREOF - A flexible photo-anode of dye-sensitized solar cell and a manufacturing method thereof are provided. The method includes steps of: coating zinc oxide nanoparticles on a flexible substrate to form a seed layer; immersing the flexible substrate into a first reaction solution; heating the seed layer to form a zinc oxide nanowire array; cooling the flexible substrate to the room temperature, immersing it into the second reaction solution, and stirring the second reaction solution, so that the zinc oxide nanowire array forms a cactus-like structure. Thus, the sintering and embossing processes can be prevented. | 03-05-2015 |
20150059845 | CZTS-BASED THIN FILM SOLAR CELL AND METHOD OF PRODUCTION OF SAME - A CZTS-based thin film solar cell which has a high photovoltaic conversion efficiency which is provided with a substrate, a metal back electrode layer which is formed on the substrate, a p-type CZTS-based light absorption layer which is formed on the metal back electrode layer, and an n-type transparent conductive film which is formed on the p-type CZTS-based light absorption layer and which has a dispersed layer of ZnS-based fine particles at the interface between the p-type CZTS-based light absorption layer and the metal back electrode layer. | 03-05-2015 |
20150059846 | COATING COMPOSITION AND ANTIREFLECTION FILM - The coating composition according to the present invention includes a metal oxide (A) containing a spherical metal oxide (a1) and a chain metal oxide (a2) having an aspect ratio (major diameter/minor diameter) of 3 to 25, and a polymer emulsion particle (B). The coating composition according to the present invention preferably further includes a hydrolyzable silicon compound (C). The antireflection film according to the present invention is obtained by applying and drying the coating composition on a substrate. | 03-05-2015 |
20150059847 | Passivated Emitter Rear Locally Patterned Epitaxial Solar Cell - Passivated emitter rear local epitaxy (PERL-e) thin Si solar cells may be formed with a heavily doped epitaxial back surface field (BSF) layer, which is patterned to form well spaced point contacts to the silicon base on the rear of the solar cell. The back side of the cell may be finished with a dielectric passivation layer and a metallization layer for making electrical contact to the cell. PERL-e thick Si solar cells may be formed with heavily doped epitaxial films as the back point contacts, where the point contacts are defined by the provision of a selectively patterned thermal oxide on the rear wafer surface. Furthermore, absorption of longer wavelength, infrared (IR), light in thin silicon solar cells may be improved by the addition of a dielectric stack on the rear surface of the solar cell (a back reflector), the stack acting to reflect the longer wavelength light back through the active layers of the solar cell. | 03-05-2015 |
20150059848 | DYE-SENSITIZED SOLAR CELL - Provided is a dye-sensitized solar cell that includes: a light-transmissive tubular container; a collector electrode provided on an inner surface of the tubular container, the collector electrode being a transparent conductive film, in which the collector electrode has first electric conductivity; a photoelectrode provided on an inner surface side of the collector electrode, the photoelectrode being a semiconductor layer that supports a sensitizing dye; a counter electrode opposed to the photoelectrode; an electrolytic solution filled inside the tubular container; and a strip conducting section provided on one of the inner surface and an outer surface of the collector electrode, and extending in an axial direction of the tubular container. The strip conducting section has a second electric conductivity that greater than the first electric conductivity. | 03-05-2015 |
20150068591 | SHALLOW JUNCTION PHOTOVOLTAIC DEVICES - A method for fabricating a photovoltaic device includes forming a first contact on a crystalline substrate, by epitaxially growing a first doped layer having a doping concentration of 10 | 03-12-2015 |
20150068592 | SOLAR CELL METALLIZATION - An interdigitated back contact solar cell is provided. The solar cell comprises a solar cell substrate having a light receiving frontside and a backside comprising base and emitter regions. A first level metal (M1) layer is positioned on the substrate backside contacting the base and emitter regions. A second level metal (M2) layer is connected to the first level metal (M1) layer and comprises a base busbar and an emitter busbar. The first level metal comprises substantially orthogonal interdigitated metallization and substantially parallel interdigitated metallization positioned under and corresponding to the base and emitter busbars on the second level metal (M2). The substantially parallel interdigitated metallization of M1 collects carriers of opposite polarity of the corresponding busbar. | 03-12-2015 |
20150068593 | POCKET TYPE PHOTOVOLTAIC POWER GENERATION BACK SHEET, METHOD FOR MANUFACTURING SAID BACK SHEET, AND PHOTOVOLTAIC POWER GENERATION MODULE INCLUDING SAID BACK SHEET - The invention includes: a step forming a heat radiating or weather resistant coating layer on a surface of an insulating film; a step bringing the insulating film into contact with both surfaces of a heat conduction member; and a step forming a blocked pocket by sealing a portion of the insulating film to seal the heat conduction member. Accordingly, the penetration of the back sheet by moisture or foreign substances can be prevented, the insulating performance of the back sheet can be improved, and the size of the heat conduction member and the size of the insulating film can be designed without restriction. A path can be provided such that gas generated in an EVA layer in a photovoltaic module and back sheet peeling are completely addressed. | 03-12-2015 |
20150068594 | SOLAR CELL AND MANUFACTURING METHOD THEREOF - To provide a solar cell that reduces occurrence of a defect and has high photoelectric conversion efficiency. The solar cell includes a silicon substrate such as an n-type single-crystal silicon substrate single crystal with pyramid-shaped irregularities P formed thereon, and an amorphous or microcrystal semiconductor layer formed on the single-crystal silicon substrate. A flat part F is formed in a valley portion of the pyramid-shaped irregularities P provided on a surface of the single-crystal silicon substrate. With this configuration, a steep angle of 70° to 85° of a concave portion formed by a substantially (111) surface can be widened to between 115° and 135°. Accordingly, a change of atomic step morphology attributable to a rounded shape can be eliminated, thereby enabling to reduce epitaxial growth and defects in the amorphous or microcrystal semiconductor layer. | 03-12-2015 |
20150068595 | GLASS SUBSTRATE FOR Cu-In-Ga-Se SOLAR CELL, AND SOLAR CELL USING SAME - A glass substrate for a Cu—In—Ga—Se solar cell. The glass substrate includes the specific amounts of SiO | 03-12-2015 |
20150068596 | SOLAR CELL MODULE AND METHOD FOR MANUFACTURING SOLAR CELL MODULE - A solar cell module is provided with: a photoelectric conversion section having a substrate; collecting electrodes, which are disposed on the photoelectric conversion section; adhesive layers disposed on the collecting electrodes; and wiring material pieces respectively connected to the collecting electrodes with the adhesive layers therebetween. In the longitudinal direction of the collecting electrodes, the collecting electrodes respectively have end portions formed thicker than the center portions, and in the longitudinal direction of the collecting electrodes, the adhesive layers respectively have potions corresponding to the center portions of the collecting electrodes formed thicker than adhesive layer portions corresponding to the end portions of the collecting electrodes. | 03-12-2015 |
20150068597 | SURFACE PASSIVATION OF SILICON BASED WAFERS - The surface recombination velocity of a silicon sample is reduced by deposition of a thin hydrogenated amorphous silicon or hydrogenated amorphous silicon carbide film, followed by deposition of a thin hydrogenated silicon nitride film. The surface recombination velocity is further decreased by a subsequent anneal. Silicon solar cell structures using this new method for efficient reduction of the surface recombination velocity is claimed. | 03-12-2015 |
20150068598 | CONDUCTIVE PASTE COMPOSITION AND SEMICONDUCTOR DEVICES MADE THEREWITH - A conductive paste composition contains a source of an electrically conductive metal, an alkaline-earth-metal boron tellurium oxide, and an organic vehicle. An article such as a high-efficiency photovoltaic cell is formed by a process of deposition of the paste composition on a semiconductor device substrate (e.g., by screen printing) and firing the paste to remove the organic vehicle and sinter the metal and establish electrical contact between it and the device. | 03-12-2015 |
20150075596 | ELECTRICALLY-CONDUCTIVE STRUCTURE AND A PRODUCTION METHOD THEREFOR - An exemplary embodiment of the present invention relates to a conductive structure body that comprises a darkening pattern layer having AlOxNy, and a method for manufacturing the same. The conductive structure body according to the exemplary embodiment of the present invention may prevent reflection by a conductive pattern layer without affecting conductivity of the conductive pattern layer, and improve a concealing property of the conductive pattern layer by improving absorbance. | 03-19-2015 |
20150075597 | ELECTROCONDUCTIVE PASTE WITH ADHENSION PROMOTING GLASS - An electroconductive paste composition for use in forming backside soldering pads on a solar cell including metallic particles, glass frit including Bi | 03-19-2015 |
20150075598 | SELECTIVE SELF-ALIGNED PLATING OF HETEROJUNCTION SOLAR CELLS - A method for forming contacts on a photovoltaic device includes forming a heterojunction cell including a substrate, a passivation layer and a doped layer and forming a transparent conductor on the cell. A patterned barrier layer is formed on the transparent conductor and has openings therein wherein the transparent conductor is exposed through the openings in the barrier layer. A conductive contact is grown through the openings in the patterned barrier layer by a selective plating process. | 03-19-2015 |
20150075599 | PILLAR STRUCTURED MULTIJUNCTION PHOTOVOLTAIC DEVICES - A device operable to convert light to electricity, comprising: a substrate comprising a semiconductor material, one or more structures essentially perpendicular to the substrate, one or more layers conformally disposed on the one or more structures wherein the one or more structures and the one or more layers form one or more junctions, and an electrically conductive material disposed on the substrate in the area between the one or more structures. | 03-19-2015 |
20150075600 | SELECTIVE SELF-ALIGNED PLATING OF HETEROJUNCTION SOLAR CELLS - A method for forming contacts on a photovoltaic device includes forming a heterojunction cell including a substrate, a passivation layer and a doped layer and forming a transparent conductor on the cell. A patterned barrier layer is formed on the transparent conductor and has openings therein wherein the transparent conductor is exposed through the openings in the barrier layer. A conductive contact is grown through the openings in the patterned barrier layer by a selective plating process. | 03-19-2015 |
20150075601 | Solar Cell, Solar Cell Manufacturing Method, and Solar Cell Module - A solar cell includes a photoelectric conversion section having first and second principal surfaces, and a collecting electrode formed on the first principal surface. The collecting electrode includes first and second electroconductive layers in this order from the photoelectric conversion section side, and includes an insulating layer between the first and second electroconductive layers. The insulating layer is provided with an opening, and the first and second electroconductive are in conduction with each other via the opening provided in the insulating layer. The solar cell has, on the first principal surface, the second principal surface or a side surface of the photoelectric conversion section, an insulating region freed of a short circuit of front and back sides of the photoelectric conversion section, and the surface of the insulating region is at least partially covered with the insulating layer. | 03-19-2015 |
20150075602 | PHOTOVOLTAIC CELL WITH GRAPHENE-FERROELECTRIC ELECTRODE - A photovoltaic cell ( | 03-19-2015 |
20150075603 | NOVEL HYDROPHOBIC COATINGS AND METHODS AND COMPOSITIONS RELATING THERETO - A coating is described. The coating includes a metal oxide layer, which in turn includes a surface having a water contact angle greater than 90 degrees. A metal-oxide coating composition is also described. The composition includes effective amounts of a first type and a second of metals and an effective amount of oxygen to react with the first type and the second type of metals to produce a first type and a second type of metal oxides, both of which produce a structure that is greater than about 50% (by volume) amorphous. | 03-19-2015 |
20150075604 | THIN-FILM COMPOUND PHOTOVOLTAIC CELL AND METHOD FOR MANUFACTURING SAME - A base material formed of a thin film, a rear surface electrode located on the base material, a photoelectric conversion layer located on the rear surface electrode, a first surface electrode that is located above the rear surface electrode, is electrically connected to the rear surface electrode, and has a first polarity, and a second surface electrode that is located on the photoelectric conversion layer and has a second polarity different from the first polarity are included. The edge of the base material is located outside the edge of the rear surface electrode with space left therebetween and surrounds the entire perimeter of the rear surface electrode in plan view. | 03-19-2015 |
20150075605 | SOLAR CELL ELEMENT - This solar cell element, which is increased in the conversion efficiency due to improved effect of passivation, includes a semiconductor substrate in which a p-type first semiconductor region and an n-type second semiconductor region are stacked such that the first semiconductor region is located nearmost a first principal surface side and the second semiconductor region is located nearmost a second principal surface side; and a first passivation film containing aluminum oxide and arranged on the first principal surface side of the first semiconductor region. In the inside of the first passivation film of the solar cell element, the first ratio obtained by dividing the aluminum atomic density by the oxygen atomic density is 0.613 or more and less than 0.667 and the second ratio obtained by dividing the sum of the aluminum atomic density and the hydrogen atomic density by the oxygen atomic density is 0.667 or more and less than 0.786. | 03-19-2015 |
20150075606 | INTEGRATED CONDUCTIVE SUBSTRATE, AND ELECTRONIC DEVICE EMPLOYING SAME - Provided are an integrated conductive substrate simultaneously serving as a substrate and an electrode, and an electronic device using the same. The integrated conductive substrate includes a conductive layer containing iron, which has a first surface having a first root mean square roughness, and a semiconductor layer containing a semiconductor material, which has a second surface having a second root mean square roughness and is formed on the first surface. Here, the semiconductor layer includes a semiconductor-type planarization layer formed by a solution process using at least one of the semiconductor material and a precursor of the semiconductor material to planarize the first surface of the conductive layer, and the second root mean square roughness is smaller than the first root mean square roughness. | 03-19-2015 |
20150075607 | POLYMERIC BINDERS INCORPORATING LIGHT-DETECTING ELEMENTS - In accordance with certain embodiments, semiconductor dies are embedded within polymeric binder to form, e.g., light-emitting dies and/or composite wafers containing multiple light-emitting dies embedded in a single volume of binder. | 03-19-2015 |
20150075608 | PHOTOVOLTAIC DEVICE USING NANO-SPHERES FOR TEXTURED ELECTRODES - An electronic device includes a substrate and a plurality of particles anchored to the substrate. An electrode material is formed over the particles and configured to form peaks over the particles. One or more operational layers are formed over the electrode material for performing a device function. | 03-19-2015 |
20150075609 | SOLAR CELL, SOLAR CELL MODULE - Disclosed is a solar cell that comprises a photoelectric conversion body, a first electrode including a first finger portion that is placed on one main surface of the photoelectric conversion body and extends in first direction, a second electrode including a second finger portion which is placed on the one main surface of the photoelectric conversion body to be adjacent to the first finger portion in second direction intersecting the first direction and extends in the first direction, a first insulating layer covering at least part of a tip end portion of the first finger portion, which tip end portion is located on first side in the first direction, and a second insulating layer covering at least part of a tip end portion of the second finger portion, which tip end portion is located on a second side in the first direction. | 03-19-2015 |
20150075610 | SOLAR CELL - A solar cell includes a doped layer disposed on a first surface of a semiconductor substrate, a doped polysilicon layer disposed in a first region of a second surface of the semiconductor substrate, a doped area disposed in a second region of the second surface, and an insulating layer covering the doped polysilicon layer and the doped area. The insulating layer has openings exposing portions of the doped polysilicon layer and the doped layer, and the doped polysilicon layer and doped layer are respectively connected to a first electrode and a second electrode through the openings. The semiconductor substrate and the doped layer have a first doping type. One of the doped polysilicon layer and the doping area has a second doping type, and the other one of the doped polysilicon layer and the doping area has the first doping type which is opposite to the second doping type. | 03-19-2015 |
20150083206 | PHOTOVOLTAIC CELLS - This invention relates to cells and devices for harvesting light. Specifically the cell comprises at least one electrode which comprises graphene or modified graphene and layer of a transition metal dichalcogenide in a vertical heterostructure. The cell may be part of a light harvesting device. The invention also relates to materials and methods for making such cells and devices. | 03-26-2015 |
20150083207 | SEALER SHEET FOR SOLAR-CELL MODULE - The present invention provides a crosslinked polyethylene sealing material sheet for a solar-cell module offering exceptional heat resistance, wherein the sealing material sheet is provided with high metal-adhesion performance. A sealing material sheet for a solar-cell module comprises a sealing material sheet composition for a solar-cell module containing a polyethylene resin in a concentration of 0.900 g/cm3 or less, glycidyl methacrylate (GMA), a radical polymerization initiator, and triallyl isocyanurate (TAIC), wherein the GMA content of the sealing material sheet is 0.15 to 3.0% by mass, the TAIC content of the sealing material sheet is 0.15 to 3.0% by mass, and the radical polymerization initiator content of the sealer is 0.01 to 2.5% by mass. | 03-26-2015 |
20150083208 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - A solar cell according to the embodiment includes a support substrate; a back electrode layer on the support substrate; a light absorbing layer on the back electrode layer; a buffer layer on the light absorbing layer; a front electrode layer on the buffer layer; a connecting member passing through the buffer layer to electrically connect the back electrode layer to the front electrode layer; and a side insulating part on one of side surfaces of the connecting member, wherein the side insulating part makes direct contact with a portion of the buffer layer, the back electrode layer and the light absorbing layer. | 03-26-2015 |
20150083209 | COATABLE DIFFUSING AGENT COMPOSITION, METHOD FOR PRODUCING COATABLE DIFFUSING AGENT COMPOSITION, SOLAR CELL, AND METHOD FOR MANUFACTURING SOLAR CELL - The present invention aims to provide a coatable diffusing agent composition that can prevent the formation of precipitates, has a longer solution life than conventional PTG solutions free of water, and thus can be stably stored for a long period of time even when this PTG solution is produced in large quantities, and is highly cost effective. The coatable diffusing agent composition of the present invention includes a titanate, a phosphorus compound, water, and an organic solvent. | 03-26-2015 |
20150083210 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element is provided. The photoelectric conversion element includes a first electrode and a second electrode. The first electrode is covered with an electron transport layer. The electron transport layer is covered with a material represented by the following formula (1): | 03-26-2015 |
20150083211 | Photoelectric Conversion Layer and Applications Thereof to Solar Cell, Photodiode and Image Sensor - The present disclosure provides a photoelectric conversion layer containing a semiconductor and plural metal-containing minute structures dispersed therein. The minute structures are minute structures (A) comprising metal material (α) or otherwise minute structures (B) comprising metal material (α) and material (β) selected from the group consisting of oxide, nitride and oxynitride of substances and the semiconductor. In the minute structures (B), the material (β) is on the surface of the metal material (α). Each of the minute structures has an equivalent circle diameter of 1 nm to 10 nm inclusive on the basis of the projected area when observed from a particular direction. The closest distance between adjacent two of the minute structures is 3 nm to 50 nm inclusive. The present disclosure also provides applications of the photoelectric conversion layer to a solar cell, a photodiode and an image sensor. | 03-26-2015 |
20150083212 | THIN-FILM PHOTOVOLTAIC DEVICES WITH DISCONTINUOUS PASSIVATION LAYERS - In various embodiments, photovoltaic devices incorporate discontinuous passivation layers (i) disposed between a thin-film absorber layer and a partner layer, (ii) disposed between the partner layer and a front contact layer, and/or (iii) disposed between a back contact layer and the thin-film absorber layer. | 03-26-2015 |
20150083213 | CORE-SHELL NICKEL ALLOY COMPOSITE PARTICLE METALLIZATION LAYERS FOR SILICON SOLAR CELLS - Materials and Methods for fabrication of rear tabbing, front busbar, and fine grid line layers for silicon based photovoltaic cells are disclosed. Materials include conductive metallization pastes that contain core-shell nickel based particles. | 03-26-2015 |
20150083214 | SOLAR CELL - A solar cell includes a semiconductor substrate of first conductivity type, including first and second principal surfaces; a region of the first conductivity type, including a semiconductor layer structure of the first conductivity type provided on the first principal surface; and a region of an second conductivity type, including a semiconductor layer structure of the second conductivity type provided on the first principal surface. The semiconductor layer structure of the first conductivity type is formed extending into the region of the second conductivity type. Thereby the solar cell is provided with a stack region where the semiconductor layer structure of the second conductivity type is formed on the semiconductor layer structure of the first conductivity type. | 03-26-2015 |
20150083215 | FRONT CONTACT SOLAR CELL WITH FORMED EMITTER - A bipolar solar cell includes a backside junction formed by an N-type silicon substrate and a P-type polysilicon emitter formed on the backside of the solar cell. An antireflection layer may be formed on a textured front surface of the silicon substrate. A negative polarity metal contact on the front side of the solar cell makes an electrical connection to the substrate, while a positive polarity metal contact on the backside of the solar cell makes an electrical connection to the polysilicon emitter. An external electrical circuit may be connected to the negative and positive metal contacts to be powered by the solar cell. The positive polarity metal contact may form an infrared reflecting layer with an underlying dielectric layer for increased solar radiation collection. | 03-26-2015 |
20150083216 | METHOD OF PREPARING COUNTER ELECTRODE FOR DYE-SENSITIZED SOLAR CELL - The following description relates to a method of low temperature sintering a catalyst layer that formed on one side of a counter electrode using a laser. It is possible to prepare a counter electrode for a dye-sensitized solar cell (DSSC) based on a flexible substrate easily because the method can be applied to a conducting substrate made of plastic materials as well as a conducting glass substrate. | 03-26-2015 |
20150083217 | CONDUCTIVE PASTE COMPOSITION AND SEMICONDUCTOR DEVICES MADE THEREFROM - A conductive paste composition contains a source of an electrically conductive metal, a lead-tellurium-based oxide, a discrete oxide of an adhesion promoting element, and an organic vehicle. An article such as a high-efficiency photovoltaic cell is formed by a process of deposition of the paste composition on a semiconductor substrate (e.g., by screen printing) and firing the paste to remove the organic vehicle and sinter the metal and lead-tellurium-based oxide. | 03-26-2015 |
20150083218 | DYE-SENSITIZED SOLAR CELL - Provided is a dye-sensitized solar cell which includes a working electrode having a porous titanium oxide layer on a conductive substrate, and a photosensitizing dye supported on the porous titanium oxide layer, in which the porous titanium oxide layer contains an anatase crystal-type TiO | 03-26-2015 |
20150083219 | DYE-SENSITIZED SOLAR CELL - Disclosed is a dye-sensitized solar cell which includes a working electrode having a porous titanium oxide layer on a conductive substrate capable of transmitting light, a counter electrode disposed so as to face the working electrode, a photosensitizing dye supported on the porous titanium oxide layer of the working electrode, and an electrolyte disposed between the working electrode and the counter electrode. The porous titanium oxide layer includes a rutile crystal-containing layer containing an anatase crystal-type titanium oxide composed of an anatase crystal and a spherical rutile crystal-type titanium oxide composed of a rutile crystal, and a content of the rutile crystal-type titanium oxide in the rutile crystal-containing layer is from 3 to 15% by mass. | 03-26-2015 |
20150090325 | Metal Seed Layer for Solar Cell Conductive Contact - Metal seed layers for solar cell conductive contacts and methods of forming metal seed layers for solar cell conductive contacts are described. For example, a solar cell includes a substrate. A semiconductor region is disposed in or above the substrate. A conductive contact is disposed on the semiconductor region and includes a seed layer in contact with the semiconductor region. The seed layer is composed of aluminum (Al) and a second, different, metal. | 04-02-2015 |
20150090326 | MECHANICALLY DEFORMED METAL PARTICLES - A solar cell can include a substrate and a semiconductor region disposed in or above the substrate. The solar cell can also include a conductive contact disposed on the semiconductor region with the conductive contact including deformed conductive particles. | 04-02-2015 |
20150090327 | FIRING METAL WITH SUPPORT - A solar cell can include a substrate and a semiconductor region disposed in or above the substrate. The solar cell can also include a conductive contact disposed on the semiconductor region with the conductive contact including a paste, a first metal, and a first conductive portion that includes a conductive alloy formed from the first metal at an interface of the substrate and the semiconductor region. | 04-02-2015 |
20150090328 | EPITAXIAL SILICON SOLAR CELLS WITH MOISTURE BARRIER - A thin epitaxial silicon solar cell includes one or more layers of doped oxides on the backside. A silicon nitride layer that serves as a moisture barrier is formed on the one or more layers of doped oxides. The doped oxides provide dopants for forming doped regions in an epitaxial silicon layer. Metal contacts are electrically coupled to the doped regions through the silicon nitride layer and the one or more layers of doped oxides. | 04-02-2015 |
20150090329 | METALLIZATION OF SOLAR CELLS USING METAL FOILS - A solar cell structure includes P-type and N-type doped regions. A dielectric spacer is formed on a surface of the solar cell structure. A metal layer is formed on the dielectric spacer and on the surface of the solar cell structure that is exposed by the dielectric spacer. A metal foil is placed on the metal layer. A laser beam is used to weld the metal foil to the metal layer. A laser beam is also used to pattern the metal foil. The laser beam ablates portions of the metal foil and the metal layer that are over the dielectric spacer. The laser ablation of the metal foil cuts the metal foil into separate P-type and N-type metal fingers. | 04-02-2015 |
20150090330 | SOLAR CELL CONTACT STRUCTURES FORMED FROM METAL PASTE - Solar cell contact structures formed from metal paste and methods of forming solar cell contact structures from metal paste are described. In a first example, a solar cell includes a substrate. A semiconductor region is disposed in or above the substrate. A contact structure is disposed on the semiconductor region and includes a conductive layer in contact with the semiconductor region. The conductive layer includes a matrix binder having aluminum/silicon (Al/Si) particles and an inert filler material dispersed therein. In a second example, a solar cell includes a substrate. A semiconductor region is disposed in or above the substrate. A contact structure is disposed on the semiconductor region and includes a conductive layer in contact with the semiconductor region. The conductive layer includes an agent for increasing a hydrophobic characteristic of the conductive layer. | 04-02-2015 |
20150090331 | THIN-FILM PHOTOVOLTAIC CELL STRUCTURE WITH A MIRROR LAYER - Thin-layer photovoltaic cell structure with mirror layer. The invention relates to a photovoltaic cell structure intended for solar panel applications. The thin layer photovoltaic cell structure comprises at least one I-III-VI2 alloy layer (CIGS) with photovoltaic properties for the conversion of illuminating light into electricity. In particular, the structure comprises at least: one mirror layer (MR) comprising a surface reflecting (FR) a part of the illuminating light, where said reflecting surface (FR) is facing a first face (F1) of the I-III-VI2 alloy layer for receiving reflected illuminating light on said first face; and one or more first layers (CA, ENC) transparent to the illuminating light for receiving transmitted illuminating light on a second face (F2) of the I-III-VI2 alloy layer opposite to the first face (F1). | 04-02-2015 |
20150090332 | DYE-SENSITIZED SOLAR CELL AND METHOD FOR MANUFACTURING SAME - The present invention relates to a dye-sensitized solar cell and a fabrication method thereof. The dye-sensitized solar cell according to the present invention comprises: a transparent substrate; a porous semiconductor layer provided on the transparent substrate and comprising a dye sensitizer; a current collecting electrode provided on the porous semiconductor layer and deposited such that a structure having at least one through-hole on the porous semiconductor layer is formed; a catalyst electrode; and an electrolyte material provided between the transparent substrate and the catalyst electrode. | 04-02-2015 |
20150090333 | ELECTROLYTE-SEALING STRUCTURE AND MANUFACTURING METHOD THEREOF - An electrolyte-sealing structure for a dye-sensitized solar cell includes: a pair of opposing substrates; a fluid electrolyte sealed between the substrates; a thermoplastic resin layer positioned in such a way as to laminate the pair of substrates together while providing an area in which the fluid electrolyte is to be sealed; and a siloxane-containing layer between each of the substrates and the thermoplastic resin. | 04-02-2015 |
20150090334 | SOLAR CELL - Discussed is a solar cell including a photoelectric converter and first and second electrodes connected to the photoelectric converter. The first electrode includes a finger electrode including a plurality of finger electrode portions and a bus bar electrode including a main portion formed in a direction crossing the finger electrode portions, and at least one protrusion protruding from the main portion and formed in the direction crossing the plurality of finger electrode portions. The protrusion is formed across at least two of the finger electrode portions. | 04-02-2015 |
20150096609 | METHOD OF MAKING PHOTOVOLTAIC DEVICE HAVING HIGH QUANTUM EFFICIENCY - A method of fabricating a photovoltaic device includes forming an absorber layer comprising an absorber material above a substrate, forming a buffer layer over the absorber layer, forming a front transparent layer over the buffer layer, and exposing the photovoltaic device to heat or radiation at a temperature from about 80° C. to about 500° C. for a period of time, subsequent to the step of forming a buffer layer over the absorber layer. | 04-09-2015 |
20150096610 | ORGANIC PHOTOELECTRIC CONVERSION ELEMENT AND SOLAR CELL USING THE SAME - Provided are a transparent conductive film having a simple manufacturing process and high transparency, high photoelectric conversion efficiency, and excellent durability and an organic photoelectric conversion element using this transparent conductive film. | 04-09-2015 |
20150096611 | SOLAR CELL DEVICE AND METHOD FOR MANUFACTURING THE SAME - In order to provide a solar cell device having increased reliability, the present invention is provided with: a substrate having a semiconductor region containing silicon at one primary surface side; a first electrode provided on the one primary surface and containing silver as the primary component; and a second electrode connected to the first electrode on the one primary surface and containing aluminum as the primary component. The first electrode is a solar cell device containing elemental tin. | 04-09-2015 |
20150096612 | BACK-CONTACT SOLAR CELL AND MANUFACTURING METHOD THEREOF - A back-contact solar cell and manufacturing method thereof includes steps of providing a substrate, forming a first conductive doping region and a second conductive doping region on the substrate, forming a passivation layer on the substrate to cover the first conductive doping region and the second conductive doping region, distantly disposing a plurality of first electrode paste clusters on the passivation layer, in which each first electrode paste cluster corresponds to the first conductive doping region and the second conductive doping region and includes a metal component and a glass component, enclosing the first electrode paste cluster by a plurality of second electrode pastes, and heating at least the first electrode paste clusters to an predetermined temperature so that the metal component, the metal component and the passivation layer contacted by the first electrode paste clusters forms a plurality of contacting regions. | 04-09-2015 |
20150096613 | PHOTOVOLTAIC DEVICE AND METHOD OF MANUFACTURING THE SAME - The invention provides a photovoltaic device and method of manufacturing the same. The photovoltaic device of the invention includes a semiconductor structure assembly and a protection layer. The semiconductor structure assembly has a plurality of side surfaces, and includes a p-n junction, an n-p junction, a p-i-n junction, an n-i-p junction, a tandem junction or a multi-junction. In particular, the protection layer is formed to overlay the sides of the semiconductor structure assembly. Thereby, the protection layer can effectively inhibit the potential-induced degradation effect of the photovoltaic device of the invention. | 04-09-2015 |
20150096614 | THIN FILM SOLAR CELL STRUCTURE - A thin film solar cell includes a protection layer, a substrate and a photovoltaic conversion structure having a stack of one or several of non-planar light absorption layers, a first conductive layer being light transmissive and a second conductive layer being at least partially transparent or totally reflective. When the second conductive layer is totally reflective, it reflects the sunlight to the adjacent part of the thin film solar cell, proceeding another photovoltaic conversion and generating electric power again. If the non-planar light absorption layer is sloped enough, there will be several photovoltaic conversions produced by the same incident sunlight. More power will be generated and the efficiency of conversion is increased. If the second conductive layer is at least partially transparent, the incident light will be reflected less. However, the structure will provide several opportunities of photovoltaic conversions for the light with larger incident angle. | 04-09-2015 |
20150101661 | SOLAR CELL CONTACT STRUCTURE - In various embodiments a solar cell may include a solar cell wafer substrate made of silicon having a major share of mono crystalline structure with {111} crystal plane parallel to one wafer edge; a dielectric layer disposed over the backside of solar cell wafer substrate; a plurality of contact openings extending through the dielectric layer to the solar cell wafer substrate; a plurality of metal contacts formed in the plurality of contact openings; and a metal layer disposed over the dielectric layer; wherein the metal layer is electrically coupled to the solar cell wafer substrate by means of the plurality of metal contacts; wherein at least one contact opening of the plurality of contact openings extends non parallel to {111} crystal plane. | 04-16-2015 |
20150101662 | SURFACE PASSIVATION OF HIGH-EFFICIENCY CRYSTALLINE SILICON SOLAR CELLS - Stable surface passivation on a crystalline silicon substrate is provided by forming a more heavily doped region as a front surface field and/or a doped dielectric layer under a passivation layer on the silicon substrate surface. A passivation layer is deposited on the front surface field and/or doped dielectric layer. | 04-16-2015 |
20150101663 | ORGANIC PHOTOVOLTAIC DEVICE PRODUCED FROM AN ELECTROCHEMICAL METHOD FOR DEPOSITING NANOFIBRILAR POLY(3,4-ETHYLENEDIOXYTHIOPHENE) (PEDOT) HOLE EXTRACTION LAYER IN ORGANIC SOLAR CELLS - An electrochemical method for producing a hole extraction layer in a solar cell based on organic semiconductor materials. Conjugated polymers are used to build a hole extraction layer and a photoactive layer. Poly(3,4-ethylenedioxythiophene) (PEDOT) is used as a hole extraction layer and is deposited electrochemically from an aqueous solution on an indium tin oxide (ITO) electrode. A nanofibrilar or nanogranular morphology of the PEDOT is achieved by carrying out the polymerization in the presence of a surfactant. A photoactive layer of poly(3-hexylthiophene)/[6,6]-phenyl-C | 04-16-2015 |
20150101664 | PROTECTING THE SURFACE OF A LIGHT ABSORBER IN A PHOTOANODE - A photoanode includes a passivation layer on a light absorber. The passivation layer is more resistant to corrosion than the light absorber. The photoanode includes a surface modifying layer that is location on the passivation layer such that the passivation layer is between the light absorber and the surface modifying layer. The surface modifying layer reduces a resistance of the passivation layer to conduction of holes out of the passivation layer. | 04-16-2015 |
20150101665 | CIGS Nanoparticle Ink Formulation having a High Crack-Free Limit - A method for formulating a CIGS nanoparticle-based ink, which can be processed to form a thin film with a crack-free limit (CFL) of 500 nm or greater, comprises: dissolving or dispersing Cu(In,Ga)S | 04-16-2015 |
20150107659 | ELECTRICALLY CONDUCTIVE POLYMERIC COMPOSITIONS, CONTACTS, ASSEMBLIES, AND METHODS - Electrically conductive polymeric compositions adapted for use in forming electronic devices are disclosed. The compositions are thermally curable at temperatures less than about 250° C. Compositions are provided which may be solvent-free and so can be used in processing or manufacturing operations without solvent recovery concerns. The compositions utilize (i) fatty acid modified epoxy acrylate and/or methacrylate monomer(s) and/or oligomer(s), (ii) fatty acid modified polyester acrylate and/or methacrylate monomer(s) and/or oligomer(s), or combinations of (i) and (ii). Also described are electronic assemblies such as solar cells using the various compositions and related methods. | 04-23-2015 |
20150107660 | Super-Transparent Electrodes for Photovoltaic Applications - Super-transparent electrodes for photovoltaic applications are disclosed. In some embodiments, a photovoltaic cell ( | 04-23-2015 |
20150107661 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - According to the embodiment, there is provided a solar cell including: a back electrode layer; a light absorbing layer on the back electrode layer; a buffer layer on the light absorbing layer; and a front electrode layer on the buffer layer, wherein the front electrode layer comprises an intrinsic region and a doping region having a conductive dopant, and a concentration of the conductive dopant is gradually lowered in upward and downward directions from an excess doping region of the doping region. | 04-23-2015 |
20150107662 | SOLAR CELL APPARATUS AND METHOD OF FABRICATING THE SAME - A solar cell apparatus according to the embodiment includes a support substrate including a plurality of patterns; a back electrode layer on the support substrate; a light absorbing layer on the back electrode layer; a buffer layer on the light absorbing layer; and a front electrode layer on the buffer layer, wherein the patterns are formed in an undercut structure including a first inner side surface, a second inner side surface and a bottom surface. | 04-23-2015 |
20150107663 | Organic Solar Cell with Vertical Active Layers - A photovoltaic device includes a substrate, an active layer with at least one organic material, and a pair of electrodes supported by the substrate. The active layer includes a first surface that receives light and a second surface that is supported by the substrate. The second surface is opposite to the first surface. Surfaces of the electrodes that contact surfaces of the active layer are perpendicular to the substrate. | 04-23-2015 |
20150107664 | COMPOSITION FOR SOLAR CELL ELECTRODES, ELECTRODE FABRICATED USING THE SAME, AND SOLAR CELL HAVING THE ELECTRODE - A composition for solar cell electrodes, an electrode fabricated using the same, and a solar cell including the electrode, the composition including a conductive powder; a glass frit; and an organic vehicle, wherein the conductive powder includes silver powder and aluminum powder, the silver powder includes silver particles having an average particle size D50 of 1.5 μm or more in an amount of 50 wt % or more, based on a total weight of the silver powder. | 04-23-2015 |
20150107665 | PHOTOVOLTAIC (PV) ENHANCEMENT FILMS OR PROTECTIVE COVERS FOR ENHANCING SOLAR CELL EFFICIENCIES - A solar energy conversion assembly for efficiently capturing solar energy by providing additional chances to absorb reflected sunlight and providing longer path lengths in the photovoltaic (PV) material. The assembly includes a PV device including a layer of PV material and a protective top covering the PV material (e.g., a planar glass cover applied with adhesive to the PV material). The assembly further includes a PV enhancement film formed of a substantially transparent material, and film is applied to at least a portion of the protective top such as with a substantially transparent adhesive. The PV enhancement film includes a plurality of absorption enhancement structures on the substrate opposite the PV device. Each absorption enhancement structure includes a light receiving surface that refracts incident light striking the PV enhancement film to provide an average path length ratio of greater than about 1.20 in the layer of PV material. | 04-23-2015 |
20150107666 | MOISTURE RESISTANT PHOTOVOLTAIC DEVICES WITH EXPOSED CONDUCTIVE GRID - The present invention provides strategies for improving the adhesion among two or more of transparent conducting oxides, electrically conductive grid materials, and dielectric barrier layers. As a consequence, these strategies are particularly useful in the fabrication of heterojunction photovoltaic devices such as chalcogenide-based solar cells. When the barrier is formed and then the grid is applied to vias in the barrier, the structure has improved moisture barrier resistance as compared to where the barrier is formed over or around the grid. Adhesion is improved to such a degree that grid materials and dielectric barrier materials can cooperate to provide a hermetic seal over devices to protect against damage induced by environmental conditions, including damage due to water intrusion. This allows the collection grids to be at least partially exposed above the dielectric barrier, making it easy to make electronic connection to the devices. | 04-23-2015 |
20150114458 | BACK CONTACT DESIGN FOR SOLAR CELL, AND METHOD OF FABRICATING SAME - A method includes depositing spacers at a plurality of locations directly on a back contact layer over a solar cell substrate. An absorber layer is formed over the back contact layer and the spacers. The absorber layer is partially in contact with the spacers and partially in direct contact with the back contact layer. The solar cell substrate is heated to form voids between the absorber layer and the back contact layer at the locations of the spacers. | 04-30-2015 |
20150114459 | ELECTRODE STRUCTURE AND SOLAR CELL USING THE SAME - An electrode structure is disclosed in the present invention and includes a first conductive electrode and a second conductive electrode. The first conductive electrode includes a first busbar electrode member and a first finger electrode member. A portion of the first busbar electrode member above a first diffusion pattern is electrically contacted with the first diffusion pattern by first contact points. A portion of the second busbar electrode above a second diffusion pattern is electrically contacted with the second diffusion pattern by second contact points. The first finger electrode and the second finger electrode are respectively and electrically contacted with the first diffusion pattern and the second diffusion pattern. | 04-30-2015 |
20150114460 | CONDUCTIVE COMPOSITION AND APPLICATIONS THEREOF - The present invention relates to a conductive composition, comprising: poly-(3,4-ethylenedioxythiophene): poly-(styrenesulfonic acid); and a surfactant; in which the surfactant has a concentration of 1 to 10% by weight based on the total weight of the composition, and the conductive composition does not comprise any metal component. The present invention also relates to a cathode catalyst layer prepared by said conductive composition, and a method for preparing a cathode catalyst layer with said conductive composition. | 04-30-2015 |
20150114461 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell and a method of fabricating the same. The solar cell includes a first back electrode layer on a support substrate; a second back electrode layer on the first back electrode layer; a light absorbing layer on the second back electrode layer; and a front electrode layer on the light absorbing layer. | 04-30-2015 |
20150114462 | METHOD OF MANUFACTURING A SOLAR CELL AND SOLAR CELL THUS OBTAINED - The manufacturing of the solar cell comprises the etching of a via hole ( | 04-30-2015 |
20150114463 | THIN FILM SOLAR CELL AND METHOD OF FABRICATING THE SAME - Disclosed is a thin film solar cell including a substrate, a first electrode, a light absorbing layer, a buffer layer, a window layer, and a second electrode, wherein a compound layer of M | 04-30-2015 |
20150114464 | SOLAR CELL MODULE - A second protective member faces a first protective member. A bonding layer is disposed between the first and the second protective member. A solar cell includes a first main surface facing a side of the first protective member and a second main surface facing a side of the second protective member. A wiring member disposed on the second main surface. The bonding layer includes a first bonding layer disposed between the first protective member and the solar cell and made of a transparent resin a second bonding layer disposed between the second protective member and the solar cell and made of a colored resin, and a third bonding layer disposed between the second bonding layer and the solar cell and made of a transparent resin, and the second bonding layer is in contact with a main surface of the wiring member on a side of the second protective member. | 04-30-2015 |
20150122319 | APCVD OF DOPED TITANIUM OXIDE AND THE COATED ARTICLE MADE THEREBY - A method of making a doped titanium oxide coating in a float glass manufacturing process and the coated glass article made thereby wherein the dopant is a niobium or tantalum compound. The doped titanium oxide coating preferably exhibits an electrical conductivity > | 05-07-2015 |
20150122320 | Plasmonic Graphene and Method of Making the Same - Plasmonic graphene is fabricated using thermally assisted self-assembly of plasmonic nanostructure on graphene. Silver nanostructures were deposited on graphene as an example. | 05-07-2015 |
20150122321 | SOLAR CELL - Provided is a solar cell including a semiconductor substrate having a first conductivity type; a semiconductor layer having a second conductivity type and disposed on one surface of the semiconductor substrate; a passivation layer disposed on the other surface of the semiconductor substrate; a front electrode disposed on the semiconductor layer; and a back electrode disposed on the passivation layer, wherein the passivation layer comprises a plurality of silicon layers having different crystallinity. | 05-07-2015 |
20150122322 | WET-TYPE SOLAR CELL AND WET-TYPE SOLAR CELL MODULE - A wet-type solar cell includes a support composed of a light transmissive material and a photoelectric conversion element having a conductive layer, a photoelectric conversion layer including a porous semiconductor layer, a porous insulating layer, and a counter electrode conductive layer successively provided on the support. A first region where the photoelectric conversion layer is provided on the conductive layer and a second region where the photoelectric conversion layer is not provided on the conductive layer are present, with a scribe line portion formed by not providing the conductive layer on the support lying therebetween. The counter electrode conductive layer extends from the first region to the second region over the scribe line portion, and the scribe line portion has a line width not smaller than 70 μm. | 05-07-2015 |
20150122323 | Solar Cell Contacts With Nickel Intermetallic Compositions - Paste compositions, methods of making a paste composition, and methods of making a solar cell contact are disclosed. The paste composition can contain a nickel intermetallic compound such as nickel silicide, nickely boride or nickel phosphide, a glass frit, a metal additive and an organic vehicle system. The paste can be used for making a solar cell contact. | 05-07-2015 |
20150122324 | THIN-FILM PHOTOVOLTAIC DEVICE WITH OPTICAL FIELD CONFINEMENT AND METHOD FOR MAKING SAME - A photovoltaic device is provided that includes a first electrode layer and a second electrode layer; and a waveguiding structure disposed between the first electrode layer and the second electrode layer which includes an active layer adapted to convert photons transmitted to the active layer to electrons and holes. The waveguiding structure further includes a first layer adjacent the first electrode layer that includes a hole-conducting material having a first index of refraction, and a second layer including an electron-conducting material having a second index of refraction, wherein the active layer is disposed therebetween. The active layer has an index of refraction that is less than each of the first index of refraction and the second index of refraction and a thickness. The waveguiding structure is characterized by guided modes adapted for optically confining the photons within the active layer. | 05-07-2015 |
20150122325 | PRODUCING METHOD OF MESOPOROUS THIN FILM SOLAR CELL BASED ON PEROVSKITE - The present disclosure described herein generally relates to a dye-sensitized solar cell including an organic-inorganic composite dye having a perovskite structure, and a producing method of the same. | 05-07-2015 |
20150122326 | SOLAR CELL DEVICE AND MANUFACTURING METHOD THEREFOR - A solar cell device including an electrode formed by applying a conductive paste containing at least a conductive powder, glass frit and an organic vehicle onto a semiconductor substrate provided with a silicon nitride layer on a surface thereof and firing the applied conductive paste, wherein the electrode has a structure with a front electrode layer containing silver as a main component, a glass layer containing tellurium glass as a main component, and a silicon oxide layer containing plural silver particles precipitated by the firing. The solar cell device is provided with an electrode formed using a conductive paste not containing lead glass and has good solar cell characteristics. | 05-07-2015 |
20150122327 | Photodiode and Method for Making the Same - A method for manufacturing a photodiode including the steps of providing a substrate, solution depositing a quantum nanomaterial layer onto the substrate, the quantum nanomaterial layer including a number of quantum nanomaterials having a ligand coating, and applying a thin-film oxide layer over the quantum nanomaterial layer. | 05-07-2015 |
20150129024 | Free-Standing Metallic Article With Expansion Segment - An electrical component, and method of making the component, includes a metallic article having a plurality of elongated elements that are configured to serve as electrical conduits for a photovoltaic cell. The elongated elements are interconnected such that the metallic article forms a unitary, free-standing piece. An elongated element in the plurality of elongated elements has an expansion segment along its length. | 05-14-2015 |
20150129025 | HIT SOLAR CELL - A HIT solar cell is provided, including a p-type crystalline silicon substrate having a light-receiving surface, a first intrinsic amorphous silicon thin-film layer formed on the light-receiving surface of the p-type crystalline silicon substrate, an n-type amorphous oxide layer formed on the first intrinsic amorphous silicon thin-film layer, and a first transparent conductive layer formed on the n-type amorphous oxide layer. In the HIT solar cell, the n-type amorphous oxide layer can be directly formed, without forming the first intrinsic amorphous silicon thin-film layer, and the n-type amorphous oxide layer can be divided into an n | 05-14-2015 |
20150129026 | EMITTER WRAP-THROUGH SOLAR CELL AND METHOD OF PREPARING THE SAME - The present invention relates to an emitter wrap-through solar cell and a method for preparing the same. The solar cell according to the present invention has a structure that may minimize generation of leakage current and minimize energy conversion efficiency measurement error. And, the preparation method of a solar cell according to the present invention may easily confirm the alignment state of the electrode, and thus, provide more improved productivity. | 05-14-2015 |
20150129027 | Silicon Wafer Coated With A Passivation Layer - Production of a silicon wafer coated with a passivation layer. The coated silicon wafer may be suitable for use in photovoltaic cells which convert energy from light impinging on the front face of the cell into electrical energy. | 05-14-2015 |
20150129028 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - A solar cell includes a substrate; a back electrode layer on the substrate; a light absorbing layer on the back electrode layer; and a buffer layer on the light absorbing layer. A first through hole is formed through the back electrode layer, a second through hole is formed through the buffer layer and the light absorbing layer, and the first through hole is overlapped with the second through hole. | 05-14-2015 |
20150129029 | Glass Frit, Composition for Solar Cell Electrodes Comprising the Same, and Electrode Fabricated Using the Same - Disclosed herein are a glass frit and a composition for solar cell electrodes including the same. The glass frit includes lead oxide (PbO) and boron oxide (B | 05-14-2015 |
20150129030 | DIELECTRIC-PASSIVATED METAL INSULATOR PHOTOVOLTAIC SOLAR CELLS - A photovoltaic solar cell is described that, according to one example embodiment, includes a semiconductor light absorbing layer and a dielectric stack on at least one of a front side of the light absorbing layer or a back side of the light absorbing layer. The dielectric stack includes a tunneling dielectric layer being sufficiently thin for charge carriers to tunnel across, and an overlaying dielectric layer being a different material than the overlaying dielectric. The solar cell also includes an electrically conductive contact physically contacting the overlaying dielectric. The electrically conductive contact and the overlaying dielectric together have either a work function suitable for selective collection of electrons that closely matches a conduction band of the light absorbing layer, or a work function suitable for selective collection of holes that closely matches a valence band of the light absorbing layer. | 05-14-2015 |
20150129031 | METAL FOIL METALLIZATION FOR BACKPLANE-ATTACHED SOLAR CELLS AND MODULES - A back contact solar cell is described which includes a semiconductor light absorbing layer; a first-level metal layer (M1), the M1 metal layer on a back side of the light absorbing layer, the back side being opposite from a front side of the light absorbing layer designed to receive incident light; an electrically insulating backplane sheet backside of said solar cell with the M1 layer, the backplane sheet comprising a plurality of via holes that expose portions of the M1 layer beneath the backplane sheet; and an M2 layer in contact with the backplane sheet, the M2 layer made of a sheet of pre-fabricated metal foil material comprising a thickness of between 5-250 μm, the M2 layer electrically connected to the M1 layer through the via holes in the backplane sheet. | 05-14-2015 |
20150129032 | PHOTOVOLTAIC DEVICE AND METHOD - The present invention is premised upon an improved photovoltaic device (“PVD”) and method of use, more particularly to an improved photovoltaic device with an integral locator and electrical terminal mechanism for transferring current to or from the improved photovoltaic device and the use as a system. | 05-14-2015 |
20150129033 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing asolar cell includes texturing a front surface of a semiconductor substrate having a first conductive type dopant by using a dry etching method, forming an emitter layer by ion-implanting a second conductive type dopant into the front surface of the semiconductor substrate, forming a back passivation film on a back surface of the semiconductor substrate; and forming a first electrode electrically connected to the emitter layer and a second electrode being in partial contact with the back surface of the semiconductor substrate. | 05-14-2015 |
20150136215 | SOLAR CELL CONTACTS AND METHOD OF FABRICATING SAME - A solar cell device and a method of fabricating the same is described. The solar cell includes a back contact, an absorber over the back contact, and a front contact over the absorber. The back contact includes a back electrode layer and a graphene layer. | 05-21-2015 |
20150136216 | COMPOUND SEMICONDUCTOR SOLAR CELL - Provided is a compound semiconductor solar cell ( | 05-21-2015 |
20150136217 | ELECTRODE FOR SOLAR CELLS AND PREPARATION METHOD - Electrode comprising a conductive substrate on which a uniform layer of aggregates A, having an average diameter ranging from 40 to 100 nm, is deposited, on which a non-homogeneous distribution of aggregates B, having an average diameter ranging from 300 nm to 1,200 nm, is superimposed, both of said aggregates being composed of particles containing one or more metals Me selected from platinum, palladium and gold, having an average diameter ranging from 8 to 10 nm. The use of said electrode, as cathode, for DSSC devices produces a marked improvement in the performances of the cell with respect to the results that can be obtained with known cathodes. | 05-21-2015 |
20150136218 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - A solar cell and a method of fabricating the same are provided. The solar cell includes a substrate, a back electrode layer on the substrate, a light absorbing layer on the back electrode layer, and a buffer layer on the light absorbing layer. The back electrode layer, the light absorbing layer, and the buffer layer are formed therein with a first through hole formed through the back electrode layer, the light absorbing layer, and the buffer layer, and an insulating member is deposited in the first through hole. | 05-21-2015 |
20150136219 | CONDUCTIVE PASTE, METHOD FOR FORMING WIRING, ELECTRONIC COMPONENT, AND SILICON SOLAR CELL - This conductive paste is such that the printing properties and sintering properties are superior and is formed such that resistance of wiring after sintering is lowered. This conductive paste is characterized by being formed from copper-based metal particles and by an aspect ratio (dmax/dmin), which is defined as the ratio of the maximum diameter (dmax) and minimum diameter (dmin) for the metal particles, being greater than or equal to 1.0 and smaller than 2.2. | 05-21-2015 |
20150136220 | METHOD FOR PRODUCTION OF A PHOTOVOLTAIC DEVICE IN SUBSTRATE CONFIGURATION - Conventionally, CdTe solar cells are grown in superstrate configuration where the light enters the photovoltaic device through a transparent substrate. Still, efficiencies of CdTe solar cells grown in substrate configuration have so far been considerably lower than those grown in superstrate configuration. This invention discloses a photovoltaic device ( | 05-21-2015 |
20150136221 | SOLAR CELL ELEMENT - To improve characteristics, reliability, and the like of a solar cell element, the solar cell element includes: a semiconductor substrate which includes a first main surface and a second main surface that is positioned opposite to the first main surface, and in which a p-type semiconductor region and an n-type semiconductor region are stacked in such a manner that the p-type semiconductor region is positioned closest to the first main surface and the n-type semiconductor region is positioned closest to the second main surface; a first passivation layer which is disposed on the p-type semiconductor region that is positioned closest to the first main surface, and which includes aluminum oxide; and a first protective layer that is disposed on the first passivation layer. The first protective layer includes an oxide that contains at least one kind of zirconium and hafnium. | 05-21-2015 |
20150136222 | HIGH TRANSMITTANCE THIN FILM SOLAR PANEL - A high transmittance thin film solar panel includes a transparent substrate, a front electrode layer, a light absorption layer and a rear electrode layer. The light absorption layer is formed with opening patterns with the same width at positions aligned correspondingly to form at least one first opening trench, a plurality of second opening trenches with continuously and periodically sinusoidal-wave shape, and a plurality of third opening trenches parallel to, interlace with or superpose the second opening trenches, and extend in a direction orthogonal to the direction of the first opening trench. The high transmittance thin film solar panel of the present invention is mainly used for green buildings. The opening trenches of the high transmittance thin film solar panel are formed in a manner of curve shape by oscillating laser head, can enhance the transmittance by more than about 3% in comparison with the conventional one. | 05-21-2015 |
20150136223 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell according to an example embodiment includes: a substrate; a plurality of first electrodes formed on the substrate and separated by a plurality of first separation grooves; a barrier layer formed in each of the first separation grooves; a photoactive layer formed on the first electrode and the barrier layer and including a through-groove that exposes a neighboring first electrode; and a second electrode formed on the photoactive layer and electrically connected with a neighboring first electrode through the through-groove. | 05-21-2015 |
20150136224 | ANTHRADITHIOPHENE-BASED SEMICONDUCTING POLYMERS AND METHODS THEREOF - Compositions, synthesis and applications for benzene, furan, thiophene, selenophene, pyrole, pyran, pyridine, oxazole, thiazole and imidazole derivatized anthra[2,3-b:6,7-b′]dithiophene (ADT) based polymers, namely, poly{5,11-bis(5-(2-ethylhexyl)thiophen-2-yl)anthra[2,3-b:6,7-b′]dithiophene-2,8-diyl-alt-2-ethyl-1-(thieno[3,4-b]thiophen-2-yl)hexan-1-one-4,6-diyl}, poly{5,11-bis(5-(2-ethylhexyl)furan-2-yl)anthra[2,3-b:6,7-b′]dithiophene-2,8-diyl-alt-2-ethyl-1-(thieno[3,4-b]thiophen-2-yl)hexan-1-one-4,6-diyl and poly{5,11-bis(5-(2-ethylhexyl)selenophen-2-yl)anthra[2,3-b:6,7-b′]dithiophene-2,8-diyl-alt-2-ethyl-1-(thieno[3,4-b]thiophen-2-yl)hexan-1-one-4,6-diyl} are disclosed. Further, an organic solar cell constructed of a derivatized anthra[2,3-b:6,7-b′]dithiophene (ADT) based polymer is discussed. | 05-21-2015 |
20150136225 | METHOD OF MANUFACTURING SOLAR CELL MODULE, AND SOLAR CELL MODULE - A method of manufacturing a solar cell module includes preparing a solar cell substrate including a support substrate, an electric power generating layer that receives light beams and generates electric power, and a conductive layer that is formed on the electric power generating layer, forming a resist layer on the conductive layer in such a manner that an exposed portion at which the conductive layer is exposed is formed, forming an electric conduction portion at a part of the exposed portion, and etching the conductive layer by using the resist layer and the electric conduction portion as a mask. | 05-21-2015 |
20150136226 | SUPER-HYDROPHOBIC SURFACES AND METHODS FOR PRODUCING SUPER-HYDROPHOBIC SURFACES - A metal or metal alloy including a region with hierarchical micro-scale and nano-scale structure shapes, the surface region is super-hydrophobic and has a spectral reflectance of less than 30% for at least some wavelengths of electromagnetic radiation in the range of 0.1 μm to 10 μm. Methods for forming the hierarchical micro-scale and nano-scale structure shapes on the metal or metal alloy are also described. | 05-21-2015 |
20150136227 | TRENCH ISOLATION FOR MONOLITHICALLY ISLED SOLAR PHOTOVOLTAIC CELLS AND MODULES - Fabrication methods and structures are provided for the formation of monolithically isled back contact back junction solar cells. In one embodiment, base and emitter contact metallization is formed on the backside of a back contact back junction solar cell substrate. A trench stop layer is formed on the backside of a back contact back junction solar cell substrate and which is electrically isolated from the base and emitter contact metallization. The trench stop layer has a pattern for forming a plurality semiconductor regions. An electrically insulating layer is formed on the base and emitter contact metallization and the etch stop layer. And a trench isolation pattern is formed through the back contact back junction solar cell substrate to the trench stop layer which partitions semiconductor layer into a plurality of solar cell semiconductor regions on the electrically insulating layer. | 05-21-2015 |
20150136228 | PROCESSES FOR UNIFORM METAL SEMICONDUCTOR ALLOY FORMATION FOR FRONT SIDE CONTACT METALLIZATION AND PHOTOVOLTAIC DEVICE FORMED THEREFROM - A method of forming a photovoltaic device is provided that includes a p-n junction with a p-type semiconductor portion and an n-type semiconductor portion, wherein an upper exposed surface of one of the semiconductor portions represents a front side surface of the semiconductor substrate. Patterned antireflective coating layers are formed on the front side surface of the semiconductor surface to provide a grid pattern including a busbar region and finger region. A mask having a shape that mimics each patterned antireflective coating layer is provided atop each patterned antireflective coating layer. A metal layer is electrodeposited on the busbar region and the finger regions. After removing the mask, an anneal is performed that reacts metal atoms from the metal layer react with semiconductor atoms from the busbar region and the finger regions forming a metal semiconductor alloy. | 05-21-2015 |
20150144185 | FILM, METHOD FOR PRODUCING SAME, PLATE-LIKE PRODUCT, IMAGE DISPLAY DEVICE, AND SOLAR CELL - A film which is provided with a concave-convex microstructure body that has a concave-convex microstructure formed of a cured product of an active energy ray curable resin composition on a surface and an ultraviolet ray blocking layer that is adjacent to a surface of the concave-convex microstructure body, the surface being on the reverse side of the concave-convex microstructure-side surface, a method for producing the film, and a plate-like product, an image display device and a solar cell that are provided with the film. | 05-28-2015 |
20150144186 | Methods and Materials for the Improvement of Photovoltaic Device Performance - Embodiments disclosed herein include photovoltaic absorber materials ( | 05-28-2015 |
20150144187 | METHOD OF MANUFACTURING A SOLAR CELL - A method of manufacturing a solar cell comprising steps of: (a) preparing a substrate comprising a semiconductor layer and a passivation layer formed at least on the back side of the semiconductor layer, wherein the passivation layer on the back side comprises one or more openings; (b) forming an aluminum (Al) conductor pattern at least in the openings of the passivation layer on the back side by applying an Al paste, wherein the Al paste comprises: (i) an Al powder, (ii) a glass frit, (iii) a zirconium carbide (ZrC) powder, and (iv) an organic medium; and (c) firing the Al conductor pattern. | 05-28-2015 |
20150144188 | BLACK GLASS AND SOLAR CELL ASSEMBLY USING THE SAME - The subject invention is related to a black glass and a solar cell assembly comprising the same. The subject invention provides a black glass comprising a glass substrate; and a black coating formed on the glass substrate, wherein the black coating comprises an inorganic film-forming material, a black pigment and an adhesive. The subject invention also provides a solar cell assembly comprising: a front glass substrate; the aforementioned black glass; and a photovoltaic cell sealed between the front glass substrate and the black glass. The black glass of the subject invention has a good thermal conductivity, waterproof performance, mechanical properties and weather resistance such that the black glass is not only aesthetically pleasing but also useful as a backside protection material of a solar cell assembly for long-term outdoor use. | 05-28-2015 |
20150144189 | SOLAR CELL UNIT - A solar cell unit having a semiconductor body formed as a solar cell, whereby the semiconductor body has a front side with a first electrical connection and a back side with a second electrical connection and a side surface formed between the front side and the back side, and having a substrate with a top side and a bottom side, whereby the substrate on the top side has a first conductive trace region, configured as part of the substrate, and the first electrical connection is electrically connected to the first conductive trace region, and the substrate on the top side has a second conductive trace region, configured as part of the substrate, and the second electrical connection is electrically connected to the second conductive trace region, and having a secondary optical element, which has a bottom side and guides light to the front side of the semiconductor body. | 05-28-2015 |
20150144190 | BACKPLANE REINFORCEMENT AND INTERCONNECTS FOR SOLAR CELLS - Fabrication methods and structures relating to backplanes for back contact solar cells that provide for solar cell substrate reinforcement and electrical interconnects are described. The method comprises depositing an interdigitated pattern of base electrodes and emitter electrodes on a backside surface of a semiconductor substrate, forming electrically conductive emitter plugs and base plugs on the interdigitated pattern, and attaching a backplane having a second interdigitated pattern of base electrodes and emitter electrodes at the conductive emitter and base plugs to form electrical interconnects. | 05-28-2015 |
20150295100 | Solar Panel with a Pattern - A solar panel with a pattern includes a substrate and an electricity generating layer. A patterned light-transmittable layer is coated on a face of the electricity generating layer. The patterned light-transmittable layer has a transmittance that permits light rays transmitting the patterned light-transmittable layer to form incident rays incident to the substrate and reflective rays reflected by the substrate. The incident rays and reflective rays are sufficient to generate electricity in the electricity generating layer. | 10-15-2015 |
20150295101 | METHODS FOR ENHANCING EXCITON DECOUPLING WITH A STATIC ELECTRIC FIELD AND DEVICES THEREOF - An apparatus configured for enhanced exciton decoupling, the apparatus includes an insulator on a surface of the substrate, a positive conductor and a negative conductor. The insulator has a fixed, static charge configured to increase an electric field in an exciton generating region in the substrate adjacent the insulator. | 10-15-2015 |
20150295102 | SOLAR CELL AND PROCESS FOR MANUFACTURING A SOLAR CELL - In various embodiments, a solar cell is provided, comprising: a substrate with a front-side and a rear-side, wherein at least the front-side receives light; a passivation layer on the rear-side of the substrate; local contact openings, which pass through the passivation layer and partially expose the rear-side of the substrate; and a first rear-side metallization on the passivation layer and in the local contact openings; wherein the plurality of the local contact openings are disposed such that they are completely covered by the first rear-side metallization. | 10-15-2015 |
20150295103 | PROTECTIVE COVER FOR A COPPER CONTAINING CONDUCTOR - The method of manufacturing a solar cell comprises the steps of: (a) providing the semiconductor substrate in a deposition chamber of a vapour deposition apparatus, which semiconductor substrate comprises a passivation layer at a first side thereof which passivation layer is patterned to define contact areas at which the copper-containing conductor is present; (b) supplying a gaseous silicon species into the deposition chamber, resulting in the formation of a surface layer of a copper silicide on a surface of the copper-containing conductor and in the formation of amorphous silicon on top of the passivation layer, and (c) providing a protective layer of an insulating silicon compound on the surface layer, wherein the protective cover comprising both the surface layer and the protective layer. | 10-15-2015 |
20150295105 | LAYER SYSTEM FOR THIN-FILM SOLAR CELLS HAVING AN NaxIn1SyClz BUFFER LAYER - The present invention relates to a layer system ( | 10-15-2015 |
20150295178 | 3-SUBSTITUTED TELLUROPHENES AND RELATED COMPOUNDS - Monomeric 3-substituted tellurophene compounds, as well as their use in the synthesis of oligomeric and/or polymeric compounds consisting of two or more tellurophene-2,5-diyl groups which are covalently linked to each other are disclosed, as is the use of said oligomers and polymers in devices such as diodes and solar cells, electrodes and semiconductors. | 10-15-2015 |
20150295196 | Method of producing a photovoltaic device - A method of producing a photovoltaic device which comprises a solar cell substrate forming the bulk of the device with at least one layer deposited thereon defining a surface of the device, said method comprising the step of introducing a functional layer on the substrate, said layer being capable of releasing hydrogen, and activating said layer to achieve hydrogenation of the photovoltaic device. The method makes it possible, in the case of thick film solar cell production, to have the whole manufacturing sequence performed using chemicals applied under atmospheric conditions. | 10-15-2015 |
20150303317 | SEMICONDUCTOR SUBSTRATE PROVIDED WITH PASSIVATION FILM AND PRODUCTION METHOD, AND PHOTOVOLTAIC CELL ELEMENT AND PRODUCTION METHOD THEREFOR - The invention provides a method of producing a semiconductor substrate provided with a passivation film, the method including: forming an electrode on a semiconductor substrate; applying a composition for forming a semiconductor substrate passivation film onto a surface, on which the electrode is formed, of the semiconductor substrate to form a composition layer, the composition containing an organic aluminum compound; and heat-treating the composition layer to form a passivation film. | 10-22-2015 |
20150303318 | COMPOSITION FOR FORMING PASSIVATION FILM, SEMICONDUCTOR SUBSTRATE PROVIDED WITH PASSIVATION FILM AND PRODUCTION METHOD THEREFOR, AND PHOTOVOLTAIC CELL ELEMENT AND PRODUCTION METHOD THEREFOR - The invention provides a composition for forming a passivation film, including: an organic aluminum compound represented by General Formula (I); and a resin, wherein R | 10-22-2015 |
20150303319 | BACK CONTACT SOLAR CELL AND MANUFACTURING METHOD THEREOF - A back contact solar cell and a method for manufacturing the back contact solar cell are discussed. The back contact solar cell includes a substrate made of crystalline silicon having a first conductivity type, a passivation layer on one side of the substrate, an antireflection layer on the passivation layer, a first electrode on the other side of the substrate, a second electrode on the other side of the substrate and separated from the first electrode, a first semiconductor layer disposed between the first electrode and the substrate and having the first conductivity type, and a second semiconductor layer disposed between the second electrode and the substrate and having a second conductivity type that is opposite to the first conductivity type. The passivation layer includes at least one of amorphous silicon oxide and amorphous silicon carbide. | 10-22-2015 |
20150303324 | METHOD OF FORMING A CONTACT FOR A PHOTOVOLTAIC CELL - The present disclosure provides a method of forming a contact for a photovoltaic (PV) cell. The method comprises the step of providing a substrate of a semiconductor material. The substrate has first regions that have a first doping property and are located at first surface portions. The method also comprises depositing a passivation layer on the surface of the substrate including the first surface portions. Further, the method comprises depositing a conductive layer on the passivation layer such that material of the passivation layer is sandwiched between the first regions and the conductive layer. In addition, the method comprises applying an electric field between the first regions and the conductive layer in a manner such that locally a dielectric breakdown of the sandwiched passivation layer material is induced. The first regions having a doping property and the method is conducted such that an electrical resistance is reduced in the passivation layer at the first regions and an electrical contact is formed. | 10-22-2015 |
20150303326 | INTERCONNECT FOR A THIN FILM PHOTOVOLTAIC SOLAR CELL, AND METHOD OF MAKING THE SAME - A solar cell has a first back contact and a first absorber over the first back contact. The first absorber has a scribe line through it. A first front contact is provided over the first absorber. A first conductive material is provided over a portion of the first front contact. The first conductive material extends through the scribe line and connects to a second back contact of a second solar cell. | 10-22-2015 |
20150303327 | TRANSPARENT-CONDUCTIVE-FILM LAMINATE, MANUFACTURING METHOD THEREFOR, THIN-FILM SOLAR CELL, AND MANUFACTURING METHOD THEREFOR - The invention provides a transparent-conductive-film laminate and manufacturing method therefor, transparent-conductive-film laminate being useful as a surface electrode in manufacture of a high-efficiency silicon-based thin-film solar cell, having a roughness structure excellent in light scattering, and having an excellent effect of optical confinement, and provides a thin-film solar cell using transparent-conductive-film laminate and a manufacturing method for the thin-film solar cell. Transparent-conductive-film laminate has a structure including: an indium-oxide-based transparent conductive film (I) having a film thickness of not less than 10 nm and not more than 300 nm; and a zinc-oxide-based transparent conductive film (II) having a film thickness of not less than 200 nm, and has a surface having a crystalline structure with projections and depressions mixed therein, a surface roughness (Ra) of not less than 30 nm, a haze ratio of not less than 8%, and a resistance value of not more than 30 Ω/sq. | 10-22-2015 |
20150303331 | THREE-DIMENSIONAL THIN-FILM SEMICONDUCTOR SUBSTRATE WITH THROUGH-HOLES AND METHODS OF MANUFACTURING - A three-dimensional thin-film semiconductor substrate with selective through-holes is provided. The substrate having an inverted pyramidal structure comprising selectively formed through-holes positioned between the front and back lateral surface planes of the semiconductor substrate to form a partially transparent three-dimensional thin-film semiconductor substrate. | 10-22-2015 |
20150303332 | ANODES, SOLAR CELLS AND METHODS OF MAKING SAME - Anodes, solar cells utilizing said anodes, and methods of manufacturing the same are disclosed. More specifically, the anodes disclosed herein may comprise a substrate made from a conducting material, and further comprise an array of nanowires projecting from the substrate. Solar cells that utilize an anode disclosed herein include nanoparticle-based cells and organic photovoltaic cells. The nanoparticle-based cells include dye sensitized solar cells and quantum dot/rod sensitized solar cells. The organic photovoltaic cells can include polymer solar cells, and hybrid organic/inorganic cells utilizing a combination of nanoparticle based and polymer solar cells. | 10-22-2015 |
20150303338 | BACKSHEET - There are provided a backsheet, a method of manufacturing the same, and a photovoltaic module including the same. In the present application, there is provided a backsheet, which exhibits excellent reliability and adhesive strength under extreme heat and/or humidity conditions, thereby improving weatherability and durability. Such a backsheet can be applied for a photovoltaic module, for example. | 10-22-2015 |
20150303342 | BACK-CONTACTED SOLAR PANEL AND METHOD FOR MANUFACTURING SUCH A SOLAR PANEL - A solar panel includes a stack of at least one back contacted solar cell, a first encapsulant layer and a back-sheet contact layer. The solar cell includes back side electrical contacts. The back contact sheet layer includes a patterned conductor circuit, which has contacting areas located at locations corresponding to locations of the electrical contacts on the at least one solar cell. The encapsulant layer has a pattern of openings at locations corresponding to the locations of the electrical contacts. The solar cell is arranged on top of the first encapsulant layer that is positioned on top of the back-sheet contact layer, with the rear surface of the at least one solar cell facing the patterned conductor circuit surface. Each electrical contact is connected through a corresponding opening in the first encapsulant layer with a respective corresponding contact area of the conductor circuit by an interconnecting body. | 10-22-2015 |
20150310999 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element includes a photoanode including a semiconductor layer and dye molecules located on the semiconductor layer; a counter electrode facing the photoanode; and an electrolyte medium located between the photoanode and the counter electrode, wherein each of the dye molecules is represented by a general formula [I] below where R | 10-29-2015 |
20150311359 | METHOD FOR MANUFACTURING A SOLAR CELL AND SOLAR CELL OBTAINED THEREWITH - The method of manufacturing a solar cell, comprising the steps of providing a solar cell device comprising a semiconductor body ( | 10-29-2015 |
20150311360 | PHOTOVOLTAIC DEVICES WITH ELECTROPLATED METAL GRIDS - One embodiment of the present invention provides a solar cell. The solar cell includes a photovoltaic structure and a front-side metal grid situated above the photovoltaic structure. The front-side metal grid also includes one or more electroplated metal layers. The front-side metal grid includes one or more finger lines, and each end of a respective finger line is coupled to a corresponding end of an adjacent finger line via an additional metal line, thus ensuring that the respective finger line has no open end. | 10-29-2015 |
20150311361 | TRANSPARENT CONDUCTIVE GLASS SUBSTRATE WITH SURFACE ELECTRODE, METHOD FOR PRODUCING SAME, THIN FILM SOLAR CELL, AND METHOD FOR MANUFACTURING THIN FILM SOLAR CELL - The present invention provides a transparent conductive glass substrate with a surface electrode having a low reflectivity, a low absorption, and a high transmittance, and provides a thin film solar cell including the surface electrode and having a higher photoelectric conversion efficiency than that of the prior arts. The transparent conductive glass substrate with the surface electrode is obtained in such a way that, on a translucent glass substrate, a low-refractive-index transparent thin film having a refractive index of 1.6 to 1.8 at a wavelength of 550 nm and a film thickness of 50 nm to 150 nm is formed as a first layer, and, on the low-refractive-index transparent thin film, an amorphous indium-oxide-based transparent conductive film as a second layer and a rough film made of a crystalline zinc-oxide-based transparent conductive film as a third layer are formed in that order. | 10-29-2015 |
20150311362 | TRANSPARENT-CONDUCTIVE-FILM LAMINATE, MANUFACTURING METHOD THEREFOR, THIN-FILM SOLAR CELL, AND MANUFACTURING METHOD THEREFOR - The present invention provides a transparent-conductive-film laminate and a manufacturing method therefor, and provides a thin-film solar cell using the transparent-conductive-film laminate and a manufacturing method for the thin-film solar cell. The transparent-conductive-film laminate has a structure, the structure including an indium-oxide-based transparent conductive film (I) with a surface roughness (Ra) of not more than 1.0 nm formed on a translucent substrate, and a zinc-oxide-based transparent conductive film (II) formed on the indium-oxide-based transparent conductive film (I); and has a surface roughness (Ra) of not less than 30 nm as a laminate, a haze ratio of not less than 8%, a resistance value of not more than 30 Ω/sq., and an average absorptivity with respect to light in a wavelength range of 400 nm to 1200 nm of not more than 15%. | 10-29-2015 |
20150311371 | METHOD FOR QUICK SELF INTERCONNECTION OF PHOTOVOLTAIC CELL ARRAYS AND PANELS - Interconnectable solar photovoltaic appliance that can be connected to each other and other derivative products in multiple series and parallel configurations to supply a specific amount of electrical power to an application requiring electrical energy. The resultant design is modular and expandable. Examples include photovoltaic appliances that are variable in size in shape so as to accommodate the spatial constraints and power requirements of their intended applications. | 10-29-2015 |
20150311444 | ELECTRODES FORMED BY OXIDATIVE CHEMICAL VAPOR DEPOSITION AND RELATED METHODS AND DEVICES - The present invention generally relates to electrodes formed by oxidative chemical vapor deposition and related methods and devices. | 10-29-2015 |
20150313013 | CONDUCTIVE FILM, METHOD FOR MANUFACTURING THE SAME AND DISPLAY DEVICE COMPRISING THE SAME (As Amended) - The present application relates to a conductive film, a method for manufacturing the same, and a display device including the same. | 10-29-2015 |
20150315070 | TRANSPARENT SUBSTRATE, IN PARTICULAR A GLASS SUBSTRATE, COATED WITH AT LEAST ONE AT LEAST BIFUNCTIONAL POROUS LAYER, MANUFACTURING METHOD AND USES THEREOF - A transparent glass or ceramic or glass-ceramic substrate, coated with a functional layer or with a stack of at least two functional layers, the functional layer or at least one of the functional layers of the stack being porous and made of an inorganic material M1, wherein the or at least one of the porous functional layer(s) of inorganic material M1 has, at the surface of at least one portion of the pores thereof, at least one inorganic material M2 different from M1. | 11-05-2015 |
20150318412 | Microstructured ZnO coatings for improved performance in Cu(In, Ga)Se2 photovoltaic devices - A microstructured ZnO coating that improves the performance of Cu(In,Ga)Se | 11-05-2015 |
20150318413 | PHOTOVOLTAIC DEVICE STRUCTURE AND METHOD - A surface region of a semiconductor material on a surface of a semiconductor device is doped during its manufacture, by coating the surface region of the semiconductor material with a dielectric material surface layer and locally heating the surface of the semiconductor material in an area to be doped to locally melt the semiconductor material with the melting being performed in the presence of a dopant source. The heating is performed in a controlled manner such that a region of the surface of the semiconductor material in the area to be doped is maintained in a molten state without refreezing for a period of time greater than one microsecond and the dopant from the dopant source is absorbed into the molten semiconductor. | 11-05-2015 |
20150318417 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element includes a first electrode layer, a photoelectric conversion layer, and a second electrode layer. The first electrode layer includes a first base member, and a rough layer formed on the first base member. The photoelectric conversion layer is formed on the rough layer, and the second electrode layer is formed above the photoelectric conversion layer. The rough layer includes a plurality of metal fine particles irregularly connected together and to a surface of the first base member, and the photoelectric conversion layer infiltrates among the plurality of metal fine particles constituting the rough layer. | 11-05-2015 |
20150318420 | BONDS FOR SOLAR CELL METALLIZATION - A solar cell can include a substrate and a semiconductor region disposed in or above the substrate. The solar cell can also include a conductive contact disposed on the semiconductor region with the conductive contact including a conductive foil bonded to the semiconductor region. | 11-05-2015 |
20150318423 | A BACK SHEET FOR PHOTOVOLTAIC MODULES - A back sheet for a photovoltaic module includes a polymeric support and a weather resistant layer, the weather resistant layer including a binder containing a crosslinkable group and an aliphatic isocyanate crosslinking agent, characterized in that the weather resistant layer further includes an aromatic or an alicyclic isocyanate crosslinking agent, and wherein the molar ratio of the isocyanate groups of the aliphatic isocyanate crosslinking agents to the isocyanate groups of the aromatic and/or alicyclic crosslinking agents is ≦3. | 11-05-2015 |
20150321445 | IMPRINT MATERIAL HAVING LOW MOLD RELEASE PROPERTY - There is provided an imprint material that allows a resin film to be readily released from a mold at the time of mold release after curing, that is, an imprint material that forms a film having a low mold release property as well as high transparency, high scratch resistance, and a high fingerprint wiping-off property; and a film which is formed from the material and to which a pattern is transferred. An imprint material including: a (A) component: a compound having a propylene oxide unit and two polymerizable groups or a compound having a propylene oxide unit, an ethylene oxide unit, and two polymerizable groups; a (B) component: a silicone compound; and a (C) component: a photopolymerization initiator. | 11-12-2015 |
20150325712 | Nanostructured Thin-Film Solar Cell - A nanostructured thin-film solar cell in one embodiment includes a photovoltaic absorber including a plurality of nanocones, each of the nanocones defining a longitudinal axis which extends through a first surface of the nanocone and a second surface of the nanocone, a translucent conductive coating positioned above the first surfaces of the photovoltaic absorber nanocones, and a conductive layer positioned on the second surfaces of the photovoltaic absorber nanocones. | 11-12-2015 |
20150325715 | COMPOSITIONS AND METHODS FOR IMPROVED SOLAR CELLS - Disclosed are aluminum paste compositions for silicon photovoltaic cells, that display reduced blistering, reduced yellow discoloration, reduced bowing, increased (or maintained) open-circuit voltage (Voc), and possess an increased firing window, through modification of the organic binder and/or addition of pigments. The present invention relates to the improvement of cosmetic and physical properties of silicon photovoltaic cells. The present invention also relates to the use of pigment to reduce the discoloration of fired aluminum pastes on silicon wafers for use as photovoltaic cells. | 11-12-2015 |
20150325716 | MANUFACTURE AND STRUCTURE FOR PHOTOVOLTAICS INCLUDING METAL-RICH SILICIDE - Photovoltaic devices are formed with electroplated metal grids that are effectively adhered to the devices. Metal-rich silicides, such as nickel silicides, are formed on the devices by annealing. The metal used in the anneal exhibits low stress. Annealing may be conducted in ambient air followed by removal of oxide and excess metal from the metal-rich silicide. Laser patterning of the antireflective coating of the devices can be used to expose the emitter to form front grid contacts. Doping of the emitter in the patterned region can be increased during laser patterning. The ratio of the centerline to centerline pitch per laser width is controlled to ensure sufficient adhesion of subsequently plated busbars. | 11-12-2015 |
20150325717 | HIGH RELIABILITY PHOTO-VOLTAIC DEVICE - An article of manufacture includes a PV element having a conductive layer positioned on a light-incident side of the PV element, a conductor electrically coupled to the conductive layer, and a conductive particle matrix interposed between the conductor and the conductive layer at a number of positions on the conductive layer. The article further includes a carrier film positioned on the light-incident side of the PV element, and a non-conductive adhesive, where the adhesive and the conductor are positioned between the carrier film and the conductive layer. | 11-12-2015 |
20150325718 | RAPID THERMAL PROCESSING OF BACK CONTACTS FOR CDTE SOLAR CELLS - The present invention relates to a back contact and methods of making the same. In the present invention, rapid thermal processing is highly effective to activate ZnTe:Cu-based back contacts, and provides significant improvements in V | 11-12-2015 |
20150325719 | AN OPTO-ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present invention relates to an opto-electronic device comprising: (a) a first component ( | 11-12-2015 |
20150325722 | LAYER SYSTEM FOR THIN-FILM SOLAR CELLS - The present invention relates to a layer system ( | 11-12-2015 |
20150325792 | LARGE AREA ORGANIC PHOTOVOLTAICS - Disclosed herein are large area, multi-layer solar devices comprising a substrate, an active area comprising at least one donor material and at least one acceptor material deposited on a surface of the substrate, wherein the donor and acceptor materials are comprised of organic molecules, and wherein particulates are removed from the surface of the substrate before deposition of the donor and acceptor materials. Particulates may be removed by exposing the surface of the substrate to a stream of at least one compound comprising one or more phases chosen from supercritical, gaseous, solid, and liquid phases. Also disclosed are methods of manufacturing photovoltaic devices comprising providing a substrate, cleaning a surface of the substrate by exposing the surface to a stream of at least one compound comprising one or more phases chosen from supercritical, gaseous, solid, and liquid phases, and depositing an organic active layer on the surface of the substrate. | 11-12-2015 |
20150333193 | INDIUM-DOPED SILICON WAFER AND SOLAR CELL USING THE SAME - A solar cell is provided, the solar cell fabricated from an indium-doped monocrystalline silicon wafer sliced from an ingot grown by the Czochralski method. The solar cell is characterized by high efficiency and low light induced degradation. | 11-19-2015 |
20150333197 | METHOD OF MANUFACTURING A SOLAR CELL ELECTRODE - A method of manufacturing a solar cell electrode comprising steps of: preparing an N-type solar cell substrate, wherein the N-type solar cell substrate comprises an n-doped semiconductor substrate, a p-type emitter formed on one side of the semiconductor substrate, and a passivation layer formed on the p-type emitter; stencil printing a conductive paste onto the passivation layer through a printing mask, the conductive paste comprising, (i) 60 to 95 wt % of a conductive powder, (ii) 0.4 to 3.0 wt % of an aluminum powder, (iii) 0.1 to 10 wt % of a glass frit, (iv) 3 to 30 wt % of an organic medium, (v) 0.4 to 1.7 wt % of an amide compound, based on the total weight of the conductive paste; and firing the applied conductive paste to form a solar cell electrode in electric contact with the p-type emitter. | 11-19-2015 |
20150333200 | FABRICATING THIN-FILM OPTOELECTRONIC DEVICES WITH ADDED POTASSIUM | 11-19-2015 |
20150333212 | METHOD FOR PREPARING A THIN LAYER OF AN ABSORBER MADE OF COPPER, ZINC AND TIN SULFIDE(S), ANNEALED THIN LAYER AND PHOTOVOLTAIC DEVICE THUS OBTAINED - The present invention provides a thin compact crystallized layer with large grains of an absorber material essentially consisting of Cu, Zn and Sn sulphide(s), preferably CZTS, with less defects and preferably with improved composition homogeneity and/or reduced content of secondary phases, by producing a method for double annealing, in determined atmospheres, of thin layers of particles of a so-called absorber material based on copper, zinc and tin sulphide, preferably on CZTS, deposited on a substrate covered with molybdenum (Mo), said thin annealed absorber layer deposited on said Mo substrate imparting improved photovoltaic performances to a photovoltaic device which comprises them. | 11-19-2015 |
20150333690 | PHOTOVOLTAIC TILE - The invention concerns a photovoltaic tile free from wires and respective connectors for the electrical connections among tiles. The electrical connections are made by bushings integrated in the tiles, in the overlapping areas thereof, and electrically connected one to another by a removable pin connector. The assembly composes a roofing surface made of several equal or alike tiles, in case suitably interfaced, positioned on surfaces exposed to sun rays such as roofs, roofings or something else. The photovoltaic tile is provided with a frame made of plastic material with conventional means for fastening to the roof rafters and is characterized by means for a stable electrical and mechanical connection among adjacent tiles. | 11-19-2015 |
20150340115 | COATED CONDUCTIVE METALLIC PARTICLES - A conductive metallic particle coated with an organometallic compound, a metal salt, an inorganic oxide, an inorganic hydroxide, or a combination thereof, is provided. The invention also provides a process for preparing a coated conductive metallic particle comprising forming an organometallic coating, a metal salt coating, an inorganic oxide coating, an inorganic hydroxide coating, or a combination thereof, on a conductive metallic particle. Specifically, a process for preparing a coated conductive metallic particle, comprising (a) obtaining a mixture of at least one conductive metallic particle and at least one inorganic precursor in water, an organic solvent, or a combination thereof, (b) hydrolysing the inorganic precursor in the mixture, and (c) optionally adding at least one organic compound is provided. The invention also provides a coated a conductive metallic particle prepared by the claimed processes, as well as the use of the coated conductive metallic particle in a composition. A composition comprising the coated conductive metallic particles of the invention, such as an electroconductive composition comprising the coated conductive metallic particles, and an organic vehicle is also provided. | 11-26-2015 |
20150340515 | REVERSE STACK STRUCTURES FOR THIN-FILM PHOTOVOLTAIC CELLS - In one embodiment, a method includes depositing a photoactive layer onto a first substrate, depositing a contact layer onto the photoactive layer, attaching a second substrate onto the contact layer, and removing the first substrate from the photoactive layer, contact layer, and second substrate. | 11-26-2015 |
20150340518 | PRINTABLE DIFFUSION BARRIERS FOR SILICON WAFERS - The present invention relates to a novel process for the preparation of printable, high-viscosity oxide media, and to the use thereof in the production of solar cells. | 11-26-2015 |
20150340520 | THIN-FILM SEMICONDUCTOR OPTOELECTRONIC DEVICE WITH TEXTURED FRONT AND/OR BACK SURFACE PREPARED FROM TEMPLATE LAYER AND ETCHING - A method for providing a textured layer in an optoelectronic device is disclosed. The method includes depositing a template layer on a first layer. The template layer has significant inhomogeneity either in thickness or in composition, or both, including the possibility of forming one or more islands to provide at least one textured surface of the island layer. The method also includes exposing the template layer and the first layer to an etching process to create or alter at least one textured surface. The altered at least one textured surface is operative to cause scattering of light. | 11-26-2015 |
20150340521 | Methods and Systems for Controlling Phonon-Scattering - Structures and methods for controlling phonon-scattering are provided. In some embodiments, a metamaterial structure comprises a light absorbing layer ( | 11-26-2015 |
20150340524 | Method of Fabricating a Flexible Photovoltaic Film Cell With an Iron Diffusion Barrier Layer - A method of fabricating a flexible photovoltaic film cell with an iron diffusion barrier layer. The method includes: providing a foil substrate including iron; forming an iron diffusion barrier layer on the foil substrate, where the iron diffusion barrier layer prevents the iron from diffusing; forming an electrode layer on the iron diffusion barrier layer; and forming at least one light absorber layer on the electrode layer. A flexible photovoltaic film cell is also provided, which cell includes: a foil substrate including iron; an iron diffusion barrier layer formed on the foil substrate to prevent the iron from diffusing; an electrode layer formed on the iron diffusion barrier layer; and at least one light absorber layer formed on the electrode layer. | 11-26-2015 |
20150340526 | NANOWIRE DEVICE WITH ALUMINA PASSIVATION LAYER AND METHODS OF MAKING SAME - In one aspect, the present disclosure relates to a device including a silicon substrate, wherein at least a portion of the substrate surface can be a silicon nanowire array; and a layer of alumina covering the silicon nanowire array. In some embodiments, the device can be a solar cell. In some embodiments, the device can be a p-n junction. In some embodiments, the p-n junction can be located below the bottom surface the nanowire array. | 11-26-2015 |
20150340536 | ATOMIC LAYER DEPOSITION FOR PHOTOVOLTAIC DEVICES - A photovoltaic device and method include a substrate, a conductive layer formed on the substrate and an absorber layer formed on the conductive layer from a Cu—Zn—Sn containing chalcogenide material. An emitter layer is formed on the absorber layer and a buffer layer is formed on the emitter layer including an atomic layer deposition (ALD) layer. A transparent conductor layer is formed on the buffer layer. | 11-26-2015 |
20150340541 | DOPING MEDIA FOR THE LOCAL DOPING OF SILICON WAFERS - The present invention relates to a novel process for the preparation of print-able, high-viscosity oxide media, and to the use thereof in the production of solar cells. | 11-26-2015 |
20150349146 | SOLAR CELL - A semiconductor substrate of any one of a first conductivity type and a second conductivity type includes a first main surface and a second main surface. A first semiconductor layer of the first conductivity type is provided on the first main surface. A second semiconductor layer of the second conductivity type is provided on the first main surface. A first electrode is electrically connected to the first semiconductor layer. A second electrode is electrically connected to the second semiconductor layer. An insulating layer comprises silicon nitride and is arranged between the first semiconductor layer and the second semiconductor layer in an overlap region where the second semiconductor layer is provided above the first semiconductor layer. An anti-diffusion film is arranged between the insulating layer and the first semiconductor layer and is configured to prevent nitrogen from diffusing from the insulating layer into the first semiconductor layer. | 12-03-2015 |
20150349147 | Broad Band Anti-Reflection Coating for Photovoltaic Devices and Other Devices - A device having a broad-band, white incident angle range anti-reflection coating disclosed. The device includes a substrate having a first refractive index, at least one interference layer disposed on top of the substrate; and a gradient index optical layer. The gradient index optical layer has a gradient refractive index disposed on top of the at least one high index optical layer. The gradient index optical layer has a bottom refractive index at a bottom surface of the gradient index optical layer and a top refractive index at a top surface of the gradient index optical layer. The gradient refractive index of the gradient index optical layer decreases gradually from the bottom surface to the top surface. The at least one interference layer has a refractive index between the first refractive index of the substrate and the bottom refractive index of the gradient index optical layer. | 12-03-2015 |
20150349152 | METHOD FOR METALLIZATION OF SOLAR CELL SUBSTRATES - The present invention relates to a metallization method for solar cell substrates by electroplating wherein i) a resist is deposited onto at least one surface of a solar cell substrate and patterned, ii) a conductive seed layer is deposited onto the patterned resist and into the openings formed by the resist pattern, iii) a first metal or metal alloy is electroplated onto the conductive seed layer, and iv) those portions of the first metal or metal alloy layer deposited onto the patterned resist are removed. | 12-03-2015 |
20150349153 | SHINGLED SOLAR CELL MODULE - A high efficiency configuration for a solar cell module comprises solar cells arranged in a shingled manner to form super cells, which may be arranged to efficiently use the area of the solar module, reduce series resistance, and increase module efficiency. | 12-03-2015 |
20150349154 | ALIGNMENT FREE SOLAR CELL METALLIZATION - A solar cell can include a substrate and a semiconductor region disposed in or above the substrate. The solar cell can also include a contact finger coupled to the semiconductor region via a plurality of weld regions with at least one of the weld regions being a partial weld. | 12-03-2015 |
20150349155 | FOIL-BASED METALLIZATION OF SOLAR CELLS - A solar cell can include a semiconductor region disposed in or above a substrate. The solar cell can also include a contact finger formed over the semiconductor region, where a first weld region couples the contact finger to the semiconductor region. The contact finger can include a first relief structure. | 12-03-2015 |
20150349157 | THIN FILM COMPOUND SEMICONDUCTOR SOLAR CELLS - Provided is a thin film solar cell including: a substrate on which a rear surface electrode is formed; a light absorbing layer, which is a compound semiconductor, positioned on the rear surface electrode; and a composite layer positioned on the light absorbing layer and contacting the light absorbing layer, wherein the composite layer includes: a conductive mesh; and a semiconductor material filled in at least an empty space of the conductive mesh. | 12-03-2015 |
20150349159 | BENDABLE SOLAR CELL CAPABLE OF OPTIMIZING THICKNESS AND CONVERSION EFFICIENCY - A bendable solar cell capable of optimizing thickness and conversion efficiency, comprising: a solar cell body having a top surface, a bottom surface, and four side walls; and a layer of nanostructures located on said side walls, wherein said solar cell body has a thickness ranging from about 50 μm to about 120 μm, and said layer of nanostructures has a depth ranging from about 2 μm to 8 μm. | 12-03-2015 |
20150353779 | ELECTRICALLY CONDUCTIVE ADHESIVES COMPRISING FLUOROELASTOMERS - Disclosed herein is an electrically conductive adhesive composition and its use in solar cell modules, wherein the electrically conductive adhesive comprises a fluoroelastomer matrix and dispersed in the fluoroelastomer matrix about 40-90 wt % of conductive particles, with the wt % of all components comprised in the compositions totaling to 100 wt %. | 12-10-2015 |
20150357490 | COMPOSITION FOR FORMING ELECTRODE OF SOLAR CELL, AND ELECTRODE MANUFACTURED USING SAME - A composition for solar cell electrodes and electrodes fabricated using the same. The composition includes a silver (Ag) powder; a glass frit containing about 0.1 mole % to about 50 mole % of elemental silver; and an organic vehicle, wherein the elemental silver derives from a silver halide (Ag—X). The composition introduces a glass frit including a silver halide to enhance contact efficiency between electrodes and a silicon wafer, and solar cell electrodes prepared from the composition have minimized contact resistance (Rc), specific contact resistivity, and serial resistance (Rs), thereby exhibiting excellent conversion efficiency. | 12-10-2015 |
20150357491 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element includes a first lower electrode in contact with a first-conductivity-type layer and a first upper electrode disposed on the first lower electrode. A part of the first-conductivity-type layer and a part of a second-conductivity-type layer are located above a region where an intrinsic layer contacts an insulating layer. | 12-10-2015 |
20150357497 | ELECTRICALLY CONDUCTIVE ADHESIVES COMPRISING BLEND ELASTOMERS - Disclosed herein is an electrically conductive adhesive composition and its use in solar cell modules, wherein the electrically conductive adhesive comprises a polymer matrix and dispersed in the polymer matrix about 40-90 wt % of conductive particles, with the wt % of all components comprised in the compositions totaling to 100 wt %, and wherein the polymer matrix comprises or is formed of a blend of at least one ethylene/alkyl (meth)acrylate copolymer elastomer and at least one ethylene vinyl acetate copolymer at a weight ratio ranging from about 10:90 to about 70:30. | 12-10-2015 |
20150357591 | Solar Cells Comprising 2d-Perovskites - A solar cell includes a light-absorbing layer comprising a 2d-perovskite. | 12-10-2015 |
20150364615 | ALUMINUM-TIN PASTE AND ITS USE IN MANUFACTURING SOLDERABLE ELECTRICAL CONDUCTORS - The present invention is directed to a paste composition comprising Al and Sn dispersed in an organic medium and to paste compositions that provide a solderable electrode. The present invention is further directed to an electrode formed from the paste composition and a semiconductor device and, in particular, a solar cell comprising such an electrode. The paste compositions that provide a solderable electrode are particularly useful for forming a solar cell back side solderable electrode. | 12-17-2015 |
20150364616 | SOLAR CELL MODULE AND SOLAR CELL MODULE MANUFACTURING METHOD - A solar cell module includes: a solar cell element that has a surface; a first sealing layer that is provided on the surface; and a light diffusion portion that is provided between an outer peripheral area C | 12-17-2015 |
20150364617 | Semiconductor Nanowire Antenna Solar Cells and Detectors - Patterning planar photo-absorbing materials into arrays of nanowires is demonstrated as a method for increasing the total photon absorption in a given thickness of absorbing material. Such a method can provide faster, cheaper, and more efficient photo-detectors and solar cells. A thin nanowire can absorb many more photons than expected from the size of the nanowire. The reason for this effect is that such nanowires support cylindrical particle resonances which can collect photons from an area larger than the physical cross-section of the wire. These resonances are sometimes referred to as Mie resonances or Leaky Mode Resonances (LMRs). The nanowires can have various cross section shapes, such as square, circle, rectangle, triangle, etc. | 12-17-2015 |
20150364624 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element includes an intrinsic layer that is disposed on a semiconductor of a first conductivity type and contains hydrogenated amorphous silicon; and a first-conductivity-type layer containing hydrogenated amorphous silicon of the first conductivity type, a second-conductivity-type layer containing hydrogenated amorphous silicon of a second conductivity type, and an insulating layer, each of which covers a part of the intrinsic layer. A first electrode is disposed on the first-conductivity-type layer with the second-conductivity-type layer therebetween. At least a part of the first electrode is located above a region where the first-conductivity-type layer contacts the intrinsic layer, and at least a part of the second electrode is located above a region where the second-conductivity-type layer contacts the intrinsic layer. | 12-17-2015 |
20150364626 | TRANSPARENT ELECTRODE AND SOLAR CELL INCLUDING THE SAME - The present invention relates to a low-discharge transparent electrode and a solar cell including the same. The transparent electrode includes a first dielectric layer and a multi-layered metal layer stacked on a substrate. The multi-layered metal layer includes a main metal layer and a bridge metal layer. The main metal layer has an uneven surface, and the bridge metal layer covers the uneven surface of the main metal layer. A sheet resistance of the multi-layered metal layer is smaller than that of the main metal layer. | 12-17-2015 |
20150364627 | SOLAR CELL, SOLAR CELL MODULE, AND PRODUCTION METHOD FOR SOLAR CELL - A solar cell is disclosed that comprises a photoelectric conversion body with first and second principle surfaces, a first transparent conductive oxide layer on the first principle surface, and comprising indium oxide containing a metal dopant, a first electrode on the first transparent conductive oxide layer, a second transparent conductive oxide layer on the second principle surface, and comprising indium oxide not containing a metal dopant but containing hydrogen and a second electrode on the second transparent conductive oxide layer. | 12-17-2015 |
20150364629 | THIN FILM STRUCTURES AND DEVICES WITH INTEGRATED LIGHT AND HEAT BLOCKING LAYERS FOR LASER PATTERNING - Selective removal of specified layers of thin film structures and devices, such as solar cells, electrochromics, and thin film batteries, by laser direct patterning is achieved by including heat and light blocking layers in the device/structure stack immediately adjacent to the specified layers which are to be removed by laser ablation. The light blocking layer is a layer of metal that absorbs or reflects a portion of the laser energy penetrating through the dielectric/semiconductor layers and the heat blocking layer is a conductive layer with thermal diffusivity low enough to reduce heat flow into underlying metal layer(s), such that the temperature of the underlying metal layer(s) does not reach the melting temperature, T | 12-17-2015 |
20150372161 | PHOTOVOLTAIC MODULE INCLUDING HIGH CONTACT ANGLE COATING ON ONE OR MORE OUTER SURFACES THEREOF, AND/OR METHODS OF MAKING THE SAME - Certain example embodiments of this invention relate to photovoltaic modules that include high contact angle coatings on one or more outermost major surfaces thereof, and/or associated methods. In certain example embodiments, the high contact angle coatings advantageously reduce the likelihood of electrical losses through parasitic leakage of the electrical current caused by moisture on surfaces of the photovoltaic modules, thereby potentially improving the efficiency of the photovoltaic devices. In certain example embodiments, the high contact angle coatings may be nitrides and/or oxides of or including Si, Ti, Ta, TaCr, NiCr, and/or Cr; hydrophobic DLC; and/or polymer-based coatings. The photovoltaic modules may be substrate-type modules or superstrate-type modules in different example embodiments. | 12-24-2015 |
20150372165 | PHOTOELECTRIC CONVERTING ELEMENT - There is provided a photoelectric converting element in which conversion efficiency increases, by being evenly passivated. The photoelectric converting element is a photoelectric converting element that converts light to electricity, and has a silicon substrate ( | 12-24-2015 |
20150372166 | SOLAR CELL - An embodiment of a solar cell is provided comprising a silicon substrate, on a first surface of which a texture structure including mountain portions and valley portions is formed, and an amorphous silicon layer provided on the first surface of the silicon substrate. The texture structure, in a cross section passing through the mountain portions and the valley portions, includes pairs of slant portions, each pair slanting to extend from a pair of neighboring ones of the mountain portions toward the valley portion therebetween while coming closer to each other. The valley portion located between the slant portions is in a round shape with a radius of curvature of 150 nm or smaller. The amorphous silicon layer includes an epitaxial growth area grown from the valley portion, the epitaxial growth area on the valley portion is thicker than that on a region other than the valley portion. | 12-24-2015 |
20150372168 | Photovoltaic Device For Generating Electrical Power Using Nonlinear Multi-Photon Absorption Of Incoherent Radiation - Methods, systems, and photovoltaic devices converting broad spectrum incoherent optical power into electrical power by utilizing nonlinear multi-photon absorption and optionally enhanced by the application of magnetic fields, electric fields, or both during the power conversion process. | 12-24-2015 |
20150372170 | METHOD AND DEVICE FOR PRODUCING A SELECTIVE EMITTER STRUCTURE FOR A SOLAR CELL, SOLAR CELL - The invention relates to a method for producing a selective emitter structure ( | 12-24-2015 |
20150372171 | CONDUCTOR FOR A SOLAR CELL - The paste composition of the instant invention consists of silver powder, glass frit, Bi | 12-24-2015 |
20150372172 | PHOTOELECTRIC CONVERSION ELEMENT - A photoelectric conversion element includes a semiconductor, an intrinsic layer disposed on the semiconductor and containing hydrogenated amorphous silicon, a first-conductivity-type layer that covers a part of the intrinsic layer and contains hydrogenated amorphous silicon of a first conductivity type, a second-conductivity-type layer that covers a part of the intrinsic layer and contains hydrogenated amorphous silicon of a second conductivity type, an insulating film covering an end region of the first-conductivity-type layer, a first electrode disposed on the first-conductivity-type layer, and a second electrode disposed on the second-conductivity-type layer. An end portion of the second-conductivity-type layer is located on the insulating film or above the insulating film. | 12-24-2015 |
20150372173 | GRADED TRANSPARENT CONDUCTING OXIDE (G-TCO) FOR THIN FILM SOLAR CELLS - A graded transparent conducting oxide (G-TCO) electrode allows the thickness of the electrode to vary from a very thin distal end to a relatively thick proximal end that resides near a metal current collector, generally for a grid of an ensemble of photovoltaic cells such that the thickness increases with the current carrying requirement of the electrode and the optical losses by the electrode are minimized. In this manner a photovoltaic cell can be improved in efficiency by the minimization of the optical losses while assuring the electrode can support all photogenerated current. The G-TCO electrode is prepared by sputtering through a mask that is suspended above a substrate, such as a photovoltaic cell absent its top electrode, where the mask does not reside on the substrate, but is suspended above the substrate. | 12-24-2015 |
20150372176 | OPTOELECTRONIC DEVICE AND METHOD OF PRODUCING THE SAME - An optoelectronic device comprising a substrate having a first and a second series of grooves and a channel therebetween. Each groove of the first and second series of grooves has a first and a second face and a cavity therebetween. The cavity is at least partially filled with a first semiconductor material. The first face is coated with a conductor material and the second face coated with a second semiconductor material. The channel transects the grooves of the first and second series of grooves. Also a method of producing an optoelectronic device. | 12-24-2015 |
20150372248 | DYE-SENSITIZED SOLAR CELL ELEMENT - Provided is a dye-sensitized solar cell element including: a dye-sensitized solar cell; and a back sheet facing the solar cell, the solar cell including a conductive substrate, a current collector disposed on the conductive substrate, a counter substrate facing the conductive substrate, a power generation layer disposed on the conductive substrate, an electrolyte disposed between the conductive substrate and the counter substrate, and an annular sealing portion enclosing the power generation layer and the electrolyte together with the conductive substrate and the counter substrate and joining the conductive substrate and the counter substrate, and the solar cell element further including: an adhesive part adhering the back sheet and the conductive substrate in an annular region surrounding the solar cell, in which the current collector is provided only at an outer side of the sealing portion and only at the inner side of an outer peripheral surface of the adhesive part. | 12-24-2015 |
20150373838 | ELECTORICALLY CONDUCTIVE FILM - The present invention relates to an electrically conductive film characterized by being able to undergo elastic deformation, having little residual strain rate and exhibiting stress relaxation properties. More specifically, the present invention relates to an electrically conductive film wherein the stress relaxation rate (R) and the residual strain rate a, as measured in a prescribed extension-restoration test, are as follows: 20%≦R≦95% and 0%≦α≦3%. | 12-24-2015 |
20150380573 | METHOD OF MANUFACTURING SOLAR CELL MODULE, METHOD OF MANUFACTURING TRANSLUCENT OR TRANSPARENT SUBSTRATE, AND SOLAR CELL MODULE - Disclosed is a method of manufacturing a solar cell module that comprises a step of obtaining a solar cell module that includes a translucent or transparent substrate including a substrate provided with translucency or transparency, and an antireflection film formed on a surface of the substrate provided with translucency or transparency, and a siloxane coat step of forming a siloxane layer on a surface of the antireflection film. | 12-31-2015 |
20150380574 | PASSIVATION OF LIGHT-RECEIVING SURFACES OF SOLAR CELLS WITH HIGH ENERGY GAP (EG) MATERIALS - Methods of passivating light-receiving surfaces of solar cells with high energy gap (Eg) materials, and the resulting solar cells, are described. In an example, a solar cell includes a substrate having a light-receiving surface. A passivating dielectric layer is disposed on the light-receiving surface of the substrate. A Group III-nitride material layer is disposed above the passivating dielectric layer. In another example, a solar cell includes a substrate having a light-receiving surface. A passivating dielectric layer is disposed on the light-receiving surface of the substrate. A large direct band gap material layer is disposed above the passivating dielectric layer, the large direct band gap material layer having an energy gap (Eg) of at least approximately 3.3. An anti-reflective coating (ARC) layer disposed on the large direct band gap material layer, the ARC layer comprising a material different from the large direct band gap material layer. | 12-31-2015 |
20150380575 | BACK CONTACT SOLAR CELL AND FABRICATION METHOD THEREOF - The present invention discloses a back contact solar cell. The back contact solar cell includes a semiconductor substrate having a front surface and a rear surface; and a first conductive type semiconductor region having a first conductive type and a second conductive type semiconductor region having a second conductive type, the first conductive type semiconductor region and the second conductive type semiconductor region being disposed with an interval on the rear surface of the semiconductor substrate. In addition, the rear surface of the semiconductor substrate has a texturing structure at the interval between the first conductive type semiconductor region and the second conductive type semiconductor region. | 12-31-2015 |
20150380577 | FIRING METAL FOR SOLAR CELLS - A solar cell can include a substrate and a semiconductor region disposed in or above the substrate. Selective firing of a conductive paste can be used to form a conductive contact for a solar cell. The solar cell can also include a conductive contact disposed on the semiconductor region with the conductive contact including a conductive paste that has a top and bottom portion with the top portion having particles coalesced together. | 12-31-2015 |
20150380578 | CONDUCTIVE CONTACT FOR SOLAR CELL - Conductive contacts for solar cells and methods of forming conductive contacts for solar cells are described. For example, a solar cell includes a substrate. A conductive contact is disposed on the substrate. The conductive contact includes a layer composed of a first metal species having a plurality of pores. The conductive contact also includes a second metal species disposed in the plurality of pores. Portions of both the first and second metal species are in contact with the substrate. | 12-31-2015 |
20150380581 | PASSIVATION OF LIGHT-RECEIVING SURFACES OF SOLAR CELLS WITH CRYSTALLINE SILICON - Methods of passivating light-receiving surfaces of solar cells with crystalline silicon, and the resulting solar cells, are described. In an example, a solar cell includes a silicon substrate having a light-receiving surface. An intrinsic silicon layer is disposed above the light-receiving surface of the silicon substrate. An N-type silicon layer is disposed on the intrinsic silicon layer. One or both of the intrinsic silicon layer and the N-type silicon layer is a micro- or poly-crystalline silicon layer. In another example, a solar cell includes a silicon substrate having a light-receiving surface. A passivating dielectric layer is disposed on the light-receiving surface of the silicon substrate. An N-type micro- or poly-crystalline silicon layer disposed on the passivating dielectric layer. | 12-31-2015 |
20150380586 | LAMINATED SHEET AND BACK SHEET FOR SOLAR CELL MODULES - A laminated film having a support containing polyolefin as a main component, a polymer layer with an optical density of 2.0 or more, and an overcoat layer containing at least one of silicone-based resin and fluorine-based resin has both weather resistance and lightfastness. | 12-31-2015 |
20150380587 | SOLAR CELL WITH REDUCED ABSORBER THICKNESS AND REDUCED BACK SURFACE RECOMBINATION - Manufacture for an improved stacked-layered thin film solar cell. Solar cell has reduced absorber thickness and an improved back contact for Copper Indium Gallium Selenide solar cells. The back contact provides improved reflectance particularly for infrared wavelengths while still maintaining ohmic contact to the semiconductor absorber. This reflectance is achieved by producing a back contact having a highly reflecting metal separated from an absorbing layer with a dielectric layer. | 12-31-2015 |
20150380599 | SOLAR CELL EMITTER REGION FABRICATION USING ION IMPLANTATION - Methods of fabricating solar cell emitter regions using ion implantation, and resulting solar cells, are described. In an example, a back contact solar cell includes a crystalline silicon substrate having a light-receiving surface and a back surface. A first polycrystalline silicon emitter region is disposed above the crystalline silicon substrate. The first polycrystalline silicon emitter region is doped with dopant impurity species of a first conductivity type and further includes ancillary impurity species different from the dopant impurity species of the first conductivity type. A second polycrystalline silicon emitter region is disposed above the crystalline silicon substrate and is adjacent to but separated from the first polycrystalline silicon emitter region. The second polycrystalline silicon emitter region is doped with dopant impurity species of a second, opposite, conductivity type. First and second conductive contact structures are electrically connected to the first and second polycrystalline silicon emitter regions, respectively. | 12-31-2015 |
20150380667 | Back Contact Perovskite Solar Cell - A method is provided for forming a back contact perovskite solar cell. A substrate is coated with a positive electrode layer. The positive electrode layer is then conformally coated with a first insulator. A plurality of negative electrode segments are selectively deposited overlying the first insulator layer, and a second insulator layer is conformally deposited overlying the negative electrode segments and first insulator layer. The second insulator layer is selectively etched to expose the negative electrode segments, and an n-type semiconductor is selectively deposited overlying each exposed negative electrode segment to form n-type contacts. The first and second insulator layers are then selectively etched to expose positive electrode segments. A p-type semiconductor is selectively deposited over each exposed positive electrode segment to form p-type contacts. Finally, a hybrid organic/inorganic perovskite (e.g., CH | 12-31-2015 |
20150380668 | ORGANIC ELECTRONIC DEVICES - The present invention provides a product and manufacturing method for an organic electronic device. The electronic device comprises a first conductive layer and a second conductive layer, an organic layer disposed between said first and second conductive layer and an amphiphilic layer disposed between said organic layer and the second conductive layer. | 12-31-2015 |
20160005886 | ANTIREFLECTIVE COATING FOR GLASS APPLICATIONS AND METHOD OF FORMING SAME - One aspect of the disclosure is directed to a method for forming an antireflective coating on a substrate, which includes providing a polymer solution and a silica solution, depositing the polymer solution on a surface of the substrate to forming a polymer film thereon, depositing the silica solution on the formed polymer film on the substrate to form a silica film thereon, thereby forming a stack structure having the silica film formed on the polymer film that is, in turn, formed on the substrate, and drying the stack structure to form the antireflective coating on the substrate, wherein the antireflective coating comprises silica nanoparticles. | 01-07-2016 |
20160005887 | HIGHLY EFFICIENT OPTICAL TO ELECTRICAL CONVERSION DEVICES AND METHODS - Methods, systems, and devices are disclosed for implementing high conversion efficiency solar cells. In one aspect, an optical-to-electrical energy conversion device includes a substrate formed of a doped semiconductor material and having a first region and a second region, an array of multilayered nanoscale structures protruding from the first region of the substrate, in which the nanoscale structures are formed of a first co-doped semiconductor material covered by a layer of a second co-doped semiconductor material forming a core-shell structure, the layer covering at least a portion of the doped semiconductor material of the substrate in the second region, and an electrode formed on the layer-covered portion of the substrate in the second region, in which the multilayered nanoscale structures provide an optical active region capable of absorbing photons from light at one or more wavelengths to generate an electrical signal presented at the electrode. | 01-07-2016 |
20160005890 | COMPOSITION FOR FORMING ELECTRODE OF SOLAR CELL AND ELECTRODE FORMED THEREFROM - Disclosed herein is a composition for solar cell electrodes. The composition includes a silver powder; a bismuth oxide-tellurium oxide-tungsten oxide-based glass frit; and an organic vehicle, wherein the glass frit includes about 40% by weight (wt %) to about 60 wt % of bismuth oxide as a first metal oxide; about 0.25 wt % to about 15 wt % of tellurium oxide as a second metal oxide; about 10 wt % to about 20 wt % of tungsten oxide as a third metal oxide; and about 15 wt % to about 25 wt % of a fourth metal oxide different from the first, second, and third metal oxides. Solar cell electrodes formed of the composition have excellent adhesive strength with respect to a ribbon while minimizing serial resistance (Rs), thereby providing high conversion efficiency. | 01-07-2016 |
20160005897 | ELECTRONIC COMPONENT AND MANUFACTURING METHOD THEREOF - To provide an electronic component having a protective film formed with a good uniformity, over the entire surface thereof. An aspect of the present invention is an electronic component having a protective film formed over the entire surface thereof, the electronic component has elements and wirings formed on a base body, and the protective film has been formed by a CVD method, over an entire surface of said electronic component, by: arranging an electrode in a chamber; grounding one side of the chamber and the electrode; accommodating the electronic component in the chamber; supplying an raw material gas to the chamber; rotating or swinging the chamber and thereby moving the electronic component in the chamber; supplying high-frequency power to the other side of the chamber and the electrode; and generating a raw-material-gas-based plasma between the electrode and the chamber. | 01-07-2016 |
20160005909 | PHOTOACTIVE DEVICES HAVING LOW BANDGAP ACTIVE LAYERS CONFIGURED FOR IMPROVED EFFICIENCY AND RELATED METHODS - Photoactive devices include an active region disposed between first and second electrodes and is configured to absorb radiation and generate a voltage between the electrodes. The active region includes an active layer comprising a semiconductor material exhibiting a relatively low bandgap. The active layer has a front surface through which radiation enters the active layer and a relatively rougher back surface on an opposing side of the active layer. Methods of fabricating photoactive devices include the formation of such an active region and electrodes. | 01-07-2016 |
20160005988 | CONDUCTIVE THIN FILM, METHOD FOR PRODUCING SAME, AND ELECTRONIC ELEMENT COMPRISING SAME - Provided are a conductive thin film, a method for producing same, and an electronic element comprising same. The conductive thin film has excellent conductivity, allows the easy adjustment of a work function, also allows easy film formation, and thus can be advantageously used in various electronic elements, such as organic light-emitting devices and organic solar cells. | 01-07-2016 |
20160012974 | METHOD FOR MANUFACTURING SEMICONDUCTOR FILM, RAW-MATERIAL PARTICLES FOR SEMICONDUCTOR FILM MANUFACTURE, SEMICONDUCTOR FILM, PHOTOELECTRODE, AND DYE-SENSITIZED SOLAR CELL | 01-14-2016 |
20160013329 | COATING MATERIALS AND METHODS FOR ENHANCED RELIABILITY | 01-14-2016 |
20160013330 | SOLAR CELL | 01-14-2016 |
20160013331 | COMPOSITION FOR FORMING ELECTRODE OF SOLAR CELL AND ELECTRODE FORMED THEREOF | 01-14-2016 |
20160013345 | PHOTOVOLTAIC MODULE ASSEMBLY | 01-14-2016 |
20160020039 | MULTI-LAYER MESOPOROUS COATINGS FOR CONDUCTIVE SURFACES, AND METHODS OF PREPARING THEREOF - Provided herein is a method of coating a conductive surface with a multi-layer mesoporous structure, by coating a conductive surface with a first photocatalytic dispersion to form a first layer over the conductive surface, curing or partially curing the first layer at temperatures of less than 400° C. to form a porous structure, and coating the porous first layer with the one or more additional photocatalytic dispersions to form one or more additional layers that can penetrate or partially penetrate the pores of the structure in the first layer. The first photocatalytic dispersion includes photocatalytic particles, polymeric binder and a dispersion medium. The one or more additional photocatalytic dispersions include photocatalytic particles and a dispersion medium. | 01-21-2016 |
20160020338 | METHODS OF FORMING THIN-FILM PHOTOVOLTAIC DEVICES WITH DISCONTINUOUS PASSIVATION LAYERS - In various embodiments, photovoltaic devices incorporate discontinuous passivation layers (i) disposed between a thin-film absorber layer and a partner layer, (ii) disposed between the partner layer and a front contact layer, and/or (iii) disposed between a back contact layer and the thin-film absorber layer. | 01-21-2016 |
20160020340 | Increasing the Efficiency of Solar Cells By Transfer of Solder - Embodiments relate to thickening a contact grid of a solar cell for increased efficiency. A mold containing soldering material is heated. The mold is aligned with the contact grid such that the soldering material is in physical contact with the contact grid. The mold is re-heated, transferring the solder material from the mold to the contact grid to create a thickened contact grid. | 01-21-2016 |
20160020341 | Increasing the Efficiency of Solar Cells By Transfer of Solder - Embodiments relate to thickening a contact grid of a solar cell for increased efficiency. A mold containing soldering material is heated. The mold is aligned with the contact grid such that the soldering material is in physical contact with the contact grid. The mold is re-heated, transferring the solder material from the mold to the contact grid to create a thickened contact grid. | 01-21-2016 |
20160020342 | SOLAR CELL WITH INTERDIGITATED BACK CONTACT - A solar cell with an interdigitated back contact is provided. The solar cell can include a crystalline silicon base layer and an electron collector region on a back side of the base layer. The electron collector region can include a first conductive oxide material electrically coupled to the base layer. The solar cell can also include a hole collector region on the back side of the base layer. The hole collector region can include a second conductive oxide material electrically coupled to the base layer. The electron collector region and hole collector region can form an interdigitated pattern. Furthermore, the first conductive oxide material and second conductive oxide material have different work functions. | 01-21-2016 |
20160020419 | OPTOELECTRONIC COMPONENT - An optoelectronic component includes a photoactive layer which is arranged between an electrode and a counter electrode. In addition to a donor-acceptor system, the photoactive layer includes a third material which influences the crystallization of the donor-acceptor system. The third material selected from a group consisting of crown ethers, triphenyls, sorbitols, quinacridones and bis( | 01-21-2016 |
20160020420 | ELECTRONIC ELEMENT EMPLOYING HYBRID ELECTRODE HAVING HIGH WORK FUNCTION AND CONDUCTIVITY - An electronic element is provided. The electronic element may include a hybrid electrode having a high work function and conductivity which has a conductivity of at least 1 S/cm and includes: a work function-tuning layer; and a conductivity-tuning layer which is in contact with the first surface of the work function-tuning layer. Accordingly, the electronic element which employs the hybrid electrode having a high work function and conductivity may have excellent light-emitting efficiency and/or photoelectric conversion efficiency even if a hole injection layer for work function adjustment is omitted. | 01-21-2016 |
20160027936 | SOLAR CELL AND SOLAR CELL MODULE CONTAINING THE SAME - A solar cell includes a photovoltaic substrate, a front electrode, and a back electrode. The back electrode is disposed on a back surface of the photovoltaic substrate and includes a collector layer and a bus electrode. The collector layer has at least one collector opening having a main opening portion and an expansive opening portion. The expansive opening portion has an outer expansive edge which is at least partially arcuate. The expansive opening portion has a width larger than a width of the main opening portion. The bus electrode includes at least one bus electrode segment corresponding in position to the collector opening. The at least one bus electrode segment is exposed from the at least one collector opening, and has an end portion exposed from the expansive opening portion. | 01-28-2016 |
20160027940 | QUANTUM WELL WAVEGUIDE SOLAR CELLS AND METHODS OF CONSTRUCTING THE SAME - A material structure and device design are provided that produce efficient photovoltaic power conversion. Materials of different energy gap are combined in the depletion region of a semiconductor junction. A wider energy gap barrier layer is positioned to reduce the diode dark current by suppressing both carrier injection across the junction and recombination rates within the junction. Light guiding layers are placed above and below the active region of the device in order to enhance optical absorption in the lower energy gap material. | 01-28-2016 |
20160027941 | MULTILAYER FILM, BACK SHEET FOR SOLAR CELL MODULE, AND SOLAR CELL MODULE - Disclosed is a multilayer film including a polyester support body; a first adhesive layer laminated on at least one surface of the polyester support body; and a second adhesive layer laminated on a side opposite to the polyester support body through the first adhesive layer, in which an average film thickness of the polyester support body is in a range of 50 μm to 300 μm, the first adhesive layer includes a modified polyolefin resin which is a copolymer of ethylene, (meth)acrylic acid ester, and acid anhydride, the second adhesive layer includes an olefin resin, and a sum of average film thicknesses of the first adhesive layer and the second adhesive layer is in a range of 0.001 times to 0.3 times the average film thickness of the polyester support body. The multilayer film is a multilayer film in which an adhesive layer having both adhesiveness to EVA and adhesiveness to a polyester support body is included, curling of the multilayer film is suppressed, and blocking is suppressed. | 01-28-2016 |
20160027954 | System and Method for Thin Film Photovoltaic Modules and Back Contact for Thin Solar Cells - The present disclosure provides improved thin film photovoltaic devices and related methods of fabrication. More particularly, the present disclosure provides improved CdTe photovoltaic devices and related fabrication methods. Disclosed is a novel thin film photovoltaic device and means for its fabrication. An exemplary device includes a metal oxide layer between the absorber layer and the rear electrode, resulting in an ohmic back contact and having improved device stability. The metal oxide layer can include at least one of silver oxide or copper oxide, and may additionally contain nickel oxide, molybdenum oxide, and/or vanadium oxide. The present disclosure is directed towards formation of a ohmic back contact for solar cells, the back contact having improved stability. In certain embodiments, the present disclosure provides for an ohmic contact to p-type II-VI semiconductors, and to the fabrication of solar cells having improved stability, and to solar panels incorporating such back contact schemes. | 01-28-2016 |
20160028033 | DYE-SENSITIZED SOLAR CELLS INCLUDING CARBON NANOTUBE YARNS - A dye-sensitized solar cell is provided. The dye-sensitized solar cell includes a working electrode which includes a plurality of twisted carbon nanotube yarns. The dye-sensitized solar cell also includes a hybrid sensitizer. The hybrid sensitizer includes a nanoporous titanium oxide layer coated on the plurality of twisted carbon nanotube yarns, a microporous titanium oxide layer coated onto the nanoporous titanium oxide layer, and dye particles and quantum dots disposed in the pores of the microporous titanium oxide layer. In addition, the dye-sensitized solar cell includes a conducting electrode which includes at least one carbon nanotube yarn disposed about the hybrid sensitizer. The dye-sensitized solar cell also includes a solid state electrolyte disposed about the hybrid sensitizer. | 01-28-2016 |
20160035909 | Photovoltaic Module and Method for Producing a Photovoltaic Module - A photovoltaic module has at least one solar cell having an irradiation surface for receiving light. The photovoltaic module is configured to provide a voltage. The photovoltaic module also includes a carrier unit which is arranged laterally offset from the solar cell at least on one side. A first surface of the carrier unit is oriented flush with the irradiation surface of the solar cell within a predefined tolerance range. The photovoltaic module also includes at least one electrical conductor, which contacts a carrier contact connection on a second surface of the carrier unit opposite the first surface via a cell contact connection of an electronic component on the solar cell or the solar cell in an electrically conductive manner. The cell contact connection is arranged on a contacting side of the solar cell opposite the irradiation surface. | 02-04-2016 |
20160035910 | ELECTRICALLY CONDUCTIVE INKS - The present invention relates to compositions that are suitable for use as electrically conductive inks in the fabrication of electronic devices, such as c-Si solar modules. The electrically conductive ink comprises a) one or more aromatic resins; b) electrically conductive silver particles having an average particle size of 1 μm to 40 μM, and a tap density of 1.5 g/cm | 02-04-2016 |
20160035911 | PHOTOVOLTAIC STRUCTURE FOR A ROADWAY - A photovoltaic structure, or a photovoltaic structure for a roadway suitable for circulation of pedestrians and vehicles, including: at least one photovoltaic cell; and a non-opaque coating covering at least a front face of the photovoltaic cell and having an outer surface which is macrotextured and microtextured irregularly, with a mean texture depth MTD, measured according to the norm NF EN 13036-1, of between 0.2 mm and 3 mm, and a polishing resistance value PRV, according to the norm NF EN 13043, of at least PRV | 02-04-2016 |
20160035912 | ANTI-REFLECTIVE AND ANTI-SOILING COATINGS WITH SELF-CLEANING PROPERTIES - Disclosed herein is a coated glass element including a glass component and a coating adhered to the glass component through siloxane linkages, the coating having at least one of an anti-reflective property, a high abrasion resistance property and a hydrophobic property, wherein the coating comprises a dried gel formed from at least one hydrolyzed alkoxysilane-based sol and at least one hydrolyzed organosilane-based sol. | 02-04-2016 |
20160035915 | SEMICONDUCTOR SUBSTRATE AND METHOD FOR PRODUCING THE SAME, PHOTOVOLTAIC CELL ELEMENT, AND PHOTOVOLTAIC CELL - The semiconductor substrate of the present invention contains a semiconductor layer and an impurity diffusion layer containing at least one impurity atom selected from the group consisting of an n-type imparity atom and a p-type impurity atom and at least one metallic atom selected from the group consisting of K, Na, Li, Ba, St, Ca, Mg, Be, Zn, Pb, Cd, V, Sn, Zr, Mo, La, Nb, Ta, Y, Ti, Ge, Te, and Lu. | 02-04-2016 |
20160035916 | Multifunctional Nanostructured Metal-Rich Metal Oxides - A transparent conductive oxide (TCO) material includes a metal-rich metal oxide having an average formula (M1, M2 . . . Mn) | 02-04-2016 |
20160042874 | DYE SENSITIZED SOLAR TEXTILES AND METHOD OF MANUFACTURING THE SAME - Provided is a dye-sensitized solar cell, including: an electrode assembly comprising a plurality of photoelectrodes and counter electrodes aligned in a satin weave structure with the photoelectrodes and counter electrodes as warps and wefts, respectively; an electrolyte layer adsorbed to the electrode assembly; and an upper film and a lower film for sealing the electrode assembly at the top and bottom. The dye-sensitized solar cell uses an electrode assembly including as plurality of photoelectrodes having various colored organic dyes adsorbed thereto and counter electrodes. Thus, it is possible for the dye-sensitized solar cell to realize a panchromatic effect by which a broad range of visible rays is absorbed to improve luminance efficiency. | 02-11-2016 |
20160043244 | SHIELDED ELECTRICAL CONTACT AND DOPING THROUGH A PASSIVATING DIELECTRIC LAYER IN A HIGH-EFFICIENCY CRYSTALLINE SOLAR CELL, INCLUDING STRUCTURE AND METHODS OF MANUFACTURE - Solar cell structures and formation methods which utilize the surface texture in conjunction with a passivating dielectric layer to provide a practical and controllable technique of forming an electrical contact between a conducting layer and underlying substrate through the passivating dielectric layer, achieving both good surface passivation and electrical contact with low recombination losses, as required for high efficiency solar cells. The passivating dielectric layer is intentionally modified to allow direct contact, or tunnel barrier contact, with the substrate. Additional P-N junctions, and dopant gradients, are disclosed to further limit losses and increase efficiency. | 02-11-2016 |
20160043245 | HYBRID TRANSPARENT ELECTRODE ASSEMBLY FOR PHOTOVOLTAIC CELL MANUFACTURING - A photovoltaic cell is described comprising a top transparent electrode, a PV layer, a semiconductor substrate, a bottom electrode, and a metal bus-bar grid assembly deposited on said top transparent electrode. In a preferred embodiment, the top transparent electrode is a thin film of indium-tin-oxide (ITO). The method of manufacturing the PV device or cell includes the steps of: cleaning a preprocessed semiconductor bulk having a PV layer on its top surface; depositing a layer of a transparent conductive film over the top of said PV layer; depositing a metal bus-bar grid assembly over said transparent conductive film; and depositing a metal bottom layer on the bottom surface of said semiconductor bulk. | 02-11-2016 |
20160043247 | NITROGEN-CONTAINING TRANSPARENT CONDUCTIVE OXIDE CAP LAYER COMPOSITION - A nitrogen-containing TCO (Transparent Conductive Oxide) cap composition or layer that may be used as a capping over a TCO layer (such as doped zinc oxide) to provide enhanced thermal, chemical and scratch resistant properties. It may also be used to improve the surface smoothness of the resultant stack. The nitrogen-containing TCO cap composition or layer may be deposited onto a TCO layer, which is deposited on a transparent substrate such as glass using chemical vapor deposition methods. The nitrogen-containing TCO cap compositions or layers are comprised of at least 2 different metal elements with one of them being a Group liA element (i.e., B, Al, Ga, In, Tl, Uut.) along with oxygen and nitrogen. | 02-11-2016 |
20160043267 | SOLAR CELL EMITTER REGION FABRICATION WITH DIFFERENTIATED P-TYPE AND N-TYPE REGION ARCHITECTURES - Methods of fabricating solar cell emitter regions with differentiated P-type and N-type regions architectures, and resulting solar cells, are described. In an example, a back contact solar cell includes a substrate having a light-receiving surface and a back surface. A first polycrystalline silicon emitter region of a first conductivity type is disposed on a first thin dielectric layer disposed on the back surface of the substrate. A second polycrystalline silicon emitter region of a second, different, conductivity type is disposed on a second thin dielectric layer disposed on the back surface of the substrate. A third thin dielectric layer is disposed laterally directly between the first and second polycrystalline silicon emitter regions. A first conductive contact structure is disposed on the first polycrystalline silicon emitter region. A second conductive contact structure is disposed on the second polycrystalline silicon emitter region. | 02-11-2016 |
20160049532 | CONDUCTIVE PASTE-FORMING ELECTRODE, SOLAR CELL MANUFACTURING METHOD AND SOLAR CELL - A conductive paste is provided which can form electrodes in crystalline silicon solar cells at low cost while ensuring that the electrodes exhibit low contact resistance with respect to both p-type and n-type impurity diffusion layers. The conductive paste for forming a solar cell electrode includes a silver powder, a glass frit, an additive particle and an organic vehicle, the glass frit having a glass transition point of 150 to 440° C., the additive particle including an alloy material containing 20 to 98 mass % aluminum, the conductive paste including the additive particle in an amount of 2 to 30 parts by weight with respect to 100 parts by weight of the silver powder. | 02-18-2016 |
20160049535 | Flexible Monocrystalline Thin Silicon Cell - A device, system, and method for solar cell construction and layer transfer are disclosed herein. An exemplary method of solar cell construction involves providing a silicon donor substrate. A porous layer is formed on the donor substrate. A first portion of a solar cell is constructed on the porous layer of the donor substrate. The solar cell and donor substrate are bonded to a flexible substrate. The flexible substrate and the first portion of a solar cell are then separated from the donor substrate at the porous layer. A second portion of a solar cell may then be constructed on the first portion of a solar cell providing a single completed solar cell. | 02-18-2016 |
20160049540 | REAR WIDE BAND GAP PASSIVATED PERC SOLAR CELLS - A photovoltaic solar cell comprises a light absorbing layer of n-type crystalline silicon. An emitter layer is on the front side of the n-type crystalline silicon. A front passivation layer physically contacts the emitter layer. A front metal contact is on the front passivation layer and contacts the emitter layer. A back layer of wide bandgap semiconductor physically contacts a back side of the n-type crystalline silicon layer. A back metal contact physically contacts the wide bandgap semiconductor layer. | 02-18-2016 |
20160049606 | THIN FILM SMALL MOLECULE ORGANIC PHOTOVOLTAIC SOLAR CELL - A thin film organic photovoltaic device or solar cell in one embodiment includes an organic active bilayer and an ultrathin two-dimensional metallic nanogrid as a transparent conducting electrode which receives incident light. The nanogrid excites surface plasmonic resonances at an interface between the nanogrid and active bilayer from the incident light to enhance photon absorption in the active bilayer below the nanogrid. In another embodiment, spatially separated nanograting electrodes may alternatively be formed by double one-dimensional nanogratings disposed on opposite sides of the organic active bilayer. The spatially separated nanogratings may be oriented perpendicular to each other. | 02-18-2016 |
20160055982 | DYE-SENSITIZED SOLAR CELL ELEMENT - A dye-sensitized solar cell (DSC) element includes at least one DSC, and the DSC includes a first electrode, a second electrode facing the first electrode, and an oxide semiconductor layer provided on the first electrode. The oxide semiconductor layer includes a light absorbing layer provided on the first electrode and a reflecting layer as a layer contacting a portion of a first surface of a side opposite to the first electrode among surfaces of the light absorbing layer and being arranged at a position farthest from the first electrode. The first surface of the light absorbing layer includes a second surface contacting the reflecting layer, and a surface area S | 02-25-2016 |
20160056311 | SOLAR CELL - A solar cell, including a substrate; and an electrode on at least one surface of the substrate. The electrode may be prepared from an electrode paste including tungsten oxide particles, and the electrode may have an adhesive strength of about 4 N/mm to about 6 N/mm with respect to a ribbon for interconnecting solar cells, as measured under conditions of a peeling angle of 180° and a stretching rate of 50 mm/min. | 02-25-2016 |
20160056312 | BACK CONTACT SUBSTRATE FOR A PHOTOVOLTAIC CELL OR MODULE - A back contact substrate for a photovoltaic cell, including a carrier substrate and an electrode, the electrode including a conductive coating including a metallic thin film based on a metal or metal alloy; a barrier to selenization thin film for protecting the conductive coating and based on at least one among MoxOyNz, WxOyNz, TaxOyNz, NbxOyNz, RexOyNz. | 02-25-2016 |
20160056314 | Multilayer Thin-Film Back Contact System For Flexible Photovoltaic Devices On Polymer Substrates - A polymer substrate and back contact structure for a photovoltaic element, and a photovoltaic element include a CIGS photovoltaic structure, a polymer substrate having a device side at which the photovoltaic element can be located and a back side opposite the device side. A layer of dielectric is optionally formed at the back side of the polymer substrate. A metal structure is formed at the device side of the polymer substrate. | 02-25-2016 |
20160056397 | Non-Polar Solvents As An Adhesion Promoter Additive In PEDOT/PSS Dispersions - Described is a process for the preparation of a layered body, the process comprising the steps: I) providing a photoactive layer; II) superimposing the photoactive layer with a coating composition comprising a) an electrically conductive polymer, b) an organic solvent; and III) at least partially removing the organic solvent b) from the composition obtaining an electrically conductive layer superimposing the photoactive layer. Also described is a layered body obtained by this process, a layered body, an organic photovoltaic cell, a solar cell module, a composition, and the use of a composition. | 02-25-2016 |
20160061994 | PHOTONIC CRYSTAL AND OPTICAL FUNCTIONAL DEVICE INCLUDING THE SAME - An object to provide a photonic crystal capable of resonating light at more resonant frequencies within a particular frequency range. A plurality of photonic crystal structure formation bodies each including a plate-like member in which cyclic refractive index distribution is formed are provided so as to be spaced apart from each other in the thickness direction of the plate-like member, and the respective refractive index distributions of the plurality of photonic crystal structure formation bodies are set such that: at least one of the plurality of photonic crystal structure formation bodies resonates with light having at least two frequencies within the frequency range; and the two frequencies are different from resonant frequencies of at least one of the other photonic crystal structure formation bodies. | 03-03-2016 |
20160064575 | BACK-CONTACT BACK-SHEET FOR PHOTOVOLTAIC MODULES WITH PASS-THROUGH ELECTRIC CONTACTS - The present invention proposes a back-contact back-sheet for photovoltaic modules comprising back-contact cells and a method of manufacturing thereof. The back-contact back-sheet comprises an insulating substrate upon which a connecting circuit is attached. The back-contact back-sheet further comprises at least a region indented towards the air-side of the photovoltaic module. The indentation is performed is performed in a portion of the back-contact back-sheet comprising the connecting circuit. A through-hole is then formed within the indented region so as to bring into communication the surface of the connecting circuit exposed towards the inside of the photovoltaic module with the face of the back-contact back-sheet facing the air-side of the photovoltaic module. A transport portion of a connecting element, such as the stem of a rivet, may be introduced into the through-hole so that the contact portion of the connecting element, such as the head of the rivet, is attached and electrically connected to the surface of the connecting circuit exposed towards to the inside of the photovoltaic module. The connecting circuit thus permits exchange of an electrical signal between the photovoltaic module in which the back-contact back-sheet is embedded and the outside. | 03-03-2016 |
20160064580 | BACK CONTACT SUBSTRATE FOR A PHOTOVOLTAIC CELL OR MODULE - A back contact substrate for a photovoltaic cell includes a carrier substrate and an electrode, the electrode including an alloy thin film based on at least two elements, at least one first element MA chosen among copper (Cu), silver (Ag) and gold (Au), and at least one second element MB chosen among zinc (Zn), titanium (Ti), tin (Sn), silicon (Si), germanium (Ge), zirconium (Zr), hafnium (Hf), carbon (C) and lead (Pb). | 03-03-2016 |
20160064581 | BACK CONTACT SUBSTRATE FOR A PHOTOVOLTAIC CELL OR MODULE - A back contact substrate for a photovoltaic cell includes a carrier substrate and an electrode, the electrode including an alloy thin film based: on at least one among copper (Cu) and silver (Ag); and on zinc (Zn). | 03-03-2016 |
20160064582 | METHOD FOR MANUFACTURING LIGHT ABSORPTION LAYER - Provided is a method of fabricating a CIGS absorption layer which, may have improved material utilization and productivity and have excellent thin film uniformity even in a large area by depositing and heat treating a precursor having a multilayer structure by a sputtering method using a compound, target of In | 03-03-2016 |
20160064586 | SOLAR CELL BACK SHEET, AND SOLAR CELL MODULE - To provide a solar cell back sheet having excellent weather resistance and durability in which high adhesiveness to a sealing material for sealing a solar cell element is maintained for a long period of time even under harsh conditions of a high temperature and a high humidity and a solar cell module including the same. | 03-03-2016 |
20160064591 | PASSIVATION METHOD - A solar cell module includes a substrate; an absorber layer formed over the substrate; a porous alumina passivation layer formed on an upper surface of the absorber layer; a buffer layer conformably formed over the passivation layer; and a transparent conducting oxide layer conformably formed over the buffer layer. | 03-03-2016 |
20160064592 | A METHOD OF ANODISING A SURFACE OF A SEMICONDUCTOR DEVICE - The present disclosure provides a method of anodising a surface of a semiconductor device comprising a p-n junction. The method comprises exposing a first surface portion of the semiconductor device to an electrolytic solution that is suitable for anodising the first surface portion when an electrical current is directed through a region at the first surface portion. Further, the method comprises exposing a portion of the semiconductor device to electromagnetic radiation in a manner such that the electromagnetic radiation induces the electrical current and the first surface portion anodises. | 03-03-2016 |
20160071985 | SOLAR CELL - An solar cell is provided comprising a photoelectric conversion layer formed on a substrate formed of a semiconductor material, and a first finger electrode formed of printed conductive paste to a main surface side of the photoelectric conversion layer, wherein an average of standard deviations of heights of uneveness on a surface of the first finger electrode is 5.0 μm or less. The first finger electrode may be formed on a back surface side of the solar cell opposite to a light-receiving surface side. The substrate may be a crystalline silicon substrate. The photoelectric conversion layer may comprise the crystalline silicon substrate, an amorphous silicon layer formed on the substrate, and a transparent conductive oxide film formed on the amorphous silicon layer. The first finger electrode may be provided on the transparent conductive oxide film. | 03-10-2016 |
20160071992 | BACK SHEET FOR SOLAR CELLS AND SOLAR CELL MODULE - Disclosed is a back sheet for solar cells including a supporter and an A layer including at least a nonionic surfactant which has an ethylene glycol chain but does not have a carbon-carbon triple bond on at least one surface side of the supporter, in which the surface resistance value SR on the side provided with the A layer is in a range of 1.0×10 | 03-10-2016 |
20160071996 | SOLAR CELL HAVING AN EMITTER REGION WITH WIDE BANDGAP SEMICONDUCTOR MATERIAL - Solar cells having emitter regions composed of wide bandgap semiconductor material are described. In an example, a method includes forming, in a process tool having a controlled atmosphere, a thin dielectric layer on a surface of a semiconductor substrate of the solar cell. The semiconductor substrate has a bandgap. Without removing the semiconductor substrate from the controlled atmosphere of the process tool, a semiconductor layer is formed on the thin dielectric layer. The semiconductor layer has a bandgap at least approximately 0.2 electron Volts (eV) above the bandgap of the semiconductor substrate. | 03-10-2016 |
20160079449 | ANTIREFLECTION SUBSTRATE STRUCTURE AND MANUFACTURING METHOD THEREOF - A manufacturing method of antireflection substrate structure includes: providing a silicon wafer having a first rough surface; forming an antireflection optical film on the silicon wafer, wherein the antireflection optical film conformally overlays the first rough surface; performing a surface treatment on the antireflection optical film so that the antireflection optical film has a hydrophilic surface, and the hydrophilic surface is relatively far away from the silicon wafer; dropping a colloidal solution on the hydrophilic surface of the antireflection optical film, wherein the colloidal solution includes a solution and multiple nano-balls and the nano-balls are adhered onto the hydrophilic surface; and performing an etching process on the hydrophilic surface of the antireflection optical film by taking the nano-balls as an etching mask so as to form a second rough surface, wherein the roughness of the second rough surface is different from the roughness of the first rough surface. | 03-17-2016 |
20160086737 | ELECTRODE FOR DYE-SENSITIZED SOLAR CELL AND MANUFACTURING METHOD THEREFOR - An electrode for a dye-sensitized solar cell of the present invention includes a substrate; and a nanocomposite layer including a nanocomposite formed on the substrate, wherein the nanocomposite contains: metal, metal oxide or both; and inorganic materials, a conductive polymer or both. | 03-24-2016 |
20160087115 | SOLAR CELL STRUCTURE AND METHOD FOR FABRICATING THE SAME - A solar cell structure with a microsphere-roughened antireflection structure comprises a P-type metal contact electrode, a P-type semiconductor layer, a P-type monocrystalline substrate, an N-type semiconductor layer, an N-type metal contact electrode, and a microsphere-roughened antireflection layer. The N-type semiconductor layer and the P-type semiconductor layer are respectively arranged on an upper surface and a lower surface of the P-type monocrystalline substrate. The P-type metal contact electrode is arranged below the P-type semiconductor layer. The N-type metal contact electrode has a specified pattern and is connected with the N-type semiconductor layer. The microsphere-roughened antireflection layer is arranged on an upper surface of the N-type semiconductor layer without covering the N-type metal contact electrode. The microsphere-roughened antireflection layer reduces the reflection of sunlight and increases the transmittance of sunlight to enhance the efficiency of solar cells. | 03-24-2016 |
20160087118 | PHOTOELECTRIC CONVERSION DEVICE, AND SOLAR CELL - A photoelectric conversion device of an embodiment has a bottom electrode, an intermediate layer on the bottom electrode, a p-type light absorbing layer on the intermediate layer, and an n-type layer on the p-type light absorbing layer. The bottom electrode is a first metal film or a semiconductor film. When the bottom electrode is a metal film, the intermediate layer comprises an oxide film or a sulfide film. When the bottom electrode is a semiconductor film, the intermediate layer comprises a second metal film and an oxide film or a sulfide film on the second metal film. | 03-24-2016 |
20160087121 | SOLAR CELL HAVING A REAR SIDE METALLIZATION - Various embodiments provide a solar cell. The solar cell includes a substrate having a front side and a rear side. At least the front side receives light. The solar cell further includes a multiplicity of rear side solder pad regions at least partially arranged over the rear side, and a plurality of partial solder pads formed in each rear side solder pad region of the multiplicity of rear side solder pad regions. Each partial solder pad includes a first metal. The partial solder pads in a respective rear side solder pad region are separated from each other. The solar cell further includes a rear side metallization formed at the rear side of the substrate partly overlapping the partial solder pads, the rear side metallization including a second metal different from the first metal. | 03-24-2016 |
20160087122 | SOLAR CELL EMITTER REGION FABRICATION WITH DIFFERENTIATED P-TYPE AND N-TYPE ARCHITECTURES AND INCORPORATING DOTTED DIFFUSION - Methods of fabricating solar cell emitter regions with differentiated P-type and N-type architectures and incorporating dotted diffusion, and resulting solar cells, are described. In an example, a solar cell includes a substrate having a light-receiving surface and a back surface. A first polycrystalline silicon emitter region of a first conductivity type is disposed on a first thin dielectric layer disposed on the back surface of the substrate. A second polycrystalline silicon emitter region of a second, different, conductivity type is disposed on a second thin dielectric layer disposed in a plurality of non-continuous trenches in the back surface of the substrate. | 03-24-2016 |
20160087124 | SOLAR CELL INCLUDING ELECTRODE FORMED ON HIGH SHEET RESISTANCE WAFER - A solar cell, including a p-n junction substrate; and an electrode on one surface of the p-n junction substrate. The p-n junction substrate may have a sheet resistance of about 85 Ω/sq to about 150 Ω/sq, and a silver (Ag) crystal having a particle diameter of about 10 nm to about 1,000 nm may be present within the electrode adjacent to an interface between the p-n junction substrate and the electrode. | 03-24-2016 |
20160087125 | PHOTOELECTRIC CONVERSION DEVICE, AND SOLAR CELL - A photoelectric conversion device of an embodiment has a substrate, a bottom electrode on the substrate, a light absorbing layer on the bottom electrode, an n-type layer on the light absorbing layer, a transparent electrode on the n-type layer, and an oxide layer on the transparent electrode. n | 03-24-2016 |
20160087127 | PHOTOELECTRIC CONVERSION DEVICE, SOLAR CELL AND METHOD FOR MANUFACTURING PHOTOELECTRIC CONVERSION DEVICE - A photoelectric conversion device of an embodiment has a substrate, a bottom electrode comprising an electrode layer on the substrate and an intermediate interface layer, a light absorbing layer on the intermediate interface layer. The electrode layer comprises Mo or W. The intermediate interface layer is a compound thin film of a compound comprising Mo or W and at least one element X selected from the group consisting of S, Se, and Te. The intermediate interface layer has a crystal phase and an amorphous phase with which the crystal phase is covered. | 03-24-2016 |
20160087129 | METHODS FOR ENGINEERING POLAR DISCONTINUITIES IN NON-CENTROSYMMETRIC HONEYCOMB LATTICES AND DEVICES INCLUDING A TWO-DIMENSIONAL INSULATING MATERIAL AND A POLAR DISCONTINUITY OF ELECTRIC POLARIZATION - The present invention relates to a device comprising a two-dimensional component, the two-dimensional component including at least one two-dimensional insulating material and including a polar discontinuity of the electric polarization. The present invention also relates to methods for producing such a device. | 03-24-2016 |
20160087134 | SOLAR CELL APPARATUS AND METHOD OF FABRICATING THE SAME - Disclosed are a solar cell apparatus and a method of fabricating the same. The solar cell apparatus includes a substrate, a back electrode layer on the substrate, a light absorbing layer on the back electrode layer, a front electrode layer on the light absorbing layer, a bus bar provided beside the light absorbing layer while being connected to the back electrode layer, and a conductive part surrounding the bus bar. The method includes forming a back electrode layer on a substrate, forming a bus bar on the back electrode layer, forming a light absorbing layer beside the bus bar on the back electrode layer, and forming a front electrode layer on the light absorbing layer. A conductive part surrounds the bus bar in the step of forming the bus bar. | 03-24-2016 |
20160087138 | TRANSPARENT CONDUCTING OXIDE FOR PHOTOVOLTAIC DEVICES - One embodiment of the present invention provides a solar cell. The solar cell includes a Si base layer, a passivation layer situated above the Si base layer, a layer of heavily doped amorphous Si (a-Si) situated above the passivation layer, a first transparent-conducting-oxide (TCO) layer situated above the heavily doped a-Si layer, a back-side electrode situated below the Si base layer, and a front-side electrode situated above the first TCO layer. The first TCO layer comprises at least one of: GaInO, GaInSnO, ZnInO, and ZnInSnO. | 03-24-2016 |
20160087140 | SOLAR CELL FABRICATED BY SIMPLIFIED DEPOSITION PROCESS - Methods of fabricating solar cells using simplified deposition processes, and the resulting solar cells, are described. In an example, a method of fabricating a solar cell involves loading a template substrate into a deposition chamber and, without removing the template substrate from the deposition chamber, performing a deposition method. The deposition method involves forming a first silicon layer on the template substrate, the first silicon layer of a first conductivity type. The deposition method also involves forming a second silicon layer on the first silicon layer, the second silicon layer of the first conductivity type. The deposition method also involves forming a third silicon layer above the second silicon layer, the third silicon layer of a second conductivity type. The deposition method also involves forming a solid state doping layer on the third silicon layer, the solid state doping layer of the first conductivity type. | 03-24-2016 |
20160087234 | ORGANIC SOLAR CELL COMPRISING NANO-BUMP STRUCTURE AND MANUFACTURING METHOD THEREFOR - An organic photovoltaic cell is provided. The organic photovoltaic cell includes a first electrode layer formed on a substrate, metal nanoparticles bound to the surface of the first electrode layer, a hole transport layer formed on the metal nanoparticles to form a nano-bump structure together with the metal nanoparticles, a photoactive layer formed on the hole transport layer, and a second electrode layer formed on the photoactive layer. The nano-bump structure enhances plasmonic effects, leading to an increase in photocurrent. The photoactive layer has an uneven structure. This uneven structure allows the photoactive layer to absorb larger amount of light than an even structure does, leading to an improvement in the photovoltaic efficiency of the organic photovoltaic cell. In addition, the nano-bump structure can be formed by simple dry aerosol deposition without involving a complicated exposure or transfer process, contributing to a marked improvement in economic efficiency. | 03-24-2016 |
20160093751 | SILICON SOLAR CELL WITH FRONT ELECTRODES COVERED BY THIN FILM AND PROCESS FOR MANUFACTURING SAME - A silicon solar cell with front electrodes covered by a thin film and a process for manufacturing the same. When an MWT back contact solar cell is manufactured, the front electrodes are covered completely by an antireflection film, namely the front electrodes are directly in contact with the silicon wafer without penetration of the antireflection film, so as to reduce the series resistance, and improve the cell conversion efficiency. Meanwhile, the penetration depth when the front electrode silver paste is printed is also easier to control, so that the process is simplified. The front electrodes covered completely by the antireflection film are not directly in contact with the outside, so as to improve the corrosion resistance and oxidation resistance of the front electrodes. | 03-31-2016 |
20160093754 | SOLAR CELL - A solar cell includes: a semiconductor substrate of one conductivity type; a first semiconductor layer of the one conductivity type on the semiconductor substrate; a second semiconductor layer of the other conductivity type on the semiconductor substrate; an insulation layer between the first and second semiconductor layers in an area where the first and second semiconductor layers layer overlap each other; a first region where the first semiconductor layer is joined to the semiconductor substrate; a second region where the second semiconductor layer is joined to the semiconductor substrate; and a third region, which is a part of the first region, where the insulation layer is provided. The first region includes first finger sections and a first busbar section. The second region includes second finger sections and a second busbar section. At least a part of the first busbar section is provided in the third region. | 03-31-2016 |
20160093755 | Epitaxial Growth of CZT(S,Se) on Silicon - Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided. | 03-31-2016 |
20160099361 | ELEMENT AND PHOTOVOLTAIC CELL - The invention provides an element including a semiconductor substrate and an electrode disposed on the semiconductor substrate, the electrode being a sintered product of a composition for an electrode that includes phosphorus-containing copper alloy particles, glass particles and a dispersing medium, and the electrode includes a line-shaped electrode having an aspect ratio, which is defined as electrode short length : electrode height, of from 2:1 to 250:1. | 04-07-2016 |
20160099365 | Collector grid and interconnect structures for photovoltaic arrays and modules - An interconnected arrangement of photovoltaic cells is achieved using laminating current collector electrodes. The electrodes comprise a pattern of conductive material extending over a first surface of sheetlike substrate material. The first surface comprises material having adhesive affinity for a selected conductive surface. Application of the electrode to the selected conductive surface brings the first surface of the sheetlike substrate into adhesive contact with the conductive surface and simultaneously brings the conductive surface into firm contact with the conductive material extending over first surface of the sheetlike substrate. Use of the laminating current collector electrodes allows facile and continuous production of expansive area interconnected photovoltaic arrays. | 04-07-2016 |
20160104807 | SOLAR CELL - A solar cell includes a support substrate; a back electrode layer on the a support substrate; a light absorbing layer on the back electrode layer; a buffer layer on the light absorbing layer; a front electrode layer on the buffer layer; and a fourth through hole formed through the back electrode layer, the light absorbing layer, the buffer layer and the front electrode layer, wherein at least a portion of the fourth through hole is inclined with respect to a top surface of the support substrate. | 04-14-2016 |
20160104809 | A BACKSHEET FOR PHOTOVOLTAIC MODULES - A backsheet for a photovoltaic module includes a support and a weather resistant layer, the weather resistant layer including a crosslinking agent, a first binder, and a second binder, each of the first and second binders including a crosslinkable group, wherein the first binder is a fluoropolymer and the second binder is an acrylic resin and the weight ratio of fluoropolymer to acrylic resin is less than 4.0. | 04-14-2016 |
20160104811 | SOLAR CELL AND METHOD OF FABRICATING THE SAME - A solar cell is provided. The solar cell includes a first semiconductor layer of a first conductivity type, a second semiconductor layer of a second conductivity type disposed on the first semiconductor layer, an anti-reflection layer on the second semiconductor layer, and a negative charge layer between the anti-reflection layer and the second semiconductor layer. | 04-14-2016 |
20160108255 | THICK-FILM PASTE CONTAINING LEAD-TUNGSTEN-BASED OXIDE AND ITS USE IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES - The present invention provides a thick-film paste for printing the front side of a solar cell device having one or more insulating layers and a method for doing so. The thick-film paste comprises a source of an electrically conductive metal and a lead-tungsten-based oxide dispersed in an organic medium. The invention also provides a semiconductor device comprising an electrode formed from the thick-film paste. | 04-21-2016 |
20160111222 | PEDOT:PSS BASED LAYER STACK, METHOD FOR FORMING THE SAME, AND USE THEREOF - A PEDOT:PSS based layer stack and forming method are disclosed. The layer stack is with nanofibrillar and nanoporous structure, having PEDOT-richer surface. Additionally, applications of the layer stack are also disclosed. | 04-21-2016 |
20160111223 | A LAMINATED OPTO-ELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME - The present invention relates to a opto-electronic device which comprises: (a) an upper device component comprising: a counter electrode made of a metal, a conductive oxide or a conductive organic compound; (b) a lower device component comprising: a glass or polymeric carrier substrate, a working electrode comprising a transparent conductive coating adjacent to the glass or polymeric substrate, a blocking layer, an active layer, a hole conducting layer, (c) a conductive adhesive disposed between the upper device component and the lower upper device component, and (d) a contact layer for facilitating the injection of electrons into the active layer, between and in contact with, the conductive adhesive and the hole conducting layer. | 04-21-2016 |
20160111558 | PHOTOVOLTAIC CELLS HAVING A BACK SIDE PASSIVATION LAYER - A process for making a photovoltaic cell includes providing a semiconducting substrate having a back side passivation layer, and coating a self-assembling emulsion that includes glass frit particles onto the back side passivation layer. The emulsion is allowed to self-assemble into a network of traces that define cells. An electrode is formed over the network to create a precursor cell, which is then fired to cause the network to burn through the passivation layer and establish electrical contact between the semiconducting substrate and the electrode. | 04-21-2016 |
20160111559 | SOLAR CELL DEGRADATION CONTROL-COATING LIQUID AND THIN FILM AND SOLAR CELL DEGRADATION CONTROL METHOD - Provided is a solar cell degradation control-cover glass having a thin film that is formed by applying to a cover glass back surface a coating liquid comprising either an aqueous solution of a water-soluble compound of at least one metal selected from silicon, aluminum, zirconium, tin and zinc or a fine particle dispersion liquid of an oxide of such metal. | 04-21-2016 |
20160111560 | SOLAR CELL - A solar cell is discussed. The solar cell includes a substrate of a first conductive type, an emitter region which is positioned at a front surface of the substrate and has a second conductive type different from the first conductive type, a front passivation region including a plurality of layers which are sequentially positioned on the emitter region, a back passivation region which is positioned on a back surface opposite the front surface of the substrate and includes three layers, a plurality of front electrodes which pass through the front passivation region and are connected to the emitter region, and at least one back electrode which passes through the back passivation region and is connected to the substrate. | 04-21-2016 |
20160111563 | PHOTON AND CARRIER MANAGEMENT DESIGN FOR NONPLANAR THIN-FILM COPPER INDIUM GALLIUM DISELENIDE PHOTOVOLTAICS - Photovoltaic structures are disclosed. The structures can comprise randomly or periodically structured layers, a dielectric layer to reduce back diffusion of charge carriers, and a metallic layer to reflect photons back towards the absorbing semiconductor layers. This design can increase efficiency of photovoltaic structures. The structures can be fabricated by nanoimprint. | 04-21-2016 |
20160118508 | Method for Producing a Solar Cell - The invention relates to a method for producing a solar cell composed of crystalline silicon, as well as a solar cell of said type. The substrate of said solar cell has, in a first surface, a first doping region produced by boron diffusion and, in a second surface, a phosphorus-doped second doping region. | 04-28-2016 |
20160118510 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME - A solar cell includes a semiconductor substrate, a boron back surface field (BSF) layer, a passivation layer, a back electrode layer and an aluminum local BSF layer. The semiconductor substrate has a front surface and a back surface opposite to each other. The boron BSF layer is disposed in the semiconductor substrate beneath the back surface. The passivation layer is disposed over the boron BSF layer and has an opening through the passivation layer. The back electrode layer is disposed in the opening. The aluminum local BSF layer is disposed in the semiconductor substrate beneath the opening and in contact with the boron BSF layer and the back electrode layer. | 04-28-2016 |
20160118513 | COMPOSITION FOR FORMING ELECTRODE, PHOTOVOLTAIC CELL ELEMENT AND PHOTOVOLTATIC CELL - A composition for forming an electrode includes a phosphorus-containing copper alloy particle, a tin-containing particle, a specific metal element M-containing particle, a glass particle, a solvent and a resin, in which M is at least one selected from the group consisting of Li, Be, Na, Mg, K, Ca, Rb, Sr, Cs, Ba, Fr, Ra, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Y, Zr, Nb, Mo, Tc, Ru, Pd, Ag, Cd, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Hf, Ta, W, Re, Os, Ir, Pt, Au, Hg, Al, Ga, Ge, In, Sb, Tl, Pb, Bi and Po. | 04-28-2016 |
20160118515 | BACK CONTACT TYPE SOLAR BATTERY CELL - A back contact type solar battery which provides a reduced electric power loss, free positioning of a bus bar, and a simple manufacturing process. The solar battery includes: semiconductor substrate; first conductivity type region formed on back surface side located on the opposite side of acceptance surface side of the semiconductor substrate; second conductivity type region formed on the back surface side of the semiconductor substrate; first conductivity type collecting electrode linearly formed on the first conductivity type region; and second conductivity type collecting electrode linearly formed on the second conductivity type region. The first and second conductivity type regions are alternately arranged. Each of the first and second conductivity type collecting electrodes has discontinuous places. The discontinuous places of each conductivity type are substantially aligned on straight line in arrangement direction in which the first and second conductivity type regions are alternately arranged. | 04-28-2016 |
20160118516 | PROCESS AND STRUCTURES FOR FABRICATION OF SOLAR CELLS - Contact holes of solar cells are formed by laser ablation to accommodate various solar cell designs. Use of a laser to form the contact holes is facilitated by replacing films formed on the diffusion regions with a film that has substantially uniform thickness. Contact holes may be formed to deep diffusion regions to increase the laser ablation process margins. The laser configuration may be tailored to form contact holes through dielectric films of varying thicknesses. | 04-28-2016 |
20160121824 | SOLAR POWER GENERATOR FOR WINDOW - A solar power generator for a window includes a glass layer and a sunlight generation layer disposed over the glass layer. The sunlight generation layer is configured to generate electric current using sunlight transmitted through the glass layer. A protective film layer is configured to protect the sunlight generation layer. The sunlight generation layer includes a transparent conductive film provided over the glass layer, a transparent solar power generator active layer provided over the transparent conductive film, and a transparent counter electrode provided over the transparent solar power generator active layer. | 05-05-2016 |
20160126369 | SEMICONDUCTOR DEVICE AND PATTERNING METHOD FOR PLATED ELECTRODE THEREOF - The present invention discloses in detail a semiconductor device and a patterning method for the plated electrode thereof. By using the laser ablation method according to the prior art, the semiconductor substrate below the ARC is damaged by direct destructive burning. According to the present invention, an additional protection layer is inserted between the ARC and the semiconductor substrate. Then a laser is used for heating and liquefying the protection layer below the ARC, and thus separating the ARC from the liquefied protection layer underneath and forming pattered openings. Afterwards, by a plating process, nickel and copper can plated. | 05-05-2016 |
20160126370 | Solar Cell, Manufacturing Method Therefor, Solar Cell Module, and Manufacturing Method Therefor - A solar cell of the present invention includes a collecting electrode extending in one direction on a first principal surface of a photoelectric conversion section. The collecting electrode includes first and second electroconductive layers in this order from the photoelectric conversion section side, and further includes an insulating layer provided with openings between the electroconductive layers. The first electroconductive layer is covered with the insulating layer, and the second electroconductive layer is partially in conduction with the first electroconductive layer through the openings of the insulating layer. The first electroconductive layer has non-central portions within a range from both ends of the first electroconductive layer, and a central portion between the two non-central portions, in a direction orthogonal to an extending direction of the first electroconductive layer. A density of openings at the central portion is higher than a density of openings at the non-central portion. | 05-05-2016 |
20160126374 | METHOD FOR MANUFACTURING SOLAR CELL HAVING SELECTIVE EMITTER AND SOLAR CELL MANUFACTURED THEREBY - The present invention relates to a method for manufacturing a solar cell comprising a selective emitter, the method comprising the steps of: forming an electrode pattern and an alignment mark by performing a first impurity doping locally on one surface of a substrate; and performing a second impurity doping on the entire surface of the first doped substrate, wherein, as a result of the first and second doping, the alignment mark is formed on a first emitter or a second emitter, and the electrode pattern is formed on the second emitter. When manufacturing the selective emitter, the alignment mark is formed by doping processes. The use of the alignment mark may increase the matching of the electrode pattern formed in the selective emitter and the resulting electrode line. Further, a solar cell having the selective emitter has excellent conversion efficiency and a high fill factor value. | 05-05-2016 |
20160126376 | METHOD FOR MANUFACTURING THIN FILM SOLAR CELL AND MODULE STRUCTURE OF THIN FILM SOLAR CELL - A method for manufacturing a thin film solar cell includes: depositing a transparent first rear electrode on a first surface of a transparent substrate; depositing a second rear electrode having a high-conductive metal on the first rear electrode; performing a first laser scribing process to separate a double layer of the first and second rear electrodes; depositing a light absorption layer having selenium (Se) or sulfur (S) on the second rear electrode; performing a second laser scribing process by inputting a laser to a second surface of the transparent substrate to separate the light absorption layer; depositing a transparent electrode on the light absorption layer; and performing a third laser scribing process by inputting a laser to the second surface to separate the transparent electrode. Accordingly, patterning may be performed in a substrate-incident laser manner to improve price, productivity and precision of the patterning process. | 05-05-2016 |
20160126377 | Flexible Kesterite Photovoltaic Device on Ceramic Substrate - Kesterite-based photovoltaic devices formed on flexible ceramic substrates are provided. In one aspect, a method of forming a photovoltaic device includes the steps of: forming a back contact on a flexible ceramic substrate; forming a kesterite absorber layer on a side of the back contact opposite the flexible ceramic substrate; annealing the kesterite absorber layer; forming a buffer layer on a side of the kesterite absorber layer opposite the back contact; and forming a transparent front contact on a side of the buffer layer opposite the kesterite absorber layer. A roll-to-roll-based method of forming a photovoltaic device and a photovoltaic device are also provided. | 05-05-2016 |
20160126378 | Photovoltaic Devices and Methods for Making the Same - A photovoltaic device includes a support layer; a first layer comprising cadmium, tellurium and copper and being of n-type; a second layer comprising cadmium, tellurium and copper and being of p-type; and a transparent conductive oxide layer. A method for making a photovoltaic device includes providing a stack comprising a cadmium and tellurium comprising layer and a copper comprising layer on the cadmium and tellurium comprising layer; and thermally annealing the stack to form a first layer and a second layer each comprising cadmium, tellurium and copper, the first layer being of n-type, the second layer being of p-type. | 05-05-2016 |
20160126379 | SOLAR CELL AND METHOD FOR MANUFACTURING SAME - Disclosed is a solar cell including a substrate, a back electrode, a light-absorbing layer, a buffer layer, and a front transparent electrode. The buffer layer includes a titanium (Ti) compound. The light-absorbing layer includes a compound composed of M | 05-05-2016 |
20160126395 | PHOTOVOLTAIC DEVICES AND METHOD OF MANUFACTURING - A photovoltaic device includes a substrate structure and at least one Se-containing layer, such as a CdSeTe layer. A process for manufacturing the photovoltaic device includes forming the CdSeTe layer over a substrate by at least one of sputtering, evaporation deposition, CVD, chemical bath deposition process, and vapor transport deposition process. The process can also include controlling a thickness range of the Se-containing layer. | 05-05-2016 |
20160126396 | PHOTOVOLTAIC DEVICES AND METHOD OF MANUFACTURING - A photovoltaic device includes a substrate structure and at least one Se-containing layer, such as a CdSeTe layer. A process for manufacturing the photovoltaic device includes forming the CdSeTe layer over a substrate by at least one of sputtering, evaporation deposition, CVD, chemical bath deposition process, and vapor transport deposition process. The process can also include controlling a thickness range of the Se-containing layer. | 05-05-2016 |
20160126397 | Photovoltaic Device Including a Back Contact and Method of Manufacturing - A photovoltaic device includes a substrate, a transparent conductive oxide, an n-type window layer, a p-type absorber layer and an electron reflector layer. The electron reflector layer may include zinc telluride doped with copper telluride, zinc telluride alloyed with copper telluride, or a bilayer of multiple layers containing zinc, copper, cadmium and tellurium in various compositions. A process for manufacturing a photovoltaic device includes forming a layer over a substrate by at least one of sputtering, evaporation deposition, CVD, chemical bath deposition process, and vapor transport deposition process. The process includes forming an electron reflector layer over a p-type absorber layer. | 05-05-2016 |
20160126399 | Solar Cell, Production Method Therefor, and Solar Cell Module - A solar cell of the invention includes a collecting electrode on a first principal surface of a photoelectric conversion section. The collecting electrode includes a first electroconductive layer and a second electroconductive layer in this order from the photoelectric conversion section. On the first principal surface of the photoelectric conversion section, an insulating layer is provided in a first electroconductive layer-non-formed region where the first electroconductive layer is not formed. The insulating layer includes a first insulating layer is in contact with the first electroconductive layer on the first principal surface of the photoelectric conversion section, and a second insulating layer that is formed so as to cover at least a part of the first insulating layer. | 05-05-2016 |
20160126405 | MIS-IL SILICON SOLAR CELL WITH PASSIVATION LAYER TO INDUCE SURFACE INVERSION - The present invention relates generally to a photovoltaic solar cell device and more particularly, to a structure and method of inducing charge inversion in a silicon substrate by using a highly charged passivation layer on an upper side of the silicon substrate. A positively charged passivation layer comprising hafnium oxide may be formed on an insulating layer covering an upper surface of a p-doped silicon substrate and on a metal contact to induce a strong inversion layer in an upper portion of the p-doped silicon substrate. | 05-05-2016 |
20160126406 | SOLAR CELL, SOLAR CELL MODULE, METHOD FOR MANUFACTURING SOLAR CELL, AND METHOD FOR MANUFACTURING SOLAR CELL MODULE - An electrode layer formation step of forming an electrode layer including the first electrode and a removal-target body on a first main surface side of a photoelectric conversion part; an insulating layer formation step of forming an insulating layer so as to cover at least the removal-target body; an opening formation step of forming an opening in the insulating layer by utilizing the removal-target body; and a metal layer formation step of forming a metal layer on the electrode layer through the opening of the insulating layer by a plating method are performed in this order. In the opening formation step, at least a part of the removal-target body is removed by irradiation by a laser beam, so that the opening of the insulating layer is formed. | 05-05-2016 |
20160133351 | CONDUCTIVE PASTE FOR A SOLAR CELL ELECTRODE - The invention relates to a conductive paste composition useful in the manufacture of photovoltaic cell electrodes, especially electrodes contacting the p-type emitter of an n-type base cell. The paste composition may comprise a source of a conductive metal, a glass frit such as a lead borate, aluminum metal powder, and a boron source that may be at least one of elemental boron, a non-oxide, boron-containing substance, or a combination thereof, all dispersed in an organic vehicle that renders the composition suitable for screen printing or other like application method. Also provided are a semiconductor device such as a photovoltaic cell having an electrode made with the paste composition, and a method for its manufacture. | 05-12-2016 |
20160133393 | DYE-SENSITIZED SOLAR CELL EQUIPPED WITH BEAM-CONDENSING UNIT - An object of the present invention is to provide a dye-sensitized solar cell that can exhibit high electrical power corresponding to high photoelectric conversion efficiency. | 05-12-2016 |
20160133759 | FOIL TRIM APPROACHES FOR FOIL-BASED METALLIZATION OF SOLAR CELLS - Foil trim approaches for the foil-based metallization of solar cells and the resulting solar cells are described. For example, a method involves attaching a metal foil sheet to a metallized surface of an underlying supported wafer to provide a unified pairing of the metal foil sheet and the wafer. Subsequent to attaching the metal foil sheet, a portion of the metal foil sheet is laser scribed from above to form a groove in the metal foil sheet. Subsequent to laser scribing the metal foil sheet, the unified pairing of the metal foil sheet and the wafer is rotated to provide the metal sheet below the wafer. Subsequent to the rotating, the unified pairing of the metal foil sheet and the wafer is placed on a chuck with the metal sheet below the wafer. The metal foil sheet is torn at least along the groove to trim the metal foil sheet. | 05-12-2016 |
20160133760 | LEAD WIRE FOR SOLAR CELL, MANUFACTURING METHOD AND STORAGE METHOD THEREOF, AND SOLAR CELL - A solar cell lead wire includes a molten solder plated layer on a strip-shaped conductive material. The thickness of the oxide film on a surface to the molten solder plate layer is suppressed to be not more than 7 nm. | 05-12-2016 |
20160133761 | SOLAR CELL MODULE AND METHOD FOR PRODUCING SOLAR CELL MODULE - Provided is a solar cell module which has a high anti-glare property and is capable of maintaining power output at a high level. In a solar cell module with a light-incident surface formed by laminating an antireflection film on a plate body made of glass, and a surface of the plate body is roughened. A substance for forming the antireflection film is introduced into a space formed in a crack situated slightly inside from the roughened surface. Formation of a layer of air in the crack is prevented to suppress reflection of light at a portion in which the crack is formed. | 05-12-2016 |
20160133765 | SOLAR CELL AND METHOD OF MANUFACTURE THEREOF, AND SOLAR CELL MODULE - Disclosed is a solar cell having a collecting electrode on one main surface of a photoelectric conversion section. The collecting electrode includes a first electroconductive layer and a second electroconductive layer in this order from the photoelectric conversion section side, and further includes an insulating layer between the first electroconductive layer and the second electroconductive layer. The first electroconductive layer includes a low-melting-point material, and a part of the second electroconductive layer is conductively connected with the first electroconductive layer through, for example, an opening in the insulating layer. The second electrode layer is preferably formed by a plating method. In addition, it is preferable that before forming the second electroconductive layer, annealing by heating is carried out to generate the opening section in the insulating layer. | 05-12-2016 |
20160133767 | SOLAR CELL EMITTER REGION FABRICATION USING ION IMPLANTATION - Methods of fabricating solar cell emitter regions using ion implantation, and resulting solar cells, are described. In an example, a back contact solar cell includes a crystalline silicon substrate having a light-receiving surface and a back surface. A first polycrystalline silicon emitter region is disposed above the crystalline silicon substrate. The first polycrystalline silicon emitter region is doped with dopant impurity species of a first conductivity type and further includes ancillary impurity species different from the dopant impurity species of the first conductivity type. A second polycrystalline silicon emitter region is disposed above the crystalline silicon substrate and is adjacent to but separated from the first polycrystalline silicon emitter region. The second polycrystalline silicon emitter region is doped with dopant impurity species of a second, opposite, conductivity type. First and second conductive contact structures are electrically connected to the first and second polycrystalline silicon emitter regions, respectively. | 05-12-2016 |
20160133774 | SOLAR CELL WITH DIELECTRIC BACK REFLECTIVE COATING - In a method for producing a solar cell, a layer stack of dielectric layers is applied to a back of a solar cell substrate and the layer stack is heated and is held at temperatures of at least 700° C. during a time period of at least 5 minutes. The novel solar cell has a layer stack of dielectric layers on its back. At least one of the dielectric layers of the layer stack is densified so that its resistivity to firing-through of pastes with glass components is enhanced. | 05-12-2016 |
20160141431 | INTEGRATED SOLAR COLLECTORS USING EPITAXIAL LIFT OFF AND COLD WELD BONDED SEMICONDUCTOR SOLAR CELLS - There is disclosed ultrahigh-efficiency single- and multi-junction thin-film solar cells. This disclosure is also directed to a substrate-damage-free epitaxial lift-off (“ELO”) process that employs adhesive-free, reliable and lightweight cold-weld bonding to a substrate, such as bonding to plastic or metal foils shaped into compound parabolic metal foil concentrators. By combining low-cost solar cell production and ultrahigh-efficiency of solar intensity-concentrated thin-film solar cells on foil substrates shaped into an integrated collector, as described herein, both lower cost of the module as well as significant cost reductions in the infrastructure is achieved. | 05-19-2016 |
20160141434 | Hybrid Vapor Phase-Solution Phase Growth Techniques for Improved CZT(S,Se) Photovoltaic Device Performance - A hybrid vapor phase-solution phase CZT(S,Se) growth technique is provided. In one aspect, a method of forming a kesterite absorber material on a substrate includes the steps of: depositing a layer of a first kesterite material on the substrate using a vapor phase deposition process, wherein the first kesterite material includes Cu, Zn, Sn, and at least one of S and Se; annealing the first kesterite material to crystallize the first kesterite material; and depositing a layer of a second kesterite material on a side of the first kesterite material opposite the substrate using a solution phase deposition process, wherein the second kesterite material includes Cu, Zn, Sn, and at least one of S and Se, wherein the first kesterite material and the second kesterite material form a multi-layer stack of the absorber material on the substrate. A photovoltaic device and method of formation thereof are also provided. | 05-19-2016 |
20160141536 | ORGANIC SOLAR CELL AND METHOD OF MANUFACTURING THE SAME - The present specification provides an organic solar cell and a method of manufacturing the same. | 05-19-2016 |
20160149063 | Backsheets/Frontsheets Having Improved Adhesion to Encapsulants and Photovoltaic Modules Made Therefrom - A backsheet or frontsheet having an outer layer with a melting temperature greater than or equal to 150° C. includes at least one surface comprising a surface modification to improve adhesion between the backsheet or frontsheet and an encapsulant. The adhesion of the backsheet or frontsheet and encapsulant, after lamination, is at least 20 N/cm, preferably at least 40 N/cm or no adhesion failure. More preferably, the adhesion is at least 20 N/cm, even more preferably 40 N/cm or no adhesion failure, before and after 1,000 hours, preferably 2,000 hours, of damp heat aging at 85° C. and 85% humidity. | 05-26-2016 |
20160155865 | SOLAR CELL | 06-02-2016 |
20160155868 | CRYSTALLINE SILICON SOLAR CELL AND METHOD FOR PRODUCING SAME | 06-02-2016 |
20160155877 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME | 06-02-2016 |
20160163468 | SOLAR CELL - A silicon solar cell with high photoelectric conversion efficiency is disclosed. A solar cell for converting light incident from an outside into electricity according to the present invention includes a substrate, a lower electrode, a ferroelectric layer, an auxiliary electrode, a first conductivity-type semiconductor layer, a second conductivity-type semiconductor layer, and an upper electrode. The lower electrode is formed on the substrate. The ferroelectric layer is formed on the substrate and outside the lower electrode. The auxiliary electrode is formed on the ferroelectric layer. The first conductivity-type semiconductor layer is formed on the lower electrode and the auxiliary electrode. The second conductivity-type semiconductor layer is formed on the first conductivity-type semiconductor layer, and is composed of a semiconductor of a second conductivity type opposite to a first conductivity type. The upper electrode is made of transparent conductive material, and is formed on the second conductivity-type semiconductor layer. | 06-09-2016 |
20160163887 | SILICON SUBSTRATE FOR SOLAR CELL AND MANUFACTURING METHOD THEREFOR - Disclosed are a silicon substrate for a solar cell and a method of manufacturing the same, wherein the reflectance of solar light can be decreased by gap-filling with AZO, and electrical properties, especially resistivity, can be reduced through e-beam irradiation, thus maximizing the cell efficiency and improving the electrical properties of AZO applied to a silicon solar cell. | 06-09-2016 |
20160163888 | PHOTOVOLTAIC CELLS WITH ELECTRODES ADAPTED TO HOUSE CONDUCTIVE PASTE - One embodiment of the present invention provides an electrode grid positioned at least on a first surface of a photovoltaic structure. The electrode grid can include a number of finger lines and an edge busbar positioned at an edge of the photovoltaic structure. The edge busbar can include one or more paste-alignment structures configured to facilitate confinement of conductive paste used for bonding the edge busbar to an opposite edge busbar of an adjacent photovoltaic structure. | 06-09-2016 |
20160163889 | SOLAR CELL - The present invention is to grant a margin in the control of a depth of a groove when removing a transparent insulation layer after the transparent insulation layer is formed on the entire surface of the transparent conductive layer, thereby provide a solar cell which has superior productivity in mass manufacturing. A solar cell includes an n-type amorphous silicon layer formed on a front-surface side of an n-type monocrystalline silicon the substrate; a front-surface side transparent conductive layer formed on the n-type amorphous silicon layer; a p-type amorphous silicon layer formed on a rear-surface-side of the substrate; and a rear-surface-side transparent conductive layer formed on the p-type amorphous silicon layer. A front-surface side collector electrode is formed by plating on the front-surface side transparent conductive layer whereas a rear-surface-side collector electrode is formed on the rear-surface-side transparent conductive layer by printing. | 06-09-2016 |
20160163890 | CONDUCTIVE PASTE CONTAINING LEAD-FREE GLASS FRIT - The present invention discloses a conductive paste comprising a conductive metal or a derivative thereof, and a lead-free glass frit dispersed in an organic vehicle, wherein said lead-free glass frit comprises tellurium-bismuth oxide. The conductive paste of the present invention can be used in the preparation of an electrode of a solar cell with excellent energy conversion efficiency. | 06-09-2016 |
20160163891 | CONDUCTIVE PASTE CONTAINING LEAD-FREE GLASS FRIT - The present invention discloses a conductive paste comprising a conductive metal or a derivative thereof, and a lead-free glass frit dispersed in an organic vehicle, wherein said lead-free glass frit comprises tellurium-zinc-lithium oxide. The conductive paste of the present invention can be used in the preparation of an electrode of a solar cell with excellent energy conversion efficiency. | 06-09-2016 |
20160163892 | CONDUCTIVE PASTE CONTAINING LEAD-FREE GLASS FRIT - The present invention discloses a conductive paste comprising a conductive metal or a derivative thereof, and a lead-free glass frit dispersed in an organic vehicle, wherein said lead-free glass frit comprises tellurium-bismuth-zinc-oxide. The conductive paste of the present invention can be used in the preparation of an electrode of a solar cell with excellent energy conversion efficiency. | 06-09-2016 |
20160163893 | CONDUCTIVE PASTE CONTAINING LEAD-FREE GLASS FRIT - The present invention discloses a conductive paste comprising a conductive metal or a derivative thereof, and a lead-free glass frit dispersed in an organic vehicle, wherein said lead-free glass frit comprises tellurium-bismuth-lithium-oxide. The conductive paste of the present invention can be used in the preparation of an electrode of a solar cell with excellent energy conversion efficiency. | 06-09-2016 |
20160163894 | CONDUCTIVE PASTE CONTAINING LEAD-FREE GLASS FRIT - The present invention discloses a conductive paste comprising a conductive metal or a derivative thereof, and a lead-free glass frit dispersed in an organic vehicle, wherein said lead-free glass frit comprises tellurium-bismuth-selenium-lithium-oxide. The conductive paste of the present invention can be used in the preparation of an electrode of a solar cell with excellent energy conversion efficiency. | 06-09-2016 |
20160163895 | CONDUCTIVE PASTE CONTAINING LEAD-FREE GLASS FRIT - The present invention discloses a conductive paste comprising a conductive metal or a derivative thereof, and a lead-free glass frit dispersed in an organic vehicle, wherein said lead-free glass frit comprises tellurium-bismuth-zinc-tungsten-oxide. The conductive paste of the present invention can be used in the preparation of an electrode of a solar cell with excellent energy conversion efficiency. | 06-09-2016 |
20160163896 | PROCESS FOR PRODUCING A P-N JUNCTION IN A CZTS-BASED PHOTOVOLTAIC CELL AND CZTS-BASED SUPERSTRATE PHOTOVOLTAIC CELL - The invention relates to a process for producing a p-n junction in a photovoltaic cell made of thin CZTS-based films, comprising: a) a step of depositing a film of precursors containing zinc, tin and copper, the amount of zinc being larger than that required to convert the precursors into a CZTS type photovoltaic material and b) a step of annealing the precursors, under a sulphur- and/or selenium-containing atmosphere, so as to obtain a photovoltaic film made of CZTS and a buffer layer made of ZnS | 06-09-2016 |
20160163905 | LAYER SYSTEM FOR THIN-FILM SOLAR CELLS HAVING A SODIUM INDIUM SULFIDE BUFFER LAYER - The invention concerns a layer system for thin-layer solar cells, said layer system comprising an absorber layer for absorbing light and a buffer layer on the absorber layer, said buffer layer containing Na | 06-09-2016 |
20160172512 | LAMINATED BACKPLANE FOR SOLAR CELLS | 06-16-2016 |
20160181443 | SOLAR CELL AND METHOD FOR MANUFACTURING THE SAME | 06-23-2016 |
20160181444 | SOLAR CELLS WITH IMPROVED LIFETIME, PASSIVATION AND/OR EFFICIENCY | 06-23-2016 |
20160181446 | PHOTOVOLTAIC MODULE | 06-23-2016 |
20160181447 | LASER BEAM SHAPING FOR FOIL-BASED METALLIZATION OF SOLAR CELLS | 06-23-2016 |
20160181449 | Plasmonic Photovoltaic Devices | 06-23-2016 |
20160181450 | MULTI-LAYER SPUTTERED METAL SEED FOR SOLAR CELL CONDUCTIVE CONTACT | 06-23-2016 |
20160181455 | SILICON WAFER HAVING COMPLEX STRUCTURE, FABRICATION METHOD THEREFOR AND SOLAR CELL USING SAME | 06-23-2016 |
20160181536 | COPOLYMER AND ORGANIC SOLAR CELL COMPRISING SAME | 06-23-2016 |
20160181558 | ORGANIC PHOTOVOLTAIC CELLS WITH ENHANCED PHOTOCURRENT | 06-23-2016 |
20160189877 | DYE-SENSITIZED SOLAR CELL ELEMENT - A dye-sensitized solar cell (DSC) element includes at least one DSC. The DSC includes a first base material having a transparent substrate, a second base material facing the first base material, an oxide semiconductor layer provided between the first and second base materials, and a sealing portion connecting the first and second base materials. One transparent substrate is provided for the at least one DSC, and a coating layer covering a light receiving surface, which is opposite to the second base material, of the transparent substrate and transmitting light is provided on the first base material. The coating layer includes an annular peripheral portion, and a center portion provided at the inner side of the peripheral portion. An average thickness of the peripheral portion is smaller than a maximum thickness of the center portion, and the coating layer has a refractive index higher than that of the transparent substrate. | 06-30-2016 |
20160189878 | Method for manufacturing tantalum-silver composite electrode having high corrosion resistance for dye-sensitized solar cell using lower-temperature molten salt electroplating method - The present invention relates to a method for manufacturing a tantalum-silver composite electrode: The method comprises:(a) preparing a molten salt; (b) disposing a corrosion-resistant metal on an anode; (c) disposing a base substrate on a cathode; (d) inserting the corrosion-resistant metal and the base substrate into the molten salt; and (e) electrodepositing the corrosion-resistant metal on the base substrate by applying a current density to the base substrate. In particular, the molten salt has a lower melting temperature, the corrosion-resistant metal is tantalum, and the base substrate is a substrate comprising silver. | 06-30-2016 |
20160189879 | Dye-Sensitized Solar Cell Structure and Manufacturing Method Thereof - A dye-sensitized solar cell includes a first substrate, a first electrode, a semiconductor layer, a thin photosensitive dye layer, an electrolyte layer, a second electrode and a second substrate. The first electrode is formed on the first substrate as a working electrode, the semiconductor layer is formed on the first electrode and the thin photosensitive dye layer is formed on the semiconductor layer by ultrasound-treating the semiconductor layer which is immersed in a photosensitive dye solution. The second electrode is formed on the second substrate as a counter electrode and the electrolyte layer is disposed between the semiconductor layer and the second electrode. | 06-30-2016 |
20160190354 | BARRIER-LESS METAL SEED STACK AND CONTACT - Approaches for forming barrier-less seed stacks and contacts are described. In an example, a solar cell includes a substrate and a conductive contact disposed on the substrate. The conductive contact includes a copper layer directly contacting the substrate. In another example, a solar cell includes a substrate and a seed layer disposed directly on the substrate. The seed layer consists essentially of one or more non-diffusion-barrier metal layers. A conductive contact includes a copper layer disposed directly on the seed layer. An exemplary method of fabricating a solar cell involves providing a substrate, and forming a seed layer over the substrate. The seed layer includes one or more non-diffusion-barrier metal layers. The method further involves forming a conductive contact for the solar cell from the seed layer. | 06-30-2016 |
20160190356 | SOLAR CELL MODULE AND SOLAR CELL MODULE MANUFACTURING METHOD - A solar cell module manufacturing method is provided. This method includes: preparing a solar cell element that has a surface whose outer periphery is surrounded by a plurality of sides, an encapsulant that seals the solar cell elements, and a coating that has light diffusivity; applying the coating in an outer peripheral area of the surface via a printing plate that has a pattern corresponding to the outer peripheral area and in which a protection member is provided at a position that corresponds to a corner portion located between two sides of the plurality of sides, the two sides extending in directions that intersect with each other; and sealing, with the encapsulant, the solar cell element on which the coating is printed. | 06-30-2016 |
20160190357 | ANTI-GLARE FILM FOR SOLAR CELL MODULE, SOLAR CELL MODULE PROVIDED WITH ANTI-GLARE FILM, AND METHOD FOR MANUFACTURING SAME - An anti-glare film includes a first inorganic layer and a second inorganic layer in this order has form a substrate side. The first inorganic layer contains transparent spherical inorganic fine particles in an inorganic binder. The inorganic binder in the first inorganic layer mainly includes a silicon oxide containing Si—O bonds obtained by hydrolysis of a Si—H bond and a Si—N bond. The second inorganic layer contains an inorganic binder. Preferably, an average thickness of the first inorganic layer is 500 to 2000 nm, an average thickness of the second inorganic layer is 50 to 1000 nm, and a ratio is 0.025 to 0.5. The second inorganic layer may furthermore contain fine particles. The anti-glare film can be used as an anti-glare film for a solar cell module. | 06-30-2016 |
20160190358 | ELECTRO-CONDUCTIVE PASTE COMPRISING AG PARTICLES WITH A MULTIMODAL DIAMETER DISTRIBUTION IN THE PREPARATION OF ELECTRODES IN MWT SOLAR CELLS - The invention relates to an electro-conductive paste comprising Ag particles with a multimodal diameter distribution in the preparation of electrodes in solar cells, particularly in the preparation of electrodes in MWT solar cells, particularly in the preparation of the metal wrap through, or plug, electrode in such solar cells. In particular, the invention relates to a solar cell precursor, a process for preparing a solar cell, a solar cell and a module comprising solar cells. The invention relates to a solar cell precursor at least comprising as precursor parts: i) a wafer with at least one hole with a Si surface; ii) an electro-conductive paste at least comprising as paste constituents: a) metallic particles; b) an inorganic reaction system; c) an organic vehicle; and d) an additive; comprised by the hole, wherein the metallic particles have a multimodal distribution of particle diameter. | 06-30-2016 |
20160190359 | CONDUCTIVE PASTES OR INKS COMPRISING NANOMETRIC CHEMICAL FRITS - The present invention relates to a conductive paste or ink, which may be used to form conductive elements on the surface of a substrate, for example an electrode of a solar cell. The present invention also relates to a solar cell in which the upper electrode exposed to the sun is obtained by using said conductive paste or ink and a method for forming a conductive element on a substrate using said conductive paste or ink. | 06-30-2016 |
20160190360 | COMPOSITION FOR SOLAR CELL ELECTRODE AND ELECTRODE PREPARED USING THE SAME - A composition for solar cell electrodes and a solar cell electrode, the composition including silver powder; glass frit that contains tellurium, the glass frit having a glass transition temperature (Tg) of about 150° C. to about 300° C.; an organosilane compound; and an organic vehicle. | 06-30-2016 |
20160190361 | GLASS COMPOSITIONS FOR ELECTROCONDUCTIVE PASTE COMPOSITIONS - This invention relates to glass compositions for use in forming an electroconductive paste composition. In one aspect of the invention, an electroconductive paste composition utilized in solar panel technology includes conductive metallic particles, an organic vehicle, and a glass composition comprising tellurium oxide (TeO | 06-30-2016 |
20160196948 | LOW VOLTAGE NANOSCALE VACUUM ELECTRONIC DEVICES | 07-07-2016 |
20160197207 | SOLAR CELL, SOLAR CELL MODULE, AND MANUFACTURING METHOD OF SOLAR CELL | 07-07-2016 |
20160197281 | PHOTOELECTRIC CONVERSION DEVICE AND FABRICATION METHOD THEREFOR | 07-07-2016 |
20160201189 | METHOD FOR OBTAINING A SUBSTRATE PROVIDED WITH A COATING COMPRISING A DISCONTINUOUS THIN METAL LAYER | 07-14-2016 |
20160201206 | Conducting Carbon Cloth Electrode for Hydrogen Generation and Dye Sensitized Solar Cells | 07-14-2016 |
20160203918 | PHOTOVOLTAIC ELEMENTS HAVING LONG-TERM STABILITY THAT CAN BE PRECIPITATED OUT OF SOLUTIONS, AND IN-SITU METHOD FOR PRODUCING SAID ELEMENTS | 07-14-2016 |
20160204289 | SOLAR CELLS FORMED VIA ALUMINUM ELECTROPLATING | 07-14-2016 |
20160204304 | SUBSTRATE-FREE THIN-FILM FLEXIBLE PHOTOVOLTAIC DEVICE AND FABRICATION METHOD | 07-14-2016 |
20160204369 | LIGHT HARVESTING PHOTOVOLTAIC DEVICE | 07-14-2016 |
20160254101 | ORGANIC PHOTOSENSITIVE DEVICES WITH EXCITON-BLOCKING CHARGE CARRIER FILTERS | 09-01-2016 |
20160254400 | RADIALLY ARRANGED METAL CONTACT FINGERS FOR SOLAR CELLS | 09-01-2016 |
20160254407 | MICROSTRUCTURE ENHANCED ABSORPTION PHOTOSENSITIVE DEVICES | 09-01-2016 |
20160379762 | PHOTOELECTRIC CONVERSION ELEMENT - According to one embodiment, a photoelectric conversion element includes a first electrode, a second electrode, a photoelectric conversion layer, a first buffer layer, a second buffer layer, and a third buffer layer. The second electrode is separated from the first electrode. The photoelectric conversion layer is provided between the first electrode and the second electrode. The first buffer layer is provided between the first electrode and the photoelectric conversion layer. The second buffer layer is provided between the second electrode and the photoelectric conversion layer. The third buffer layer is provided at an end portion of the first electrode. | 12-29-2016 |
20160380123 | MULTILAYER THIN-FILM BACK CONTACT SYSTEM FOR FLEXIBLE PHOTOVOLTAIC DEVICES ON POLYMER SUBSTRATES - A photovoltaic element includes a polymer substrate having opposing device and back sides, and having a coefficient of thermal expansion of at least 4 parts per million per degree Celsius but not exceeding 12 parts per million per degree Celsius. A metal structure is disposed on the device side of the polymer substrate, and the metal structure includes (a) a transition-metal-based layer disposed on the polymer substrate, (b) an aluminum-based barrier layer disposed on the transition-metal-based layer, and (c) a molybdenum-based cap layer disposed on the aluminum-based barrier layer. A CIGS photovoltaic structure is disposed on the molybdenum-based cap layer. | 12-29-2016 |
20160380124 | SURFACE PASSIVATION FOR SOLAR CELLS - Methods of fabricating a solar cell, and resulting solar cell are described. In an example, the method for fabricating a solar cell include forming an oxide region over a light receiving region of a silicon substrate. The method can include forming an interfacial region over the light receiving surface of the silicon substrate. The method can also include forming a first surface region comprising aluminum oxide over the interfacial region and forming a second surface region over the first surface region. In some embodiments, the first surface region can have a thickness greater than the second surface region. In one embodiment, the second surface region can have a thickness greater than the thickness of the first surface region. | 12-29-2016 |
20160380125 | PHOTOVOLTAIC DEVICE COMPRISING A METAL HALIDE PEROVSKITE AND A PASSIVATING AGENT - The present invention relates to devices comprising metal halide perovskites and organic passivating agents. In particular, the invention relates to photovoltaic and optoelectronic devices comprising passivated metal halide perovskites. The device according to the invention comprises: (a) a metal halide perovskite; and (b) a passivating agent which is an organic compound; wherein molecules of the passivating agent are chemically bonded to anions or cations in the metal halide perovskite. The invention also provides a process for producing a photovoltaic device, which photovoltaic device comprises: (a) a metal halide perovskite; and (b) a passivating agent which is an organic compound; wherein molecules of the passivating agent are chemically bonded to anions or cations in the metal halide perovskite, wherein the process comprises treating a metal halide perovskite with a passivating agent, which passivating agent is an organic compound and is suitable for chemically bonding to anions or cations in the metal halide perovskite. | 12-29-2016 |
20160380126 | MULTI-LAYER BARRIER FOR METALLIZATION - A solar cell can include a substrate, a semiconductor region disposed in or above the substrate, and a conductive stack that includes a first conductive region, a multi-layer barrier region, and a second conductive region. | 12-29-2016 |
20160380127 | Leave-In Etch Mask for Foil-Based Metallization of Solar Cells - Approaches for fabricating foil-based metallization of solar cells based on a leave-in etch mask, and the resulting solar cells, are described. In an example, a solar cell includes a substrate having a back surface and an opposing light-receiving surface. A plurality of alternating N-type and P-type semiconductor regions is disposed in or above the back surface of the substrate. A conductive contact structure is disposed on the plurality of alternating N-type and P-type semiconductor regions. The conductive contact structure includes metal foil portions in alignment with corresponding ones of the alternating N-type and P-type semiconductor regions. A patterned wet etchant-resistant polymer layer is disposed on the conductive contact structure. Portions of the patterned wet etchant-resistant polymer layer are disposed on and in alignment with the metal foil portions. | 12-29-2016 |
20160380133 | THIN FILM PHOTOVOLTAIC CELL WITH BACK CONTACTS - Photovoltaic cells, photovoltaic devices, and methods of fabrication are provided. The photovoltaic cells include a transparent substrate to allow light to enter the photovoltaic cell through the substrate, and a light absorption layer associated with the substrate. The light absorption layer has opposite first and second surfaces, with the first surface being closer to the transparent substrate than the second surface. A passivation layer is disposed over the second surface of the light absorption layer, and a plurality of first discrete contacts and a plurality of second discrete contacts are provided within the passivation layer to facilitate electrical coupling to the light absorption layer. A first electrode and a second electrode are disposed over the passivation layer to contact the plurality of first discrete contacts and the plurality of second discrete contacts, respectively. The first and second electrodes include a photon-reflective material. | 12-29-2016 |
20160380135 | OHMIC CONTACT OF THIN FILM SOLAR CELL - A chalcogen-resistant material including at least one of a conductive elongated nanostructure layer and a high work function material layer is deposited on a transition metal layer on a substrate. A semiconductor chalcogenide material layer is deposited over the chalcogen-resistant material. The conductive elongated nanostructures, if present, can reduce contact resistance by providing direct electrically conductive paths from the transition metal layer through the chalcogen-resistant material and to the semiconductor chalcogenide material. The high work function material layer, if present, can reduce contact resistance by blocking chalcogenization of the transition metal in the transition metal layer. Reduction of the contact resistance can enhance efficiency of a solar cell including the chalcogenide semiconductor material. | 12-29-2016 |
20160380204 | HOLE TRANSPORT LAYER COMPOSITION FOR SOLAR CELL, PREPARATION METHOD THEREOF AND SOLAR CELL COMPRISING THE SAME - A hole transport layer composition is for a solar cell, a preparation method is thereof, and there is a solar cell comprising the same. More precisely, a hole transport layer composition for solar cell comprises the compound represented by formula 1. The hole transport layer composition can be used as a material for hole transport layer for solar cell which displays the improved power conversion efficiency than the conventional material. In addition, the hole transport layer composition demonstrates a high hole mobility, a proper energy level, a thermo-stability, and an excellent solubility, so that it can provide a similar or higher power conversion efficiency than the conventional spiro-OMeTAD. A solar cell comprising the hole transport layer composition displays a higher power conversion efficiency because the hole transport layer composition for solar cell includes a low-molecular material having a high charge carrier mobility instead of including a high-molecular material. | 12-29-2016 |
20170236652 | SOLAR CELL | 08-17-2017 |
20170236653 | MOLECULAR PHOTON UPCONVERSION USING ORGANIC-INORGANIC HYBRID INTERFACES | 08-17-2017 |
20170236952 | HIGH-EFFICIENCY SOLAR CELL STRUCTURES AND METHODS OF MANUFACTURE | 08-17-2017 |
20170236953 | HIGH EFFICIENCY PHOTOVOLTAIC CELLS WITH SELF CONCENTRATING EFFECT | 08-17-2017 |
20170236954 | HIGH EFFICIENCY SOLAR CELL STRUCTURES AND MANUFACTURING METHODS | 08-17-2017 |
20170236956 | Photovoltaic Devices and Method of Making | 08-17-2017 |
20170236960 | RELIABLE INTERCONNECTION OF SOLAR CELLS | 08-17-2017 |
20180026146 | THIN FILM PLASMONIC SOLAR CELL | 01-25-2018 |
20180026148 | PHOTOVOLTAIC SOLAR CELL WITH BACKSIDE RESONANT WAVEGUIDE | 01-25-2018 |
20180026192 | POLYMER AND ORGANIC SOLAR CELL COMPRISING SAME | 01-25-2018 |
20180026195 | UNSYMMETRICAL BENZOTHIADIAZOLE-BASED MOLECULAR COMPLEXES IN ORGANIC PHOTOVOLTAIC DEVICES | 01-25-2018 |
20180026217 | PHOTOVOLTAIC YARN AND A PRODUCTION METHOD | 01-25-2018 |
20190148572 | METAL DENDRITE-FREE SOLAR CELL | 05-16-2019 |
20190148574 | Superstrates Incorporating Effectively Transparent Contacts and Related Methods of Manufacturing | 05-16-2019 |
20190148579 | HETEROJUNCTION SOLAR CELL AND PREPARATION METHOD THEREOF | 05-16-2019 |
20190148582 | SOLAR CELL, COMPOSITE ELECTRODE THEREON AND PREPARATION METHOD THEREOF | 05-16-2019 |
20220139635 | METHOD FOR MANUFACTURING DYE-SENSITIZED SOLAR CELLS AND SOLAR CELLS SO PRODUCED - A dye-sensitized solar cell having a porous conductive powder layer, which layer is formed by:
| 05-05-2022 |
20220140167 | Photovoltaic Module, Integrated Photovoltaic/Photo-Thermal Module and Manufacturing Method Thereof - Disclosed are a photovoltaic module, an integrated photovoltaic/photo-thermal module and a manufacturing method thereof. The photovoltaic module includes: a front glass plate ( | 05-05-2022 |
20220140169 | SOLAR CELL ELEMENT AND METHOD FOR MANUFACTURING SOLAR CELL ELEMENT - A solar cell element includes a first electrode, a second electrode, a light-absorbing layer, and a first carrier transporter. The light-absorbing layer is located between the first electrode and the second electrode. The first carrier transporter is located between the light-absorbing layer and the first electrode. The first carrier transporter includes a first semiconductor layer of a first conduction type and a first carrier introducing layer stacked in a direction from the light-absorbing layer toward the first electrode. The first carrier introducing layer is in contact with a surface of the first semiconductor layer nearer the first electrode. The first carrier introducing layer has an ionization potential smaller than an electron affinity of the first semiconductor layer. | 05-05-2022 |